content
stringlengths
1
1.04M
-- -- Taken from rtl/riverlib/core/stacktrbuf.vhd of https://github.com/sergeykhbr/riscv_vhdl -- ----------------------------------------------------------------------------- --! @file --! @copyright Copyright 2017 GNSS Sensor Ltd. All right reserved. --! @author Sergey Khabarov - [email protected] --! @brief Stack trace buffer on hardware level. ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library commonlib; use commonlib.types_common.all; entity StackTraceBuffer is generic ( abits : integer := 5; dbits : integer := 64 ); port ( i_clk : in std_logic; i_raddr : in std_logic_vector(abits-1 downto 0); o_rdata : out std_logic_vector(dbits-1 downto 0); i_we : in std_logic; i_waddr : in std_logic_vector(abits-1 downto 0); i_wdata : in std_logic_vector(dbits-1 downto 0) ); end; architecture arch_StackTraceBuffer of StackTraceBuffer is type ram_type is array ((2**abits)-1 downto 0) of std_logic_vector (dbits-1 downto 0); signal stackbuf : ram_type; signal raddr : std_logic_vector(abits-1 downto 0); begin -- registers: regs : process(i_clk) begin if rising_edge(i_clk) then if i_we = '1' then stackbuf(conv_integer(i_waddr)) <= i_wdata; end if; raddr <= i_raddr; end if; end process; o_rdata <= stackbuf(conv_integer(raddr)); end;
-- -- Taken from rtl/riverlib/core/stacktrbuf.vhd of https://github.com/sergeykhbr/riscv_vhdl -- ----------------------------------------------------------------------------- --! @file --! @copyright Copyright 2017 GNSS Sensor Ltd. All right reserved. --! @author Sergey Khabarov - [email protected] --! @brief Stack trace buffer on hardware level. ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library commonlib; use commonlib.types_common.all; entity StackTraceBuffer is generic ( abits : integer := 5; dbits : integer := 64 ); port ( i_clk : in std_logic; i_raddr : in std_logic_vector(abits-1 downto 0); o_rdata : out std_logic_vector(dbits-1 downto 0); i_we : in std_logic; i_waddr : in std_logic_vector(abits-1 downto 0); i_wdata : in std_logic_vector(dbits-1 downto 0) ); end; architecture arch_StackTraceBuffer of StackTraceBuffer is type ram_type is array ((2**abits)-1 downto 0) of std_logic_vector (dbits-1 downto 0); signal stackbuf : ram_type; signal raddr : std_logic_vector(abits-1 downto 0); begin -- registers: regs : process(i_clk) begin if rising_edge(i_clk) then if i_we = '1' then stackbuf(conv_integer(i_waddr)) <= i_wdata; end if; raddr <= i_raddr; end if; end process; o_rdata <= stackbuf(conv_integer(raddr)); end;
-- -- Taken from rtl/riverlib/core/stacktrbuf.vhd of https://github.com/sergeykhbr/riscv_vhdl -- ----------------------------------------------------------------------------- --! @file --! @copyright Copyright 2017 GNSS Sensor Ltd. All right reserved. --! @author Sergey Khabarov - [email protected] --! @brief Stack trace buffer on hardware level. ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library commonlib; use commonlib.types_common.all; entity StackTraceBuffer is generic ( abits : integer := 5; dbits : integer := 64 ); port ( i_clk : in std_logic; i_raddr : in std_logic_vector(abits-1 downto 0); o_rdata : out std_logic_vector(dbits-1 downto 0); i_we : in std_logic; i_waddr : in std_logic_vector(abits-1 downto 0); i_wdata : in std_logic_vector(dbits-1 downto 0) ); end; architecture arch_StackTraceBuffer of StackTraceBuffer is type ram_type is array ((2**abits)-1 downto 0) of std_logic_vector (dbits-1 downto 0); signal stackbuf : ram_type; signal raddr : std_logic_vector(abits-1 downto 0); begin -- registers: regs : process(i_clk) begin if rising_edge(i_clk) then if i_we = '1' then stackbuf(conv_integer(i_waddr)) <= i_wdata; end if; raddr <= i_raddr; end if; end process; o_rdata <= stackbuf(conv_integer(raddr)); end;
-- -- Taken from rtl/riverlib/core/stacktrbuf.vhd of https://github.com/sergeykhbr/riscv_vhdl -- ----------------------------------------------------------------------------- --! @file --! @copyright Copyright 2017 GNSS Sensor Ltd. All right reserved. --! @author Sergey Khabarov - [email protected] --! @brief Stack trace buffer on hardware level. ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library commonlib; use commonlib.types_common.all; entity StackTraceBuffer is generic ( abits : integer := 5; dbits : integer := 64 ); port ( i_clk : in std_logic; i_raddr : in std_logic_vector(abits-1 downto 0); o_rdata : out std_logic_vector(dbits-1 downto 0); i_we : in std_logic; i_waddr : in std_logic_vector(abits-1 downto 0); i_wdata : in std_logic_vector(dbits-1 downto 0) ); end; architecture arch_StackTraceBuffer of StackTraceBuffer is type ram_type is array ((2**abits)-1 downto 0) of std_logic_vector (dbits-1 downto 0); signal stackbuf : ram_type; signal raddr : std_logic_vector(abits-1 downto 0); begin -- registers: regs : process(i_clk) begin if rising_edge(i_clk) then if i_we = '1' then stackbuf(conv_integer(i_waddr)) <= i_wdata; end if; raddr <= i_raddr; end if; end process; o_rdata <= stackbuf(conv_integer(raddr)); end;
-- -- Taken from rtl/riverlib/core/stacktrbuf.vhd of https://github.com/sergeykhbr/riscv_vhdl -- ----------------------------------------------------------------------------- --! @file --! @copyright Copyright 2017 GNSS Sensor Ltd. All right reserved. --! @author Sergey Khabarov - [email protected] --! @brief Stack trace buffer on hardware level. ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library commonlib; use commonlib.types_common.all; entity StackTraceBuffer is generic ( abits : integer := 5; dbits : integer := 64 ); port ( i_clk : in std_logic; i_raddr : in std_logic_vector(abits-1 downto 0); o_rdata : out std_logic_vector(dbits-1 downto 0); i_we : in std_logic; i_waddr : in std_logic_vector(abits-1 downto 0); i_wdata : in std_logic_vector(dbits-1 downto 0) ); end; architecture arch_StackTraceBuffer of StackTraceBuffer is type ram_type is array ((2**abits)-1 downto 0) of std_logic_vector (dbits-1 downto 0); signal stackbuf : ram_type; signal raddr : std_logic_vector(abits-1 downto 0); begin -- registers: regs : process(i_clk) begin if rising_edge(i_clk) then if i_we = '1' then stackbuf(conv_integer(i_waddr)) <= i_wdata; end if; raddr <= i_raddr; end if; end process; o_rdata <= stackbuf(conv_integer(raddr)); end;
-- ---------------------------------------------------------------------- --LOGI-hard --Copyright (c) 2013, Jonathan Piat, Michael Jones, All rights reserved. -- --This library is free software; you can redistribute it and/or --modify it under the terms of the GNU Lesser General Public --License as published by the Free Software Foundation; either --version 3.0 of the License, or (at your option) any later version. -- --This library is distributed in the hope that it will be useful, --but WITHOUT ANY WARRANTY; without even the implied warranty of --MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU --Lesser General Public License for more details. -- --You should have received a copy of the GNU Lesser General Public --License along with this library. -- ---------------------------------------------------------------------- ---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 15:18:41 12/17/2013 -- Design Name: -- Module Name: logi_virtual_pb - Behavioral -- Project Name: -- Target Devices: Spartan 6 -- Tool versions: ISE 14.1 -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity logi_virtual_pb is generic( wb_size : natural := 16 -- Data port size for wishbone ); port ( -- Syscon signals gls_reset : in std_logic ; gls_clk : in std_logic ; -- Wishbone signals wbs_address : in std_logic_vector(15 downto 0) ; wbs_writedata : in std_logic_vector( wb_size-1 downto 0); wbs_readdata : out std_logic_vector( wb_size-1 downto 0); wbs_strobe : in std_logic ; wbs_cycle : in std_logic ; wbs_write : in std_logic ; wbs_ack : out std_logic; -- out signals pb : out std_logic_vector(15 downto 0) ); end logi_virtual_pb; architecture Behavioral of logi_virtual_pb is signal reg_out_d : std_logic_vector(15 downto 0) ; signal read_ack : std_logic ; signal write_ack : std_logic ; begin wbs_ack <= read_ack or write_ack; write_bloc : process(gls_clk,gls_reset) begin if gls_reset = '1' then reg_out_d <= (others => '0'); write_ack <= '0'; elsif rising_edge(gls_clk) then if ((wbs_strobe and wbs_write and wbs_cycle) = '1' ) then reg_out_d <= wbs_writedata; write_ack <= '1'; else write_ack <= '0'; end if; end if; end process write_bloc; pb <= reg_out_d ; read_bloc : process(gls_clk, gls_reset) begin if gls_reset = '1' then elsif rising_edge(gls_clk) then if (wbs_strobe = '1' and wbs_write = '0' and wbs_cycle = '1' ) then read_ack <= '1'; else read_ack <= '0'; end if; end if; end process read_bloc; end Behavioral;
-- ---------------------------------------------------------------------- --LOGI-hard --Copyright (c) 2013, Jonathan Piat, Michael Jones, All rights reserved. -- --This library is free software; you can redistribute it and/or --modify it under the terms of the GNU Lesser General Public --License as published by the Free Software Foundation; either --version 3.0 of the License, or (at your option) any later version. -- --This library is distributed in the hope that it will be useful, --but WITHOUT ANY WARRANTY; without even the implied warranty of --MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU --Lesser General Public License for more details. -- --You should have received a copy of the GNU Lesser General Public --License along with this library. -- ---------------------------------------------------------------------- ---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 15:18:41 12/17/2013 -- Design Name: -- Module Name: logi_virtual_pb - Behavioral -- Project Name: -- Target Devices: Spartan 6 -- Tool versions: ISE 14.1 -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity logi_virtual_pb is generic( wb_size : natural := 16 -- Data port size for wishbone ); port ( -- Syscon signals gls_reset : in std_logic ; gls_clk : in std_logic ; -- Wishbone signals wbs_address : in std_logic_vector(15 downto 0) ; wbs_writedata : in std_logic_vector( wb_size-1 downto 0); wbs_readdata : out std_logic_vector( wb_size-1 downto 0); wbs_strobe : in std_logic ; wbs_cycle : in std_logic ; wbs_write : in std_logic ; wbs_ack : out std_logic; -- out signals pb : out std_logic_vector(15 downto 0) ); end logi_virtual_pb; architecture Behavioral of logi_virtual_pb is signal reg_out_d : std_logic_vector(15 downto 0) ; signal read_ack : std_logic ; signal write_ack : std_logic ; begin wbs_ack <= read_ack or write_ack; write_bloc : process(gls_clk,gls_reset) begin if gls_reset = '1' then reg_out_d <= (others => '0'); write_ack <= '0'; elsif rising_edge(gls_clk) then if ((wbs_strobe and wbs_write and wbs_cycle) = '1' ) then reg_out_d <= wbs_writedata; write_ack <= '1'; else write_ack <= '0'; end if; end if; end process write_bloc; pb <= reg_out_d ; read_bloc : process(gls_clk, gls_reset) begin if gls_reset = '1' then elsif rising_edge(gls_clk) then if (wbs_strobe = '1' and wbs_write = '0' and wbs_cycle = '1' ) then read_ack <= '1'; else read_ack <= '0'; end if; end if; end process read_bloc; end Behavioral;
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity graphics is port( clk, not_reset: in std_logic; nes1_up, nes1_down: in std_logic; nes2_up, nes2_down: in std_logic; nes_start: in std_logic; px_x, px_y: in std_logic_vector(9 downto 0); video_on: in std_logic; rgb_stream: out std_logic_vector(2 downto 0); ball_bounced: out std_logic; ball_missed: out std_logic ); end graphics; architecture dispatcher of graphics is constant SCREEN_WIDTH: integer := 640; constant SCREEN_HEIGHT: integer := 480; type game_states is (start, waiting, playing, game_over); signal state, state_next: game_states; type counter_storage is array(0 to 3) of std_logic_vector(17 downto 0); constant COUNTER_VALUES: counter_storage := ( "110010110111001101", -- 208333 "101000101100001011", -- 166667 "100001111010001001", -- 138889 "011101000100001000" -- 119048 ); -- counters to determine ball control frequency signal ball_control_counter, ball_control_counter_next: std_logic_vector(17 downto 0); signal ball_control_value: integer; -- counts how many times the ball hits the bar -- used to determine ball speed signal bounce_counter, bounce_counter_next: std_logic_vector(7 downto 0); constant MIDDLE_LINE_POS: integer := SCREEN_WIDTH / 2; signal middle_line_on: std_logic; signal middle_line_rgb: std_logic_vector(2 downto 0); signal score_1, score_1_next: std_logic_vector(5 downto 0); signal score_2, score_2_next: std_logic_vector(5 downto 0); signal score_on: std_logic; signal current_score: std_logic_vector(5 downto 0); signal score_font_addr: std_logic_vector(8 downto 0); -- message format is "PLAYER p WINS!" -- where p is replaced by player_id signal message_on, player_id_on: std_logic; signal message_font_addr, player_id_font_addr: std_logic_vector(8 downto 0); signal font_addr: std_logic_vector(8 downto 0); signal font_data: std_logic_vector(0 to 7); signal font_pixel: std_logic; signal font_rgb: std_logic_vector(2 downto 0); constant BALL_SIZE: integer := 16; -- ball is square signal ball_enable: std_logic; signal ball_addr: std_logic_vector(3 downto 0); signal ball_px_addr: std_logic_vector(3 downto 0); signal ball_data: std_logic_vector(0 to BALL_SIZE - 1); signal ball_pixel: std_logic; signal ball_rgb: std_logic_vector(2 downto 0); signal ball_x, ball_x_next: std_logic_vector(9 downto 0); signal ball_y, ball_y_next: std_logic_vector(9 downto 0); signal ball_h_dir, ball_h_dir_next, ball_v_dir, ball_v_dir_next: std_logic; signal ball_bounce, ball_miss: std_logic; constant BAR_1_POS: integer := 20; constant BAR_2_POS: integer := 600; constant BAR_WIDTH: integer := 20; constant BAR_HEIGHT: integer := 64; signal bar_pos: integer; signal bar_addr: std_logic_vector(5 downto 0); signal bar_data: std_logic_vector(0 to BAR_WIDTH - 1); signal bar_pixel: std_logic; signal bar_rgb: std_logic_vector(2 downto 0); signal bar_1_y, bar_1_y_next, bar_2_y, bar_2_y_next: std_logic_vector(9 downto 0); signal ball_on, bar_on: std_logic; begin process(state, ball_x, nes_start, score_1, score_2) begin state_next <= state; ball_enable <= '0'; ball_miss <= '0'; score_1_next <= score_1; score_2_next <= score_2; case state is when start => score_1_next <= (others => '0'); score_2_next <= (others => '0'); state_next <= waiting; when waiting => ball_enable <= '0'; if score_1 = 7 or score_2 = 7 then state_next <= game_over; elsif nes_start = '1' then state_next <= playing; end if; when playing => ball_enable <= '1'; if ball_x = 0 then -- player 2 wins score_2_next <= score_2 + 1; state_next <= waiting; ball_miss <= '1'; elsif ball_x = SCREEN_WIDTH - BALL_SIZE then -- player 1 wins score_1_next <= score_1 + 1; state_next <= waiting; ball_miss <= '1'; end if; when game_over => if nes_start = '1' then state_next <= start; end if; end case; end process; process(clk, not_reset) begin if not_reset = '0' then state <= start; ball_x <= (others => '0'); ball_y <= (others => '0'); bar_1_y <= conv_std_logic_vector(SCREEN_HEIGHT / 2 - BAR_HEIGHT / 2, 10); bar_2_y <= conv_std_logic_vector(SCREEN_HEIGHT / 2 - BAR_HEIGHT / 2, 10); ball_h_dir <= '0'; ball_v_dir <= '0'; bounce_counter <= (others => '0'); ball_control_counter <= (others => '0'); score_1 <= (others => '0'); score_2 <= (others => '0'); elsif clk'event and clk = '0' then state <= state_next; ball_x <= ball_x_next; ball_y <= ball_y_next; bar_1_y <= bar_1_y_next; bar_2_y <= bar_2_y_next; ball_h_dir <= ball_h_dir_next; ball_v_dir <= ball_v_dir_next; bounce_counter <= bounce_counter_next; ball_control_counter <= ball_control_counter_next; score_1 <= score_1_next; score_2 <= score_2_next; end if; end process; score_on <= '1' when px_y(9 downto 3) = 1 and (px_x(9 downto 3) = 42 or px_x(9 downto 3) = 37) else '0'; current_score <= score_1 when px_x < 320 else score_2; -- numbers start at memory location 128 -- '1' starts at 136, '2' at 144 and so on score_font_addr <= conv_std_logic_vector(128, 9) + (current_score(2 downto 0) & current_score(5 downto 3)); player_id_on <= '1' when state = game_over and px_y(9 downto 3) = 29 and (px_x(9 downto 3) = 19 or px_x(9 downto 3) = 59) else '0'; -- player_id will display either 1 or 2 player_id_font_addr <= "010001000" when px_x < 320 else "010010000"; message_on <= '1' when state = game_over and -- message on player_1's side ((score_1 > score_2 and px_x(9 downto 3) >= 12 and px_x(9 downto 3) < 26 and px_y(9 downto 3) = 29) or -- message on player_2's side (score_2 > score_1 and px_x(9 downto 3) >= 52 and px_x(9 downto 3) < 66 and px_y(9 downto 3) = 29)) else '0'; with px_x(9 downto 3) select message_font_addr <= "110000000" when "0110100"|"0001100", -- P "101100000" when "0110101"|"0001101", -- L "100001000" when "0110110"|"0001110", -- A "111001000" when "0110111"|"0001111", -- Y "100101000" when "0111000"|"0010000", -- E "110010000" when "0111001"|"0010001", -- R "111100000" when "0111011"|"0010011", -- not visible "110111000" when "0111101"|"0010101", -- W "101111000" when "0111110"|"0010110", -- O "101110000" when "0111111"|"0010111", -- N "000001000" when "1000000"|"0011000", -- ! "000000000" when others; -- font address mutltiplexer font_addr <= px_y(2 downto 0) + score_font_addr when score_on = '1' else px_y(2 downto 0) + player_id_font_addr when player_id_on = '1' else px_y(2 downto 0) + message_font_addr when message_on = '1' else (others => '0'); font_pixel <= font_data(conv_integer(px_x(2 downto 0))); font_rgb <= "000" when font_pixel = '1' else "111"; direction_control: process( ball_control_counter, ball_x, ball_y, ball_h_dir, ball_v_dir, ball_h_dir_next, ball_v_dir_next, bar_1_y, bar_2_y ) begin ball_h_dir_next <= ball_h_dir; ball_v_dir_next <= ball_v_dir; ball_bounce <= '0'; -- -- BEWARE! Looks like ball_bounce signal is generated twice -- due to slower clock! Too lazy to fix now :D -- if ball_control_counter = 0 then if ball_x = bar_1_pos + BAR_WIDTH and ball_y + BALL_SIZE > bar_1_y and ball_y < bar_1_y + BAR_HEIGHT then ball_h_dir_next <= '1'; ball_bounce <= '1'; elsif ball_x + BALL_SIZE = bar_2_pos and ball_y + BALL_SIZE > bar_2_y and ball_y < bar_2_y + BAR_HEIGHT then ball_h_dir_next <= '0'; ball_bounce <= '1'; elsif ball_x < bar_1_pos + BAR_WIDTH and ball_x + BALL_SIZE > bar_1_pos then if ball_y + BALL_SIZE = bar_1_y then ball_v_dir_next <= '0'; elsif ball_y = bar_1_y + BAR_HEIGHT then ball_v_dir_next <= '1'; end if; elsif ball_x + BALL_SIZE > bar_2_pos and ball_x < bar_2_pos + BAR_WIDTH then if ball_y + BALL_SIZE = bar_2_y then ball_v_dir_next <= '0'; elsif ball_y = bar_2_y + BAR_HEIGHT then ball_v_dir_next <= '1'; end if; end if; if ball_y = 0 then ball_v_dir_next <= '1'; elsif ball_y = SCREEN_HEIGHT - BALL_SIZE then ball_v_dir_next <= '0'; end if; end if; end process; bounce_counter_next <= bounce_counter + 1 when ball_bounce = '1' else (others => '0') when ball_miss = '1' else bounce_counter; ball_control_value <= 0 when bounce_counter < 4 else 1 when bounce_counter < 15 else 2 when bounce_counter < 25 else 3; ball_control_counter_next <= ball_control_counter + 1 when ball_control_counter < COUNTER_VALUES(ball_control_value) else (others => '0'); ball_control: process( ball_control_counter, ball_x, ball_y, ball_x_next, ball_y_next, ball_h_dir, ball_v_dir, ball_enable ) begin ball_x_next <= ball_x; ball_y_next <= ball_y; if ball_enable = '1' then if ball_control_counter = 0 then if ball_h_dir = '1' then ball_x_next <= ball_x + 1; else ball_x_next <= ball_x - 1; end if; if ball_v_dir = '1' then ball_y_next <= ball_y + 1; else ball_y_next <= ball_y - 1; end if; end if; else ball_x_next <= conv_std_logic_vector(SCREEN_WIDTH / 2 - BALL_SIZE / 2, 10); ball_y_next <= conv_std_logic_vector(SCREEN_HEIGHT / 2 - BALL_SIZE / 2, 10); end if; end process; bar_control: process( bar_1_y, bar_2_y, nes1_up, nes1_down, nes2_up, nes2_down ) begin bar_1_y_next <= bar_1_y; bar_2_y_next <= bar_2_y; if nes1_up = '1' then if bar_1_y > 0 then bar_1_y_next <= bar_1_y - 1; end if; elsif nes1_down = '1' then if bar_1_y < SCREEN_HEIGHT - BAR_HEIGHT - 1 then bar_1_y_next <= bar_1_y + 1; end if; end if; if nes2_up = '1' then if bar_2_y > 0 then bar_2_y_next <= bar_2_y - 1; end if; elsif nes2_down = '1' then if bar_2_y < SCREEN_HEIGHT - BAR_HEIGHT - 1 then bar_2_y_next <= bar_2_y + 1; end if; end if; end process; middle_line_on <= '1' when px_x = MIDDLE_LINE_POS else '0'; middle_line_rgb <= "000" when px_y(0) = '1' else "111"; ball_on <= '1' when px_x >= ball_x and px_x < (ball_x + BALL_SIZE) and px_y >= ball_y and px_y < (ball_y + BALL_SIZE) else '0'; -- whether bar_1 or bar_2 is on bar_on <= '1' when (px_x >= BAR_1_POS and px_x < BAR_1_POS + BAR_WIDTH and px_y >= bar_1_y and px_y < bar_1_y + BAR_HEIGHT) or (px_x >= BAR_2_POS and px_x < BAR_2_POS + BAR_WIDTH and px_y >= bar_2_y and px_y < bar_2_y + BAR_HEIGHT) else '0'; ball_addr <= px_y(3 downto 0) - ball_y(3 downto 0); ball_px_addr <= px_x(3 downto 0) - ball_x(3 downto 0); ball_pixel <= ball_data(conv_integer(ball_px_addr)); ball_rgb <= "000" when ball_pixel = '1' else "111"; bar_addr <= (px_y(5 downto 0) - bar_1_y(5 downto 0)) when px_x < 320 else (px_y(5 downto 0) - bar_2_y(5 downto 0)); bar_pos <= BAR_1_POS when px_x < 320 else BAR_2_POS; bar_pixel <= bar_data(conv_integer(px_x - bar_pos)); bar_rgb <= "000" when bar_pixel = '1' else "111"; process( ball_on, bar_on, ball_rgb, bar_rgb, score_on, message_on, font_rgb, middle_line_on, middle_line_rgb, video_on ) begin if video_on = '1' then if bar_on = '1' then rgb_stream <= bar_rgb; elsif ball_on = '1' then rgb_stream <= ball_rgb; elsif middle_line_on = '1' then rgb_stream <= middle_line_rgb; -- scores and messages share rgb stream elsif score_on = '1' or message_on = '1' then rgb_stream <= font_rgb; else -- background is white rgb_stream <= "111"; end if; else -- blank screen rgb_stream <= "000"; end if; end process; ball_unit: entity work.ball_rom(content) port map(addr => ball_addr, data => ball_data); bar_unit: entity work.bar_rom(content) port map(clk => clk, addr => bar_addr, data => bar_data); font_unit: entity work.codepage_rom(content) port map(addr => font_addr, data => font_data); ball_bounced <= ball_bounce; ball_missed <= ball_miss; end dispatcher;
---------------------------------------------------------------------------------- -- Company: UOM -- Engineer: Gihan Karunarathne -- -- Create Date: 12:48:34 08/21/2013 -- Design Name: -- Module Name: BIDIR - Behavioral -- Project Name: Tutorial I ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity BIDIR is Port ( DATA : inout STD_LOGIC; IOCTR : in STD_LOGIC; INPUT : out STD_LOGIC; OUTPUT : in STD_LOGIC); end BIDIR; architecture Behavioral of BIDIR is begin INPUT <= DATA when IOCTR = '1'; DATA <= OUTPUT when IOCTR = '0'; end Behavioral;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc1737.vhd,v 1.2 2001-10-26 16:30:03 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c09s04b00x00p09n01i01737ent IS END c09s04b00x00p09n01i01737ent; ARCHITECTURE c09s04b00x00p09n01i01737arch OF c09s04b00x00p09n01i01737ent IS signal s1 : bit; signal s2 : bit; BEGIN s1 <= not s1 after 70 ns; s2 <= not s2 after 30 ns; block_label1 : BLOCK (s1 = '1') begin assert (s2 = s2'last_value) report "PASSED TEST" severity NOTE; TESTING: PROCESS(s2) BEGIN assert FALSE report "***PASSED TEST: c09s04b00x00p09n01i01737 - This test needs manual check, depend on the simulation time, the assertion 'PASSED TEST' should fire every time s2 is changed regardless of the value of the signal GUARD." severity NOTE; END PROCESS TESTING; end block block_label1; END c09s04b00x00p09n01i01737arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc1737.vhd,v 1.2 2001-10-26 16:30:03 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c09s04b00x00p09n01i01737ent IS END c09s04b00x00p09n01i01737ent; ARCHITECTURE c09s04b00x00p09n01i01737arch OF c09s04b00x00p09n01i01737ent IS signal s1 : bit; signal s2 : bit; BEGIN s1 <= not s1 after 70 ns; s2 <= not s2 after 30 ns; block_label1 : BLOCK (s1 = '1') begin assert (s2 = s2'last_value) report "PASSED TEST" severity NOTE; TESTING: PROCESS(s2) BEGIN assert FALSE report "***PASSED TEST: c09s04b00x00p09n01i01737 - This test needs manual check, depend on the simulation time, the assertion 'PASSED TEST' should fire every time s2 is changed regardless of the value of the signal GUARD." severity NOTE; END PROCESS TESTING; end block block_label1; END c09s04b00x00p09n01i01737arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc1737.vhd,v 1.2 2001-10-26 16:30:03 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c09s04b00x00p09n01i01737ent IS END c09s04b00x00p09n01i01737ent; ARCHITECTURE c09s04b00x00p09n01i01737arch OF c09s04b00x00p09n01i01737ent IS signal s1 : bit; signal s2 : bit; BEGIN s1 <= not s1 after 70 ns; s2 <= not s2 after 30 ns; block_label1 : BLOCK (s1 = '1') begin assert (s2 = s2'last_value) report "PASSED TEST" severity NOTE; TESTING: PROCESS(s2) BEGIN assert FALSE report "***PASSED TEST: c09s04b00x00p09n01i01737 - This test needs manual check, depend on the simulation time, the assertion 'PASSED TEST' should fire every time s2 is changed regardless of the value of the signal GUARD." severity NOTE; END PROCESS TESTING; end block block_label1; END c09s04b00x00p09n01i01737arch;
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block GhhecwZsri7eEDNkktDtg9tDF8/XERrF7+pbF4VnOYhpIBuTzCUhfn7PSsj6MUTcMDRw52zOJcCy N8/XzL3bTA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block lPQdp3eIOpUGeUKL2T/e0Y7MITYnjx8RGnlxPSjyiXf6k8w6TD+1mXHTp+w9ZGwya0k54UsFjq2c b7mCtKI1MvkNowNhjy2tyrl6rQb3zg2yAadtG1+y5lH9qyybxfL1hjboO88jjlmZDC3e4Lw/hXwP M0nXDdjbIIyF0VS+mxc= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block kcl/A0VtSOh11lXU1o1UtAkxAYqg//TsMTantQLvE5BJurUM6qnFbbxHayIxCxxhLe/bx3UspWhO F8kNOWtXZ6aBCKZuGceY5r5tOOPeppTNE756Q2deiquBJSN/b5LhcLJW98SOepBMhVCoCLbjOgjy 3In5lHKDhewS8sNdMJaJ+zA5E+dtre0LUYbUPurhwNeRanvGYi0g8Ym/ZZXr4AO0Fvz5MRs418/e urGv4eMYAHbMVWPOWsnVXdaYubfO2zp1gJrfFNFXt8EXx7QBVswWYOGbx9TQvFj7nzUpObLGBGdv X4PiWwpFpkcLUUiI0OGvpirM2j51262j1IYz8A== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block UFG7Gj0+WaNHvR18Ee2LKzsgJq6LAZROZ3PfAcGm7+EArskD8uw9xhrnxpqiTfTFMILEFkujG+5K kl1oCajKfbEY4C+mbPhQn0vDNo5//uULZqlJGTnuTV26hyJa1LMh+aOvlmzoWFcTXhbic509A7hv XZm9ybgXFUwHgquXmzU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block kv2MinRVgUM6m2rVCLIVtkt6n6vQRYZGlMMKGMx3XfKgrjebmjS/5NYy2l+FRlUdWuC7pPetf5MJ 44UDAfwU3N1DLSeWXVU2h5BoLHWVpmGNyigqb8gNOFMgsJd++Az83tC+Dxe6loTJxqNP+sF54mok SwQpvMiy+KwAgaxaMiAY5OVLLCYvNb+ndQwq52W2k0STlYvqETrUJY66scflhX5fmtE9s4LGsIwC F7Eu43yA7MPSb3WamaltUEkZLj0ddNf8H1on+C5A48/OyRurvp5EeTvAAg5VvtKeJFgOk9rlLxvv vvlLAoG2lzbOMpWuMr/TW5nI10nrb6PcZBVcmw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 14608) `protect data_block Jdd4Zd7GCwUVtduVV0FtaCydBI5ZXJE+Hh37O6i/IfgiKANN3sbAmVkgrvBW+B9uRucQgHPFYlSG UDd+tEwvLR1rDFgPhjlRDM94osMNr5OkE3Kmq9VrERF3p4yun1rupnov3MfCTxbMO5lSb7DRezjT TxpeA1cdgHknxnhEoXr9HhwBtfSMU4CL73Se1BLUeb12KvITwJzpyFpPJX94o7cAUrVf+X7P8RpN 96dDusWG5laQCA9bAV9yFQkbFtco0NwcxDINOZ4DyT6d92TOQN3dRCME7S4FKChjWLQDxgv/G/z+ sE813HiGJhhxjyFDEbGAtRWkhLfHPLoBVlBGHGoAAqhcHXQ3fD67QrvTKDvzbQiG5Wd3+1GhYtu8 4RKW2Xxa42cm6ptrWgEKNRKyb09UyAfedLWWKUxJSIdLYhwmWZNvlXaqJ+k/ImnHx+UIRiU3ROoK bBjRgGyzwchQaV0SAFwXCDzoEZ0ehJM7cTTW3MLSpL4fjRNLduvDzhTc3IXbSUe4JbVFRvbRMCPD kcfLoKe40276R3trEvu1qs6qeRRUmQTnB756fJ1BtvQlx1fIT+YaJ3W6hkuUlmjx8tCgXGERS1MR pAR+Y05BgaiWB42SlWac1zRT4WdUq6nIlJQ+SdpWe6Ago8RtFCGVhOcc2I2Zy80IY0n5j7Dx2rlj lOKdP4x17k7efjJc8Wp4R7Gg5rIvA01sXozUDkkhDuXbN+QXQj52+zwx/NoqJGHfmyNheTU1xWFA vOg2CMwT19OhjyXpmiAd2pJuDTqpkmjpKOrdHjkneq24jvRKfGmciOztfepscGN8DfsrBe2mB1OD LszUQtZL+sB5NNcbo4hcLwfW0TxQru6VTzlyaMvkDdsR/SC66LltSAKbY50QIyTLYcxAKVGmjarx /9Mc5emE4eNY3RFACYU7VT6fs4/xDcxC2YlgEPddefxT/vkmNDgk++yAfj4hFVpIDtn2AdzxhE/2 adPcy/8HYHSmhhxDM8fgECh8hGfdTLxd961CdaQaPLL3Dy/Hb6QiNSCKLcui70c6AqnNbmC+XRTC hCku0dsxlYfYE0Fz7PB+pqBenHZV7uRyhZwjJBWXVK+wT4QN3ACfPOOk61fLbn148BXYKsgbJUfS vcrN0gBRrt2Rirhzj5aaHwqV4p65xfCl4S4NXmQ+7fsW0p44Wn++0SWXGPOzfaw7P9buSU/7t4uN aNmDx4cmO9GYLQDsugO93K0mXun6e1BoyZhgN8WXDQndRJFqz8KmTTUtzMtCrzbmYqpIeITtZruO EILnocMktmBJ16y/E17/ywhnAKdG2nEV8mT/h1gxiPlh1SXxtNaBz55wlkr8MoA1TsmU6URm5EHK tv+USOvIm0dgF3LdU31qdVJCGeyXB77a7UX8NPFRMBTvog3dyL+GTk0fakCKnvF3bbD19A1UEStN tQCIESnHkBsN4v/eqIwyLqKh5KnTxGu8dfrjvk+FM6lkTj3tX7tq5gykePbDI6U/JpwBUtBdoYx/ 7L5NepQ0q5Qq+LIcBgM13Y8vLjtRV4GdPX9/MvcgIQCSCrqKijf2fC4GB0a2LIC/hNhbA2dFbZid 61KhIm4/xsFxlJtpxSGPJ24fF+IM5r95OY0JwIwiAF6FVuZ+yAQqCVt110Ec+SUdz4/Hmvwzztwk OSnsk6IjI5ybRQwfdkYnief6E2M6cukHmQwkPCawAP2Vi/ft3BcdHlSSnXiQ1rTd+sonQKvhRqXG zRfhCaxes+kFILgWG/AupJpYqMCI9YtKPIPui42JS/ZDE0wDK8sOAcXhyDcgVUG4DlgGmPvC480Y Rwe1n2sCf2HF2TKDL2O18E2tla+C4pIrWeQeqbbmLv79t1+wg9faUelplo8ILij+8/EtFMxW+FJS j6d5JiIibvhuAw3Yw0P8Phqkd3WmFNhXG7cugolcQuPH8KWPgaJlZU2UgLpW+MtIIuYz9gDs3gX8 2Fm0Q7kyqa6bwieFu1asUikLl3X2KzpMMNkBZW0k7aL++N4VpZS4UAlXkW37u1Hfelg6lF0FzhsV xiZqyfHkXNDmepEVkVbIm1zWVJPqXfqavI2pGUL7ygPNQVhmHkS9TIGcusKXhYIYDJM2sehqsm8I QxyZ6QRHUtZZJWH1Q6RhNQYTd4KLWjbhZvdkERbP2x9hiiXdu1ZBxwLaZWQ2YhckIAfF+fxBNuWp PUV0plrxlwnnOC3dXM08fpcLhVqQG4h9I05Vyl9W5uuaTJ69H4Fgp3lk4irzTmLzwDqREdF/88gr S7ZTKPltLWSofOd29g/v08pLYtIw7J585kb/c/4vBtLks6IC1qEbbYr7gxbz6XF+LGL4YO+2w4EW NqdKTtE0g5AFQxbW8E/gHipEDD385xKOwXQhSyQ3MkMqCITOXaUN80w7Hnzp8UPKptGnq51r8Nx7 Pm0n9iXAbjFjYvaCFibkjoxsoOLAWGwJ3mlXJa8w6V1URAhUQTm66ste81bICkxLMQo6fEJCPPPt Zqvlrlh0vjlsZSD1K6e+5+RGOrw4pG31IeSHFjum6sH/67vyKUefBk5EjFJMc9S4A3b31WAqEA/r FRB9zqgn8YHVcQOZDKmZQ+rrodDT5rrZxpdZUbg5qAmVcxd+Zk4JcMmhzGpLFmCS1ux29Ml71+uG dXAcGj9x+IDfE8On4XsKZAYFt3+gHGbzIefvt0x7v8Ki/w/bRCKS7vpWftjgGYFxALwRXjxtf0WX pXtTmcTjsZPAV7dbLvnxC0muE4YF8N2sO7zSrx13xeUGqcKhD6elfqOHQzDvv39H5qEnqQDtTu5t QHx0/bj632oVEDObdsW2EZoOXOivHHY6mYozZp8bPKVNmzTsMPwdeb4MpBDYnsnhZABrW9uk6XBD I99APL2a3dxDrf80YFWQhaE0CuQOVpRDkEgWMU5hZtVX3xmQmxQG5A8Hg0nAhMfL05q2egzE3Srr exZqVp54TL8n5cxpn2TAqQQrW0ENX6XgCdyC/0hxU6Fln4GocL0ATmoSU9C/GSZ/LkkuEl+XI0jb 2x9l7Ta6PvDEW430+rSXces5Q7Am/I4k0O/AYihABg912Tniz9hW+kCh9LT8q8Qd7Vr6LvU9MwTR ENChmTmGW0R+F1Jm83wVqOoFC+9FgeplUWg81N4FxvHw9EOlgRuH/2mIkjoN6I7zbWx0sq4fM1ax evhE0D86gPoLKB7v5mrd+GIK9BMbrtadUE9HCxHmwl3kz4vb/V/MZz/RTni//3XJassbTgpTfX8/ 7N8Er9rxR0qc5nNAplgsg51g8FfVP5VVnw1rIJ2S65irpVhrPA1vvfStL9+tluu/3b8CnHzLCI2k Yf5bh6Bz4VGUK8J5WSMV9UQeEytOG9XftnQyTpdY9q458vcN1o3zDYDc9as5UMQj2OIC9/fjorE4 GhdqHFfuA8K54FgcZvCYQIvZXB1FMAMJT2uZcHaqNQ+acWMB4KpzBSAyVWZ0WaZcM3ALb4dkAqFm 59HMg3Jb/1Aar0wZNn490yzNGMzRtpITopn2aAs+C+prl52MRtfNpJqz7sFcINzTQVzMm5c9Pu5f IT294XLjn3hlMo4VHtYSkSHK+Hhk6Cn5AlpftlniIjZ7jsdlEOAJxI30t2qm0guYDRo6G27IB9rb zciGQ7hkx9x0YlTWnOCbbu1TD/gVFQ3mX4pXqQzWliaXgTQD9Kr5/JQ1X2MqntAkB7p7VbSBXSrR 6/y7lx/z3glVaDhKVJyXJrT1cU4GzQHaN12N9sjRoxVHGhZ9hGz6sGylVU49NYUu1boSqHuMwPSg Oi2Rn5f4gYKc4+LSXbRXwa2hiaJf0wGfb0/a2sQJbthCqcT3iGDcp0TNE2G9QnEUxdI7LiO63wvb HZXtHexF8Mw/ghxwG167V7Tbu26B66cy8gmxCrL6wRKWONnGzW7CtI6NcaiNCJQJpFbQ0FUe+zBR 9DEDoSdVvt6OSEGlkn46nEuwy16EF5WfAkLT6iB4I9E1zkmV3QxIhbnxm0VZ7a9pY9FmepI+E88n KoRvA/Zg9/LOSd0YPIWKjL0IShu6Ye8+NpfUeLfDEzdmfrpOo1DB5/kFBEVTHDumVuj+uhuJif+B K1NEm/nYMiknF6Fvgu+GHgwlV8+VT2qHqLEugdK570GcSkxCuUuZQ4pRKYbuDUUhw3rzfRQx+AXw gVxD0bn3yJGJI7kkRC1WYIy1jYzCIRFWyK3oezr2t6rgSfE1EFY4zXDO67RLFLaSlpB8HmmSM+7P z+eKAGVKcrJar5xXG6S6vWUXT6U55xTKnELXlbyErSbCTy29seJlzszV9We7nHILi3fMCvhwjeFP O14szSWF2YKaCOyD7vDFpKq18emqVyyhObB58s4q4uA5WlE3KQidQMTfhBOxt3SL97vzn9RDNmIF NftDQcduPXIvyVuD/T0X5BStCyUCxZjzvm00fe2QMJjmIk90eZ3CcCmYtoLvjTbltXlN2ekHQ6ki Sfglm92bKsHVNra0O5DOOcqlZerbjJ/6sGN+QE6jkyHU6nAo2ByF0Cwh3w1z004NY91ikmtkUZ8s EpdZWhCucgYPNiz5GAGQuCm0UL0s01/SbKK6Tau2HfO++1EifMyEjUb0V4642frdgctISDHkuRv2 s3L744kXz85dagcr2PmuQv4QFcBoB1uXaHIyC0S0srR/gPDr96BsZ8igaedwGpnC2yIoWNabezoJ dQNEPwaYsWDtCBKZEF7x6JDBxQGTNgXLjTSLgWr1DT1vHwmm7TCNOUAWlFu2GGi8MLRePYkYvRfq UkKDb2olLEKp2g5BGLVz5tcOtr/aSZ9wgG91yVXr1sIRA2711UOuoeIPC+pYBtxr0HM8Hco3a6mC WxiPY+EWBD5/UYx8Ivg9pKxuP4yxB9NDGvjPyAc3azlsty9YwJiTGfOooTKYUFyl/slNDWN5aYTv 7eM7DZLE6Thn6sH7ya8l2yTRgy8GYKe8qwrW7+l3wQXrIUtDUPVrdXfrjWznSS+t7UILMYj7DIFF lc8QB8d41BDEi4IGX0Cd4i1gz4ixwDSDvJfocEV+hVHnDL3XZPFljXxi5PYWXEDiLyeCxPygTwQw 82srSLln+h2MJ9sV4Sc9xhB5bkK3lVXMkjtIOAwkao4ERRgzQkdW9RprJhE3H1jfpBh8ypDDcSD+ WTalPvZujeI2BikxC12clw7yWAgBu9DhuohL5WH7p0I4zRYEQeiP4SbEVaIEaExVlCHFpeLfOVe0 QOh8V54n5YOg8gavgZ3Jk7Z+QTNWlw/0leBfjv/+4ctNJrj1ZRgsAF9L27+aowCF6n2nJ73GCYq8 vwHVe3cRYsKiMzJyOnSvNv9b93GRo2aVFaG84V20mw1IvYhPOjXHUEbhlzNWFSDuuG/ZpbE4HDPJ C4LfvKj2rEaSkfgkmZA5BcRDT1l1JdLz6KVsscgzeTUaVYyTbnlvK/rICOS1f0EuqgeQX+Z9tvOU 8NBeUgiBXvBIO4Q8Rhz2lKbHqrKsUQrpvtNd2BAmNJsbqcLJY8hQ+gMGUsGyQocd11fw8BXtmxMu UlnYTftpJFUfnCwnsjgRQmgBaSpaTf0a0cM5qS54pNW6np0e7U0j0PG2uWU4r73nQtojjgWvTgNI 6v3gQyp5bLHw+fZPoNTkLdQ7OSL72U4GHVy2LLbkIv3SAx7QDAND5+LOj+EgFkbEXmVfdn5fZ7dx nzcFiPfae5KJX1FtUjU8nrn0hMt4hPXJzvGRl0+UT/hLRDcNNApt3D32AvNmljc8aUrOc0SYBa/x d+weNsn2qyzjnIV0B5pp/7tTb5Gh1e/EO5rWM710RhvF5eBAmUrX3OAB6N7MpAeu0UnypIhCH/fK ScQQPm0bwp8kuAY2UHvhmkDE5J1jxg73aQIZWsphSw/w3wwwC1gdUoZzKHQ7fhPwh2FWV1DMRoXl MkrO43HMBX+PsKj4A69qZVChofJ5QrXOI5esJw4ldVbYo9C8hjSQA8fjv7KIXn6ZaNeUFP1LSS5G Tb/bLNRh+hhOcj3qFkZ6k4ozQcMMnwfMgs3BMZyEYgAg1qQGRdiJCiWv3yfCDVgZ7QlJrfOkLVOe XbrEot0CnRD/PGD6gLJigUgGh5/TbmZ2Wp3D6z1AX0SeI94ado4q4n0FWwvAycP5RgRVB7wZdJkU D99g10+ej3+V1Ax9yNZ6hlkNDMrn7gY6//HIUDkZzwswQzr5H6DJAz1GjnJzkH5SNagrFOxPGyq/ jDDqKZuJXfc5c39zLdk9Dsds9bzwNxeVYIDundKqg3glfjTZdODzUAdAi6TH6a/zVMKN242he5VS 1GSDVoaLjV8zrQhONjD2c4zB6coQsNN+8zi7n1eqNbfOtEjSOpEbLzdG1giH4JKy0B5KNf7XZ3Y9 ib2JuUWmgFAONPHh/oCLtO1uHOJrgLmnaWRZEEElRR5aTcz/GtN1wJd2mRzeGR5fT6S6hqsxsLMs lu0CBRZ00yTAdDIwRNrL6/4hYpHMLyiyZ1HyqNOI9hPOCwYFkcKnnUKAnyLyPPxpvurqvzLV64Rm anCWyEWHomDZmn+Er/UTp1c98eS5kePlK6ybjBjKjb0olBoTJgEc+adwlTDA5P03uLu7NirXBrYs P/WRzGyPZPCCrcDODgiFPf2q8a1ul8Yg7xak1wLxLYiPU+r2TPEtjFz7Gk2bg3vllZ85Wh1SG7TD 4oKaH5rFdqNAxfoQK1VSAly/TYPQUNi3hl6NIqtsrrpe4VbDIY87jqgLSDM88HljtLq+LryOIOhI wuvH3M0pCDX1a8xWT3XCKZ/LT6iQpNvBrOS76o8/L044QuhchzBYj2d2kYgcsMEnNefK85VfwmyL HBGliQDn2PhNMkBzlmvaidRnlgMVT/ry+WAodLjiAi9A3WysTwtLSIpYY2aUuIUZh+QxsKqkL+yS KBvj13rMnRSieo1yISiTselhQK0E2ibuxwNoEuPdcpL9onbqj09k7PiLdus+Jj7VHIbMzEOZ7UrA FwpqZ3hpwb+EGaZU2codX3ex6I1C5X1fM9BpeG8mtE0DtxKFb2jtKgMJNShLo0nvo6RxfP5tZsYC a+DzecLqmiU81O+hafWsfFXfwsjjZGVjdkk7GaxRbcTlQVzxWwrhY770zFTufCVfa9y8x/c+w1+f RlFnNYCesDrtP+jp1scy2m2Z3eDu2EOOxJQ3+qgIq8VZ/l+4E5jMUnpWYT/Mfjcpi8Y0ErYeGUZr 0c4T9hGI93DFHx7KONsoDqJw/DJ1kDHdYg8bQ/0v1XRu13KGpwlH7L70naaPYNWdta+VfTY32VYs E0uIgWvHNNXHp+K3ngkI+64lFaeqPO6r/G48EddHl3XrsWvzRTV4/VG1zLnQMAPaC9DNJnV1p7YN vdr3sTjTLwniEd8asmsebC4Tyj+Bh4OQeIzew5FHMvv1kAxwh3oPBu/MArZ0TgWuZ71R/bMfNmeg uD8W7O7JIDl/ZhdFwYy65y5WGP3LAhyZoeBgcDbUtptW2nBYI2OLcoPEvl9UQYs5jOxiUmDfxCvk ijpuMkcLOdNm0rmobIM5o7OoeEZWcIYDFrZmoZuLA0s66x9MyaswDVu4YwVsygQmVujyKoPHf8I4 Qo2r/+27GOo2ql6goWRqvkQesS60kBmiyrXN1uL2i85mSx1cMRAOq0H8CWUVe0fVi2P0wbYwB/82 yy3B6ahqmpn+Snk6ZOTFJ66GOLAfceZ8S0nh5gK/KmsNCl+n0B8nnxBtiCeeG853/OrbPrXLs/zm /w4wjGvdWf43Rx6umwEGaDoNtyxgZvyAGrKcTrzFllxbw/s72apBY52b0P2akFGT8dwCJDERoy0X FauETIh6bU5BiFxzQg0v/fOSJxSlrRhBUNoAteb53xH+EFfVIXGY2oh+TMfa5KcA3KIWV33+kLy3 c0KhWmfc7r/aVaJ1UhYVNXq1ExupN622gf1e0ztYCILtCqPO7/mTWXgZuePtkj2JMdo3bWAgse2i MyeJy01xqgtZXiNCvYJ/S/o9hnzt6iBWy7OOBoa1USg+WRHm//4/BYXTPHVEN5Ja3znlBZot3W0H 65n2E9d9dt96HE7PUa/oh135zEr5m38ulCZckrHg7qp+h79Vf+8gSgG+DwrnEeMToTIlcQMASVXB SV281bQPd/b04kNhG/5h26YN8FnPB5tmwEHF/RrTenKzHAzqVKMK2QT09Z11hl6Y91qb0enc9GyZ BIWyNnMhlfheFH3RuHmQf0L+WGL9qGdimddQKkWhv+sc9QTrjnt8vkHIX03FXLg6cy9YCO7rduJ6 NIvk+yY502WQon8L1UBHNcOTVEnl2owuQciQ3v2Ul1YAY9VKMfCwO09LNP54p0V3mAMOZlsD2lwv rM4tgeqXyRQn4yVJSmYNocPb/FWyVwY17hIiyWLWKpP4lAgKT0Mgy5c4eX+TaoroAXWtiMeScu9q uZftp1Uo4bJPno42iJLRmyPFnrqN6MRBcVU33huOm3Ebsa2EmoTqNv/OcKzJX40NwCHfOg5a8RpU +riSYpvD2zS0NMn2HZWEHaYkccim64BF+v3U8rEC5oBfx8FMhoRky9ctJjtYJv7XRiOuLVFb2Lrg nr1j/uvGWbCunBsONTKAMijDX7Fs+n70tGicbTl6hyLfWBb6usKHiAXArx9fNI+nnGHlQ+u9gUz4 kJizuOvJwFgUOl04WpYe6DfHjXuMjMrADobq6rZQGYOkJ+38LdeMSPXIxdDQxW+1uVlZUw4PbT/3 Hufbt6us/Y//Vyaux6RW/i3V6mC3hSzA0oGaqCiIo+ydA4R7yQt3lm/boTbQwD5BrpIl6/2YqIkr rLyisSEX3hqa6BypeGYgMd7kHEXQ2APqSFjMvL1f/pqCDigGfMTQU5HKTLt8OcjDCGf2G4J7VDg4 uYMVVNEMYwrFSRCFrcVHRxkXMoJ20QuuM+hiL2oeiVIs34p0Ix491mD1Xb2Z2eDm0Yir04Kkgav1 /DIv5LZFK4hOxcmwGiRfDUt8Kyos7Tst0eDZa7+yyEhC/xDu6ivcCcstH3gQnSvft26Qv3DLp/FG L8UhYfAmlGRdKbYpjrmIiz/jX6iawp3psxa7vmHd5xSyIDmeBPV1He+dJ2dEptUnSc6z4c1/HyA+ tTbvHzETKEhOJXKBUiG80pPx1AmgwHHHY87omcExoMhulOUGomPP+ICyG3f/v04mg1W6cPB6v0Hj OoLNPOdvwfmaX3S7NvHaibH95s6hIbbmBaYxOzKg7Np7BZtd4fbfFepcwfPFvQ9l6gwpw7pSvDin 4K969OtJf07VGSvEeo0Ot9CVuW0v55HSv9jGFW36iIfjAEqsQ9bdbz4yW2R61ymsH9HfrEtEekJt ODHnFIDbWIHoOx3bhbxGNb6+tcX1B9HYSLtexWIvfmHxey5TbnCmV9YEWKzQL951Cm1LH1FqYgWc TmkpWEh5P4kjmYfC72aH406M+2UvcvvMU5wKb6OEmp5Xzo7NY/0kEkgzcSYzxCp0K9QPrrOzQyAO rve9jX4vjcx/vT8krGoYBYtTzIamAHQgxvdNVP29IPEXoBDv+qdZnUDs4I6mwevqVXCEvrF5D6o/ hNG8cyB0U3ZF3dHFtpapghXL5hz27nSAcKNxAeRcU979g1o6e0L0tKrBMTdnyjW8GcEuJs6+fwGv +8cBpkjnuMj/BXVO3ASBpIUjGr7YpKIKUvvQpjmMRvTMgNkzb9k9apDNgs1qkma+cWn4jKUDkGmN GHBMdEEjWI8lCtFW8VIl9lm+Q4twzGumX39TIuZxnQ4wmVYrV8tP8+HTpGpQUsSCGSJNjeTyT61F rfORBjoPGuLG7mJACJBFYqB9yJa6PEfGjIWgnvPYQ2verAw+LSR5sVOW8LDYBCI79JxGt9VQIKSl OSLlBdhQL9sef0TdUvWbgOM/z5DaPq7mKaDoCdcI2xhZgUOU5L/Wm3ZlCM6LUNrxBWYvLVJbCip7 V0wddcvHJ4KRhcgtd3zzArvZA+6l26oj1XLDjhy/WfgDG6jDrK9d/OXlTxPO/FXAThM6Lr0CeBKN 0vKYYPaSZoM5dMh3E1IwjOAdm0LOxre5UxLzej/VIwr49SYeavbSPUOaSXkax+SpkKf8T31uKenw cs27NTHvfvX/7Ge6O8pRo5i2k3vgdz32TDT601QSHDC4X1HS5NDcTqmAJI0YETuj1ViTYJ5vKWQN vwXLNv047zjY3IaQbuWuh37J9YgxGsj8m8CpYm07gNfqSNaR8qZCu9AC8vaq6JoYaxU+LLAbQbuk rpd6juQBEyf2jfzDMWIL95ff1D73FCoKIGWt2kkI16Pr53LHFC/QgHQ8/oT0YAUQATAqa16FTAfb vUqTBUZg0sNGQWXW7FF19Den0EknKnF7ktgBHiX7nJYpe4MXS0cuObcCqdRmNqc/A4UJnduGVC1+ FfIYLYFgZco9fLQU27Y8xuC5taVRNt+MXiUy9tAzdd2JnrIs2TD7hUx+ZLC6/2CU7LlVU3AUH4Xq oZJ5d8tZoWsrFN/Tx5XKO7MvBBigj7Wt+WtismQVN5UX00/iI+nwaIalJ/TSM/LEZiBIIkoAJwxc KVaRWTfp+yaWk07ya06qQ28V3C6dl0rPySVxxRAYPfVzHpJpJPFmX+ygigl1UJXKtMJKd4q8Fqo8 W9dEXRDisrbN2et9OJ2scI8EXaKNSvMSl3sndegvOqgHJ5mE+6DPI4ie56b1KNU4EsbYBm7OI1cM OEPeWGT6kfOmlKKxVGDiWvVMqLh1366ydch/rH6B1AnBMYUxAhnGgU0DHcB6q4RVM0+mBkFEYVuV muYCkacX9rB2NVE2O9QU/xVIYuwWmIzigUO2PubO+2OW4lCqD+JEz1kDi1Xzj95FGNz4chJ5biVO TuIG5rg20/n1LX512qDFrnukc+JxsS9FmW5S1x8cHikyZfD4kghh0+aHEm5d5ioXJLFkc2mBCYDy NF7u4t3FhX0dOL5X0qamdlG4nhe6V1u8QpEepkVLpXEnunB2x18tG8Pl2FHHlmZIvseCJYSo1e0J VJcEvi8pgApU90RtFDkU5TOFKHIxgkM0zjOLDbsibRwzm25kbbGUTGjUGiK8Pd8vmR6dssS7mhco SQRIpaG/UPzoG2CQpN/mUL+AuGuaQhluDzv42SUyiI29n8e2rGXnB5GbF6Ojr7Cn/+tDkBXxtInB QFJ3EckQ356zYKTFzyfUlPT5bEk2bGmGSSF0EZfi13IThMtpahk6VHQ6iaTeGnvZbRHaBQKR+zQr Mho5H6dGeCqFV5o1ktuPYNxZoQqXU0TRgLzD9OECu5nq2m7qLfpgllFe2gLEu9KWF/d06o+HZ07T pMv3xtx/h4BX/oOMSfEQiVhsMsXVFeBhhLvkYzx1zF0slOBCJjTPWAtPwXodGDmnPAHKmaR/UfZo gKl6cBlgyGZkG0M7upWyDcSdvCjEk1BD3ZzQ1R6JUI7By4RB1g8y8JYnGTF62B4oExkOdH15f9RY P01KpoTjoJbnO2VTZpXSLRUzEdysLAHQ4N5yWhkyAyG5hff81dXuQ2hrEClhzaNYlebtacwklZs2 K2XJRzvLqrDc5J6YpKwxFkexXOyOOryDX7cjOOljOmsyWtms5EDOxa6tak4873LXQ+mFK1GAredr hqMzni+wkYTZ52TaDtxvFtV2RKWq4p5Hcmm+BunZJc/KnL4flLiJx/unKlwmDiu3TuaS6Z2Z0jel WHLENAGnSQ3YyEtr/60y0dEuckq/iKiYgRF6UI4nn0Q771G/JXgRED+EfoRVXZuGZdG19+3bZZC0 /sYM9nE3yMyl95pk7h8wkjWrgIVGPjKAlZv+5Z4cbJF58siPJA5JMduh0Kepq8fXSHFJrUzz1FMt i0Zw8Ods8mTI+CLtddqFDfolyQMB/Yz77j8Wdzf0BAiPQhP45SnTINE3+LZAYTxWsLoHwj/CuuCF uwavUKCwZ+xIwfC4I4M3/9TcVSuBjvl1xWQvVU1/wpBfVfloEOlFjJMStIoisiQWSdGIZiAUD/MW +Rz6/ccJrn/CobknZlHy7ItqwHR+SV+pb10v+6Q/F/LFr5iMvX4qHxxRdlBlk3GSYHLdFu7Gwiqf kd0cn80lqRrQTrWebn1SPe2v8mrWgh2hm13G428FBp44jkcaXLSvXVNcypQkazMVBIcQfmcucUJf ykVnEGhuhqePNSH+yqSlSyevuu4dHWj89kmqR4qo3IT7An/yE0NwzN5XzG6VPAtyaNCgR9xnswv9 A+ScSJZa/3JP14jqLis1LI3GMKUH44DQ0SEL4iQoV7Yr8ZmT2bCBlg704W6DGT1OwdbOKn5FrDVM 5MFvp8oy9ZwK8n2h8KDIzCZUYf5z/2kMLHvAvOgmzjy3FlBElQEGaRBNbgEx9iURk6bfRIhyEYd1 ojkzaLpiQoYfcCwal5FJlwABfB0wsEUZu3EQ4Jfdl4iub3ZH9fhW1QiF+QkERlZqvkuiG4ye+ChR NmNuu4RhVD1bLe/6G4LSnS2oFbwNfOSrWRR6lbnD2oClM2PzH/iXnPK07A8A1zK3OwnbO8f/G/5B h+8pBwv+khekOu6jUNc7vCFsUgjC9sFw5RkChTd1EGPYzirLHWlyOPqFCskTm6+MWkOcDtdUbHnn kAJTUIwkF84z6zeadXmVICX50h9upAOg85QNi8HBqorImlgt2t/IXJeD0T8w0A88eR53kS872j+E 21R5efPl9OevGEvuQC7xIf+y4wt5ATEAtNcdiYpZ5bUMalPtvnzdVR6uVXQWO9EFxWPYb0z9WOhW SOoVumfkmebIHKdffiH5whcJBeJ6vpkDCzYgI6bPjB1ToscNM7CQLWf9YOYTHrEH0bWgWRp9iXss mVBp0+Hb5bJ3uNlKy6Bem+LMImAn1J1v9Vwp85rOnLp1GzkNefwuhvtrMWMfST9YxPxW0OqZkuK5 Mc2b3QwKr/nP89WDUsJjHSIr3D3WGw8aCfCZhVITzG7DAJIjNIRiU3vnrWO030UHGFbmUT3Uc6K6 FbeiLJt6tnbh5JYrRJUgsW/Dtnezbg8nOf6tmqzfCc7Uewg77Ce7WJ6JkPyBe29ZDP/LsVbyRmdv Ar9lCVnwU8CRYEQpKLV7HdDt5xHHV22Z94CkWyUONCo5grbSDDEuFtfkMvUng6CliyvLANbSYgG3 600hdAHJ0Loc33NYU/jtWSXVctwXqsq4JWrK1o83z+BSbZvyin3mCDebkV5O/fquP9BBZlcrC/hj uTiAcCVbM/qcMaQGDAZqD2NJapP85DQQiG7Rsue3ChiWlQYNYZFbd/s4yvYEB4TxF0b5h+XojiZ2 ZXAU4slWW9zqYRtfyRi0dJ++6hrzhjOShs10YpGxReqk/F6y/W1mrMzuvTX07C0d43vxe9UYaRP3 jdPB42R/YMZWcyGHX7R809XmJSoDe6otsrjN7Ikv+a7t46SCLJOv7Z6NcTTZLjPLwguWW2gUeOCz QLhNV4tsSg7SRSjHpE1Hkh2L5COb1DpY6IczJaEtBby4nvyPFh78OwFsNQl3JxXTwFiPO6Z7VkOY nCjhU2mtvHk77cJGdT6DuJ0xsW1vI5E4ETX9D3HKfIvxlSHihNBeritoFulR1mrI2PjtZqxRY/lD WWb2NgitldKUbOqIxp6TBjLmm6kU4sHi0vpF4WAj70SV/03syj0oQITwhc1s43o2W3/37vFnNDaH a9f1pazNd2ZgpThVk5h7TxkwZvfhLAKnS272AqnCEk9omrBH4dhRB5RJ4hFH1o41R8PKsSiVZW9c G5F/ja4jA64rQEiYAox3dh6wD5y9mh0v3H4RgHH4cF4LhqdlyW3+fxBCjuE+BMVgATPWQ7be3kOh z6JhKbni4ssGOUK6t6VXUMfQZ/POP0xCyRxz+PBlMEWRrDaSw+NvcVKmKHn9PSeSapitdo4jVuY4 3bOAaa+xZKXVwsj09J1qcwPYqWhX6+1iFsdsiOB0qLa95nUJQ7E/m64mWUqlWipO4uoLOL/fTaQ5 qFEEG+Xv11eCMth1GMFdYuVowU0cL62Z3d0FSDiQsq3lstDrASBFvNocnoKXwTgE+OkToz+H3O7s xmOT3Opa2a6qcUlk/b+i9eMJZo7F/6uZg0aJL27tI7a69yiUyV+75QZVElUIMm9Kk4Vil0z3b2le RREotQN/C0gaDmUzNe7bw+A1NX5L6ljBpDI+i3ZNeHK3TKn2aRpgw/9aKmSD/Lr5KN68Sdn7YaaY HIw2RJVGfRU188Kn0v6vRMHtmSYWo2NTkXo0roKC9zTNqkUmXezulWKBeJKim8fOv7FgavZ919Xv 0VfhcHF1kw/cVQI+r+RQ5Nz+7qGPNx0FvWFzJA3e3h+g35DN879Knyy7phALS9aZ5xuq+nJxwSzg HXZyOpfWuXvtD9t5ljFQj1UTC0opNqdtirZ9ErkbjITNyDTrj7WWpYTFuO9f+x1LnChw8b2DkDyP c66ne2knltXXTONUo9JAo7oS7uw5CqWC79N2oKpzM9I2MWPyo8QFbdtoiLaknL6nI8rmKiZ8mpXh l0Eb0E+2mWA27UaQR6EKjCG8A38EP4CInLwlClKvTz5sdEoYvBLmzC/K/PV4jxtqH8sdiVyZaAWm 50DPP80fn0C5jXh4E/H/+ciMF6YkO7iKNQzJIKxDERXomNk+Xo6wjumzwneXY63LXtMEhaRtXTX4 oc64JCKfE1gOo2hITmtsux44WX2XIha0L56b9dv06nvDZh9nNqGb9rgG9YF7iqlUDb16ZfRmcdTc i35LRBSLg1IjtATJLfbKIrfhBBgvl5uBilKLkxiABiaDD/wE+WWF6IxMX15zG6AY6wDQpjArkMBU vgTUGtB033fFNZTekSJHC0CPY5XtSLYJn3LKB+ZHMGg76JnRP0vNO1Yen7wrUJ9vjgIWz/47GImT IFf90FIgh6/0wmYoQ9LsOcjiQa3JcBdvscSg80UYwgyMq7T8VhfOvsa+vnIAguh5aitL1GX1nNb1 0K/Ug2hBLq6rUd23Uq1n4qtDMy/QXmCMKAl+0d2ag3g4FxiHyrM9QjVSLwuvVVGyt8bsyHY6QU4g FfC0BbRO0EzduOsMhQCIDU6iNhZ4RNO2yiSx/5whvoqR2pX4njNOk0Y3ahb8TF/HmFXbxYv/ofzp qqVyBGU8h4oCTG1bTKcAjoD+lduCiqJ0lx7C47qhXRJuIfZNwQOhxE29mV0GUT0iGz0GcXmiG8vy 32L+x2nnVhQPaxBnFYHLMn5D5vNEp9s6Ljks4YFa302Ya4xA5jdhkA3MIbzN5GcaV4bSQNW3YEFK hmDPRmASA1+9j3Lkfkl53memxs+O73NqEVrtEtnmBHIOlFzOgsZF3TF3znqec/kLpcdahwtVczM8 KgrwNLa9fpuobS+Guq3GafNVCymFWaBYt/mTfWoDX9V64rkdpEwnswz6FljmzCMBqFuI+nQbge2c HQ5ornj6vIVeZmABbpoRxJADjcJPp8UCoe8TvHBx/TJ2YtUijXhRbbxW7ot/xUXCFO+k/o13j1Hr gLShaq4KWhdywInIqg1E3JQc1qEHYFH7toOUAvvfaawYoPvjEAo6m2U6jYuH9gMS7MWuzdvPelQM pMbs4ce41oc3SEOKy880F7NMZFKAl6wOQLxGvJBYellq1xaXVEkTco34FomV8fMVBC7YreWubxVc r+KGGRDlkz9vbOZDMYlr4UBSM6/qCGqCPIpbRTYVuNUGrPOb7MrVNxzkyV/6eMNPocdTkXwGPIUE DZ/gs+5iOK2/Oyko5FqqqlD/1qTls1si4I9Fb4MCDRyGneuAm72zwBaxaC2udeVH3qAsS3u5XSsb IBYb74gfyE5wBWiSnafaqJtvWZAPAOMQejZ6GgofbmEI2rZNIOu8VJBb7nKaMn3bTXfjk3KreZbB YhWFHovjyTYpCYQZo9pG7QoBgcDP23zmElY/K1YbNqGCL6ynoJnKaTqdKH9+FdVx4CDiZDZAy0Qv /W8X/mEFtHzvhEr2FSRrBCbf8gJjtBdkb1GChEPVQcJxFg4LLrd9We1biNS2e/li3XfAY7+pi+ca c3kq61PhBwoG33Fp7tQYMusHAK4JgT48gAbeS0l7fZLAHz5TJfsVVbcd8ZiaVT2hVEzKSwT13sGH 0FFkRDEeQM8zmuYm2WffKPUKIS9DmXsoieCwQwVYmf2cSak+SyuedybILTDHnDDUHIQzDy4U7EBD ZcGPGw2E06h/VplpEHCmumN6JMi2LM0Xc/qQlXFH/7QJdJPyizMj3FkW4ohY+u4Ltm7cDgsaEdct eFMN5Ls4vL+9NJzl1qZrIZwhPfK1kZQwLjoojyjj0X61y+93nFqP5D/ZmF91iCJUr6l6BeDw3Oi4 WF6TTFkg4A7mR6dNSoza+HQUpxEQHdD1ZHIvAoTC/y4dMexr/dXpdshSZOjIHxRbvJe9phqrRqfx zvuqOrnQaUvtgJr8wOIFkhTUikDruaLq4fKybqLEqgEV0XIlJWnJ/bcC9C1/sr+mHtgA1xLKR84P 6WQboUAenPASZmCk38YVmwBFiIMXi1iA3S7r9cpKzEHfA9gswaJ9H1P2rBvW4edOJQYDzGyebZuE SYorvAAgTGPDajeDlD/Mhiu6taJgpL5RfFlr2HzSTi0F06ge2/4Q376GycFivS7L+BYz5IXTS1TJ mhtQsVF6Vnx1S7aelGdTUaDSzBonhs/KsuJh5LQWYHYqFujmeXu9hbHs+NeXxPbmkD/Lwb02b5w+ YRhd2hPiitDnFAtqv7vvRg+wi+Xer/OII9VFMhdXq7kgJhbUFp/aj5aQn8BkYKP23VJMqU2tsapD yDZqPyAOXa0uT/48MqeW++vzaFuGHlcG48w+1qbqeGLT/1w5Np60NS06wH/KsZSzXdW8/NKD8zOW o6G0cYlBn80aTd8EBgBbD1xW6ndrpsqR6T27WfyQdeotjPxTlMj2yRO9jPd1ifnHI7Kdc40Vafl5 KG/lIOR1a0+OzLQAj39cdv94CWJsQ1k0VTpnC8/4zQI71e0YB8jxAoVOFyoB7Y3eO2zs0kNJdaO7 021/D2MkuiorwgoEcgULh5cvtO4uKWUL1YSE0OfiSx1gzDHccY81IUys6eiXRGil3HJX7BJUFsHy p45lGBWdZgPcW2D7GqeLhKA04f1Yi/+1kduULcjcOdsapwhZ8kKSkv8+OaYdc+lX8CprSQH7sSaF Cmmim35Hg9TEFnGC2M0RvBAnmezwzr/4oZ9kbc1hNBQr26vHHKfPddHRONY7mOsOnirDsGGE1go6 syxP56QgkzU7cd92wqwza09d4RoaZYAAknqTqR5T9VS4J4LYAC3JvaSxuojOZmdQXhR4vx/55iIF cCx79EY2MU1eSAzDAfhJ35kgYUqyRLi5PtW21EQ1W2bK2nS460eIWJnjx0WoSmZWphrudw2jACxT vE+VXtUEsxCcEXsaDCi3Xyqwu3POSQhOXn+HZDx/EYIKtEy02ufZCeVD47kdqnLSczXfDLI9YsGI ThXriAPEczTstyvHsSYiaWZYp518V5TXijcxTDtAKojCSuW+zMDrw7Nv7XlTKtw9ZW5+Mdc6or59 NTsLj5l+hHclojirzUSakS+aQXTM5BTyIjIB8mGLhWRv3+X+dhE5FD9uEUeY/LsVysk+5fKBK+Oy Ue617WNiwMSDyEmzmaquvQnqUgqFDmg/OfFClprs0v9o1/apND8OrRH68A6PcjY6KozU98URV3bn b35ib1GXa4bK4WttXkzm3GtN62Jko7r8/M1+BMzz1KbdgQgBoJbGWBCuZ45F2fE8M0GABDnNYsVK uqg6YvWRlclM8tT2e8Or1QFfZ7AXUskUTeRXXPeKpG4lqaErxYgRpepy+Ozxz5YiyqpVdAQfYWuj hxE4E+Nni36jBSxkR1mTjP66/hSK6Rtd7c2xPzOyXWQ5p20a4cvY58B7u73dj3sUxEfeFpPcXbdX JGFI3IJLU/t/cIWqOK/B9ePwuw9Ub3LwMhmAvP6mSQzKIXNCAcM4DqRLrOpj6I+LBzOepvaiy/yB p6Jq6sXmAD8OrIsxdL2lmkPS9shO8w1OfxJKeYC4LMCoeUsnzLYl5Mpn/uo7bmVCjjCivd//f9kc /VeUdy05/LUPmgsOe8rDBuWBAHpFHRddR+GXyxsmKxjWNpYJuS40OAamDI1EqxcAWz1FKWOLc3XU k7YFbBH8aNFNmFv5CefYVDJ4TkW2f0YB7H0oSVI4hDCTzrdP2V9Jhf3OqHYpo1HIT2BG021fLM4q fQ1z9f1daf6d5HpYw58gjyURXwKtvn7GZsa9ZBj9sKhaPoTEu8w3DgGsTBnhrvZDVklK8QUpxLrW UA+zNUevArF0mdyv3btWxZf4c8XivHTW8mDixMi/HquQ2+kVEBEhzmjDR/NOEBzunB3ERMRbJFoB WWOUOfvNSYaU6S8/R2lNMU2zMJVVaYGad5AgmD80pbLOT8ld5nRH2WJrKhHSzT3r21qJguE6/dkq AAZ7nwPIx3SzVHUrF4oIESf7FWN8S4fki3S8f5tnnGiwsrHIeRJI+uQ9pf4PbQLfdVszr1OZIgNg sThROqhWXXFZ9TWVW1jRrreSNjr53dDIPeybitB3PnI7jexpXNeK6C5xg0uKLczeMp5NzvyaGLpm UqbOzwfIyl8TswoYv7xYKhDsU+gwzP1BfBI6FHjx4ptK+LXUMjTnbRVGJLcN9UKho8fN6OshZcTn zRYToKCxu8WAIxsrWrnBL5FvOJeM7Bz40AFpNZ9Yh+HdJCX6G4rP5zHk5jbmkKIBS9fCXpIQ63Us KXIqlROLpRSBZ8625tiro/lXofhKqGu+Aq2YVXADRNa4fgKoN/EtSYq89zzxxfcmWW08XJztrz4y cR/JS/FqmL+jmgDV+xpiFFbm2UtYU+JYG0JXO2Gegma6MxXHV7D0ucf5pyvWxEDyvBlmUu51ChsS SlfeorsEswomNvFNwE23eyq0Kc/wHR/wHjcJGHsqhlwk75nY6Y8YuRLIFD1xpU54ZkUHs7pRd6MV +T+91Odn3kpe19iHXa1Ey2kU/jq1IYVdxykefuVHxMOAU9Fu2KCqApp+FxeabZ51rApNW3h+fF7t lRaZMb8dsp/KJfiLZpeAuTU4HROmoqUhuExio83PALAz+8r1QfnKsLRRJ3Nt9aQ5OQrlMO7Hrdiw RnWq1bN/kxNi+GlM7BsTNzzaDTAxENtwrFDK9KbtpJgwCgvSycGFt5R7fOwfYcWTnTFEcnjJD5n4 syjQC2p6DVePDYarUC+orHC79ZABRjcC+jg+tpRHjxH3b+ENE0TjeGfqPZfapsoc64q4PkYhl8Oy G46MSromr2rHvzm727IuuYEenwHihz0G+eBSIGs1zaIzSsXixmAWpiCWk+nwZ4wEM6TQj0zSrQ4/ hRdUWKGUr18TjezpTgSnDfYn4Gb4SQBm0ol/oMdTwPS7uqG5dtI2JRNiLTX+WjQNw2FrfWY0yMTn Ny4AK3CuIpQ1mJJ0zvMzGg== `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block GhhecwZsri7eEDNkktDtg9tDF8/XERrF7+pbF4VnOYhpIBuTzCUhfn7PSsj6MUTcMDRw52zOJcCy N8/XzL3bTA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block lPQdp3eIOpUGeUKL2T/e0Y7MITYnjx8RGnlxPSjyiXf6k8w6TD+1mXHTp+w9ZGwya0k54UsFjq2c b7mCtKI1MvkNowNhjy2tyrl6rQb3zg2yAadtG1+y5lH9qyybxfL1hjboO88jjlmZDC3e4Lw/hXwP M0nXDdjbIIyF0VS+mxc= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block kcl/A0VtSOh11lXU1o1UtAkxAYqg//TsMTantQLvE5BJurUM6qnFbbxHayIxCxxhLe/bx3UspWhO F8kNOWtXZ6aBCKZuGceY5r5tOOPeppTNE756Q2deiquBJSN/b5LhcLJW98SOepBMhVCoCLbjOgjy 3In5lHKDhewS8sNdMJaJ+zA5E+dtre0LUYbUPurhwNeRanvGYi0g8Ym/ZZXr4AO0Fvz5MRs418/e urGv4eMYAHbMVWPOWsnVXdaYubfO2zp1gJrfFNFXt8EXx7QBVswWYOGbx9TQvFj7nzUpObLGBGdv X4PiWwpFpkcLUUiI0OGvpirM2j51262j1IYz8A== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block UFG7Gj0+WaNHvR18Ee2LKzsgJq6LAZROZ3PfAcGm7+EArskD8uw9xhrnxpqiTfTFMILEFkujG+5K kl1oCajKfbEY4C+mbPhQn0vDNo5//uULZqlJGTnuTV26hyJa1LMh+aOvlmzoWFcTXhbic509A7hv XZm9ybgXFUwHgquXmzU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block kv2MinRVgUM6m2rVCLIVtkt6n6vQRYZGlMMKGMx3XfKgrjebmjS/5NYy2l+FRlUdWuC7pPetf5MJ 44UDAfwU3N1DLSeWXVU2h5BoLHWVpmGNyigqb8gNOFMgsJd++Az83tC+Dxe6loTJxqNP+sF54mok SwQpvMiy+KwAgaxaMiAY5OVLLCYvNb+ndQwq52W2k0STlYvqETrUJY66scflhX5fmtE9s4LGsIwC F7Eu43yA7MPSb3WamaltUEkZLj0ddNf8H1on+C5A48/OyRurvp5EeTvAAg5VvtKeJFgOk9rlLxvv vvlLAoG2lzbOMpWuMr/TW5nI10nrb6PcZBVcmw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 14608) `protect data_block Jdd4Zd7GCwUVtduVV0FtaCydBI5ZXJE+Hh37O6i/IfgiKANN3sbAmVkgrvBW+B9uRucQgHPFYlSG UDd+tEwvLR1rDFgPhjlRDM94osMNr5OkE3Kmq9VrERF3p4yun1rupnov3MfCTxbMO5lSb7DRezjT TxpeA1cdgHknxnhEoXr9HhwBtfSMU4CL73Se1BLUeb12KvITwJzpyFpPJX94o7cAUrVf+X7P8RpN 96dDusWG5laQCA9bAV9yFQkbFtco0NwcxDINOZ4DyT6d92TOQN3dRCME7S4FKChjWLQDxgv/G/z+ sE813HiGJhhxjyFDEbGAtRWkhLfHPLoBVlBGHGoAAqhcHXQ3fD67QrvTKDvzbQiG5Wd3+1GhYtu8 4RKW2Xxa42cm6ptrWgEKNRKyb09UyAfedLWWKUxJSIdLYhwmWZNvlXaqJ+k/ImnHx+UIRiU3ROoK bBjRgGyzwchQaV0SAFwXCDzoEZ0ehJM7cTTW3MLSpL4fjRNLduvDzhTc3IXbSUe4JbVFRvbRMCPD kcfLoKe40276R3trEvu1qs6qeRRUmQTnB756fJ1BtvQlx1fIT+YaJ3W6hkuUlmjx8tCgXGERS1MR pAR+Y05BgaiWB42SlWac1zRT4WdUq6nIlJQ+SdpWe6Ago8RtFCGVhOcc2I2Zy80IY0n5j7Dx2rlj lOKdP4x17k7efjJc8Wp4R7Gg5rIvA01sXozUDkkhDuXbN+QXQj52+zwx/NoqJGHfmyNheTU1xWFA vOg2CMwT19OhjyXpmiAd2pJuDTqpkmjpKOrdHjkneq24jvRKfGmciOztfepscGN8DfsrBe2mB1OD LszUQtZL+sB5NNcbo4hcLwfW0TxQru6VTzlyaMvkDdsR/SC66LltSAKbY50QIyTLYcxAKVGmjarx /9Mc5emE4eNY3RFACYU7VT6fs4/xDcxC2YlgEPddefxT/vkmNDgk++yAfj4hFVpIDtn2AdzxhE/2 adPcy/8HYHSmhhxDM8fgECh8hGfdTLxd961CdaQaPLL3Dy/Hb6QiNSCKLcui70c6AqnNbmC+XRTC hCku0dsxlYfYE0Fz7PB+pqBenHZV7uRyhZwjJBWXVK+wT4QN3ACfPOOk61fLbn148BXYKsgbJUfS vcrN0gBRrt2Rirhzj5aaHwqV4p65xfCl4S4NXmQ+7fsW0p44Wn++0SWXGPOzfaw7P9buSU/7t4uN aNmDx4cmO9GYLQDsugO93K0mXun6e1BoyZhgN8WXDQndRJFqz8KmTTUtzMtCrzbmYqpIeITtZruO EILnocMktmBJ16y/E17/ywhnAKdG2nEV8mT/h1gxiPlh1SXxtNaBz55wlkr8MoA1TsmU6URm5EHK tv+USOvIm0dgF3LdU31qdVJCGeyXB77a7UX8NPFRMBTvog3dyL+GTk0fakCKnvF3bbD19A1UEStN tQCIESnHkBsN4v/eqIwyLqKh5KnTxGu8dfrjvk+FM6lkTj3tX7tq5gykePbDI6U/JpwBUtBdoYx/ 7L5NepQ0q5Qq+LIcBgM13Y8vLjtRV4GdPX9/MvcgIQCSCrqKijf2fC4GB0a2LIC/hNhbA2dFbZid 61KhIm4/xsFxlJtpxSGPJ24fF+IM5r95OY0JwIwiAF6FVuZ+yAQqCVt110Ec+SUdz4/Hmvwzztwk OSnsk6IjI5ybRQwfdkYnief6E2M6cukHmQwkPCawAP2Vi/ft3BcdHlSSnXiQ1rTd+sonQKvhRqXG zRfhCaxes+kFILgWG/AupJpYqMCI9YtKPIPui42JS/ZDE0wDK8sOAcXhyDcgVUG4DlgGmPvC480Y Rwe1n2sCf2HF2TKDL2O18E2tla+C4pIrWeQeqbbmLv79t1+wg9faUelplo8ILij+8/EtFMxW+FJS j6d5JiIibvhuAw3Yw0P8Phqkd3WmFNhXG7cugolcQuPH8KWPgaJlZU2UgLpW+MtIIuYz9gDs3gX8 2Fm0Q7kyqa6bwieFu1asUikLl3X2KzpMMNkBZW0k7aL++N4VpZS4UAlXkW37u1Hfelg6lF0FzhsV xiZqyfHkXNDmepEVkVbIm1zWVJPqXfqavI2pGUL7ygPNQVhmHkS9TIGcusKXhYIYDJM2sehqsm8I QxyZ6QRHUtZZJWH1Q6RhNQYTd4KLWjbhZvdkERbP2x9hiiXdu1ZBxwLaZWQ2YhckIAfF+fxBNuWp PUV0plrxlwnnOC3dXM08fpcLhVqQG4h9I05Vyl9W5uuaTJ69H4Fgp3lk4irzTmLzwDqREdF/88gr S7ZTKPltLWSofOd29g/v08pLYtIw7J585kb/c/4vBtLks6IC1qEbbYr7gxbz6XF+LGL4YO+2w4EW NqdKTtE0g5AFQxbW8E/gHipEDD385xKOwXQhSyQ3MkMqCITOXaUN80w7Hnzp8UPKptGnq51r8Nx7 Pm0n9iXAbjFjYvaCFibkjoxsoOLAWGwJ3mlXJa8w6V1URAhUQTm66ste81bICkxLMQo6fEJCPPPt Zqvlrlh0vjlsZSD1K6e+5+RGOrw4pG31IeSHFjum6sH/67vyKUefBk5EjFJMc9S4A3b31WAqEA/r FRB9zqgn8YHVcQOZDKmZQ+rrodDT5rrZxpdZUbg5qAmVcxd+Zk4JcMmhzGpLFmCS1ux29Ml71+uG dXAcGj9x+IDfE8On4XsKZAYFt3+gHGbzIefvt0x7v8Ki/w/bRCKS7vpWftjgGYFxALwRXjxtf0WX pXtTmcTjsZPAV7dbLvnxC0muE4YF8N2sO7zSrx13xeUGqcKhD6elfqOHQzDvv39H5qEnqQDtTu5t QHx0/bj632oVEDObdsW2EZoOXOivHHY6mYozZp8bPKVNmzTsMPwdeb4MpBDYnsnhZABrW9uk6XBD I99APL2a3dxDrf80YFWQhaE0CuQOVpRDkEgWMU5hZtVX3xmQmxQG5A8Hg0nAhMfL05q2egzE3Srr exZqVp54TL8n5cxpn2TAqQQrW0ENX6XgCdyC/0hxU6Fln4GocL0ATmoSU9C/GSZ/LkkuEl+XI0jb 2x9l7Ta6PvDEW430+rSXces5Q7Am/I4k0O/AYihABg912Tniz9hW+kCh9LT8q8Qd7Vr6LvU9MwTR ENChmTmGW0R+F1Jm83wVqOoFC+9FgeplUWg81N4FxvHw9EOlgRuH/2mIkjoN6I7zbWx0sq4fM1ax evhE0D86gPoLKB7v5mrd+GIK9BMbrtadUE9HCxHmwl3kz4vb/V/MZz/RTni//3XJassbTgpTfX8/ 7N8Er9rxR0qc5nNAplgsg51g8FfVP5VVnw1rIJ2S65irpVhrPA1vvfStL9+tluu/3b8CnHzLCI2k Yf5bh6Bz4VGUK8J5WSMV9UQeEytOG9XftnQyTpdY9q458vcN1o3zDYDc9as5UMQj2OIC9/fjorE4 GhdqHFfuA8K54FgcZvCYQIvZXB1FMAMJT2uZcHaqNQ+acWMB4KpzBSAyVWZ0WaZcM3ALb4dkAqFm 59HMg3Jb/1Aar0wZNn490yzNGMzRtpITopn2aAs+C+prl52MRtfNpJqz7sFcINzTQVzMm5c9Pu5f IT294XLjn3hlMo4VHtYSkSHK+Hhk6Cn5AlpftlniIjZ7jsdlEOAJxI30t2qm0guYDRo6G27IB9rb zciGQ7hkx9x0YlTWnOCbbu1TD/gVFQ3mX4pXqQzWliaXgTQD9Kr5/JQ1X2MqntAkB7p7VbSBXSrR 6/y7lx/z3glVaDhKVJyXJrT1cU4GzQHaN12N9sjRoxVHGhZ9hGz6sGylVU49NYUu1boSqHuMwPSg Oi2Rn5f4gYKc4+LSXbRXwa2hiaJf0wGfb0/a2sQJbthCqcT3iGDcp0TNE2G9QnEUxdI7LiO63wvb HZXtHexF8Mw/ghxwG167V7Tbu26B66cy8gmxCrL6wRKWONnGzW7CtI6NcaiNCJQJpFbQ0FUe+zBR 9DEDoSdVvt6OSEGlkn46nEuwy16EF5WfAkLT6iB4I9E1zkmV3QxIhbnxm0VZ7a9pY9FmepI+E88n KoRvA/Zg9/LOSd0YPIWKjL0IShu6Ye8+NpfUeLfDEzdmfrpOo1DB5/kFBEVTHDumVuj+uhuJif+B K1NEm/nYMiknF6Fvgu+GHgwlV8+VT2qHqLEugdK570GcSkxCuUuZQ4pRKYbuDUUhw3rzfRQx+AXw gVxD0bn3yJGJI7kkRC1WYIy1jYzCIRFWyK3oezr2t6rgSfE1EFY4zXDO67RLFLaSlpB8HmmSM+7P z+eKAGVKcrJar5xXG6S6vWUXT6U55xTKnELXlbyErSbCTy29seJlzszV9We7nHILi3fMCvhwjeFP O14szSWF2YKaCOyD7vDFpKq18emqVyyhObB58s4q4uA5WlE3KQidQMTfhBOxt3SL97vzn9RDNmIF NftDQcduPXIvyVuD/T0X5BStCyUCxZjzvm00fe2QMJjmIk90eZ3CcCmYtoLvjTbltXlN2ekHQ6ki Sfglm92bKsHVNra0O5DOOcqlZerbjJ/6sGN+QE6jkyHU6nAo2ByF0Cwh3w1z004NY91ikmtkUZ8s EpdZWhCucgYPNiz5GAGQuCm0UL0s01/SbKK6Tau2HfO++1EifMyEjUb0V4642frdgctISDHkuRv2 s3L744kXz85dagcr2PmuQv4QFcBoB1uXaHIyC0S0srR/gPDr96BsZ8igaedwGpnC2yIoWNabezoJ dQNEPwaYsWDtCBKZEF7x6JDBxQGTNgXLjTSLgWr1DT1vHwmm7TCNOUAWlFu2GGi8MLRePYkYvRfq UkKDb2olLEKp2g5BGLVz5tcOtr/aSZ9wgG91yVXr1sIRA2711UOuoeIPC+pYBtxr0HM8Hco3a6mC WxiPY+EWBD5/UYx8Ivg9pKxuP4yxB9NDGvjPyAc3azlsty9YwJiTGfOooTKYUFyl/slNDWN5aYTv 7eM7DZLE6Thn6sH7ya8l2yTRgy8GYKe8qwrW7+l3wQXrIUtDUPVrdXfrjWznSS+t7UILMYj7DIFF lc8QB8d41BDEi4IGX0Cd4i1gz4ixwDSDvJfocEV+hVHnDL3XZPFljXxi5PYWXEDiLyeCxPygTwQw 82srSLln+h2MJ9sV4Sc9xhB5bkK3lVXMkjtIOAwkao4ERRgzQkdW9RprJhE3H1jfpBh8ypDDcSD+ WTalPvZujeI2BikxC12clw7yWAgBu9DhuohL5WH7p0I4zRYEQeiP4SbEVaIEaExVlCHFpeLfOVe0 QOh8V54n5YOg8gavgZ3Jk7Z+QTNWlw/0leBfjv/+4ctNJrj1ZRgsAF9L27+aowCF6n2nJ73GCYq8 vwHVe3cRYsKiMzJyOnSvNv9b93GRo2aVFaG84V20mw1IvYhPOjXHUEbhlzNWFSDuuG/ZpbE4HDPJ C4LfvKj2rEaSkfgkmZA5BcRDT1l1JdLz6KVsscgzeTUaVYyTbnlvK/rICOS1f0EuqgeQX+Z9tvOU 8NBeUgiBXvBIO4Q8Rhz2lKbHqrKsUQrpvtNd2BAmNJsbqcLJY8hQ+gMGUsGyQocd11fw8BXtmxMu UlnYTftpJFUfnCwnsjgRQmgBaSpaTf0a0cM5qS54pNW6np0e7U0j0PG2uWU4r73nQtojjgWvTgNI 6v3gQyp5bLHw+fZPoNTkLdQ7OSL72U4GHVy2LLbkIv3SAx7QDAND5+LOj+EgFkbEXmVfdn5fZ7dx nzcFiPfae5KJX1FtUjU8nrn0hMt4hPXJzvGRl0+UT/hLRDcNNApt3D32AvNmljc8aUrOc0SYBa/x d+weNsn2qyzjnIV0B5pp/7tTb5Gh1e/EO5rWM710RhvF5eBAmUrX3OAB6N7MpAeu0UnypIhCH/fK ScQQPm0bwp8kuAY2UHvhmkDE5J1jxg73aQIZWsphSw/w3wwwC1gdUoZzKHQ7fhPwh2FWV1DMRoXl MkrO43HMBX+PsKj4A69qZVChofJ5QrXOI5esJw4ldVbYo9C8hjSQA8fjv7KIXn6ZaNeUFP1LSS5G Tb/bLNRh+hhOcj3qFkZ6k4ozQcMMnwfMgs3BMZyEYgAg1qQGRdiJCiWv3yfCDVgZ7QlJrfOkLVOe XbrEot0CnRD/PGD6gLJigUgGh5/TbmZ2Wp3D6z1AX0SeI94ado4q4n0FWwvAycP5RgRVB7wZdJkU D99g10+ej3+V1Ax9yNZ6hlkNDMrn7gY6//HIUDkZzwswQzr5H6DJAz1GjnJzkH5SNagrFOxPGyq/ jDDqKZuJXfc5c39zLdk9Dsds9bzwNxeVYIDundKqg3glfjTZdODzUAdAi6TH6a/zVMKN242he5VS 1GSDVoaLjV8zrQhONjD2c4zB6coQsNN+8zi7n1eqNbfOtEjSOpEbLzdG1giH4JKy0B5KNf7XZ3Y9 ib2JuUWmgFAONPHh/oCLtO1uHOJrgLmnaWRZEEElRR5aTcz/GtN1wJd2mRzeGR5fT6S6hqsxsLMs lu0CBRZ00yTAdDIwRNrL6/4hYpHMLyiyZ1HyqNOI9hPOCwYFkcKnnUKAnyLyPPxpvurqvzLV64Rm anCWyEWHomDZmn+Er/UTp1c98eS5kePlK6ybjBjKjb0olBoTJgEc+adwlTDA5P03uLu7NirXBrYs P/WRzGyPZPCCrcDODgiFPf2q8a1ul8Yg7xak1wLxLYiPU+r2TPEtjFz7Gk2bg3vllZ85Wh1SG7TD 4oKaH5rFdqNAxfoQK1VSAly/TYPQUNi3hl6NIqtsrrpe4VbDIY87jqgLSDM88HljtLq+LryOIOhI wuvH3M0pCDX1a8xWT3XCKZ/LT6iQpNvBrOS76o8/L044QuhchzBYj2d2kYgcsMEnNefK85VfwmyL HBGliQDn2PhNMkBzlmvaidRnlgMVT/ry+WAodLjiAi9A3WysTwtLSIpYY2aUuIUZh+QxsKqkL+yS KBvj13rMnRSieo1yISiTselhQK0E2ibuxwNoEuPdcpL9onbqj09k7PiLdus+Jj7VHIbMzEOZ7UrA FwpqZ3hpwb+EGaZU2codX3ex6I1C5X1fM9BpeG8mtE0DtxKFb2jtKgMJNShLo0nvo6RxfP5tZsYC a+DzecLqmiU81O+hafWsfFXfwsjjZGVjdkk7GaxRbcTlQVzxWwrhY770zFTufCVfa9y8x/c+w1+f RlFnNYCesDrtP+jp1scy2m2Z3eDu2EOOxJQ3+qgIq8VZ/l+4E5jMUnpWYT/Mfjcpi8Y0ErYeGUZr 0c4T9hGI93DFHx7KONsoDqJw/DJ1kDHdYg8bQ/0v1XRu13KGpwlH7L70naaPYNWdta+VfTY32VYs E0uIgWvHNNXHp+K3ngkI+64lFaeqPO6r/G48EddHl3XrsWvzRTV4/VG1zLnQMAPaC9DNJnV1p7YN vdr3sTjTLwniEd8asmsebC4Tyj+Bh4OQeIzew5FHMvv1kAxwh3oPBu/MArZ0TgWuZ71R/bMfNmeg uD8W7O7JIDl/ZhdFwYy65y5WGP3LAhyZoeBgcDbUtptW2nBYI2OLcoPEvl9UQYs5jOxiUmDfxCvk ijpuMkcLOdNm0rmobIM5o7OoeEZWcIYDFrZmoZuLA0s66x9MyaswDVu4YwVsygQmVujyKoPHf8I4 Qo2r/+27GOo2ql6goWRqvkQesS60kBmiyrXN1uL2i85mSx1cMRAOq0H8CWUVe0fVi2P0wbYwB/82 yy3B6ahqmpn+Snk6ZOTFJ66GOLAfceZ8S0nh5gK/KmsNCl+n0B8nnxBtiCeeG853/OrbPrXLs/zm /w4wjGvdWf43Rx6umwEGaDoNtyxgZvyAGrKcTrzFllxbw/s72apBY52b0P2akFGT8dwCJDERoy0X FauETIh6bU5BiFxzQg0v/fOSJxSlrRhBUNoAteb53xH+EFfVIXGY2oh+TMfa5KcA3KIWV33+kLy3 c0KhWmfc7r/aVaJ1UhYVNXq1ExupN622gf1e0ztYCILtCqPO7/mTWXgZuePtkj2JMdo3bWAgse2i MyeJy01xqgtZXiNCvYJ/S/o9hnzt6iBWy7OOBoa1USg+WRHm//4/BYXTPHVEN5Ja3znlBZot3W0H 65n2E9d9dt96HE7PUa/oh135zEr5m38ulCZckrHg7qp+h79Vf+8gSgG+DwrnEeMToTIlcQMASVXB SV281bQPd/b04kNhG/5h26YN8FnPB5tmwEHF/RrTenKzHAzqVKMK2QT09Z11hl6Y91qb0enc9GyZ BIWyNnMhlfheFH3RuHmQf0L+WGL9qGdimddQKkWhv+sc9QTrjnt8vkHIX03FXLg6cy9YCO7rduJ6 NIvk+yY502WQon8L1UBHNcOTVEnl2owuQciQ3v2Ul1YAY9VKMfCwO09LNP54p0V3mAMOZlsD2lwv rM4tgeqXyRQn4yVJSmYNocPb/FWyVwY17hIiyWLWKpP4lAgKT0Mgy5c4eX+TaoroAXWtiMeScu9q uZftp1Uo4bJPno42iJLRmyPFnrqN6MRBcVU33huOm3Ebsa2EmoTqNv/OcKzJX40NwCHfOg5a8RpU +riSYpvD2zS0NMn2HZWEHaYkccim64BF+v3U8rEC5oBfx8FMhoRky9ctJjtYJv7XRiOuLVFb2Lrg nr1j/uvGWbCunBsONTKAMijDX7Fs+n70tGicbTl6hyLfWBb6usKHiAXArx9fNI+nnGHlQ+u9gUz4 kJizuOvJwFgUOl04WpYe6DfHjXuMjMrADobq6rZQGYOkJ+38LdeMSPXIxdDQxW+1uVlZUw4PbT/3 Hufbt6us/Y//Vyaux6RW/i3V6mC3hSzA0oGaqCiIo+ydA4R7yQt3lm/boTbQwD5BrpIl6/2YqIkr rLyisSEX3hqa6BypeGYgMd7kHEXQ2APqSFjMvL1f/pqCDigGfMTQU5HKTLt8OcjDCGf2G4J7VDg4 uYMVVNEMYwrFSRCFrcVHRxkXMoJ20QuuM+hiL2oeiVIs34p0Ix491mD1Xb2Z2eDm0Yir04Kkgav1 /DIv5LZFK4hOxcmwGiRfDUt8Kyos7Tst0eDZa7+yyEhC/xDu6ivcCcstH3gQnSvft26Qv3DLp/FG L8UhYfAmlGRdKbYpjrmIiz/jX6iawp3psxa7vmHd5xSyIDmeBPV1He+dJ2dEptUnSc6z4c1/HyA+ tTbvHzETKEhOJXKBUiG80pPx1AmgwHHHY87omcExoMhulOUGomPP+ICyG3f/v04mg1W6cPB6v0Hj OoLNPOdvwfmaX3S7NvHaibH95s6hIbbmBaYxOzKg7Np7BZtd4fbfFepcwfPFvQ9l6gwpw7pSvDin 4K969OtJf07VGSvEeo0Ot9CVuW0v55HSv9jGFW36iIfjAEqsQ9bdbz4yW2R61ymsH9HfrEtEekJt ODHnFIDbWIHoOx3bhbxGNb6+tcX1B9HYSLtexWIvfmHxey5TbnCmV9YEWKzQL951Cm1LH1FqYgWc TmkpWEh5P4kjmYfC72aH406M+2UvcvvMU5wKb6OEmp5Xzo7NY/0kEkgzcSYzxCp0K9QPrrOzQyAO rve9jX4vjcx/vT8krGoYBYtTzIamAHQgxvdNVP29IPEXoBDv+qdZnUDs4I6mwevqVXCEvrF5D6o/ hNG8cyB0U3ZF3dHFtpapghXL5hz27nSAcKNxAeRcU979g1o6e0L0tKrBMTdnyjW8GcEuJs6+fwGv +8cBpkjnuMj/BXVO3ASBpIUjGr7YpKIKUvvQpjmMRvTMgNkzb9k9apDNgs1qkma+cWn4jKUDkGmN GHBMdEEjWI8lCtFW8VIl9lm+Q4twzGumX39TIuZxnQ4wmVYrV8tP8+HTpGpQUsSCGSJNjeTyT61F rfORBjoPGuLG7mJACJBFYqB9yJa6PEfGjIWgnvPYQ2verAw+LSR5sVOW8LDYBCI79JxGt9VQIKSl OSLlBdhQL9sef0TdUvWbgOM/z5DaPq7mKaDoCdcI2xhZgUOU5L/Wm3ZlCM6LUNrxBWYvLVJbCip7 V0wddcvHJ4KRhcgtd3zzArvZA+6l26oj1XLDjhy/WfgDG6jDrK9d/OXlTxPO/FXAThM6Lr0CeBKN 0vKYYPaSZoM5dMh3E1IwjOAdm0LOxre5UxLzej/VIwr49SYeavbSPUOaSXkax+SpkKf8T31uKenw cs27NTHvfvX/7Ge6O8pRo5i2k3vgdz32TDT601QSHDC4X1HS5NDcTqmAJI0YETuj1ViTYJ5vKWQN vwXLNv047zjY3IaQbuWuh37J9YgxGsj8m8CpYm07gNfqSNaR8qZCu9AC8vaq6JoYaxU+LLAbQbuk rpd6juQBEyf2jfzDMWIL95ff1D73FCoKIGWt2kkI16Pr53LHFC/QgHQ8/oT0YAUQATAqa16FTAfb vUqTBUZg0sNGQWXW7FF19Den0EknKnF7ktgBHiX7nJYpe4MXS0cuObcCqdRmNqc/A4UJnduGVC1+ FfIYLYFgZco9fLQU27Y8xuC5taVRNt+MXiUy9tAzdd2JnrIs2TD7hUx+ZLC6/2CU7LlVU3AUH4Xq oZJ5d8tZoWsrFN/Tx5XKO7MvBBigj7Wt+WtismQVN5UX00/iI+nwaIalJ/TSM/LEZiBIIkoAJwxc KVaRWTfp+yaWk07ya06qQ28V3C6dl0rPySVxxRAYPfVzHpJpJPFmX+ygigl1UJXKtMJKd4q8Fqo8 W9dEXRDisrbN2et9OJ2scI8EXaKNSvMSl3sndegvOqgHJ5mE+6DPI4ie56b1KNU4EsbYBm7OI1cM OEPeWGT6kfOmlKKxVGDiWvVMqLh1366ydch/rH6B1AnBMYUxAhnGgU0DHcB6q4RVM0+mBkFEYVuV muYCkacX9rB2NVE2O9QU/xVIYuwWmIzigUO2PubO+2OW4lCqD+JEz1kDi1Xzj95FGNz4chJ5biVO TuIG5rg20/n1LX512qDFrnukc+JxsS9FmW5S1x8cHikyZfD4kghh0+aHEm5d5ioXJLFkc2mBCYDy NF7u4t3FhX0dOL5X0qamdlG4nhe6V1u8QpEepkVLpXEnunB2x18tG8Pl2FHHlmZIvseCJYSo1e0J VJcEvi8pgApU90RtFDkU5TOFKHIxgkM0zjOLDbsibRwzm25kbbGUTGjUGiK8Pd8vmR6dssS7mhco SQRIpaG/UPzoG2CQpN/mUL+AuGuaQhluDzv42SUyiI29n8e2rGXnB5GbF6Ojr7Cn/+tDkBXxtInB QFJ3EckQ356zYKTFzyfUlPT5bEk2bGmGSSF0EZfi13IThMtpahk6VHQ6iaTeGnvZbRHaBQKR+zQr Mho5H6dGeCqFV5o1ktuPYNxZoQqXU0TRgLzD9OECu5nq2m7qLfpgllFe2gLEu9KWF/d06o+HZ07T pMv3xtx/h4BX/oOMSfEQiVhsMsXVFeBhhLvkYzx1zF0slOBCJjTPWAtPwXodGDmnPAHKmaR/UfZo gKl6cBlgyGZkG0M7upWyDcSdvCjEk1BD3ZzQ1R6JUI7By4RB1g8y8JYnGTF62B4oExkOdH15f9RY P01KpoTjoJbnO2VTZpXSLRUzEdysLAHQ4N5yWhkyAyG5hff81dXuQ2hrEClhzaNYlebtacwklZs2 K2XJRzvLqrDc5J6YpKwxFkexXOyOOryDX7cjOOljOmsyWtms5EDOxa6tak4873LXQ+mFK1GAredr hqMzni+wkYTZ52TaDtxvFtV2RKWq4p5Hcmm+BunZJc/KnL4flLiJx/unKlwmDiu3TuaS6Z2Z0jel WHLENAGnSQ3YyEtr/60y0dEuckq/iKiYgRF6UI4nn0Q771G/JXgRED+EfoRVXZuGZdG19+3bZZC0 /sYM9nE3yMyl95pk7h8wkjWrgIVGPjKAlZv+5Z4cbJF58siPJA5JMduh0Kepq8fXSHFJrUzz1FMt i0Zw8Ods8mTI+CLtddqFDfolyQMB/Yz77j8Wdzf0BAiPQhP45SnTINE3+LZAYTxWsLoHwj/CuuCF uwavUKCwZ+xIwfC4I4M3/9TcVSuBjvl1xWQvVU1/wpBfVfloEOlFjJMStIoisiQWSdGIZiAUD/MW +Rz6/ccJrn/CobknZlHy7ItqwHR+SV+pb10v+6Q/F/LFr5iMvX4qHxxRdlBlk3GSYHLdFu7Gwiqf kd0cn80lqRrQTrWebn1SPe2v8mrWgh2hm13G428FBp44jkcaXLSvXVNcypQkazMVBIcQfmcucUJf ykVnEGhuhqePNSH+yqSlSyevuu4dHWj89kmqR4qo3IT7An/yE0NwzN5XzG6VPAtyaNCgR9xnswv9 A+ScSJZa/3JP14jqLis1LI3GMKUH44DQ0SEL4iQoV7Yr8ZmT2bCBlg704W6DGT1OwdbOKn5FrDVM 5MFvp8oy9ZwK8n2h8KDIzCZUYf5z/2kMLHvAvOgmzjy3FlBElQEGaRBNbgEx9iURk6bfRIhyEYd1 ojkzaLpiQoYfcCwal5FJlwABfB0wsEUZu3EQ4Jfdl4iub3ZH9fhW1QiF+QkERlZqvkuiG4ye+ChR NmNuu4RhVD1bLe/6G4LSnS2oFbwNfOSrWRR6lbnD2oClM2PzH/iXnPK07A8A1zK3OwnbO8f/G/5B h+8pBwv+khekOu6jUNc7vCFsUgjC9sFw5RkChTd1EGPYzirLHWlyOPqFCskTm6+MWkOcDtdUbHnn kAJTUIwkF84z6zeadXmVICX50h9upAOg85QNi8HBqorImlgt2t/IXJeD0T8w0A88eR53kS872j+E 21R5efPl9OevGEvuQC7xIf+y4wt5ATEAtNcdiYpZ5bUMalPtvnzdVR6uVXQWO9EFxWPYb0z9WOhW SOoVumfkmebIHKdffiH5whcJBeJ6vpkDCzYgI6bPjB1ToscNM7CQLWf9YOYTHrEH0bWgWRp9iXss mVBp0+Hb5bJ3uNlKy6Bem+LMImAn1J1v9Vwp85rOnLp1GzkNefwuhvtrMWMfST9YxPxW0OqZkuK5 Mc2b3QwKr/nP89WDUsJjHSIr3D3WGw8aCfCZhVITzG7DAJIjNIRiU3vnrWO030UHGFbmUT3Uc6K6 FbeiLJt6tnbh5JYrRJUgsW/Dtnezbg8nOf6tmqzfCc7Uewg77Ce7WJ6JkPyBe29ZDP/LsVbyRmdv Ar9lCVnwU8CRYEQpKLV7HdDt5xHHV22Z94CkWyUONCo5grbSDDEuFtfkMvUng6CliyvLANbSYgG3 600hdAHJ0Loc33NYU/jtWSXVctwXqsq4JWrK1o83z+BSbZvyin3mCDebkV5O/fquP9BBZlcrC/hj uTiAcCVbM/qcMaQGDAZqD2NJapP85DQQiG7Rsue3ChiWlQYNYZFbd/s4yvYEB4TxF0b5h+XojiZ2 ZXAU4slWW9zqYRtfyRi0dJ++6hrzhjOShs10YpGxReqk/F6y/W1mrMzuvTX07C0d43vxe9UYaRP3 jdPB42R/YMZWcyGHX7R809XmJSoDe6otsrjN7Ikv+a7t46SCLJOv7Z6NcTTZLjPLwguWW2gUeOCz QLhNV4tsSg7SRSjHpE1Hkh2L5COb1DpY6IczJaEtBby4nvyPFh78OwFsNQl3JxXTwFiPO6Z7VkOY nCjhU2mtvHk77cJGdT6DuJ0xsW1vI5E4ETX9D3HKfIvxlSHihNBeritoFulR1mrI2PjtZqxRY/lD WWb2NgitldKUbOqIxp6TBjLmm6kU4sHi0vpF4WAj70SV/03syj0oQITwhc1s43o2W3/37vFnNDaH a9f1pazNd2ZgpThVk5h7TxkwZvfhLAKnS272AqnCEk9omrBH4dhRB5RJ4hFH1o41R8PKsSiVZW9c G5F/ja4jA64rQEiYAox3dh6wD5y9mh0v3H4RgHH4cF4LhqdlyW3+fxBCjuE+BMVgATPWQ7be3kOh z6JhKbni4ssGOUK6t6VXUMfQZ/POP0xCyRxz+PBlMEWRrDaSw+NvcVKmKHn9PSeSapitdo4jVuY4 3bOAaa+xZKXVwsj09J1qcwPYqWhX6+1iFsdsiOB0qLa95nUJQ7E/m64mWUqlWipO4uoLOL/fTaQ5 qFEEG+Xv11eCMth1GMFdYuVowU0cL62Z3d0FSDiQsq3lstDrASBFvNocnoKXwTgE+OkToz+H3O7s xmOT3Opa2a6qcUlk/b+i9eMJZo7F/6uZg0aJL27tI7a69yiUyV+75QZVElUIMm9Kk4Vil0z3b2le RREotQN/C0gaDmUzNe7bw+A1NX5L6ljBpDI+i3ZNeHK3TKn2aRpgw/9aKmSD/Lr5KN68Sdn7YaaY HIw2RJVGfRU188Kn0v6vRMHtmSYWo2NTkXo0roKC9zTNqkUmXezulWKBeJKim8fOv7FgavZ919Xv 0VfhcHF1kw/cVQI+r+RQ5Nz+7qGPNx0FvWFzJA3e3h+g35DN879Knyy7phALS9aZ5xuq+nJxwSzg HXZyOpfWuXvtD9t5ljFQj1UTC0opNqdtirZ9ErkbjITNyDTrj7WWpYTFuO9f+x1LnChw8b2DkDyP c66ne2knltXXTONUo9JAo7oS7uw5CqWC79N2oKpzM9I2MWPyo8QFbdtoiLaknL6nI8rmKiZ8mpXh l0Eb0E+2mWA27UaQR6EKjCG8A38EP4CInLwlClKvTz5sdEoYvBLmzC/K/PV4jxtqH8sdiVyZaAWm 50DPP80fn0C5jXh4E/H/+ciMF6YkO7iKNQzJIKxDERXomNk+Xo6wjumzwneXY63LXtMEhaRtXTX4 oc64JCKfE1gOo2hITmtsux44WX2XIha0L56b9dv06nvDZh9nNqGb9rgG9YF7iqlUDb16ZfRmcdTc i35LRBSLg1IjtATJLfbKIrfhBBgvl5uBilKLkxiABiaDD/wE+WWF6IxMX15zG6AY6wDQpjArkMBU vgTUGtB033fFNZTekSJHC0CPY5XtSLYJn3LKB+ZHMGg76JnRP0vNO1Yen7wrUJ9vjgIWz/47GImT IFf90FIgh6/0wmYoQ9LsOcjiQa3JcBdvscSg80UYwgyMq7T8VhfOvsa+vnIAguh5aitL1GX1nNb1 0K/Ug2hBLq6rUd23Uq1n4qtDMy/QXmCMKAl+0d2ag3g4FxiHyrM9QjVSLwuvVVGyt8bsyHY6QU4g FfC0BbRO0EzduOsMhQCIDU6iNhZ4RNO2yiSx/5whvoqR2pX4njNOk0Y3ahb8TF/HmFXbxYv/ofzp qqVyBGU8h4oCTG1bTKcAjoD+lduCiqJ0lx7C47qhXRJuIfZNwQOhxE29mV0GUT0iGz0GcXmiG8vy 32L+x2nnVhQPaxBnFYHLMn5D5vNEp9s6Ljks4YFa302Ya4xA5jdhkA3MIbzN5GcaV4bSQNW3YEFK hmDPRmASA1+9j3Lkfkl53memxs+O73NqEVrtEtnmBHIOlFzOgsZF3TF3znqec/kLpcdahwtVczM8 KgrwNLa9fpuobS+Guq3GafNVCymFWaBYt/mTfWoDX9V64rkdpEwnswz6FljmzCMBqFuI+nQbge2c HQ5ornj6vIVeZmABbpoRxJADjcJPp8UCoe8TvHBx/TJ2YtUijXhRbbxW7ot/xUXCFO+k/o13j1Hr gLShaq4KWhdywInIqg1E3JQc1qEHYFH7toOUAvvfaawYoPvjEAo6m2U6jYuH9gMS7MWuzdvPelQM pMbs4ce41oc3SEOKy880F7NMZFKAl6wOQLxGvJBYellq1xaXVEkTco34FomV8fMVBC7YreWubxVc r+KGGRDlkz9vbOZDMYlr4UBSM6/qCGqCPIpbRTYVuNUGrPOb7MrVNxzkyV/6eMNPocdTkXwGPIUE DZ/gs+5iOK2/Oyko5FqqqlD/1qTls1si4I9Fb4MCDRyGneuAm72zwBaxaC2udeVH3qAsS3u5XSsb IBYb74gfyE5wBWiSnafaqJtvWZAPAOMQejZ6GgofbmEI2rZNIOu8VJBb7nKaMn3bTXfjk3KreZbB YhWFHovjyTYpCYQZo9pG7QoBgcDP23zmElY/K1YbNqGCL6ynoJnKaTqdKH9+FdVx4CDiZDZAy0Qv /W8X/mEFtHzvhEr2FSRrBCbf8gJjtBdkb1GChEPVQcJxFg4LLrd9We1biNS2e/li3XfAY7+pi+ca c3kq61PhBwoG33Fp7tQYMusHAK4JgT48gAbeS0l7fZLAHz5TJfsVVbcd8ZiaVT2hVEzKSwT13sGH 0FFkRDEeQM8zmuYm2WffKPUKIS9DmXsoieCwQwVYmf2cSak+SyuedybILTDHnDDUHIQzDy4U7EBD ZcGPGw2E06h/VplpEHCmumN6JMi2LM0Xc/qQlXFH/7QJdJPyizMj3FkW4ohY+u4Ltm7cDgsaEdct eFMN5Ls4vL+9NJzl1qZrIZwhPfK1kZQwLjoojyjj0X61y+93nFqP5D/ZmF91iCJUr6l6BeDw3Oi4 WF6TTFkg4A7mR6dNSoza+HQUpxEQHdD1ZHIvAoTC/y4dMexr/dXpdshSZOjIHxRbvJe9phqrRqfx zvuqOrnQaUvtgJr8wOIFkhTUikDruaLq4fKybqLEqgEV0XIlJWnJ/bcC9C1/sr+mHtgA1xLKR84P 6WQboUAenPASZmCk38YVmwBFiIMXi1iA3S7r9cpKzEHfA9gswaJ9H1P2rBvW4edOJQYDzGyebZuE SYorvAAgTGPDajeDlD/Mhiu6taJgpL5RfFlr2HzSTi0F06ge2/4Q376GycFivS7L+BYz5IXTS1TJ mhtQsVF6Vnx1S7aelGdTUaDSzBonhs/KsuJh5LQWYHYqFujmeXu9hbHs+NeXxPbmkD/Lwb02b5w+ YRhd2hPiitDnFAtqv7vvRg+wi+Xer/OII9VFMhdXq7kgJhbUFp/aj5aQn8BkYKP23VJMqU2tsapD yDZqPyAOXa0uT/48MqeW++vzaFuGHlcG48w+1qbqeGLT/1w5Np60NS06wH/KsZSzXdW8/NKD8zOW o6G0cYlBn80aTd8EBgBbD1xW6ndrpsqR6T27WfyQdeotjPxTlMj2yRO9jPd1ifnHI7Kdc40Vafl5 KG/lIOR1a0+OzLQAj39cdv94CWJsQ1k0VTpnC8/4zQI71e0YB8jxAoVOFyoB7Y3eO2zs0kNJdaO7 021/D2MkuiorwgoEcgULh5cvtO4uKWUL1YSE0OfiSx1gzDHccY81IUys6eiXRGil3HJX7BJUFsHy p45lGBWdZgPcW2D7GqeLhKA04f1Yi/+1kduULcjcOdsapwhZ8kKSkv8+OaYdc+lX8CprSQH7sSaF Cmmim35Hg9TEFnGC2M0RvBAnmezwzr/4oZ9kbc1hNBQr26vHHKfPddHRONY7mOsOnirDsGGE1go6 syxP56QgkzU7cd92wqwza09d4RoaZYAAknqTqR5T9VS4J4LYAC3JvaSxuojOZmdQXhR4vx/55iIF cCx79EY2MU1eSAzDAfhJ35kgYUqyRLi5PtW21EQ1W2bK2nS460eIWJnjx0WoSmZWphrudw2jACxT vE+VXtUEsxCcEXsaDCi3Xyqwu3POSQhOXn+HZDx/EYIKtEy02ufZCeVD47kdqnLSczXfDLI9YsGI ThXriAPEczTstyvHsSYiaWZYp518V5TXijcxTDtAKojCSuW+zMDrw7Nv7XlTKtw9ZW5+Mdc6or59 NTsLj5l+hHclojirzUSakS+aQXTM5BTyIjIB8mGLhWRv3+X+dhE5FD9uEUeY/LsVysk+5fKBK+Oy Ue617WNiwMSDyEmzmaquvQnqUgqFDmg/OfFClprs0v9o1/apND8OrRH68A6PcjY6KozU98URV3bn b35ib1GXa4bK4WttXkzm3GtN62Jko7r8/M1+BMzz1KbdgQgBoJbGWBCuZ45F2fE8M0GABDnNYsVK uqg6YvWRlclM8tT2e8Or1QFfZ7AXUskUTeRXXPeKpG4lqaErxYgRpepy+Ozxz5YiyqpVdAQfYWuj hxE4E+Nni36jBSxkR1mTjP66/hSK6Rtd7c2xPzOyXWQ5p20a4cvY58B7u73dj3sUxEfeFpPcXbdX JGFI3IJLU/t/cIWqOK/B9ePwuw9Ub3LwMhmAvP6mSQzKIXNCAcM4DqRLrOpj6I+LBzOepvaiy/yB p6Jq6sXmAD8OrIsxdL2lmkPS9shO8w1OfxJKeYC4LMCoeUsnzLYl5Mpn/uo7bmVCjjCivd//f9kc /VeUdy05/LUPmgsOe8rDBuWBAHpFHRddR+GXyxsmKxjWNpYJuS40OAamDI1EqxcAWz1FKWOLc3XU k7YFbBH8aNFNmFv5CefYVDJ4TkW2f0YB7H0oSVI4hDCTzrdP2V9Jhf3OqHYpo1HIT2BG021fLM4q fQ1z9f1daf6d5HpYw58gjyURXwKtvn7GZsa9ZBj9sKhaPoTEu8w3DgGsTBnhrvZDVklK8QUpxLrW UA+zNUevArF0mdyv3btWxZf4c8XivHTW8mDixMi/HquQ2+kVEBEhzmjDR/NOEBzunB3ERMRbJFoB WWOUOfvNSYaU6S8/R2lNMU2zMJVVaYGad5AgmD80pbLOT8ld5nRH2WJrKhHSzT3r21qJguE6/dkq AAZ7nwPIx3SzVHUrF4oIESf7FWN8S4fki3S8f5tnnGiwsrHIeRJI+uQ9pf4PbQLfdVszr1OZIgNg sThROqhWXXFZ9TWVW1jRrreSNjr53dDIPeybitB3PnI7jexpXNeK6C5xg0uKLczeMp5NzvyaGLpm UqbOzwfIyl8TswoYv7xYKhDsU+gwzP1BfBI6FHjx4ptK+LXUMjTnbRVGJLcN9UKho8fN6OshZcTn zRYToKCxu8WAIxsrWrnBL5FvOJeM7Bz40AFpNZ9Yh+HdJCX6G4rP5zHk5jbmkKIBS9fCXpIQ63Us KXIqlROLpRSBZ8625tiro/lXofhKqGu+Aq2YVXADRNa4fgKoN/EtSYq89zzxxfcmWW08XJztrz4y cR/JS/FqmL+jmgDV+xpiFFbm2UtYU+JYG0JXO2Gegma6MxXHV7D0ucf5pyvWxEDyvBlmUu51ChsS SlfeorsEswomNvFNwE23eyq0Kc/wHR/wHjcJGHsqhlwk75nY6Y8YuRLIFD1xpU54ZkUHs7pRd6MV +T+91Odn3kpe19iHXa1Ey2kU/jq1IYVdxykefuVHxMOAU9Fu2KCqApp+FxeabZ51rApNW3h+fF7t lRaZMb8dsp/KJfiLZpeAuTU4HROmoqUhuExio83PALAz+8r1QfnKsLRRJ3Nt9aQ5OQrlMO7Hrdiw RnWq1bN/kxNi+GlM7BsTNzzaDTAxENtwrFDK9KbtpJgwCgvSycGFt5R7fOwfYcWTnTFEcnjJD5n4 syjQC2p6DVePDYarUC+orHC79ZABRjcC+jg+tpRHjxH3b+ENE0TjeGfqPZfapsoc64q4PkYhl8Oy G46MSromr2rHvzm727IuuYEenwHihz0G+eBSIGs1zaIzSsXixmAWpiCWk+nwZ4wEM6TQj0zSrQ4/ hRdUWKGUr18TjezpTgSnDfYn4Gb4SQBm0ol/oMdTwPS7uqG5dtI2JRNiLTX+WjQNw2FrfWY0yMTn Ny4AK3CuIpQ1mJJ0zvMzGg== `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block GhhecwZsri7eEDNkktDtg9tDF8/XERrF7+pbF4VnOYhpIBuTzCUhfn7PSsj6MUTcMDRw52zOJcCy N8/XzL3bTA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block lPQdp3eIOpUGeUKL2T/e0Y7MITYnjx8RGnlxPSjyiXf6k8w6TD+1mXHTp+w9ZGwya0k54UsFjq2c b7mCtKI1MvkNowNhjy2tyrl6rQb3zg2yAadtG1+y5lH9qyybxfL1hjboO88jjlmZDC3e4Lw/hXwP M0nXDdjbIIyF0VS+mxc= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block kcl/A0VtSOh11lXU1o1UtAkxAYqg//TsMTantQLvE5BJurUM6qnFbbxHayIxCxxhLe/bx3UspWhO F8kNOWtXZ6aBCKZuGceY5r5tOOPeppTNE756Q2deiquBJSN/b5LhcLJW98SOepBMhVCoCLbjOgjy 3In5lHKDhewS8sNdMJaJ+zA5E+dtre0LUYbUPurhwNeRanvGYi0g8Ym/ZZXr4AO0Fvz5MRs418/e urGv4eMYAHbMVWPOWsnVXdaYubfO2zp1gJrfFNFXt8EXx7QBVswWYOGbx9TQvFj7nzUpObLGBGdv X4PiWwpFpkcLUUiI0OGvpirM2j51262j1IYz8A== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block UFG7Gj0+WaNHvR18Ee2LKzsgJq6LAZROZ3PfAcGm7+EArskD8uw9xhrnxpqiTfTFMILEFkujG+5K kl1oCajKfbEY4C+mbPhQn0vDNo5//uULZqlJGTnuTV26hyJa1LMh+aOvlmzoWFcTXhbic509A7hv XZm9ybgXFUwHgquXmzU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block kv2MinRVgUM6m2rVCLIVtkt6n6vQRYZGlMMKGMx3XfKgrjebmjS/5NYy2l+FRlUdWuC7pPetf5MJ 44UDAfwU3N1DLSeWXVU2h5BoLHWVpmGNyigqb8gNOFMgsJd++Az83tC+Dxe6loTJxqNP+sF54mok SwQpvMiy+KwAgaxaMiAY5OVLLCYvNb+ndQwq52W2k0STlYvqETrUJY66scflhX5fmtE9s4LGsIwC F7Eu43yA7MPSb3WamaltUEkZLj0ddNf8H1on+C5A48/OyRurvp5EeTvAAg5VvtKeJFgOk9rlLxvv vvlLAoG2lzbOMpWuMr/TW5nI10nrb6PcZBVcmw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 14608) `protect data_block Jdd4Zd7GCwUVtduVV0FtaCydBI5ZXJE+Hh37O6i/IfgiKANN3sbAmVkgrvBW+B9uRucQgHPFYlSG UDd+tEwvLR1rDFgPhjlRDM94osMNr5OkE3Kmq9VrERF3p4yun1rupnov3MfCTxbMO5lSb7DRezjT TxpeA1cdgHknxnhEoXr9HhwBtfSMU4CL73Se1BLUeb12KvITwJzpyFpPJX94o7cAUrVf+X7P8RpN 96dDusWG5laQCA9bAV9yFQkbFtco0NwcxDINOZ4DyT6d92TOQN3dRCME7S4FKChjWLQDxgv/G/z+ sE813HiGJhhxjyFDEbGAtRWkhLfHPLoBVlBGHGoAAqhcHXQ3fD67QrvTKDvzbQiG5Wd3+1GhYtu8 4RKW2Xxa42cm6ptrWgEKNRKyb09UyAfedLWWKUxJSIdLYhwmWZNvlXaqJ+k/ImnHx+UIRiU3ROoK bBjRgGyzwchQaV0SAFwXCDzoEZ0ehJM7cTTW3MLSpL4fjRNLduvDzhTc3IXbSUe4JbVFRvbRMCPD kcfLoKe40276R3trEvu1qs6qeRRUmQTnB756fJ1BtvQlx1fIT+YaJ3W6hkuUlmjx8tCgXGERS1MR pAR+Y05BgaiWB42SlWac1zRT4WdUq6nIlJQ+SdpWe6Ago8RtFCGVhOcc2I2Zy80IY0n5j7Dx2rlj lOKdP4x17k7efjJc8Wp4R7Gg5rIvA01sXozUDkkhDuXbN+QXQj52+zwx/NoqJGHfmyNheTU1xWFA vOg2CMwT19OhjyXpmiAd2pJuDTqpkmjpKOrdHjkneq24jvRKfGmciOztfepscGN8DfsrBe2mB1OD LszUQtZL+sB5NNcbo4hcLwfW0TxQru6VTzlyaMvkDdsR/SC66LltSAKbY50QIyTLYcxAKVGmjarx /9Mc5emE4eNY3RFACYU7VT6fs4/xDcxC2YlgEPddefxT/vkmNDgk++yAfj4hFVpIDtn2AdzxhE/2 adPcy/8HYHSmhhxDM8fgECh8hGfdTLxd961CdaQaPLL3Dy/Hb6QiNSCKLcui70c6AqnNbmC+XRTC hCku0dsxlYfYE0Fz7PB+pqBenHZV7uRyhZwjJBWXVK+wT4QN3ACfPOOk61fLbn148BXYKsgbJUfS vcrN0gBRrt2Rirhzj5aaHwqV4p65xfCl4S4NXmQ+7fsW0p44Wn++0SWXGPOzfaw7P9buSU/7t4uN aNmDx4cmO9GYLQDsugO93K0mXun6e1BoyZhgN8WXDQndRJFqz8KmTTUtzMtCrzbmYqpIeITtZruO EILnocMktmBJ16y/E17/ywhnAKdG2nEV8mT/h1gxiPlh1SXxtNaBz55wlkr8MoA1TsmU6URm5EHK tv+USOvIm0dgF3LdU31qdVJCGeyXB77a7UX8NPFRMBTvog3dyL+GTk0fakCKnvF3bbD19A1UEStN tQCIESnHkBsN4v/eqIwyLqKh5KnTxGu8dfrjvk+FM6lkTj3tX7tq5gykePbDI6U/JpwBUtBdoYx/ 7L5NepQ0q5Qq+LIcBgM13Y8vLjtRV4GdPX9/MvcgIQCSCrqKijf2fC4GB0a2LIC/hNhbA2dFbZid 61KhIm4/xsFxlJtpxSGPJ24fF+IM5r95OY0JwIwiAF6FVuZ+yAQqCVt110Ec+SUdz4/Hmvwzztwk OSnsk6IjI5ybRQwfdkYnief6E2M6cukHmQwkPCawAP2Vi/ft3BcdHlSSnXiQ1rTd+sonQKvhRqXG zRfhCaxes+kFILgWG/AupJpYqMCI9YtKPIPui42JS/ZDE0wDK8sOAcXhyDcgVUG4DlgGmPvC480Y Rwe1n2sCf2HF2TKDL2O18E2tla+C4pIrWeQeqbbmLv79t1+wg9faUelplo8ILij+8/EtFMxW+FJS j6d5JiIibvhuAw3Yw0P8Phqkd3WmFNhXG7cugolcQuPH8KWPgaJlZU2UgLpW+MtIIuYz9gDs3gX8 2Fm0Q7kyqa6bwieFu1asUikLl3X2KzpMMNkBZW0k7aL++N4VpZS4UAlXkW37u1Hfelg6lF0FzhsV xiZqyfHkXNDmepEVkVbIm1zWVJPqXfqavI2pGUL7ygPNQVhmHkS9TIGcusKXhYIYDJM2sehqsm8I QxyZ6QRHUtZZJWH1Q6RhNQYTd4KLWjbhZvdkERbP2x9hiiXdu1ZBxwLaZWQ2YhckIAfF+fxBNuWp PUV0plrxlwnnOC3dXM08fpcLhVqQG4h9I05Vyl9W5uuaTJ69H4Fgp3lk4irzTmLzwDqREdF/88gr S7ZTKPltLWSofOd29g/v08pLYtIw7J585kb/c/4vBtLks6IC1qEbbYr7gxbz6XF+LGL4YO+2w4EW NqdKTtE0g5AFQxbW8E/gHipEDD385xKOwXQhSyQ3MkMqCITOXaUN80w7Hnzp8UPKptGnq51r8Nx7 Pm0n9iXAbjFjYvaCFibkjoxsoOLAWGwJ3mlXJa8w6V1URAhUQTm66ste81bICkxLMQo6fEJCPPPt Zqvlrlh0vjlsZSD1K6e+5+RGOrw4pG31IeSHFjum6sH/67vyKUefBk5EjFJMc9S4A3b31WAqEA/r FRB9zqgn8YHVcQOZDKmZQ+rrodDT5rrZxpdZUbg5qAmVcxd+Zk4JcMmhzGpLFmCS1ux29Ml71+uG dXAcGj9x+IDfE8On4XsKZAYFt3+gHGbzIefvt0x7v8Ki/w/bRCKS7vpWftjgGYFxALwRXjxtf0WX pXtTmcTjsZPAV7dbLvnxC0muE4YF8N2sO7zSrx13xeUGqcKhD6elfqOHQzDvv39H5qEnqQDtTu5t QHx0/bj632oVEDObdsW2EZoOXOivHHY6mYozZp8bPKVNmzTsMPwdeb4MpBDYnsnhZABrW9uk6XBD I99APL2a3dxDrf80YFWQhaE0CuQOVpRDkEgWMU5hZtVX3xmQmxQG5A8Hg0nAhMfL05q2egzE3Srr exZqVp54TL8n5cxpn2TAqQQrW0ENX6XgCdyC/0hxU6Fln4GocL0ATmoSU9C/GSZ/LkkuEl+XI0jb 2x9l7Ta6PvDEW430+rSXces5Q7Am/I4k0O/AYihABg912Tniz9hW+kCh9LT8q8Qd7Vr6LvU9MwTR ENChmTmGW0R+F1Jm83wVqOoFC+9FgeplUWg81N4FxvHw9EOlgRuH/2mIkjoN6I7zbWx0sq4fM1ax evhE0D86gPoLKB7v5mrd+GIK9BMbrtadUE9HCxHmwl3kz4vb/V/MZz/RTni//3XJassbTgpTfX8/ 7N8Er9rxR0qc5nNAplgsg51g8FfVP5VVnw1rIJ2S65irpVhrPA1vvfStL9+tluu/3b8CnHzLCI2k Yf5bh6Bz4VGUK8J5WSMV9UQeEytOG9XftnQyTpdY9q458vcN1o3zDYDc9as5UMQj2OIC9/fjorE4 GhdqHFfuA8K54FgcZvCYQIvZXB1FMAMJT2uZcHaqNQ+acWMB4KpzBSAyVWZ0WaZcM3ALb4dkAqFm 59HMg3Jb/1Aar0wZNn490yzNGMzRtpITopn2aAs+C+prl52MRtfNpJqz7sFcINzTQVzMm5c9Pu5f IT294XLjn3hlMo4VHtYSkSHK+Hhk6Cn5AlpftlniIjZ7jsdlEOAJxI30t2qm0guYDRo6G27IB9rb zciGQ7hkx9x0YlTWnOCbbu1TD/gVFQ3mX4pXqQzWliaXgTQD9Kr5/JQ1X2MqntAkB7p7VbSBXSrR 6/y7lx/z3glVaDhKVJyXJrT1cU4GzQHaN12N9sjRoxVHGhZ9hGz6sGylVU49NYUu1boSqHuMwPSg Oi2Rn5f4gYKc4+LSXbRXwa2hiaJf0wGfb0/a2sQJbthCqcT3iGDcp0TNE2G9QnEUxdI7LiO63wvb HZXtHexF8Mw/ghxwG167V7Tbu26B66cy8gmxCrL6wRKWONnGzW7CtI6NcaiNCJQJpFbQ0FUe+zBR 9DEDoSdVvt6OSEGlkn46nEuwy16EF5WfAkLT6iB4I9E1zkmV3QxIhbnxm0VZ7a9pY9FmepI+E88n KoRvA/Zg9/LOSd0YPIWKjL0IShu6Ye8+NpfUeLfDEzdmfrpOo1DB5/kFBEVTHDumVuj+uhuJif+B K1NEm/nYMiknF6Fvgu+GHgwlV8+VT2qHqLEugdK570GcSkxCuUuZQ4pRKYbuDUUhw3rzfRQx+AXw gVxD0bn3yJGJI7kkRC1WYIy1jYzCIRFWyK3oezr2t6rgSfE1EFY4zXDO67RLFLaSlpB8HmmSM+7P z+eKAGVKcrJar5xXG6S6vWUXT6U55xTKnELXlbyErSbCTy29seJlzszV9We7nHILi3fMCvhwjeFP O14szSWF2YKaCOyD7vDFpKq18emqVyyhObB58s4q4uA5WlE3KQidQMTfhBOxt3SL97vzn9RDNmIF NftDQcduPXIvyVuD/T0X5BStCyUCxZjzvm00fe2QMJjmIk90eZ3CcCmYtoLvjTbltXlN2ekHQ6ki Sfglm92bKsHVNra0O5DOOcqlZerbjJ/6sGN+QE6jkyHU6nAo2ByF0Cwh3w1z004NY91ikmtkUZ8s EpdZWhCucgYPNiz5GAGQuCm0UL0s01/SbKK6Tau2HfO++1EifMyEjUb0V4642frdgctISDHkuRv2 s3L744kXz85dagcr2PmuQv4QFcBoB1uXaHIyC0S0srR/gPDr96BsZ8igaedwGpnC2yIoWNabezoJ dQNEPwaYsWDtCBKZEF7x6JDBxQGTNgXLjTSLgWr1DT1vHwmm7TCNOUAWlFu2GGi8MLRePYkYvRfq UkKDb2olLEKp2g5BGLVz5tcOtr/aSZ9wgG91yVXr1sIRA2711UOuoeIPC+pYBtxr0HM8Hco3a6mC WxiPY+EWBD5/UYx8Ivg9pKxuP4yxB9NDGvjPyAc3azlsty9YwJiTGfOooTKYUFyl/slNDWN5aYTv 7eM7DZLE6Thn6sH7ya8l2yTRgy8GYKe8qwrW7+l3wQXrIUtDUPVrdXfrjWznSS+t7UILMYj7DIFF lc8QB8d41BDEi4IGX0Cd4i1gz4ixwDSDvJfocEV+hVHnDL3XZPFljXxi5PYWXEDiLyeCxPygTwQw 82srSLln+h2MJ9sV4Sc9xhB5bkK3lVXMkjtIOAwkao4ERRgzQkdW9RprJhE3H1jfpBh8ypDDcSD+ WTalPvZujeI2BikxC12clw7yWAgBu9DhuohL5WH7p0I4zRYEQeiP4SbEVaIEaExVlCHFpeLfOVe0 QOh8V54n5YOg8gavgZ3Jk7Z+QTNWlw/0leBfjv/+4ctNJrj1ZRgsAF9L27+aowCF6n2nJ73GCYq8 vwHVe3cRYsKiMzJyOnSvNv9b93GRo2aVFaG84V20mw1IvYhPOjXHUEbhlzNWFSDuuG/ZpbE4HDPJ C4LfvKj2rEaSkfgkmZA5BcRDT1l1JdLz6KVsscgzeTUaVYyTbnlvK/rICOS1f0EuqgeQX+Z9tvOU 8NBeUgiBXvBIO4Q8Rhz2lKbHqrKsUQrpvtNd2BAmNJsbqcLJY8hQ+gMGUsGyQocd11fw8BXtmxMu UlnYTftpJFUfnCwnsjgRQmgBaSpaTf0a0cM5qS54pNW6np0e7U0j0PG2uWU4r73nQtojjgWvTgNI 6v3gQyp5bLHw+fZPoNTkLdQ7OSL72U4GHVy2LLbkIv3SAx7QDAND5+LOj+EgFkbEXmVfdn5fZ7dx nzcFiPfae5KJX1FtUjU8nrn0hMt4hPXJzvGRl0+UT/hLRDcNNApt3D32AvNmljc8aUrOc0SYBa/x d+weNsn2qyzjnIV0B5pp/7tTb5Gh1e/EO5rWM710RhvF5eBAmUrX3OAB6N7MpAeu0UnypIhCH/fK ScQQPm0bwp8kuAY2UHvhmkDE5J1jxg73aQIZWsphSw/w3wwwC1gdUoZzKHQ7fhPwh2FWV1DMRoXl MkrO43HMBX+PsKj4A69qZVChofJ5QrXOI5esJw4ldVbYo9C8hjSQA8fjv7KIXn6ZaNeUFP1LSS5G Tb/bLNRh+hhOcj3qFkZ6k4ozQcMMnwfMgs3BMZyEYgAg1qQGRdiJCiWv3yfCDVgZ7QlJrfOkLVOe XbrEot0CnRD/PGD6gLJigUgGh5/TbmZ2Wp3D6z1AX0SeI94ado4q4n0FWwvAycP5RgRVB7wZdJkU D99g10+ej3+V1Ax9yNZ6hlkNDMrn7gY6//HIUDkZzwswQzr5H6DJAz1GjnJzkH5SNagrFOxPGyq/ jDDqKZuJXfc5c39zLdk9Dsds9bzwNxeVYIDundKqg3glfjTZdODzUAdAi6TH6a/zVMKN242he5VS 1GSDVoaLjV8zrQhONjD2c4zB6coQsNN+8zi7n1eqNbfOtEjSOpEbLzdG1giH4JKy0B5KNf7XZ3Y9 ib2JuUWmgFAONPHh/oCLtO1uHOJrgLmnaWRZEEElRR5aTcz/GtN1wJd2mRzeGR5fT6S6hqsxsLMs lu0CBRZ00yTAdDIwRNrL6/4hYpHMLyiyZ1HyqNOI9hPOCwYFkcKnnUKAnyLyPPxpvurqvzLV64Rm anCWyEWHomDZmn+Er/UTp1c98eS5kePlK6ybjBjKjb0olBoTJgEc+adwlTDA5P03uLu7NirXBrYs P/WRzGyPZPCCrcDODgiFPf2q8a1ul8Yg7xak1wLxLYiPU+r2TPEtjFz7Gk2bg3vllZ85Wh1SG7TD 4oKaH5rFdqNAxfoQK1VSAly/TYPQUNi3hl6NIqtsrrpe4VbDIY87jqgLSDM88HljtLq+LryOIOhI wuvH3M0pCDX1a8xWT3XCKZ/LT6iQpNvBrOS76o8/L044QuhchzBYj2d2kYgcsMEnNefK85VfwmyL HBGliQDn2PhNMkBzlmvaidRnlgMVT/ry+WAodLjiAi9A3WysTwtLSIpYY2aUuIUZh+QxsKqkL+yS KBvj13rMnRSieo1yISiTselhQK0E2ibuxwNoEuPdcpL9onbqj09k7PiLdus+Jj7VHIbMzEOZ7UrA FwpqZ3hpwb+EGaZU2codX3ex6I1C5X1fM9BpeG8mtE0DtxKFb2jtKgMJNShLo0nvo6RxfP5tZsYC a+DzecLqmiU81O+hafWsfFXfwsjjZGVjdkk7GaxRbcTlQVzxWwrhY770zFTufCVfa9y8x/c+w1+f RlFnNYCesDrtP+jp1scy2m2Z3eDu2EOOxJQ3+qgIq8VZ/l+4E5jMUnpWYT/Mfjcpi8Y0ErYeGUZr 0c4T9hGI93DFHx7KONsoDqJw/DJ1kDHdYg8bQ/0v1XRu13KGpwlH7L70naaPYNWdta+VfTY32VYs E0uIgWvHNNXHp+K3ngkI+64lFaeqPO6r/G48EddHl3XrsWvzRTV4/VG1zLnQMAPaC9DNJnV1p7YN vdr3sTjTLwniEd8asmsebC4Tyj+Bh4OQeIzew5FHMvv1kAxwh3oPBu/MArZ0TgWuZ71R/bMfNmeg uD8W7O7JIDl/ZhdFwYy65y5WGP3LAhyZoeBgcDbUtptW2nBYI2OLcoPEvl9UQYs5jOxiUmDfxCvk ijpuMkcLOdNm0rmobIM5o7OoeEZWcIYDFrZmoZuLA0s66x9MyaswDVu4YwVsygQmVujyKoPHf8I4 Qo2r/+27GOo2ql6goWRqvkQesS60kBmiyrXN1uL2i85mSx1cMRAOq0H8CWUVe0fVi2P0wbYwB/82 yy3B6ahqmpn+Snk6ZOTFJ66GOLAfceZ8S0nh5gK/KmsNCl+n0B8nnxBtiCeeG853/OrbPrXLs/zm /w4wjGvdWf43Rx6umwEGaDoNtyxgZvyAGrKcTrzFllxbw/s72apBY52b0P2akFGT8dwCJDERoy0X FauETIh6bU5BiFxzQg0v/fOSJxSlrRhBUNoAteb53xH+EFfVIXGY2oh+TMfa5KcA3KIWV33+kLy3 c0KhWmfc7r/aVaJ1UhYVNXq1ExupN622gf1e0ztYCILtCqPO7/mTWXgZuePtkj2JMdo3bWAgse2i MyeJy01xqgtZXiNCvYJ/S/o9hnzt6iBWy7OOBoa1USg+WRHm//4/BYXTPHVEN5Ja3znlBZot3W0H 65n2E9d9dt96HE7PUa/oh135zEr5m38ulCZckrHg7qp+h79Vf+8gSgG+DwrnEeMToTIlcQMASVXB SV281bQPd/b04kNhG/5h26YN8FnPB5tmwEHF/RrTenKzHAzqVKMK2QT09Z11hl6Y91qb0enc9GyZ BIWyNnMhlfheFH3RuHmQf0L+WGL9qGdimddQKkWhv+sc9QTrjnt8vkHIX03FXLg6cy9YCO7rduJ6 NIvk+yY502WQon8L1UBHNcOTVEnl2owuQciQ3v2Ul1YAY9VKMfCwO09LNP54p0V3mAMOZlsD2lwv rM4tgeqXyRQn4yVJSmYNocPb/FWyVwY17hIiyWLWKpP4lAgKT0Mgy5c4eX+TaoroAXWtiMeScu9q uZftp1Uo4bJPno42iJLRmyPFnrqN6MRBcVU33huOm3Ebsa2EmoTqNv/OcKzJX40NwCHfOg5a8RpU +riSYpvD2zS0NMn2HZWEHaYkccim64BF+v3U8rEC5oBfx8FMhoRky9ctJjtYJv7XRiOuLVFb2Lrg nr1j/uvGWbCunBsONTKAMijDX7Fs+n70tGicbTl6hyLfWBb6usKHiAXArx9fNI+nnGHlQ+u9gUz4 kJizuOvJwFgUOl04WpYe6DfHjXuMjMrADobq6rZQGYOkJ+38LdeMSPXIxdDQxW+1uVlZUw4PbT/3 Hufbt6us/Y//Vyaux6RW/i3V6mC3hSzA0oGaqCiIo+ydA4R7yQt3lm/boTbQwD5BrpIl6/2YqIkr rLyisSEX3hqa6BypeGYgMd7kHEXQ2APqSFjMvL1f/pqCDigGfMTQU5HKTLt8OcjDCGf2G4J7VDg4 uYMVVNEMYwrFSRCFrcVHRxkXMoJ20QuuM+hiL2oeiVIs34p0Ix491mD1Xb2Z2eDm0Yir04Kkgav1 /DIv5LZFK4hOxcmwGiRfDUt8Kyos7Tst0eDZa7+yyEhC/xDu6ivcCcstH3gQnSvft26Qv3DLp/FG L8UhYfAmlGRdKbYpjrmIiz/jX6iawp3psxa7vmHd5xSyIDmeBPV1He+dJ2dEptUnSc6z4c1/HyA+ tTbvHzETKEhOJXKBUiG80pPx1AmgwHHHY87omcExoMhulOUGomPP+ICyG3f/v04mg1W6cPB6v0Hj OoLNPOdvwfmaX3S7NvHaibH95s6hIbbmBaYxOzKg7Np7BZtd4fbfFepcwfPFvQ9l6gwpw7pSvDin 4K969OtJf07VGSvEeo0Ot9CVuW0v55HSv9jGFW36iIfjAEqsQ9bdbz4yW2R61ymsH9HfrEtEekJt ODHnFIDbWIHoOx3bhbxGNb6+tcX1B9HYSLtexWIvfmHxey5TbnCmV9YEWKzQL951Cm1LH1FqYgWc TmkpWEh5P4kjmYfC72aH406M+2UvcvvMU5wKb6OEmp5Xzo7NY/0kEkgzcSYzxCp0K9QPrrOzQyAO rve9jX4vjcx/vT8krGoYBYtTzIamAHQgxvdNVP29IPEXoBDv+qdZnUDs4I6mwevqVXCEvrF5D6o/ hNG8cyB0U3ZF3dHFtpapghXL5hz27nSAcKNxAeRcU979g1o6e0L0tKrBMTdnyjW8GcEuJs6+fwGv +8cBpkjnuMj/BXVO3ASBpIUjGr7YpKIKUvvQpjmMRvTMgNkzb9k9apDNgs1qkma+cWn4jKUDkGmN GHBMdEEjWI8lCtFW8VIl9lm+Q4twzGumX39TIuZxnQ4wmVYrV8tP8+HTpGpQUsSCGSJNjeTyT61F rfORBjoPGuLG7mJACJBFYqB9yJa6PEfGjIWgnvPYQ2verAw+LSR5sVOW8LDYBCI79JxGt9VQIKSl OSLlBdhQL9sef0TdUvWbgOM/z5DaPq7mKaDoCdcI2xhZgUOU5L/Wm3ZlCM6LUNrxBWYvLVJbCip7 V0wddcvHJ4KRhcgtd3zzArvZA+6l26oj1XLDjhy/WfgDG6jDrK9d/OXlTxPO/FXAThM6Lr0CeBKN 0vKYYPaSZoM5dMh3E1IwjOAdm0LOxre5UxLzej/VIwr49SYeavbSPUOaSXkax+SpkKf8T31uKenw cs27NTHvfvX/7Ge6O8pRo5i2k3vgdz32TDT601QSHDC4X1HS5NDcTqmAJI0YETuj1ViTYJ5vKWQN vwXLNv047zjY3IaQbuWuh37J9YgxGsj8m8CpYm07gNfqSNaR8qZCu9AC8vaq6JoYaxU+LLAbQbuk rpd6juQBEyf2jfzDMWIL95ff1D73FCoKIGWt2kkI16Pr53LHFC/QgHQ8/oT0YAUQATAqa16FTAfb vUqTBUZg0sNGQWXW7FF19Den0EknKnF7ktgBHiX7nJYpe4MXS0cuObcCqdRmNqc/A4UJnduGVC1+ FfIYLYFgZco9fLQU27Y8xuC5taVRNt+MXiUy9tAzdd2JnrIs2TD7hUx+ZLC6/2CU7LlVU3AUH4Xq oZJ5d8tZoWsrFN/Tx5XKO7MvBBigj7Wt+WtismQVN5UX00/iI+nwaIalJ/TSM/LEZiBIIkoAJwxc KVaRWTfp+yaWk07ya06qQ28V3C6dl0rPySVxxRAYPfVzHpJpJPFmX+ygigl1UJXKtMJKd4q8Fqo8 W9dEXRDisrbN2et9OJ2scI8EXaKNSvMSl3sndegvOqgHJ5mE+6DPI4ie56b1KNU4EsbYBm7OI1cM OEPeWGT6kfOmlKKxVGDiWvVMqLh1366ydch/rH6B1AnBMYUxAhnGgU0DHcB6q4RVM0+mBkFEYVuV muYCkacX9rB2NVE2O9QU/xVIYuwWmIzigUO2PubO+2OW4lCqD+JEz1kDi1Xzj95FGNz4chJ5biVO TuIG5rg20/n1LX512qDFrnukc+JxsS9FmW5S1x8cHikyZfD4kghh0+aHEm5d5ioXJLFkc2mBCYDy NF7u4t3FhX0dOL5X0qamdlG4nhe6V1u8QpEepkVLpXEnunB2x18tG8Pl2FHHlmZIvseCJYSo1e0J VJcEvi8pgApU90RtFDkU5TOFKHIxgkM0zjOLDbsibRwzm25kbbGUTGjUGiK8Pd8vmR6dssS7mhco SQRIpaG/UPzoG2CQpN/mUL+AuGuaQhluDzv42SUyiI29n8e2rGXnB5GbF6Ojr7Cn/+tDkBXxtInB QFJ3EckQ356zYKTFzyfUlPT5bEk2bGmGSSF0EZfi13IThMtpahk6VHQ6iaTeGnvZbRHaBQKR+zQr Mho5H6dGeCqFV5o1ktuPYNxZoQqXU0TRgLzD9OECu5nq2m7qLfpgllFe2gLEu9KWF/d06o+HZ07T pMv3xtx/h4BX/oOMSfEQiVhsMsXVFeBhhLvkYzx1zF0slOBCJjTPWAtPwXodGDmnPAHKmaR/UfZo gKl6cBlgyGZkG0M7upWyDcSdvCjEk1BD3ZzQ1R6JUI7By4RB1g8y8JYnGTF62B4oExkOdH15f9RY P01KpoTjoJbnO2VTZpXSLRUzEdysLAHQ4N5yWhkyAyG5hff81dXuQ2hrEClhzaNYlebtacwklZs2 K2XJRzvLqrDc5J6YpKwxFkexXOyOOryDX7cjOOljOmsyWtms5EDOxa6tak4873LXQ+mFK1GAredr hqMzni+wkYTZ52TaDtxvFtV2RKWq4p5Hcmm+BunZJc/KnL4flLiJx/unKlwmDiu3TuaS6Z2Z0jel WHLENAGnSQ3YyEtr/60y0dEuckq/iKiYgRF6UI4nn0Q771G/JXgRED+EfoRVXZuGZdG19+3bZZC0 /sYM9nE3yMyl95pk7h8wkjWrgIVGPjKAlZv+5Z4cbJF58siPJA5JMduh0Kepq8fXSHFJrUzz1FMt i0Zw8Ods8mTI+CLtddqFDfolyQMB/Yz77j8Wdzf0BAiPQhP45SnTINE3+LZAYTxWsLoHwj/CuuCF uwavUKCwZ+xIwfC4I4M3/9TcVSuBjvl1xWQvVU1/wpBfVfloEOlFjJMStIoisiQWSdGIZiAUD/MW +Rz6/ccJrn/CobknZlHy7ItqwHR+SV+pb10v+6Q/F/LFr5iMvX4qHxxRdlBlk3GSYHLdFu7Gwiqf kd0cn80lqRrQTrWebn1SPe2v8mrWgh2hm13G428FBp44jkcaXLSvXVNcypQkazMVBIcQfmcucUJf ykVnEGhuhqePNSH+yqSlSyevuu4dHWj89kmqR4qo3IT7An/yE0NwzN5XzG6VPAtyaNCgR9xnswv9 A+ScSJZa/3JP14jqLis1LI3GMKUH44DQ0SEL4iQoV7Yr8ZmT2bCBlg704W6DGT1OwdbOKn5FrDVM 5MFvp8oy9ZwK8n2h8KDIzCZUYf5z/2kMLHvAvOgmzjy3FlBElQEGaRBNbgEx9iURk6bfRIhyEYd1 ojkzaLpiQoYfcCwal5FJlwABfB0wsEUZu3EQ4Jfdl4iub3ZH9fhW1QiF+QkERlZqvkuiG4ye+ChR NmNuu4RhVD1bLe/6G4LSnS2oFbwNfOSrWRR6lbnD2oClM2PzH/iXnPK07A8A1zK3OwnbO8f/G/5B h+8pBwv+khekOu6jUNc7vCFsUgjC9sFw5RkChTd1EGPYzirLHWlyOPqFCskTm6+MWkOcDtdUbHnn kAJTUIwkF84z6zeadXmVICX50h9upAOg85QNi8HBqorImlgt2t/IXJeD0T8w0A88eR53kS872j+E 21R5efPl9OevGEvuQC7xIf+y4wt5ATEAtNcdiYpZ5bUMalPtvnzdVR6uVXQWO9EFxWPYb0z9WOhW SOoVumfkmebIHKdffiH5whcJBeJ6vpkDCzYgI6bPjB1ToscNM7CQLWf9YOYTHrEH0bWgWRp9iXss mVBp0+Hb5bJ3uNlKy6Bem+LMImAn1J1v9Vwp85rOnLp1GzkNefwuhvtrMWMfST9YxPxW0OqZkuK5 Mc2b3QwKr/nP89WDUsJjHSIr3D3WGw8aCfCZhVITzG7DAJIjNIRiU3vnrWO030UHGFbmUT3Uc6K6 FbeiLJt6tnbh5JYrRJUgsW/Dtnezbg8nOf6tmqzfCc7Uewg77Ce7WJ6JkPyBe29ZDP/LsVbyRmdv Ar9lCVnwU8CRYEQpKLV7HdDt5xHHV22Z94CkWyUONCo5grbSDDEuFtfkMvUng6CliyvLANbSYgG3 600hdAHJ0Loc33NYU/jtWSXVctwXqsq4JWrK1o83z+BSbZvyin3mCDebkV5O/fquP9BBZlcrC/hj uTiAcCVbM/qcMaQGDAZqD2NJapP85DQQiG7Rsue3ChiWlQYNYZFbd/s4yvYEB4TxF0b5h+XojiZ2 ZXAU4slWW9zqYRtfyRi0dJ++6hrzhjOShs10YpGxReqk/F6y/W1mrMzuvTX07C0d43vxe9UYaRP3 jdPB42R/YMZWcyGHX7R809XmJSoDe6otsrjN7Ikv+a7t46SCLJOv7Z6NcTTZLjPLwguWW2gUeOCz QLhNV4tsSg7SRSjHpE1Hkh2L5COb1DpY6IczJaEtBby4nvyPFh78OwFsNQl3JxXTwFiPO6Z7VkOY nCjhU2mtvHk77cJGdT6DuJ0xsW1vI5E4ETX9D3HKfIvxlSHihNBeritoFulR1mrI2PjtZqxRY/lD WWb2NgitldKUbOqIxp6TBjLmm6kU4sHi0vpF4WAj70SV/03syj0oQITwhc1s43o2W3/37vFnNDaH a9f1pazNd2ZgpThVk5h7TxkwZvfhLAKnS272AqnCEk9omrBH4dhRB5RJ4hFH1o41R8PKsSiVZW9c G5F/ja4jA64rQEiYAox3dh6wD5y9mh0v3H4RgHH4cF4LhqdlyW3+fxBCjuE+BMVgATPWQ7be3kOh z6JhKbni4ssGOUK6t6VXUMfQZ/POP0xCyRxz+PBlMEWRrDaSw+NvcVKmKHn9PSeSapitdo4jVuY4 3bOAaa+xZKXVwsj09J1qcwPYqWhX6+1iFsdsiOB0qLa95nUJQ7E/m64mWUqlWipO4uoLOL/fTaQ5 qFEEG+Xv11eCMth1GMFdYuVowU0cL62Z3d0FSDiQsq3lstDrASBFvNocnoKXwTgE+OkToz+H3O7s xmOT3Opa2a6qcUlk/b+i9eMJZo7F/6uZg0aJL27tI7a69yiUyV+75QZVElUIMm9Kk4Vil0z3b2le RREotQN/C0gaDmUzNe7bw+A1NX5L6ljBpDI+i3ZNeHK3TKn2aRpgw/9aKmSD/Lr5KN68Sdn7YaaY HIw2RJVGfRU188Kn0v6vRMHtmSYWo2NTkXo0roKC9zTNqkUmXezulWKBeJKim8fOv7FgavZ919Xv 0VfhcHF1kw/cVQI+r+RQ5Nz+7qGPNx0FvWFzJA3e3h+g35DN879Knyy7phALS9aZ5xuq+nJxwSzg HXZyOpfWuXvtD9t5ljFQj1UTC0opNqdtirZ9ErkbjITNyDTrj7WWpYTFuO9f+x1LnChw8b2DkDyP c66ne2knltXXTONUo9JAo7oS7uw5CqWC79N2oKpzM9I2MWPyo8QFbdtoiLaknL6nI8rmKiZ8mpXh l0Eb0E+2mWA27UaQR6EKjCG8A38EP4CInLwlClKvTz5sdEoYvBLmzC/K/PV4jxtqH8sdiVyZaAWm 50DPP80fn0C5jXh4E/H/+ciMF6YkO7iKNQzJIKxDERXomNk+Xo6wjumzwneXY63LXtMEhaRtXTX4 oc64JCKfE1gOo2hITmtsux44WX2XIha0L56b9dv06nvDZh9nNqGb9rgG9YF7iqlUDb16ZfRmcdTc i35LRBSLg1IjtATJLfbKIrfhBBgvl5uBilKLkxiABiaDD/wE+WWF6IxMX15zG6AY6wDQpjArkMBU vgTUGtB033fFNZTekSJHC0CPY5XtSLYJn3LKB+ZHMGg76JnRP0vNO1Yen7wrUJ9vjgIWz/47GImT IFf90FIgh6/0wmYoQ9LsOcjiQa3JcBdvscSg80UYwgyMq7T8VhfOvsa+vnIAguh5aitL1GX1nNb1 0K/Ug2hBLq6rUd23Uq1n4qtDMy/QXmCMKAl+0d2ag3g4FxiHyrM9QjVSLwuvVVGyt8bsyHY6QU4g FfC0BbRO0EzduOsMhQCIDU6iNhZ4RNO2yiSx/5whvoqR2pX4njNOk0Y3ahb8TF/HmFXbxYv/ofzp qqVyBGU8h4oCTG1bTKcAjoD+lduCiqJ0lx7C47qhXRJuIfZNwQOhxE29mV0GUT0iGz0GcXmiG8vy 32L+x2nnVhQPaxBnFYHLMn5D5vNEp9s6Ljks4YFa302Ya4xA5jdhkA3MIbzN5GcaV4bSQNW3YEFK hmDPRmASA1+9j3Lkfkl53memxs+O73NqEVrtEtnmBHIOlFzOgsZF3TF3znqec/kLpcdahwtVczM8 KgrwNLa9fpuobS+Guq3GafNVCymFWaBYt/mTfWoDX9V64rkdpEwnswz6FljmzCMBqFuI+nQbge2c HQ5ornj6vIVeZmABbpoRxJADjcJPp8UCoe8TvHBx/TJ2YtUijXhRbbxW7ot/xUXCFO+k/o13j1Hr gLShaq4KWhdywInIqg1E3JQc1qEHYFH7toOUAvvfaawYoPvjEAo6m2U6jYuH9gMS7MWuzdvPelQM pMbs4ce41oc3SEOKy880F7NMZFKAl6wOQLxGvJBYellq1xaXVEkTco34FomV8fMVBC7YreWubxVc r+KGGRDlkz9vbOZDMYlr4UBSM6/qCGqCPIpbRTYVuNUGrPOb7MrVNxzkyV/6eMNPocdTkXwGPIUE DZ/gs+5iOK2/Oyko5FqqqlD/1qTls1si4I9Fb4MCDRyGneuAm72zwBaxaC2udeVH3qAsS3u5XSsb IBYb74gfyE5wBWiSnafaqJtvWZAPAOMQejZ6GgofbmEI2rZNIOu8VJBb7nKaMn3bTXfjk3KreZbB YhWFHovjyTYpCYQZo9pG7QoBgcDP23zmElY/K1YbNqGCL6ynoJnKaTqdKH9+FdVx4CDiZDZAy0Qv /W8X/mEFtHzvhEr2FSRrBCbf8gJjtBdkb1GChEPVQcJxFg4LLrd9We1biNS2e/li3XfAY7+pi+ca c3kq61PhBwoG33Fp7tQYMusHAK4JgT48gAbeS0l7fZLAHz5TJfsVVbcd8ZiaVT2hVEzKSwT13sGH 0FFkRDEeQM8zmuYm2WffKPUKIS9DmXsoieCwQwVYmf2cSak+SyuedybILTDHnDDUHIQzDy4U7EBD ZcGPGw2E06h/VplpEHCmumN6JMi2LM0Xc/qQlXFH/7QJdJPyizMj3FkW4ohY+u4Ltm7cDgsaEdct eFMN5Ls4vL+9NJzl1qZrIZwhPfK1kZQwLjoojyjj0X61y+93nFqP5D/ZmF91iCJUr6l6BeDw3Oi4 WF6TTFkg4A7mR6dNSoza+HQUpxEQHdD1ZHIvAoTC/y4dMexr/dXpdshSZOjIHxRbvJe9phqrRqfx zvuqOrnQaUvtgJr8wOIFkhTUikDruaLq4fKybqLEqgEV0XIlJWnJ/bcC9C1/sr+mHtgA1xLKR84P 6WQboUAenPASZmCk38YVmwBFiIMXi1iA3S7r9cpKzEHfA9gswaJ9H1P2rBvW4edOJQYDzGyebZuE SYorvAAgTGPDajeDlD/Mhiu6taJgpL5RfFlr2HzSTi0F06ge2/4Q376GycFivS7L+BYz5IXTS1TJ mhtQsVF6Vnx1S7aelGdTUaDSzBonhs/KsuJh5LQWYHYqFujmeXu9hbHs+NeXxPbmkD/Lwb02b5w+ YRhd2hPiitDnFAtqv7vvRg+wi+Xer/OII9VFMhdXq7kgJhbUFp/aj5aQn8BkYKP23VJMqU2tsapD yDZqPyAOXa0uT/48MqeW++vzaFuGHlcG48w+1qbqeGLT/1w5Np60NS06wH/KsZSzXdW8/NKD8zOW o6G0cYlBn80aTd8EBgBbD1xW6ndrpsqR6T27WfyQdeotjPxTlMj2yRO9jPd1ifnHI7Kdc40Vafl5 KG/lIOR1a0+OzLQAj39cdv94CWJsQ1k0VTpnC8/4zQI71e0YB8jxAoVOFyoB7Y3eO2zs0kNJdaO7 021/D2MkuiorwgoEcgULh5cvtO4uKWUL1YSE0OfiSx1gzDHccY81IUys6eiXRGil3HJX7BJUFsHy p45lGBWdZgPcW2D7GqeLhKA04f1Yi/+1kduULcjcOdsapwhZ8kKSkv8+OaYdc+lX8CprSQH7sSaF Cmmim35Hg9TEFnGC2M0RvBAnmezwzr/4oZ9kbc1hNBQr26vHHKfPddHRONY7mOsOnirDsGGE1go6 syxP56QgkzU7cd92wqwza09d4RoaZYAAknqTqR5T9VS4J4LYAC3JvaSxuojOZmdQXhR4vx/55iIF cCx79EY2MU1eSAzDAfhJ35kgYUqyRLi5PtW21EQ1W2bK2nS460eIWJnjx0WoSmZWphrudw2jACxT vE+VXtUEsxCcEXsaDCi3Xyqwu3POSQhOXn+HZDx/EYIKtEy02ufZCeVD47kdqnLSczXfDLI9YsGI ThXriAPEczTstyvHsSYiaWZYp518V5TXijcxTDtAKojCSuW+zMDrw7Nv7XlTKtw9ZW5+Mdc6or59 NTsLj5l+hHclojirzUSakS+aQXTM5BTyIjIB8mGLhWRv3+X+dhE5FD9uEUeY/LsVysk+5fKBK+Oy Ue617WNiwMSDyEmzmaquvQnqUgqFDmg/OfFClprs0v9o1/apND8OrRH68A6PcjY6KozU98URV3bn b35ib1GXa4bK4WttXkzm3GtN62Jko7r8/M1+BMzz1KbdgQgBoJbGWBCuZ45F2fE8M0GABDnNYsVK uqg6YvWRlclM8tT2e8Or1QFfZ7AXUskUTeRXXPeKpG4lqaErxYgRpepy+Ozxz5YiyqpVdAQfYWuj hxE4E+Nni36jBSxkR1mTjP66/hSK6Rtd7c2xPzOyXWQ5p20a4cvY58B7u73dj3sUxEfeFpPcXbdX JGFI3IJLU/t/cIWqOK/B9ePwuw9Ub3LwMhmAvP6mSQzKIXNCAcM4DqRLrOpj6I+LBzOepvaiy/yB p6Jq6sXmAD8OrIsxdL2lmkPS9shO8w1OfxJKeYC4LMCoeUsnzLYl5Mpn/uo7bmVCjjCivd//f9kc /VeUdy05/LUPmgsOe8rDBuWBAHpFHRddR+GXyxsmKxjWNpYJuS40OAamDI1EqxcAWz1FKWOLc3XU k7YFbBH8aNFNmFv5CefYVDJ4TkW2f0YB7H0oSVI4hDCTzrdP2V9Jhf3OqHYpo1HIT2BG021fLM4q fQ1z9f1daf6d5HpYw58gjyURXwKtvn7GZsa9ZBj9sKhaPoTEu8w3DgGsTBnhrvZDVklK8QUpxLrW UA+zNUevArF0mdyv3btWxZf4c8XivHTW8mDixMi/HquQ2+kVEBEhzmjDR/NOEBzunB3ERMRbJFoB WWOUOfvNSYaU6S8/R2lNMU2zMJVVaYGad5AgmD80pbLOT8ld5nRH2WJrKhHSzT3r21qJguE6/dkq AAZ7nwPIx3SzVHUrF4oIESf7FWN8S4fki3S8f5tnnGiwsrHIeRJI+uQ9pf4PbQLfdVszr1OZIgNg sThROqhWXXFZ9TWVW1jRrreSNjr53dDIPeybitB3PnI7jexpXNeK6C5xg0uKLczeMp5NzvyaGLpm UqbOzwfIyl8TswoYv7xYKhDsU+gwzP1BfBI6FHjx4ptK+LXUMjTnbRVGJLcN9UKho8fN6OshZcTn zRYToKCxu8WAIxsrWrnBL5FvOJeM7Bz40AFpNZ9Yh+HdJCX6G4rP5zHk5jbmkKIBS9fCXpIQ63Us KXIqlROLpRSBZ8625tiro/lXofhKqGu+Aq2YVXADRNa4fgKoN/EtSYq89zzxxfcmWW08XJztrz4y cR/JS/FqmL+jmgDV+xpiFFbm2UtYU+JYG0JXO2Gegma6MxXHV7D0ucf5pyvWxEDyvBlmUu51ChsS SlfeorsEswomNvFNwE23eyq0Kc/wHR/wHjcJGHsqhlwk75nY6Y8YuRLIFD1xpU54ZkUHs7pRd6MV +T+91Odn3kpe19iHXa1Ey2kU/jq1IYVdxykefuVHxMOAU9Fu2KCqApp+FxeabZ51rApNW3h+fF7t lRaZMb8dsp/KJfiLZpeAuTU4HROmoqUhuExio83PALAz+8r1QfnKsLRRJ3Nt9aQ5OQrlMO7Hrdiw RnWq1bN/kxNi+GlM7BsTNzzaDTAxENtwrFDK9KbtpJgwCgvSycGFt5R7fOwfYcWTnTFEcnjJD5n4 syjQC2p6DVePDYarUC+orHC79ZABRjcC+jg+tpRHjxH3b+ENE0TjeGfqPZfapsoc64q4PkYhl8Oy G46MSromr2rHvzm727IuuYEenwHihz0G+eBSIGs1zaIzSsXixmAWpiCWk+nwZ4wEM6TQj0zSrQ4/ hRdUWKGUr18TjezpTgSnDfYn4Gb4SQBm0ol/oMdTwPS7uqG5dtI2JRNiLTX+WjQNw2FrfWY0yMTn Ny4AK3CuIpQ1mJJ0zvMzGg== `protect end_protected
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc1655.vhd,v 1.2 2001-10-26 16:29:42 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- package c09s00b00x00p05n01i01655pkg is procedure cpc (constant loc : string); end c09s00b00x00p05n01i01655pkg; package body c09s00b00x00p05n01i01655pkg is procedure cpc (constant loc : string) is -- concurrent procedure begin assert false report "Concurrent procedure called from " & loc severity note ; end cpc; end c09s00b00x00p05n01i01655pkg; use work.c09s00b00x00p05n01i01655pkg.all; entity c09s00b00x00p05n01i01655ent_a is port (signal pi : in bit; signal po : out bit ); begin cas : assert false report "Labeled concurrent assert called from component." severity note ; cpcc : cpc("component entity"); ppsc : -- passive process stmt process (pi) begin assert false report "Passive process can be labeled in component." severity note ; end process; end c09s00b00x00p05n01i01655ent_a; architecture c09s00b00x00p05n01i01655arch_a of c09s00b00x00p05n01i01655ent_a is begin cpc("component architecture"); end; use work.c09s00b00x00p05n01i01655pkg.all, work.c09s00b00x00p05n01i01655ent_a; ENTITY c09s00b00x00p05n01i01655ent IS port (signal pi : in bit; signal po : out bit ); begin cas : assert false report "Labeled concurrent assert called from entity." severity note ; cpce : cpc("entity."); ppse : -- passive process stmt process (pi) begin assert false report "Passive process can be labeled in entity." severity note ; end process; END c09s00b00x00p05n01i01655ent; ARCHITECTURE c09s00b00x00p05n01i01655arch OF c09s00b00x00p05n01i01655ent IS signal lab_sig : boolean := true; component comp port (signal pi : in bit; signal po : out bit ); end component; -- comp for lcia : comp use entity work.c09s00b00x00p05n01i01655ent_a(c09s00b00x00p05n01i01655arch_a) port map (pi, po); BEGIN casa : assert false report "Labeled concurrent assert called from architecture." severity note ; cpca : cpc("architecture."); ppsa : process (pi) begin assert false report "Passive process can be labeled in architecture." severity note ; end process; lba: block begin cpcb : cpc("block."); casb : assert false report "Labeled concurrent assert called from labeled block." severity note ; end block lba; csa : lab_sig <= false; assert lab_sig report "Labeled concurrent signal assignment executed in architecture." severity note ; lcia : comp port map (pi => pi, po => po); TESTING: PROCESS BEGIN assert FALSE report "***PASSED TEST: c09s00b00x00p05n01i01655 - This test need manual check to the ASSERTION statement." severity NOTE; wait; END PROCESS TESTING; END c09s00b00x00p05n01i01655arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc1655.vhd,v 1.2 2001-10-26 16:29:42 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- package c09s00b00x00p05n01i01655pkg is procedure cpc (constant loc : string); end c09s00b00x00p05n01i01655pkg; package body c09s00b00x00p05n01i01655pkg is procedure cpc (constant loc : string) is -- concurrent procedure begin assert false report "Concurrent procedure called from " & loc severity note ; end cpc; end c09s00b00x00p05n01i01655pkg; use work.c09s00b00x00p05n01i01655pkg.all; entity c09s00b00x00p05n01i01655ent_a is port (signal pi : in bit; signal po : out bit ); begin cas : assert false report "Labeled concurrent assert called from component." severity note ; cpcc : cpc("component entity"); ppsc : -- passive process stmt process (pi) begin assert false report "Passive process can be labeled in component." severity note ; end process; end c09s00b00x00p05n01i01655ent_a; architecture c09s00b00x00p05n01i01655arch_a of c09s00b00x00p05n01i01655ent_a is begin cpc("component architecture"); end; use work.c09s00b00x00p05n01i01655pkg.all, work.c09s00b00x00p05n01i01655ent_a; ENTITY c09s00b00x00p05n01i01655ent IS port (signal pi : in bit; signal po : out bit ); begin cas : assert false report "Labeled concurrent assert called from entity." severity note ; cpce : cpc("entity."); ppse : -- passive process stmt process (pi) begin assert false report "Passive process can be labeled in entity." severity note ; end process; END c09s00b00x00p05n01i01655ent; ARCHITECTURE c09s00b00x00p05n01i01655arch OF c09s00b00x00p05n01i01655ent IS signal lab_sig : boolean := true; component comp port (signal pi : in bit; signal po : out bit ); end component; -- comp for lcia : comp use entity work.c09s00b00x00p05n01i01655ent_a(c09s00b00x00p05n01i01655arch_a) port map (pi, po); BEGIN casa : assert false report "Labeled concurrent assert called from architecture." severity note ; cpca : cpc("architecture."); ppsa : process (pi) begin assert false report "Passive process can be labeled in architecture." severity note ; end process; lba: block begin cpcb : cpc("block."); casb : assert false report "Labeled concurrent assert called from labeled block." severity note ; end block lba; csa : lab_sig <= false; assert lab_sig report "Labeled concurrent signal assignment executed in architecture." severity note ; lcia : comp port map (pi => pi, po => po); TESTING: PROCESS BEGIN assert FALSE report "***PASSED TEST: c09s00b00x00p05n01i01655 - This test need manual check to the ASSERTION statement." severity NOTE; wait; END PROCESS TESTING; END c09s00b00x00p05n01i01655arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc1655.vhd,v 1.2 2001-10-26 16:29:42 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- package c09s00b00x00p05n01i01655pkg is procedure cpc (constant loc : string); end c09s00b00x00p05n01i01655pkg; package body c09s00b00x00p05n01i01655pkg is procedure cpc (constant loc : string) is -- concurrent procedure begin assert false report "Concurrent procedure called from " & loc severity note ; end cpc; end c09s00b00x00p05n01i01655pkg; use work.c09s00b00x00p05n01i01655pkg.all; entity c09s00b00x00p05n01i01655ent_a is port (signal pi : in bit; signal po : out bit ); begin cas : assert false report "Labeled concurrent assert called from component." severity note ; cpcc : cpc("component entity"); ppsc : -- passive process stmt process (pi) begin assert false report "Passive process can be labeled in component." severity note ; end process; end c09s00b00x00p05n01i01655ent_a; architecture c09s00b00x00p05n01i01655arch_a of c09s00b00x00p05n01i01655ent_a is begin cpc("component architecture"); end; use work.c09s00b00x00p05n01i01655pkg.all, work.c09s00b00x00p05n01i01655ent_a; ENTITY c09s00b00x00p05n01i01655ent IS port (signal pi : in bit; signal po : out bit ); begin cas : assert false report "Labeled concurrent assert called from entity." severity note ; cpce : cpc("entity."); ppse : -- passive process stmt process (pi) begin assert false report "Passive process can be labeled in entity." severity note ; end process; END c09s00b00x00p05n01i01655ent; ARCHITECTURE c09s00b00x00p05n01i01655arch OF c09s00b00x00p05n01i01655ent IS signal lab_sig : boolean := true; component comp port (signal pi : in bit; signal po : out bit ); end component; -- comp for lcia : comp use entity work.c09s00b00x00p05n01i01655ent_a(c09s00b00x00p05n01i01655arch_a) port map (pi, po); BEGIN casa : assert false report "Labeled concurrent assert called from architecture." severity note ; cpca : cpc("architecture."); ppsa : process (pi) begin assert false report "Passive process can be labeled in architecture." severity note ; end process; lba: block begin cpcb : cpc("block."); casb : assert false report "Labeled concurrent assert called from labeled block." severity note ; end block lba; csa : lab_sig <= false; assert lab_sig report "Labeled concurrent signal assignment executed in architecture." severity note ; lcia : comp port map (pi => pi, po => po); TESTING: PROCESS BEGIN assert FALSE report "***PASSED TEST: c09s00b00x00p05n01i01655 - This test need manual check to the ASSERTION statement." severity NOTE; wait; END PROCESS TESTING; END c09s00b00x00p05n01i01655arch;
---------------------------------------------------------------------------------- --this merges the data from different streams onto the serdes interface ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use ieee.numeric_std.all; entity data_merge is port( pclk : in std_logic; reset : in std_logic; data_rdy_pcie : in std_logic; --this is generated from the SPI interface. Here we must sample this line using 50MHz clock vsync_o : out std_logic; stream1 : in std_logic_vector(15 downto 0); stream2 : in std_logic_vector(15 downto 0); stream3 : in std_logic_vector(15 downto 0); stream4 : in std_logic_vector(15 downto 0); dout_o : out std_logic_vector(7 downto 0) ); end data_merge; architecture Behavioral of data_merge is signal pclk_data_rdy_pcie : std_logic; --pclk synced data_rdy_pcie signal signal dout, dout_next : std_logic_vector(7 downto 0); --digital output signal vsync, vsync_next : std_logic; type merge_state_type is (IDLE, S1MSB, S1LSB, S2MSB, S2LSB, S3MSB, S3LSB, S4MSB, S4LSB, WAITLOW); --state machine definition: signal merge_state, merge_state_next : merge_state_type; signal sm_cnt, sm_cnt_next : unsigned(3 downto 0); begin --signal assignment vsync_o <= vsync; dout_o <= dout; --vsync triggers the data spliting process process(reset, merge_state, pclk) begin if (reset='1') then merge_state <= IDLE; dout <= (others=>'0'); vsync <= '0'; sm_cnt <= (others=>'0'); elsif (rising_edge(pclk)) then merge_state <= merge_state_next; dout <= dout_next; vsync <= vsync_next; sm_cnt <= sm_cnt_next; end if; end process; --next states process(reset, merge_state, data_rdy_pcie, sm_cnt, dout, stream1, stream2, stream3, stream4) begin case merge_state is when IDLE => if data_rdy_pcie = '1' then merge_state_next <= S1MSB; else merge_state_next <= IDLE; end if; dout_next <= dout; vsync_next <= '0'; sm_cnt_next <= (others=>'0'); when S1MSB => merge_state_next <= S1LSB; dout_next <= stream1(15 downto 8); vsync_next <= '1'; sm_cnt_next <= (others=>'0'); when S1LSB => merge_state_next <= S2MSB; dout_next <= stream1(7 downto 0); vsync_next <= '1'; sm_cnt_next <= (others=>'0'); when S2MSB => merge_state_next <= S2LSB; dout_next <= stream2(15 downto 8); vsync_next <= '1'; sm_cnt_next <= (others=>'0'); when S2LSB => merge_state_next <= S3MSB; dout_next <= stream2(7 downto 0); vsync_next <= '1'; sm_cnt_next <= (others=>'0'); when S3MSB => merge_state_next <= S3LSB; dout_next <= stream3(15 downto 8); vsync_next <= '1'; sm_cnt_next <= (others=>'0'); when S3LSB => merge_state_next <= S4MSB; dout_next <= stream3(7 downto 0); vsync_next <= '1'; sm_cnt_next <= (others=>'0'); when S4MSB => merge_state_next <= S4LSB; dout_next <= stream4(15 downto 8); vsync_next <= '1'; sm_cnt_next <= (others=>'0'); when S4LSB => merge_state_next <= WAITLOW; dout_next <= stream4(7 downto 0); vsync_next <= '1'; sm_cnt_next <= (others=>'0'); when WAITLOW => if data_rdy_pcie = '0' then if sm_cnt >= 10 then merge_state_next <= IDLE; vsync_next <= '0'; sm_cnt_next <= (others=>'0'); else sm_cnt_next <= sm_cnt + 1; vsync_next <= '1'; merge_state_next <= WAITLOW; end if; else merge_state_next <= WAITLOW; vsync_next <= '1'; sm_cnt_next <= sm_cnt; end if; dout_next <= (others=>'0'); --sm_cnt_next <= (others=>'0'); end case; end process; end Behavioral;
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block L2gsjzY6Or6kQRtJYL7z7DAD7cL0/1ODItsRnUmxYsekl0/G4w06nvHhI7UkdQXKSOFsHoVFyUP0 bbLO0nQXUw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block DnQZkdj8mckLzg7Kl2bQBkDE3vC+lhWbA6/8z3nLYhf63+cbvipw3ETQigCrdTDrOMQQhFb782Bq 8FVT4Y19bagvyIY53xJOcXZEZhZShEGbs2DkjAJ0xsTmmfyse+JoDbV2x0GNuxQQ4GIxOAaDUtzr W0RSSkhime930yG1vgg= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block VtVVj9bhSKBYXUGiicyYSyEp65F7uTP+waqZB/mTiMNzt5P4CZQwRmCg909X4KkI0Ay/st2KDS/E m73qgaJxIG8CqVrXB4yp7tf4eQiZA8++3LlEhIg/PAwzNZaOQhMb8qlZHd92q0vGwjU58cOcDDWM 8D2w3bEC14nn4DGN578BAU3w9un6DimyP6n//VMSSXIKe9BEyacrBKQjiC1rEshnkEjSxfy//t9U FYbXF3vpk7r/aJyPZIuo7NvnqYlZ20VXkiIGODQsO9ReRxof36vpwooK82u7jSdxRii7LI/YcMo5 eSojQrUbFGmvJCE+7gOLxYavS8gN0sE3GFowkA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block FGmwhgLFDVM3pv1zA5ucZCoI1ohx0a0KPvuzQdEX8x7MV11JhFalstz6uE2Ye8ytDYwR9Y9IpTQ+ X2jwFfVyIGkm3TJMzofJ/Jls6qY4ICbEjRwCNyR1wPB5qO0KeL3ydVp3bm9fGf0xDNfx/Ix5NS5o i3pMqKBpHsrH1eKSwQ4= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block C3zKi0mx8nZSyYtKTLeFtfbry765vLRErj53p2F5Vst5SlL0HhtJrypBbztqvUx1JLfbY/InbPhB nipko311jyUbJOuW9W9BXGK9h5iRNg6SFmzGBqdk79DbNiLh0MTWrWAbgL4KDUEaGUEGX1XXuG1N gX2oRjZw21/8fqJaoWcbI4UEEqioYOWWMWeiF5lPzTAnChzE+1TMtGLC7oBIPBuK0x1sPedEcSBR qcqiU5441Sp0Tr5hL/Fv5bwmDMdPNN068qpWOSp6KNLjjdSk2abi8eudrWdG4tM+BLd4VyUQz9Gh OejVZlqYusIKdb67kFs4ov0vAhegnnmDfU9Nzw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 7856) `protect data_block 6nYkoWvhPRWeS6nN3GWaE08l18ImewZ3C1g9h6fMjJY65mLXtmQR2pH4wvIMxzNM7icz8TJPOUAW qCSeajo/uK7pe0wwxdIApFlNqs28wvQhwN5J4eT8Zp3XF23TyK0iwShYKcCy/ngCePLPELnlOhdK UNzhoHybAzRD6FdWEJc9ykEPQeSMwUMj9c8UAdOFr4wyy//q9H07+icdQ/WNQs7GjWjItL+NmEe1 5+ETFy72KlYz4gaUZ1uugcs2xL70AxjUkQyCPmiNuDamzdYanzbOvGo9jIY1Mdmz1MNtQXIBSQ6D y2ffVkfias4O4695LIwTTCk2tr3ZpZE7wR6p8GtQyeP/y1ZyBN9KCqBe+vi2ZvPjGoPc4ShPp2p5 l4QjLlY8o///4POwyeC5mTNl/DplCPeCA0/WWSBS4HUV81e9qBoRMlyLPxOnwiVcgVccUIsAR1sR ZLbIfrDo4B03Kpx159+PmmcWZVS14jRLp5tmus76j8pBn6lzMCDxtaZNCSBUzpu0Ml8P2OwSf++Y W8yCvTbnLdNzqmAcmmSVVf6tJb1DMqjNKdC0QM+jjbhJC645Yr53xAn++pcV8zaw0yO8ZOySCBhD xJoza1DR3Fk3UOPlS7LFDtyEuIQu9QYc6mri6bSI3zinCbDKZDyQFXWrYJk3eAOHHOpPBW0G1Im2 ZAAUR7nGfe9/UTGnWBdqmbWBbvM2Th9pNzgETqVzfVaa+HbmwxkgzWLx9TfQcWHWjhmqVT02d4YS FG1oZIJYG6XA9wC+pkq/uBRX2ZGumNZfn0ITOnnXKXrbveDCaPmlcc2aFDQjnyrJC8A/fOnF7QkL OoV8n45lMl8wLykwo7Ja1VyopkP4Kh8Y7VlFyMyZ8Z6Gn7gGiQD4JSKEpQgXXe6meqb3SRRJR8ys eeA9H+JELtSP32QX02c5ypUR6+r2O45V/ZQMZizafGZ88OK0PgroOQrodqQKGcyrTIpQJA7pmgyT vLmKeNVVhOOt4dxVs5cvtR4YJW4M4C5NQeLtoyjrVFUT6DkBX7ailcUofgdE3edn+p8tw0Q2XLI0 WnnoEB3GqJhXfQufepRuQCfHdZ6ITfOI8Xp+tL+et/uoTLihTf1wRc5y8rn97iAaoRNG9hjUbxvV O7br/++WH7G+1axkgSU/XHbnJTcIZT3DCcjEmEe/KmQSyn3QqRGMxtsQ1OXb0gjJ7mxjkr48SVLG WNvLEwwoEHfWoNlvFEjnCCg5r1lt0TnFJSRF4mUpitaIpwn8hjhtSSfYBFKyuRnkPTJsqqI9u0Vn SCsClAJ7wb+6vwWiWUVy7apmO7VXB9wmR0eokn2vVTWm8TbwlHeLnIvof2OxdDkFvctDAQxrHnxI UA/xvdZHEBX6feekfWbYIbM1zVqPAuMILT+LLDjLbPa27zM21Ot8ECFC2fboLUJQlCqnyRhQ6oiF /bMFSldHE/nmWmGLJ8mojFF8xSKO2AYvZoii+ENBRroCi4TwuhXZ7YpVVIvTRAsAl6Gned5OVQh3 bNwCAVJ6JSdiIk6H8YBCBsT1zwhh8bzd2XX72B07sMXA2k8x39Zu3LrG34g6QnUtFexXNKyQtpW0 XhuEkGOOcKf2UWCjLX7FTKXDrDyLE3ZMrGCpIbj4MYydIWtKc+c7lPPP3maBQy6rCOmt65CwEgXh GRQbFdeEezKSql7uYOCQJKiri3pZ52WWttWfQBpFNYejih3O0jWh2YaB3lAWKflRk/QbV05Ypk9w gOqXl7t+O9esxsnII+wuv+/8IhQW4LgFykozj9ZqJTODbi1BmaFPuEWYTDz0NL7Q05mqDXpDwLBS FnpiprR5tANbE+nssFtFZ77alBKsNh/lD+LviwEm38wCQ1hhT//8mHdX9mQEugDroIuRad8iu+la xghMkFM03gS95WFs46MsSDhoNFadyu14DiYr2uYqnH/d27iKxOnWawU5srM1DYXHI7mil8jZkL5w vgI1msF61KcpI5swFJFOUtcfVDKBBL8/y0uT6wWF540v+5G6xowAlUNpmRo6JwJ3KOJ+5rhyJlzR 5D6/i/g1ImOJS3q+hF2g/ziLjr8zAfOdpzJUp18I9ZFs/TNEEDE67cM3Pvn6XBzHYcGBeZ7DKzLy ORUQj+F1Ly+M4yPOWCs2MNs4tBfUg4NIeYr7GYdk/UXEd5+j5+Tp8hE+qqpW3sP+J1Io2+Ty/QFL nUFq3a28owL6GogLcR6cMfdL81R1ULKXp79vd/2j4VxOCmVsP0yu5kxDKVd0nnHZk9TO35QE5RDv 3vwsXG7yIeU2vkmDg9JMD2VB+14wK5+P7PUs9fUDq9ZCvVyg72yK5L6k52mXiUv4Xhh8nxOrM8os tl/29243oeZQr5HIUrkM3LG/qVzEAcsINpvhmPuVYwRZYcquqhatDGXxUcp67Fk8aacxj/Pfqm5c G5gpR9OWAoFHam10YboNCoz+n8gb4Z85hSKBlpcy13rdm/+7f1n4KhN8PoEATS67gCPUWiVRcknf ML2J6rTz7/Qr70Tl0RIUTh/TidKRxfqv1ZAQa1nbpPfEcG3PRq62g8gJjsMmNcNZZT/lRmFjB8tX N7aAq4rl5Y7QiYRvNBToylwQKDSAa4wGFGnIg9LrUllvkgbPq7Ahg8wkamFt85yjxZKhBIxbD70W qtzxvhyspuNebZDssmhle6ebkPQ1zK/KXUSMLvJ7Ci2R4KcN7xV9igQzXLLgv0AFa4HYNuDANCfs WDVpePV8L4tfVt2BDaBt5R8Bo2C0MzHDRk2g180egtjWKAf2OtdEjSNoru+9FY7cgUyGya1v9rHg shpBXhlOkATbsEMDAsIsT7bpJrp1EeGQwdZgc6TTOhWssryXuaoRL5EskWLs5SjsVSUGyaPgR3p1 hqG4tbD88fPoXSRUFmFjSnaXLbnqxrwbGY4m837XzDbu7ZWJWf+A/hH1s/roL8hW3vbmKMrGEACl slTdlKm6XOGGzKJAttjaTox3vJ+Za/CqptI9JVFlFiqebYmIBZZXWA0NjQ5EVZedXzy/TKPXaWVQ OenymVV+aExOLb34dXmnAZ0Qy1PM8DYWqK9JALNeLb3QecxoC81X5cQF+usi5073zVM7Gzn+OG6a 4egOt9jxV1b22MrVz7gmxj+sIL2DUjtW/nSI3MmMgNdVfhVjWAEYQ4FIZsQEQ9Cgrgad2Op8fLhE IQ2bQrJo/6skljbTFeKl+hzByGh6EVhNQ7hFElZNrT1nKzVYHX0QkMilSnqdAhc5XrF6wCKgE8W4 KnAN1jP+8CFBChz7pAE/VBuQ6TZspVZ9939POazKnQRnjVzwoK3mT3mxH3T7AGe08rXVMFyKOo4x l0CF0xiOfLB/i66h6kYq986+UtZbLHQf5fuO4dKd+ZEFQQngv6zQINcSQA3HqDIvuWO6agIZ9F01 pSFXfQRet27PV9iJFXcP6aMzPz2Xe2WH9e2/guH9Aqsf88bRwwX66LJRSNyd2EppSxARDU+q5PKP Qpn+qSFT8UYQHuWDTNE+ztDa7XxbF/9/mhtafKxnlmrAQpBjfCvXp3eBsumDGNsJrODt25wu+jb5 CthNDVr/mJ+pFsfSXZeDe9AZIByL1PKiEL4Kj21zMCJWnk8Dp/wtMvMq9waUSwtl5nGHwl/UCrbJ OwDHoC670AMZFYLUL5oTyJwBoFJ6nh5Hwf/SVaGz0GAku68pspA24eQf6FgY2P82SNhExeAsoOiU Tiga9bbLcQSrapNdZynnc9CpIimi7O92SEQvSjvEbSUpVlCsrd1TzNxEKqPSXWkkh3USoGnk4GrY t4ZkxFApeYmKAuOh64RcvgMYX0S83qHzjqwEZKT1l7L5rz4LCxKpzI0tDdfRWNjLLQ+cIpZ2HARL YYamDQFi5ecub3yQw4ICNA08rdgtWTQgs6ZOcJMKpf3lmYjRztk6c5w+lJiCp636wIC/IpWINDOJ 5ze0fvA9VFASapvKY4dL6UHE3TyuNIdXLbqml17UCgXr8aazfmzDStUfvUcKeuhqUwct9KKO+pIO 1Uh2SOO1ahHzgtc2nTMInXRSewwLLaSmuHwoH8njLQUxot7jgnolhRkTROCqAzxS1cn1Gl3BPrU+ +2khSjmXVc/LvIxEIhfekX29nXHxUjpc8/bT71swivR9xrPQiFTDWr6pkKjJxs3lUh9UCQmO1/28 K7RJh9jMuK1LL6R5Sri8AfbmXIyqt01EUGFhAiZw8d04cnHDUDE9R9nJ+0SGL7d2adUkudKY1+9v laDcGLtoJ7fWI924omAUBVTniYDUdvhJf+Yc4o1Ky93vPZBStvhYjwJN4WumJZ0rHHBZt7SlpEg4 4Bpz1mCRKaGM5JbYnewZ4pMctj/7rRMn+XWzO0+YHug9NgaWNNwQHaH04DARPZgoopObXWfwOYZt wkjjcKe2j7Py0BTnsZn74pNf16EdyceqCtAnXMpHmjz2IY0KNpU7spArAuY0gOlAJ22+juUykXlq qMvrZ0wP3S2soyTHNyreFTBnzJ2ccVTj3V8sWsZdVYqwx5guV4eW1aCltepkSMn3cA2Do3sCjNFJ 58FXAxGXI9DC71kcjhnuGk9SLJNfkeW9+dfAkpjMrGIDnX/XVjXJB14Zycq438uIY2LV3eUg1wTs 9ji8Y7qHKhufAaUZLOBZ20AbQqub3z4i+3ewjDzJ8WyYl9KXGYjwH3nsxt5z0dGgg8xiLgaPnCCa JOZ66GZQ/xDgmWh41crCzLXzinQmvwOKQhcx7ErDKyzD6X+EU+j9A+BRMOXPHgQANP795SoLQQM8 +zi8yuJGwFun8eFi84pTLbNmXK/xmDa9wI+UFPG6nx0oAhlfb5U2DodBJ3wqLrMDuMzXgW1lpWL9 6bQmhgD4mIcqdXKzp+Cb7gdallXASNzmLST93z00QDT93MeqNRdXjaEw5eUeSvjeb6nIb+8JgKut jOw8KIqrfAeJQqfzW0l+PAN/FfFVyNpsnWDh6jt6YG5/X6t+T8ZdquSLcQiyt4Seob1YpwBmKdsE y2ofJbEAgov4oa6TPjpy5hOYwUd265Qrc1hiY88p3Z1B/3RaTsyvwJKmLXacvsS0O+iXfQAS0mj5 rfebLN5uwHHpJz+CFx9tRLoS8Lva36QmjRntdCanaNUARLou0DLZ4r804w+j245fsYL8F3UJckZ8 PiHAFunmZ5LFc81tfrudSMTCHCDeeLslqAzRAChSCo6GteGrAy61yV53VZgI38n1cGDzhPUd5vgE 8Pi0KFcrVwC+aW/vGrT+8jO41RtU4x18PLh/lQ2NUI5RX22L6pGeSBnXSlfJXWx3+s7AIbezV8gn OJPbZV4aCQN4lzQhT9i2cB5SrwYxMr3BI8ML6o5Mp9JvtQEmQiYWetzEHj8fg/5MwbSQusNyI6I5 DieT9tpqriA62ms0zhgYh77AFczoMhUbz3p3fm4edUTZ9KjrI4I6M+xE3c4OATXytbrVSs9CDoTu ashnaeBxfZBbemBxlAfXlkZo3TEntqAL20q1hcv4mePD8eOf/x4f9F+c8SZkVSps2/0/WAFB/3aD 9txp1ogZMWVxiDdtHbfnOtSMI2/z86k8Vyrf4eQde4hH1z3LLBFfJ+CfFH4X+aaBC9BPJkiC0gL7 n7c+ST39GPH4lduJxfuHfgXRpyH1NjnnlKBBEDHxZpr7MccRNIubrqXqHznyoqElO/Cjlv08MYFo +eg/a0MmOHRlUo+TZzpDteMwOFZnoaCVRb/RZ/GmE24FWByr7k7W0s9Ia8WTxaRsCxH/jIPMfR9Q eB3mF4vkm8p7VAxwsCMab7EaXqR27ZQvYbe0UHt/Af3H6dgAXcqf8xSuJ2TtRop1R3AYw9WVMrAZ PjT+2DfcHw2y1taCRBk0NUa9yd6FxD5d53Tm7iQJe7hJT3Tf2qIij6jrr1wQSm3hXOKByhqNHE4c JEop66qniNrMUKqCdWjpb5Dekgo+mxoWEJRN3SRYTz/2h9ZPd8q0HZjxRezPFi4LNAYa1raxxaA0 4tvN7wQ0lxjhfaSD8Kn47QW0a8k25Dpwx2yhga3fCT4+pKl7h4A1Mibf9oe8FAAEZXTepxbbg5aF h+Xypnup/gfgq6iMlnPxR9z5K6AKFsFXv1YgrMYTPSC7zJFfa9EUUK42rd7q98wr5bEukDOsExIZ tz/vc5WysYyD7EUKBohMdYgAPJJXWigR6/IM0NevHcZlxleHsZpyQ8oT1P1Fj4Wre5HqGzJ8FTTL h+i9qsxVzcqvftLMc99e2I7irELrrw9dzA+j0PdTXUsAeBFd3Il+2D2BoozbaiV/EuOMJMWxgmmU alGR1UHT5yIVaobg/eqpBBRB3KeJghB30UNs9ME/4YjwIcf4c5ssydwbP6keKmcJqP2nnpR350GJ n1P4AdkdmVrlsDQtSWbJgYdfspqzsSvFTq2VGm/P+x7i016xUO8vecrVnTIAyxmQcSP0hoBznK2Y A0uhZUtrhMd/F2LZslUGSVnUIL5xz4+MpAV3fUXiHS6vGu0dOUJjVkf9ZyLYIjdSz136Kvd02Wb0 oI21KFlmc1NOpEmrADQaj/b62qn4/YB7sI+7OP+CT88148Jzl5K5TRBTQCzOYLlUU92wBZsUiChj /F29w3m62JHZ75eTpbj20Mq61xOkKx0bBmdlS0b1Pu7dHdy+MnpQwoVNI2TSayuooIF+YSst5+GW +6/eR+QfrROAYN/7zwHhoUdipEWR0yeZN/p4EA56yE8RKdMLpqYpZZ636c9OtyGita+y07xVRyxo wjgpB3POWBlKwfsb8kyw+OITKJfvWWqWrIdBPEgMKQ6kuMQ5O3I+W4Xc/6Ed6Od/MkVWsK6K3hEj 6b7QPInfLvENX50Ill38+Y3GklZG6G2y2FPxJlpNObsOHBjuC1+8LFN5R99vGFTcfJipg9Rs6O9L JNykgXH1t1UMG94WbTNVEmAqWnIwk2QkKHzGznFSYovnPz538V/sgObz5nQygznB80NfEgAdP8q4 PY6evvUQPyEGILknmtlN3dGrESWBFszHvmhQ9vRri53BvRMaEjcVFxAsNGzllGzElvAQ8MME/UDP lsd5y26uKhMIMPhkcAJIh001KBlMjWoem2aof+CYGhEvud23k8F2xgQ3qgzjNNsxq7smC2phCDBt SLd3OCNXnugGm2UD+cy6K/PrTG5hVeudlh/hoJTAGlECrUEM6oDn9GT5A0yvopPCr85qpBfhw49c A9WKzJ+1QBS9G6QkL1Q9NvfDDCFUFLKCmCacnvkkBPEY0UNkJ11j5a+97Nrdcsx1zpwRBSttVJcK u5G19/MAe3SwdrAkwDoaGoStOmcts3/wwFS5WgUt75yDdkzl5WFV7VC5F+whfMMaI/xsVlEDGrb6 ULIOWqeSPFS0PLzY+u/qRouBh6DI9RMaexAml2ZBjNIMSEdnmU2vaKi9CSHRPlM+q5oyo4E+73xY P5skCysT/geE1Tgshs+gmUpldE28RDS3a7rF6Ck3myeRXYVW5EM2YwQfaPTTsNegjOGifIhTVLhh lLxkwTgjM0CDHskoVHR7AyESkok02E2paLekL5A3FmYjBPepRNFAynfbANnsGWE9Mpe78L1o2C8t N3nERsckz5WyPDCHNCQvxXWX44yIoV5f4cCIDMGAOTkBKTvdy8LxM8ohNOCv7oJSeQX19CyKgxLW L4mC3wknvjb8GlVWkZCCoFxntwGsFHAhMvErE9FiY3IMau2qU1bdOReWMvhvs9wZorJKkeM0I7kF m+yNK9ebwtNT4je2sGQ0i9UGX+ZI/fqbC69KWlDftjv37CXg1sWxyQYX0acBOfE50iq3y3T+59vB gtdMKLAkh3lIfcmwLN0CdWRTdfYtd8nReJhxABQQUDNnnRlLI583PohYAd+i3BVo5IvJKpMLFKlY qN+/Gr+vltLqbxg6vzk7mpooQdYW5LCafqr7sjEfgMo3h7nhZGsq+lTyHvX3gnJCITtfjnD1BAWz O1Zd71LPoiSM8UICNCaAU5vXKnVlgmMbnslNHrQ8Nk5lNK7xNxlFEZRULMgjVJVZkNIzt1qnxepJ Y2iWuAB2LGojH+9ybE47WwReGnV3PDOqhs/xgk/hOjKUaBVJFN4HuSgZ3UN8BghlVi2W+pYEXqgz np0AchFRrxZsBE/D0tiu1BP7kNXzDzC6oq8YHDj06tBgutq9Q92jm5DdRLsctElGBCaqcOhSrDzC KyN0vy0/iK+AW5NNk5mR1VQGB5FEhEAOpp38LPAAnvdeapFuN+j2TqZqctCnsVn8J/DHaegsEwCN AOP3Y51T2tzCw5vasmaJTvhUinIR5PzGCgeFqU/3/uCzFSNpsgJwgV8gGPSalF/vySwUpsWq5BV7 ylnn5NuYRTs669brxs7zKTvjpn3V9AUDE8jp+0Qy/LTb7ucKzNDI95LrVICD0UVi6G4//CFerqbc Z5yqI2XlaJYu/VFfEjZXRVk4ndiab69ltlXRP96qN4b6cDgZRa4OMeRWg3QTfewp9BVmHG3UejhL aTSKKz9LmFqyxevrjJsScfgzQ8xIsp4WvF7BQmGShS84BjaV5EZstPf35I+woViLeQ5BtRiScxUo Vd6hF5Xu6jGT3zyOnqX1i0ZC3QgLzITXRZOrvDvgl1jQC8CNGuaqHJr/PtD0I2vccGsi6ztMFiSr lxnZheacKzKzX1lgVtC/i61oGCw+7rtJeF9b5ATkVkvdkhqHTeuxPnpQdghhYkie0GzqELahtjUI 2tUsfTUxzXfQo8M0wHKKWED1eEG3bqDC/oP5UcZWUAoItW5LL5SvRNy+brEyAyvW2FHLsGKNlCYk sbn9HKC835sqgIMgHucVyerhv0piJWo/shAifLWksMnW8rSrUTXuZI94FYuWbiuhu5QRX09o/XwM 5dxMXQEy+uOzFN5LcwMfTPE2yfs6fXJTXfSNojRyclY28jvVFsS2Xipv0jiOH6Cx3spHAKHW0se3 m9BGK6zgGnTLpte7YE3h49RJDp5ub/gPj5BpikPI1UWTUeTrsET3LJWDYgpqYllCrtbS+AMK0jSk bvA6at7iT31DymZnDaA43MyWZOVfMr7i/fzdTrBq0K7iLekO/vQ+XRpgxyx6RW0G/XlfWXy3mjgo 9AHhHkCgbTL3YNgm8G6JAv2X6c+4jftHfu8tvP8dlIXvSknQzilzVlCRomOdlJUE8kcn63fGzdgU ui6l6RkvLOCLiKUhdMp+AF9wsjYMHiwoyRs8jaqjzJLJsh4cMarql9YC7E30HdWAdIM+pyyi6aDs ZS058N3QUBc8y6/4Z3dfs+ZpqNM1Vg6DfnVVCICtM7AuROyxCZp8uGJne+waHV5dHdjsV2Di0ii1 vL+f4gqfptmJLQDg2R962VMDWpG4YoCPxmHxJu1AwCwvKQ/ixdlEa/8sXPI9fIvUW10MI6osI694 ljF+qVS7bPcA8eyQGZArSToj76Dj6OjeUVfyItlr5jwhZZk4yHVxUh54cnoNILrLpCZPSpmc4tTf UKgKJ+zbyOmB9HiTgfbIM10UJcpHwYYzFX4WXbUGZ83IfzgAAwU2bXGo4cSFWOskcb73ktJqx9VL q2rb1nD8d8Sidu3iM+yWmnJ23mf8qpLcm45Nathg5yj7X+A/qh8pcwTrzZPR/cY3BwVvql5GcgcK Cp1Msbd7WSKQ6Zr4IFdvuOS5UPxXIid1iwdX2E3/XkKJbSU/eTWtnhi7aelr7iwfRid14KcdV0Hf VpMIEN28C63yCgxLtSMf3m17Diw9EYA1CVrEKzQGPZ3ojl4AHXgMTuV2jBoQs3v0cb8zy2JCpjPu CRLdTWknR4Pg7fq2O2WdAIMaBi6/KuR2aI/l4Ai12W7zKpYUoXGE7FKZYZoSurLbc/WAf6BogVHh GcjGaaN4r0ZP8CpOXzREzHylXyRPFsIrD4AxodslKDZNCm2cI/vj6QhQ1GyZuhc7n2oGo1oTKa9m 0yfZOSWJliYBFjRnY0CAULeymh/YGh4OG61IE4+eZVx+rYXp0xQB2aTJvIexfiz3JmLON52fAF6B EHVfLkSVhqzpQaNF7chISib+oNAyWMwRUMUvjE0VJGX0XwGe3kv4SzOJaEgFbrBLMHIP1N/ljrPz UUV0d0c+4+rIRtEc4rxTa1Hr4laXGCPBZRyuJnmiem14Ql9dEw+XJQPIZk1I3dcFMms31QU+zONk lhD2paXPTlTmziqVBePeI28iKzzj8tST7DS5x/T2phPFAvc4eF+aIzCMO47qxCQdM0j2zced8ws+ gxFdCQCFer2XnzudjNFEnHh3eS2b3fk948D+DZYx3GK5F8crdaO2gamKLLDX4i7YX3t1gJCjKtUQ 3ub1gSlpyuODeoc8HenH9yrqJxAuQijecB2nkrYwJWUJiM2BHQB3Y8sCwgdlY9AmwMutL6grNO5w n0jR4LJGMw5a3MuoEKUHQFx9yKbswZl/ht9oLgXZ4mVAD0rxSONHzwDrqIHwdgQ= `protect end_protected
library ieee; use ieee.std_logic_1164.all; library grlib; use grlib.stdlib.all; --tost use grlib.amba.all; use grlib.devices.all; -- vendor gaisler etc --library gaisler; --use gaisler.misc.all; --vår typ use work.mypackage.all; --contains type entity apblcd is generic ( pindex : integer := 0; paddr : integer := 0; pmask : integer := 16#fff#; oepol : integer range 0 to 1 := 0; tas : integer range 0 to 15 := 1; epw : integer range 0 to 127 := 12 ); port ( rst : in std_ulogic; clk : in std_ulogic; apbi : in apb_slv_in_type; apbo : out apb_slv_out_type; lcdo : out lcd_out_type; lcdi : in lcd_in_type ); end; architecture rtl of apblcd is --FSM states type statetype is (idle,as,pwh,pwl); --idle, adress hold time, pulse width high, pulse width low type lcd_cfg_type is record tas : std_logic_vector(3 downto 0); --**** epw : std_logic_vector(6 downto 0); --**** end record; type lcd_regs is record rs : std_ulogic; rw : std_ulogic; e : std_ulogic; db : std_logic_vector(7 downto 0); cmstate : statetype; clkcnt : std_logic_vector(6 downto 0); cfg : lcd_cfg_type; ----new regs---- busy : std_ulogic; prdata : std_logic_vector(7 downto 0); db_oe : std_ulogic; ---------------- end record; constant TAS_RESET : std_logic_vector(3 downto 0) := conv_std_logic_vector(tas, 4); constant EPW_RESET : std_logic_vector(6 downto 0) := conv_std_logic_vector(epw, 7); constant OUTPUT : std_ulogic := conv_std_logic(oepol = 1); constant INPUT : std_ulogic := conv_std_logic(oepol = 0); constant REVISION : amba_version_type := 0; constant pconfig : apb_config_type := ( 0 => ahb_device_reg ( VENDOR_GAISLER, GAISLER_LCDCTRL, 0, REVISION, 0), 1 => apb_iobar(paddr, pmask)); --OBS ändra till GAISLER_APBLCD signal r, rin : lcd_regs; begin ctrl : process(r, rst, apbi, lcdi) variable v : lcd_regs; begin v := r; if r.clkcnt /= "0000000" then v.clkcnt := r.clkcnt - 1; end if; if (apbi.psel(pindex) and apbi.penable and apbi.pwrite) = '1' then case apbi.paddr(3 downto 2) is when "11" => if r.cmstate = idle then v.cfg.tas := apbi.pwdata(3 downto 0); --**** v.cfg.epw := apbi.pwdata(10 downto 4); --**** end if; when others => if r.cmstate = idle then v.rs := apbi.pwdata(9); v.rw := apbi.pwdata(8); v.busy := '1'; --new if(apbi.pwdata(8) = '0') then --write command => drive bus v.db := apbi.pwdata(7 downto 0); v.db_oe := OUTPUT; --set drive signal for write else v.db_oe := INPUT; end if; v.cmstate := as; v.clkcnt := "000" & r.cfg.tas; --(generic value should be 2. And decreased to 2-1 =1 so that value is used here. tas will be the value end if; --assigned here +1, hence (2-1)+1 = 2. end case; end if; case r.cmstate is when as => if (r.clkcnt = "0000000") then v.cmstate := pwh; v.e := '1'; v.clkcnt := r.cfg.epw; --spend epw -1 cycles with enable high end if; when pwh => --when entering here tas is fulfilled and enable goes high if (r.clkcnt = "0000000") then v.cmstate := pwl; v.e := '0'; if(r.rw = '1') then --sample read data v.prdata := lcdi.db; end if; v.clkcnt := r.cfg.epw; --spend epw -1 cycles with enable low end if; when pwl => if (r.clkcnt = "0000000") then v.cmstate := idle; v.busy := '0'; end if; when others => null; --idle end case; if rst = '0' then v.busy := '0'; --new v.e := '0'; v.cmstate := idle; v.clkcnt := (others => '0'); v.cfg.tas := TAS_RESET; --"0001"; --default 2 cyles w8 time v.cfg.epw := EPW_RESET; --"0001100";--default 13 cycles w8 time end if; --update registers rin <= v; --drive outputs apbo.prdata <= (others => '0'); apbo.prdata(19 downto 0) <= r.cfg.epw & r.cfg.tas & r.busy & r.prdata; --***** 11 + 1 + 8 apbo.pirq <= (others => '0'); apbo.pindex <= pindex; lcdo.rs <= r.rs; lcdo.rw <= r.rw; lcdo.e <= r.e; lcdo.db <= r.db; lcdo.db_oe <= r.db_oe; end process; apbo.pconfig <= pconfig; regs : process(clk) begin if rising_edge(clk) then r <= rin; end if; end process; -- pragma translate_off bootmsg : report_version generic map ("apblcd" & tost(pindex) & ": APB LCD module rev " & tost(REVISION)); -- pragma translate_on end;
library ieee; use ieee.std_logic_1164.all; library grlib; use grlib.stdlib.all; --tost use grlib.amba.all; use grlib.devices.all; -- vendor gaisler etc --library gaisler; --use gaisler.misc.all; --vår typ use work.mypackage.all; --contains type entity apblcd is generic ( pindex : integer := 0; paddr : integer := 0; pmask : integer := 16#fff#; oepol : integer range 0 to 1 := 0; tas : integer range 0 to 15 := 1; epw : integer range 0 to 127 := 12 ); port ( rst : in std_ulogic; clk : in std_ulogic; apbi : in apb_slv_in_type; apbo : out apb_slv_out_type; lcdo : out lcd_out_type; lcdi : in lcd_in_type ); end; architecture rtl of apblcd is --FSM states type statetype is (idle,as,pwh,pwl); --idle, adress hold time, pulse width high, pulse width low type lcd_cfg_type is record tas : std_logic_vector(3 downto 0); --**** epw : std_logic_vector(6 downto 0); --**** end record; type lcd_regs is record rs : std_ulogic; rw : std_ulogic; e : std_ulogic; db : std_logic_vector(7 downto 0); cmstate : statetype; clkcnt : std_logic_vector(6 downto 0); cfg : lcd_cfg_type; ----new regs---- busy : std_ulogic; prdata : std_logic_vector(7 downto 0); db_oe : std_ulogic; ---------------- end record; constant TAS_RESET : std_logic_vector(3 downto 0) := conv_std_logic_vector(tas, 4); constant EPW_RESET : std_logic_vector(6 downto 0) := conv_std_logic_vector(epw, 7); constant OUTPUT : std_ulogic := conv_std_logic(oepol = 1); constant INPUT : std_ulogic := conv_std_logic(oepol = 0); constant REVISION : amba_version_type := 0; constant pconfig : apb_config_type := ( 0 => ahb_device_reg ( VENDOR_GAISLER, GAISLER_LCDCTRL, 0, REVISION, 0), 1 => apb_iobar(paddr, pmask)); --OBS ändra till GAISLER_APBLCD signal r, rin : lcd_regs; begin ctrl : process(r, rst, apbi, lcdi) variable v : lcd_regs; begin v := r; if r.clkcnt /= "0000000" then v.clkcnt := r.clkcnt - 1; end if; if (apbi.psel(pindex) and apbi.penable and apbi.pwrite) = '1' then case apbi.paddr(3 downto 2) is when "11" => if r.cmstate = idle then v.cfg.tas := apbi.pwdata(3 downto 0); --**** v.cfg.epw := apbi.pwdata(10 downto 4); --**** end if; when others => if r.cmstate = idle then v.rs := apbi.pwdata(9); v.rw := apbi.pwdata(8); v.busy := '1'; --new if(apbi.pwdata(8) = '0') then --write command => drive bus v.db := apbi.pwdata(7 downto 0); v.db_oe := OUTPUT; --set drive signal for write else v.db_oe := INPUT; end if; v.cmstate := as; v.clkcnt := "000" & r.cfg.tas; --(generic value should be 2. And decreased to 2-1 =1 so that value is used here. tas will be the value end if; --assigned here +1, hence (2-1)+1 = 2. end case; end if; case r.cmstate is when as => if (r.clkcnt = "0000000") then v.cmstate := pwh; v.e := '1'; v.clkcnt := r.cfg.epw; --spend epw -1 cycles with enable high end if; when pwh => --when entering here tas is fulfilled and enable goes high if (r.clkcnt = "0000000") then v.cmstate := pwl; v.e := '0'; if(r.rw = '1') then --sample read data v.prdata := lcdi.db; end if; v.clkcnt := r.cfg.epw; --spend epw -1 cycles with enable low end if; when pwl => if (r.clkcnt = "0000000") then v.cmstate := idle; v.busy := '0'; end if; when others => null; --idle end case; if rst = '0' then v.busy := '0'; --new v.e := '0'; v.cmstate := idle; v.clkcnt := (others => '0'); v.cfg.tas := TAS_RESET; --"0001"; --default 2 cyles w8 time v.cfg.epw := EPW_RESET; --"0001100";--default 13 cycles w8 time end if; --update registers rin <= v; --drive outputs apbo.prdata <= (others => '0'); apbo.prdata(19 downto 0) <= r.cfg.epw & r.cfg.tas & r.busy & r.prdata; --***** 11 + 1 + 8 apbo.pirq <= (others => '0'); apbo.pindex <= pindex; lcdo.rs <= r.rs; lcdo.rw <= r.rw; lcdo.e <= r.e; lcdo.db <= r.db; lcdo.db_oe <= r.db_oe; end process; apbo.pconfig <= pconfig; regs : process(clk) begin if rising_edge(clk) then r <= rin; end if; end process; -- pragma translate_off bootmsg : report_version generic map ("apblcd" & tost(pindex) & ": APB LCD module rev " & tost(REVISION)); -- pragma translate_on end;
library ieee; use ieee.std_logic_1164.all; library grlib; use grlib.stdlib.all; --tost use grlib.amba.all; use grlib.devices.all; -- vendor gaisler etc --library gaisler; --use gaisler.misc.all; --vår typ use work.mypackage.all; --contains type entity apblcd is generic ( pindex : integer := 0; paddr : integer := 0; pmask : integer := 16#fff#; oepol : integer range 0 to 1 := 0; tas : integer range 0 to 15 := 1; epw : integer range 0 to 127 := 12 ); port ( rst : in std_ulogic; clk : in std_ulogic; apbi : in apb_slv_in_type; apbo : out apb_slv_out_type; lcdo : out lcd_out_type; lcdi : in lcd_in_type ); end; architecture rtl of apblcd is --FSM states type statetype is (idle,as,pwh,pwl); --idle, adress hold time, pulse width high, pulse width low type lcd_cfg_type is record tas : std_logic_vector(3 downto 0); --**** epw : std_logic_vector(6 downto 0); --**** end record; type lcd_regs is record rs : std_ulogic; rw : std_ulogic; e : std_ulogic; db : std_logic_vector(7 downto 0); cmstate : statetype; clkcnt : std_logic_vector(6 downto 0); cfg : lcd_cfg_type; ----new regs---- busy : std_ulogic; prdata : std_logic_vector(7 downto 0); db_oe : std_ulogic; ---------------- end record; constant TAS_RESET : std_logic_vector(3 downto 0) := conv_std_logic_vector(tas, 4); constant EPW_RESET : std_logic_vector(6 downto 0) := conv_std_logic_vector(epw, 7); constant OUTPUT : std_ulogic := conv_std_logic(oepol = 1); constant INPUT : std_ulogic := conv_std_logic(oepol = 0); constant REVISION : amba_version_type := 0; constant pconfig : apb_config_type := ( 0 => ahb_device_reg ( VENDOR_GAISLER, GAISLER_LCDCTRL, 0, REVISION, 0), 1 => apb_iobar(paddr, pmask)); --OBS ändra till GAISLER_APBLCD signal r, rin : lcd_regs; begin ctrl : process(r, rst, apbi, lcdi) variable v : lcd_regs; begin v := r; if r.clkcnt /= "0000000" then v.clkcnt := r.clkcnt - 1; end if; if (apbi.psel(pindex) and apbi.penable and apbi.pwrite) = '1' then case apbi.paddr(3 downto 2) is when "11" => if r.cmstate = idle then v.cfg.tas := apbi.pwdata(3 downto 0); --**** v.cfg.epw := apbi.pwdata(10 downto 4); --**** end if; when others => if r.cmstate = idle then v.rs := apbi.pwdata(9); v.rw := apbi.pwdata(8); v.busy := '1'; --new if(apbi.pwdata(8) = '0') then --write command => drive bus v.db := apbi.pwdata(7 downto 0); v.db_oe := OUTPUT; --set drive signal for write else v.db_oe := INPUT; end if; v.cmstate := as; v.clkcnt := "000" & r.cfg.tas; --(generic value should be 2. And decreased to 2-1 =1 so that value is used here. tas will be the value end if; --assigned here +1, hence (2-1)+1 = 2. end case; end if; case r.cmstate is when as => if (r.clkcnt = "0000000") then v.cmstate := pwh; v.e := '1'; v.clkcnt := r.cfg.epw; --spend epw -1 cycles with enable high end if; when pwh => --when entering here tas is fulfilled and enable goes high if (r.clkcnt = "0000000") then v.cmstate := pwl; v.e := '0'; if(r.rw = '1') then --sample read data v.prdata := lcdi.db; end if; v.clkcnt := r.cfg.epw; --spend epw -1 cycles with enable low end if; when pwl => if (r.clkcnt = "0000000") then v.cmstate := idle; v.busy := '0'; end if; when others => null; --idle end case; if rst = '0' then v.busy := '0'; --new v.e := '0'; v.cmstate := idle; v.clkcnt := (others => '0'); v.cfg.tas := TAS_RESET; --"0001"; --default 2 cyles w8 time v.cfg.epw := EPW_RESET; --"0001100";--default 13 cycles w8 time end if; --update registers rin <= v; --drive outputs apbo.prdata <= (others => '0'); apbo.prdata(19 downto 0) <= r.cfg.epw & r.cfg.tas & r.busy & r.prdata; --***** 11 + 1 + 8 apbo.pirq <= (others => '0'); apbo.pindex <= pindex; lcdo.rs <= r.rs; lcdo.rw <= r.rw; lcdo.e <= r.e; lcdo.db <= r.db; lcdo.db_oe <= r.db_oe; end process; apbo.pconfig <= pconfig; regs : process(clk) begin if rising_edge(clk) then r <= rin; end if; end process; -- pragma translate_off bootmsg : report_version generic map ("apblcd" & tost(pindex) & ": APB LCD module rev " & tost(REVISION)); -- pragma translate_on end;
-- $Id: sys_w11a_n2.vhd 1181 2019-07-08 17:00:50Z mueller $ -- SPDX-License-Identifier: GPL-3.0-or-later -- Copyright 2010-2019 by Walter F.J. Mueller <[email protected]> -- ------------------------------------------------------------------------------ -- Module Name: sys_w11a_n2 - syn -- Description: w11a test design for nexys2 -- -- Dependencies: vlib/xlib/dcm_sfs -- vlib/genlib/clkdivce -- bplib/bpgen/bp_rs232_2l4l_iob -- bplib/fx2rlink/rlink_sp1c_fx2 -- w11a/pdp11_sys70 -- ibus/ibdr_maxisys -- bplib/nxcramlib/nx_cram_memctl_as -- bplib/fx2rlink/ioleds_sp1c_fx2 -- w11a/pdp11_hio70 -- bplib/bpgen/sn_humanio_rbus -- vlib/rbus/rb_sres_or_2 -- -- Test bench: tb/tb_sys_w11a_n2 -- -- Target Devices: generic -- Tool versions: xst 8.2-14.7; ghdl 0.26-0.35 -- -- Synthesized (xst): -- Date Rev ise Target flop lutl lutm slic t peri -- 2019-05-19 1150 14.7 131013 xc3s1200e-4 3219 8981 638 5796 ok: +dz11 66% -- 2019-04-27 1140 14.7 131013 xc3s1200e-4 3087 ???? 588 5515 ok: +*buf 63% -- 2019-03-02 1116 14.7 131013 xc3s1200e-4 3024 8246 526 5322 ok: +ibtst 61% -- 2019-01-27 1108 14.7 131013 xc3s1200e-4 2976 8101 510 5201 ok: -iist -- 2018-10-13 1055 14.7 131013 xc3s1200e-4 3097 8484 510 5471 ok: +dmpcnt -- 2018-09-15 1045 14.7 131013 xc3s1200e-4 2860 7983 446 5098 ok: +KW11P -- 2017-04-30 888 14.7 131013 xc3s1200e-4 2806 7865 446 5043 ok: +fx2dbg -- 2017-03-04 858 14.7 131013 xc3s1200e-4 2740 7713 446 4912 ok: +DEUNA -- 2017-01-29 846 14.7 131013 xc3s1200e-4 2696 7620 446 4857 ok: +int24 -- 2015-06-21 692 14.7 131013 xc3s1200e-4 2312 6716 414 4192 ok: rhrp fixes -- 2015-06-04 686 14.7 131013 xc3s1200e-4 2311 6725 414 4198 ok: +TM11 -- 2015-05-14 680 14.7 131013 xc3s1200e-4 2232 6547 414 4083 ok: +RHRP -- 2015-02-21 649 14.7 131013 xc3s1200e-4 1903 5512 382 3483 ok: +RL11 -- 2014-12-22 619 14.7 131013 xc3s1200e-4 1828 5131 366 3263 ok: +rbmon -- 2014-12-20 614 14.7 131013 xc3s1200e-4 1714 4896 366 3125 ok: -RL11,rlv4 -- 2014-06-08 561 14.7 131013 xc3s1200e-4 1626 4821 360 3052 ok: +RL11 -- 2014-06-01 558 14.7 131013 xc3s1200e-4 1561 4597 334 2901 ok: -- 2013-04-20 509 13.3 O76d xc3s1200e-4 1541 4598 334 2889 ok: now + FX2 ! -- 2011-12-18 440 13.1 O40d xc3s1200e-4 1450 4439 270 2740 ok: LP+PC+DL+II -- 2011-11-18 427 13.1 O40d xc3s1200e-4 1433 4374 242 2680 ok: LP+PC+DL+II -- 2010-12-30 351 12.1 M53d xc3s1200e-4 1389 4368 242 2674 ok: LP+PC+DL+II -- 2010-11-06 336 12.1 M53d xc3s1200e-4 1357 4304* 242 2618 ok: LP+PC+DL+II -- 2010-10-24 335 12.1 M53d xc3s1200e-4 1357 4546 242 2618 ok: LP+PC+DL+II -- 2010-10-17 333 12.1 M53d xc3s1200e-4 1350 4541 242 2617 ok: LP+PC+DL+II -- 2010-10-16 332 12.1 M53d xc3s1200e-4 1338 4545 242 2629 ok: LP+PC+DL+II -- 2010-06-27 310 12.1 M53d xc3s1200e-4 1337 4307 242 2630 ok: LP+PC+DL+II -- 2010-06-26 309 11.4 L68 xc3s1200e-4 1318 4293 242 2612 ok: LP+PC+DL+II -- 2010-06-18 306 12.1 M53d xc3s1200e-4 1319 4300 242 2624 ok: LP+PC+DL+II -- " 306 11.4 L68 xc3s1200e-4 1319 4286 242 2618 ok: LP+PC+DL+II -- " 306 10.1.02 K39 xc3s1200e-4 1309 4311 242 2665 ok: LP+PC+DL+II -- " 306 9.2.02 J40 xc3s1200e-4 1316 4259 242 2656 ok: LP+PC+DL+II -- " 306 9.1 J30 xc3s1200e-4 1311 4260 242 2643 ok: LP+PC+DL+II -- " 306 8.2.03 I34 xc3s1200e-4 1371 4394 242 2765 ok: LP+PC+DL+II -- 2010-06-13 305 11.4 L68 xc3s1200e-4 1318 4360 242 2629 ok: LP+PC+DL+II -- 2010-06-12 304 11.4 L68 xc3s1200e-4 1323 4201 242 2574 ok: LP+PC+DL+II -- 2010-06-03 300 11.4 L68 xc3s1200e-4 1318 4181 242 2572 ok: LP+PC+DL+II -- 2010-06-03 299 11.4 L68 xc3s1200e-4 1250 4071 224 2489 ok: LP+PC+DL+II -- 2010-05-26 296 11.4 L68 xc3s1200e-4 1284 4079 224 2492 ok: LP+PC+DL+II -- Note: till 2010-10-24 lutm included 'route-thru', after only logic -- -- Revision History: -- Date Rev Version Comment -- 2018-10-13 1055 2.3 use DM_STAT_EXP; IDEC to maxisys; setup PERFEXT -- 2017-04-30 888 2.2 use SWI(7:6) to allow fx2 debug via LEDs -- 2016-03-19 748 2.1.1 define rlink SYSID -- 2015-05-09 677 2.1 start/stop/suspend overhaul; reset overhaul -- 2015-05-01 672 2.0 use pdp11_sys70 and pdp11_hio70 -- 2015-04-11 666 1.7.2 rearrange XON handling -- 2015-02-21 649 1.7.1 use ioleds_sp1c,pdp11_(statleds,ledmux,dspmux) -- 2015-02-15 647 1.7 drop bram and minisys options -- 2014-12-24 620 1.6.2 relocate ibus window and hio rbus address -- 2014-12-22 619 1.6.1 add rbus monitor rbd_rbmon -- 2014-08-28 588 1.6 use new rlink v4 iface generics and 4 bit STAT -- 2014-08-15 583 1.5 rb_mreq addr now 16 bit -- 2013-04-20 509 1.4 added fx2 (cuff) support; ATOWIDTH=7 -- 2011-12-23 444 1.3 remove clksys output hack -- 2011-12-18 440 1.2.7 use rlink_sp1c -- 2011-11-26 433 1.2.6 use nx_cram_(dummy|memctl_as) now -- 2011-11-23 432 1.2.5 update O_FLA_CE_N usage -- 2011-11-19 427 1.2.4 now numeric_std clean -- 2011-11-17 426 1.2.3 use dcm_sfs now -- 2011-07-09 391 1.2.2 use now bp_rs232_2l4l_iob -- 2011-07-08 390 1.2.1 use now sn_humanio -- 2010-12-30 351 1.2 ported to rbv3 -- 2010-11-27 341 1.1.8 add DCM; new sys_conf consts for mem and clkdiv -- 2010-11-13 338 1.1.7 add O_CLKSYS (for DCM derived system clock) -- 2010-11-06 336 1.1.6 rename input pin CLK -> I_CLK50 -- 2010-10-23 335 1.1.5 rename RRI_LAM->RB_LAM; -- 2010-06-26 309 1.1.4 use constants for rbus addresses (rbaddr_...) -- BUGFIX: resolve rbus address clash hio<->ibr -- 2010-06-18 306 1.1.3 change proc_led sensitivity list to avoid xst warn; -- rename RB_ADDR->RB_ADDR_CORE, add RB_ADDR_IBUS; -- remove pdp11_ibdr_rri -- 2010-06-13 305 1.1.2 add CP_ADDR, wire up pdp11_core_rri->pdp11_core -- 2010-06-12 304 1.1.1 re-do LED driver logic (show cpu modes or cpurust) -- 2010-06-11 303 1.1 use IB_MREQ.racc instead of RRI_REQ -- 2010-06-03 300 1.0.2 use default FAWIDTH for rri_core_serport -- use s3_humanio_rri -- 2010-05-30 297 1.0.1 put MEM_ACT_(R|W) on LED 6,7 -- 2010-05-28 295 1.0 Initial version (derived from sys_w11a_s3) ------------------------------------------------------------------------------ -- -- w11a test design for nexys2 -- w11a + rlink + serport + cuff -- -- Usage of Nexys 2 Switches, Buttons, LEDs: -- -- SWI(7:6): select LED display mode -- 0x w11 sys70 LED display (further controlled by SWI(3)) -- 10 FX2 debug: fx2 fifo states -- 11 FX2 debug: fx2 fsm states -- (5:4): select DSP -- 00 abclkdiv & abclkdiv_f -- 01 PC -- 10 DISPREG -- 11 DR emulation -- (3): select LED display -- 0 overall status -- 1 DR emulation -- (2) 0 -> int/ext RS242 port for rlink -- 1 -> use USB interface for rlink -- (1): 1 enable XON -- (0): 0 -> main board RS232 port -- 1 -> Pmod B/top RS232 port -- -- LEDs if SWI(7) = 0 and SWI(3) = 1 -- (7:0) DR emulation; shows R0(lower 8 bits) during wait like 11/45+70 -- -- LEDs if SWI(7) = 0 and SWI(3) = 0 -- (7) MEM_ACT_W -- (6) MEM_ACT_R -- (5) cmdbusy (all rlink access, mostly rdma) -- (4:0) if cpugo=1 show cpu mode activity -- (4) kernel mode, pri>0 -- (3) kernel mode, pri=0 -- (2) kernel mode, wait -- (1) supervisor mode -- (0) user mode -- if cpugo=0 shows cpurust -- (4) '1' -- (3:0) cpurust code -- -- LEDs if SWI(7) = 1 -- (7) fifo_ep4 -- (6) fifo_ep6 -- (5) fsm_rx -- (4) fsm_tx -- LEDs if SWI(7) = 1 and SWI(6) = 0 -- (3) flag_ep4_empty -- (2) flag_ep4_almost -- (1) flag_ep6_full -- (0) flag_ep6_almost -- LEDs if SWI(7) = 1 and SWI(6) = 1 -- (3) fsm_idle -- (2) fsm_prep -- (1) fsm_disp -- (0) fsm_pipe -- -- DP(3:0) shows IO activity -- if SWI(2)=0 (serport) -- (3): not SER_MONI.txok (shows tx back pressure) -- (2): SER_MONI.txact (shows tx activity) -- (1): not SER_MONI.rxok (shows rx back pressure) -- (0): SER_MONI.rxact (shows rx activity) -- if SWI(2)=1 (fx2-usb) -- (3): RB_SRES.busy (shows rbus back pressure) -- (2): RLB_TXBUSY (shows tx back pressure) -- (1): RLB_TXENA (shows tx activity) -- (0): RLB_RXVAL (shows rx activity) -- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.slvtypes.all; use work.xlib.all; use work.genlib.all; use work.serportlib.all; use work.rblib.all; use work.rlinklib.all; use work.fx2lib.all; use work.fx2rlinklib.all; use work.bpgenlib.all; use work.bpgenrbuslib.all; use work.nxcramlib.all; use work.iblib.all; use work.ibdlib.all; use work.pdp11.all; use work.sys_conf.all; -- ---------------------------------------------------------------------------- entity sys_w11a_n2 is -- top level -- implements nexys2_fusp_cuff_aif port ( I_CLK50 : in slbit; -- 50 MHz clock I_RXD : in slbit; -- receive data (board view) O_TXD : out slbit; -- transmit data (board view) I_SWI : in slv8; -- n2 switches I_BTN : in slv4; -- n2 buttons O_LED : out slv8; -- n2 leds O_ANO_N : out slv4; -- 7 segment disp: anodes (act.low) O_SEG_N : out slv8; -- 7 segment disp: segments (act.low) O_MEM_CE_N : out slbit; -- cram: chip enable (act.low) O_MEM_BE_N : out slv2; -- cram: byte enables (act.low) O_MEM_WE_N : out slbit; -- cram: write enable (act.low) O_MEM_OE_N : out slbit; -- cram: output enable (act.low) O_MEM_ADV_N : out slbit; -- cram: address valid (act.low) O_MEM_CLK : out slbit; -- cram: clock O_MEM_CRE : out slbit; -- cram: command register enable I_MEM_WAIT : in slbit; -- cram: mem wait O_MEM_ADDR : out slv23; -- cram: address lines IO_MEM_DATA : inout slv16; -- cram: data lines O_FLA_CE_N : out slbit; -- flash ce.. (act.low) O_FUSP_RTS_N : out slbit; -- fusp: rs232 rts_n I_FUSP_CTS_N : in slbit; -- fusp: rs232 cts_n I_FUSP_RXD : in slbit; -- fusp: rs232 rx O_FUSP_TXD : out slbit; -- fusp: rs232 tx I_FX2_IFCLK : in slbit; -- fx2: interface clock O_FX2_FIFO : out slv2; -- fx2: fifo address I_FX2_FLAG : in slv4; -- fx2: fifo flags O_FX2_SLRD_N : out slbit; -- fx2: read enable (act.low) O_FX2_SLWR_N : out slbit; -- fx2: write enable (act.low) O_FX2_SLOE_N : out slbit; -- fx2: output enable (act.low) O_FX2_PKTEND_N : out slbit; -- fx2: packet end (act.low) IO_FX2_DATA : inout slv8 -- fx2: data lines ); end sys_w11a_n2; architecture syn of sys_w11a_n2 is signal CLK : slbit := '0'; signal RESET : slbit := '0'; signal CE_USEC : slbit := '0'; signal CE_MSEC : slbit := '0'; signal RXD : slbit := '1'; signal TXD : slbit := '0'; signal RTS_N : slbit := '0'; signal CTS_N : slbit := '0'; signal RB_MREQ : rb_mreq_type := rb_mreq_init; signal RB_SRES : rb_sres_type := rb_sres_init; signal RB_SRES_CPU : rb_sres_type := rb_sres_init; signal RB_SRES_HIO : rb_sres_type := rb_sres_init; signal RB_LAM : slv16 := (others=>'0'); signal RB_STAT : slv4 := (others=>'0'); signal RLB_MONI : rlb_moni_type := rlb_moni_init; signal SER_MONI : serport_moni_type := serport_moni_init; signal FX2_MONI : fx2ctl_moni_type := fx2ctl_moni_init; signal LED70 : slv8 := (others=>'0'); signal SWI : slv8 := (others=>'0'); signal BTN : slv4 := (others=>'0'); signal LED : slv8 := (others=>'0'); signal DSP_DAT : slv16 := (others=>'0'); signal DSP_DP : slv4 := (others=>'0'); signal GRESET : slbit := '0'; -- general reset (from rbus) signal CRESET : slbit := '0'; -- cpu reset (from cp) signal BRESET : slbit := '0'; -- bus reset (from cp or cpu) signal PERFEXT : slv8 := (others=>'0'); signal EI_PRI : slv3 := (others=>'0'); signal EI_VECT : slv9_2 := (others=>'0'); signal EI_ACKM : slbit := '0'; signal CP_STAT : cp_stat_type := cp_stat_init; signal DM_STAT_EXP : dm_stat_exp_type := dm_stat_exp_init; signal MEM_REQ : slbit := '0'; signal MEM_WE : slbit := '0'; signal MEM_BUSY : slbit := '0'; signal MEM_ACK_R : slbit := '0'; signal MEM_ACT_R : slbit := '0'; signal MEM_ACT_W : slbit := '0'; signal MEM_ADDR : slv20 := (others=>'0'); signal MEM_BE : slv4 := (others=>'0'); signal MEM_DI : slv32 := (others=>'0'); signal MEM_DO : slv32 := (others=>'0'); signal MEM_ADDR_EXT : slv22 := (others=>'0'); signal IB_MREQ : ib_mreq_type := ib_mreq_init; signal IB_SRES_IBDR : ib_sres_type := ib_sres_init; signal DISPREG : slv16 := (others=>'0'); signal ABCLKDIV : slv16 := (others=>'0'); constant rbaddr_rbmon : slv16 := x"ffe8"; -- ffe8/0008: 1111 1111 1110 1xxx constant rbaddr_hio : slv16 := x"fef0"; -- fef0/0008: 1111 1110 1111 0xxx constant sysid_proj : slv16 := x"0201"; -- w11a constant sysid_board : slv8 := x"02"; -- nexys2 constant sysid_vers : slv8 := x"00"; begin assert (sys_conf_clksys mod 1000000) = 0 report "assert sys_conf_clksys on MHz grid" severity failure; DCM : dcm_sfs -- clock generator ------------------- generic map ( CLKFX_DIVIDE => sys_conf_clkfx_divide, CLKFX_MULTIPLY => sys_conf_clkfx_multiply, CLKIN_PERIOD => 20.0) port map ( CLKIN => I_CLK50, CLKFX => CLK, LOCKED => open ); CLKDIV : clkdivce -- usec/msec clock divider ----------- generic map ( CDUWIDTH => 6, USECDIV => sys_conf_clksys_mhz, MSECDIV => 1000) port map ( CLK => CLK, CE_USEC => CE_USEC, CE_MSEC => CE_MSEC ); IOB_RS232 : bp_rs232_2l4l_iob -- serport iob/switch ---------------- port map ( CLK => CLK, RESET => '0', SEL => SWI(0), RXD => RXD, TXD => TXD, CTS_N => CTS_N, RTS_N => RTS_N, I_RXD0 => I_RXD, O_TXD0 => O_TXD, I_RXD1 => I_FUSP_RXD, O_TXD1 => O_FUSP_TXD, I_CTS1_N => I_FUSP_CTS_N, O_RTS1_N => O_FUSP_RTS_N ); RLINK : rlink_sp1c_fx2 -- rlink for serport + fx2 ----------- generic map ( BTOWIDTH => 7, -- 128 cycles access timeout RTAWIDTH => 12, SYSID => sysid_proj & sysid_board & sysid_vers, IFAWIDTH => 5, -- 32 word input fifo OFAWIDTH => 5, -- 32 word output fifo PETOWIDTH => sys_conf_fx2_petowidth, CCWIDTH => sys_conf_fx2_ccwidth, ENAPIN_RLMON => sbcntl_sbf_rlmon, ENAPIN_RBMON => sbcntl_sbf_rbmon, CDWIDTH => 13, CDINIT => sys_conf_ser2rri_cdinit, RBMON_AWIDTH => sys_conf_rbmon_awidth, RBMON_RBADDR => rbaddr_rbmon) port map ( CLK => CLK, CE_USEC => CE_USEC, CE_MSEC => CE_MSEC, CE_INT => CE_MSEC, RESET => RESET, ENAXON => SWI(1), ENAFX2 => SWI(2), RXSD => RXD, TXSD => TXD, CTS_N => CTS_N, RTS_N => RTS_N, RB_MREQ => RB_MREQ, RB_SRES => RB_SRES, RB_LAM => RB_LAM, RB_STAT => RB_STAT, RL_MONI => open, RLB_MONI => RLB_MONI, SER_MONI => SER_MONI, FX2_MONI => FX2_MONI, I_FX2_IFCLK => I_FX2_IFCLK, O_FX2_FIFO => O_FX2_FIFO, I_FX2_FLAG => I_FX2_FLAG, O_FX2_SLRD_N => O_FX2_SLRD_N, O_FX2_SLWR_N => O_FX2_SLWR_N, O_FX2_SLOE_N => O_FX2_SLOE_N, O_FX2_PKTEND_N => O_FX2_PKTEND_N, IO_FX2_DATA => IO_FX2_DATA ); PERFEXT(0) <= '0'; -- unused (ext_rdrhit) PERFEXT(1) <= '0'; -- unused (ext_wrrhit) PERFEXT(2) <= '0'; -- unused (ext_wrflush) PERFEXT(3) <= RLB_MONI.rxval and not RLB_MONI.rxhold; -- ext_rlrxact PERFEXT(4) <= RLB_MONI.rxhold; -- ext_rlrxback PERFEXT(5) <= RLB_MONI.txena and not RLB_MONI.txbusy; -- ext_rltxact PERFEXT(6) <= RLB_MONI.txbusy; -- ext_rltxback PERFEXT(7) <= CE_USEC; -- ext_usec SYS70 : pdp11_sys70 -- 1 cpu system ---------------------- port map ( CLK => CLK, RESET => RESET, RB_MREQ => RB_MREQ, RB_SRES => RB_SRES_CPU, RB_STAT => RB_STAT, RB_LAM_CPU => RB_LAM(0), GRESET => GRESET, CRESET => CRESET, BRESET => BRESET, CP_STAT => CP_STAT, EI_PRI => EI_PRI, EI_VECT => EI_VECT, EI_ACKM => EI_ACKM, PERFEXT => PERFEXT, IB_MREQ => IB_MREQ, IB_SRES => IB_SRES_IBDR, MEM_REQ => MEM_REQ, MEM_WE => MEM_WE, MEM_BUSY => MEM_BUSY, MEM_ACK_R => MEM_ACK_R, MEM_ADDR => MEM_ADDR, MEM_BE => MEM_BE, MEM_DI => MEM_DI, MEM_DO => MEM_DO, DM_STAT_EXP => DM_STAT_EXP ); IBDR_SYS : ibdr_maxisys -- IO system ------------------------- port map ( CLK => CLK, CE_USEC => CE_USEC, CE_MSEC => CE_MSEC, RESET => GRESET, BRESET => BRESET, ITIMER => DM_STAT_EXP.se_itimer, IDEC => DM_STAT_EXP.se_idec, CPUSUSP => CP_STAT.cpususp, RB_LAM => RB_LAM(15 downto 1), IB_MREQ => IB_MREQ, IB_SRES => IB_SRES_IBDR, EI_ACKM => EI_ACKM, EI_PRI => EI_PRI, EI_VECT => EI_VECT, DISPREG => DISPREG ); MEM_ADDR_EXT <= "00" & MEM_ADDR; -- just use lower 4 MB (of 16 MB) CRAMCTL: nx_cram_memctl_as -- memory controller ----------------- generic map ( READ0DELAY => sys_conf_memctl_read0delay, READ1DELAY => sys_conf_memctl_read1delay, WRITEDELAY => sys_conf_memctl_writedelay) port map ( CLK => CLK, RESET => GRESET, REQ => MEM_REQ, WE => MEM_WE, BUSY => MEM_BUSY, ACK_R => MEM_ACK_R, ACK_W => open, ACT_R => MEM_ACT_R, ACT_W => MEM_ACT_W, ADDR => MEM_ADDR_EXT, BE => MEM_BE, DI => MEM_DI, DO => MEM_DO, O_MEM_CE_N => O_MEM_CE_N, O_MEM_BE_N => O_MEM_BE_N, O_MEM_WE_N => O_MEM_WE_N, O_MEM_OE_N => O_MEM_OE_N, O_MEM_ADV_N => O_MEM_ADV_N, O_MEM_CLK => O_MEM_CLK, O_MEM_CRE => O_MEM_CRE, I_MEM_WAIT => I_MEM_WAIT, O_MEM_ADDR => O_MEM_ADDR, IO_MEM_DATA => IO_MEM_DATA ); O_FLA_CE_N <= '1'; -- keep Flash memory disabled LED_IO : ioleds_sp1c_fx2 -- hio leds from serport or fx2 ------ port map ( CLK => CLK, CE_USEC => CE_USEC, RESET => GRESET, ENAFX2 => SWI(2), RB_SRES => RB_SRES, RLB_MONI => RLB_MONI, SER_MONI => SER_MONI, IOLEDS => DSP_DP ); ABCLKDIV <= SER_MONI.abclkdiv(11 downto 0) & '0' & SER_MONI.abclkdiv_f; HIO70 : pdp11_hio70 -- hio from sys70 -------------------- generic map ( LWIDTH => LED'length, DCWIDTH => 2) port map ( SEL_LED => SWI(3), SEL_DSP => SWI(5 downto 4), MEM_ACT_R => MEM_ACT_R, MEM_ACT_W => MEM_ACT_W, CP_STAT => CP_STAT, DM_STAT_EXP => DM_STAT_EXP, ABCLKDIV => ABCLKDIV, DISPREG => DISPREG, LED => LED70, DSP_DAT => DSP_DAT ); proc_fx2leds: process (SWI, LED70, FX2_MONI) -- hio LED handler ------------ variable iled : slv8 := (others=>'0'); begin iled := (others=>'0'); if SWI(7) = '0' then iled := LED70; else iled(7) := FX2_MONI.fifo_ep4; iled(6) := FX2_MONI.fifo_ep6; iled(5) := FX2_MONI.fsm_rx; iled(4) := FX2_MONI.fsm_tx; if SWI(6) = '0' then iled(3) := FX2_MONI.flag_ep4_empty; iled(2) := FX2_MONI.flag_ep4_almost; iled(1) := FX2_MONI.flag_ep6_full; iled(0) := FX2_MONI.flag_ep6_almost; else iled(3) := FX2_MONI.fsm_idle; iled(2) := FX2_MONI.fsm_prep; iled(1) := FX2_MONI.fsm_disp; iled(0) := FX2_MONI.fsm_pipe; end if; end if; LED <= iled; end process proc_fx2leds; HIO : sn_humanio_rbus -- hio manager ----------------------- generic map ( DEBOUNCE => sys_conf_hio_debounce, RB_ADDR => rbaddr_hio) port map ( CLK => CLK, RESET => RESET, CE_MSEC => CE_MSEC, RB_MREQ => RB_MREQ, RB_SRES => RB_SRES_HIO, SWI => SWI, BTN => BTN, LED => LED, DSP_DAT => DSP_DAT, DSP_DP => DSP_DP, I_SWI => I_SWI, I_BTN => I_BTN, O_LED => O_LED, O_ANO_N => O_ANO_N, O_SEG_N => O_SEG_N ); RB_SRES_OR : rb_sres_or_2 -- rbus or --------------------------- port map ( RB_SRES_1 => RB_SRES_CPU, RB_SRES_2 => RB_SRES_HIO, RB_SRES_OR => RB_SRES ); end syn;
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_unsigned.all; entity timing is generic ( F : natural; min_baud: natural ); port ( clk : in std_logic; rst : in std_logic; divisor : in std_logic_vector; ClrDiv : in std_logic; Top16 : buffer std_logic; TopTx : out std_logic; TopRx : out std_logic ); end timing; architecture timing_arq of timing is -- signal baud_value : natural; constant max_div : natural := ((F*1000)/(16*min_baud)); subtype div16_type is natural range 0 to max_div-1; signal Div16 : div16_type; signal ClkDiv : integer; signal RxDiv : integer; begin -- -------------------------- -- Baud rate selection -- -------------------------- -- -- process (clk) -- baud_value setting. -- begin -- if rising_edge(clk) then -- case Baud is -- when "000" => baud_value <= 115200; -- when "001" => baud_value <= 57600; -- when "010" => baud_value <= 38400; -- when "011" => baud_value <= 19200; -- when "100" => baud_value <= 9600; -- when "101" => baud_value <= 4800; -- when "110" => baud_value <= 2400; -- when "111" => baud_value <= 1200; -- when others => baud_value <= 1200; -- n.u. -- end case; -- end if; -- end process; -- -------------------------- -- Clk16 Clock Generation -- -------------------------- process (rst, clk) begin if rst = '1' then Top16 <= '0'; Div16 <= 0; elsif rising_edge(clk) then Top16 <= '0'; if Div16 = conv_integer(divisor) then Div16 <= 0; Top16 <= '1'; else Div16 <= Div16 + 1; end if; end if; end process; -- -------------------------- -- Tx Clock Generation -- -------------------------- process (rst, clk) begin if rst = '1' then TopTx <= '0'; ClkDiv <= 0; --(others=>'0'); elsif rising_edge(clk) then TopTx <= '0'; if Top16 = '1' then ClkDiv <= ClkDiv + 1; if ClkDiv = 15 then TopTx <= '1'; ClkDiv <= 0; end if; end if; end if; end process; -- ------------------------------ -- Rx Sampling Clock Generation -- ------------------------------ process (rst, clk) begin if rst = '1' then TopRx <= '0'; RxDiv <= 0; elsif rising_edge(clk) then TopRx <= '0'; if ClrDiv = '1' then RxDiv <= 0; elsif Top16 = '1' then if RxDiv = 7 then RxDiv <= 0; TopRx <= '1'; else RxDiv <= RxDiv + 1; end if; end if; end if; end process; end;
architecture rtl of fifo is begin process is begin s_foo <= ( item => 12, another_item => 34 ); s_foo <= ( item => 12, another_item => 34 ); s_foo <= ( item1 => 12, item2 => f(a, b ,c), item3 => 36 ); s_foo <= (a and b and c); end process; end architecture rtl;
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Entity: libcache -- File: libcache.vhd -- Author: Jiri Gaisler, Edvin Catovic, Gaisler Research -- Description: Cache-related types and components ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library grlib; use grlib.amba.all; use grlib.stdlib.all; library techmap; use techmap.gencomp.all; library gaisler; use gaisler.libiu.all; use gaisler.mmuconfig.all; use gaisler.mmuiface.all; package libcache is constant TAG_HIGH : integer := 31; constant CTAG_LRRPOS : integer := 9; constant CTAG_LOCKPOS : integer := 8; constant MAXSETS : integer := 4; -- 3-way set permutations -- s012 => set 0 - least recently used -- set 2 - most recently used constant s012 : std_logic_vector(2 downto 0) := "000"; constant s021 : std_logic_vector(2 downto 0) := "001"; constant s102 : std_logic_vector(2 downto 0) := "010"; constant s120 : std_logic_vector(2 downto 0) := "011"; constant s201 : std_logic_vector(2 downto 0) := "100"; constant s210 : std_logic_vector(2 downto 0) := "101"; -- 4-way set permutations -- s0123 => set 0 - least recently used -- set 3 - most recently used -- bits assigned so bits 4:3 is LRU and 1:0 is MRU -- middle bit is 0 for 01 02 03 12 13 23, 1 for 10 20 30 21 31 32 constant s0123 : std_logic_vector(4 downto 0) := "00011"; constant s0132 : std_logic_vector(4 downto 0) := "00010"; constant s0213 : std_logic_vector(4 downto 0) := "00111"; constant s0231 : std_logic_vector(4 downto 0) := "00001"; constant s0312 : std_logic_vector(4 downto 0) := "00110"; constant s0321 : std_logic_vector(4 downto 0) := "00101"; constant s1023 : std_logic_vector(4 downto 0) := "01011"; constant s1032 : std_logic_vector(4 downto 0) := "01010"; constant s1203 : std_logic_vector(4 downto 0) := "01111"; constant s1230 : std_logic_vector(4 downto 0) := "01000"; constant s1302 : std_logic_vector(4 downto 0) := "01110"; constant s1320 : std_logic_vector(4 downto 0) := "01100"; constant s2013 : std_logic_vector(4 downto 0) := "10011"; constant s2031 : std_logic_vector(4 downto 0) := "10001"; constant s2103 : std_logic_vector(4 downto 0) := "10111"; constant s2130 : std_logic_vector(4 downto 0) := "10000"; constant s2301 : std_logic_vector(4 downto 0) := "10101"; constant s2310 : std_logic_vector(4 downto 0) := "10100"; constant s3012 : std_logic_vector(4 downto 0) := "11010"; constant s3021 : std_logic_vector(4 downto 0) := "11001"; constant s3102 : std_logic_vector(4 downto 0) := "11110"; constant s3120 : std_logic_vector(4 downto 0) := "11000"; constant s3201 : std_logic_vector(4 downto 0) := "11101"; constant s3210 : std_logic_vector(4 downto 0) := "11100"; type lru_3set_table_vector_type is array(0 to 2) of std_logic_vector(2 downto 0); type lru_3set_table_type is array (0 to 7) of lru_3set_table_vector_type; constant lru_3set_table : lru_3set_table_type := ( (s120, s021, s012), -- s012 (s210, s021, s012), -- s021 (s120, s021, s102), -- s102 (s120, s201, s102), -- s120 (s210, s201, s012), -- s201 (s210, s201, s102), -- s210 (s210, s201, s102), -- dummy (s210, s201, s102) -- dummy ); type lru_4set_table_vector_type is array(0 to 3) of std_logic_vector(4 downto 0); type lru_4set_table_type is array(0 to 31) of lru_4set_table_vector_type; constant lru_4set_table : lru_4set_table_type := ( (s2310, s0231, s0312, s0213), -- "00000" (s0231/reset) (s2310, s0231, s0312, s0213), -- "00001" s0231 (s1320, s0321, s0132, s0123), -- "00010" s0132 (s1230, s0231, s0132, s0123), -- "00011" s0123 (s3210, s0321, s0312, s0213), -- "00100" (s0321) (s3210, s0321, s0312, s0213), -- "00101" s0321 (s3120, s0321, s0312, s0123), -- "00110" s0312 (s2130, s0231, s0132, s0213), -- "00111" s0213 (s1230, s2301, s1302, s1203), -- "01000" s1230 (s1230, s2301, s1302, s1203), -- "01001" (s1230) (s1320, s0321, s1032, s1023), -- "01010" s1032 (s1230, s0231, s1032, s1023), -- "01011" s1023 (s1320, s3201, s1302, s1203), -- "01100" s1320 (s1320, s3201, s1302, s1203), -- "01101" (s1320) (s1320, s3021, s1302, s1023), -- "01110" s1302 (s1230, s2031, s1032, s1203), -- "01111" s1203 (s2130, s2301, s1302, s2103), -- "10000" s2130 (s2310, s2031, s0312, s2013), -- "10001" s2031 (s2130, s2031, s0132, s2013), -- "10010" (s2013) (s2130, s2031, s0132, s2013), -- "10011" s2013 (s2310, s2301, s3102, s2103), -- "10100" s2310 (s2310, s2301, s3012, s2013), -- "10101" s2301 (s2130, s2031, s1032, s2103), -- "10110" (s2103) (s2130, s2031, s1032, s2103), -- "10111" s2103 (s3120, s3201, s3102, s1203), -- "11000" s3120 (s3210, s3021, s3012, s0213), -- "11001" s3021 (s3120, s3021, s3012, s0123), -- "11010" s3012 (s3120, s3021, s3012, s0123), -- "11011" (s3012) (s3210, s3201, s3102, s2103), -- "11100" s3210 (s3210, s3201, s3012, s2013), -- "11101" s3201 (s3120, s3021, s3102, s1023), -- "11110" s3102 (s3120, s3021, s3102, s1023) -- "11111" (s3102) ); type lru3_repl_table_single_type is array(0 to 2) of integer range 0 to 2; type lru3_repl_table_type is array(0 to 7) of lru3_repl_table_single_type; constant lru3_repl_table : lru3_repl_table_type := ( (0, 1, 2), -- s012 (0, 2, 2), -- s021 (1, 1, 2), -- s102 (1, 1, 2), -- s120 (2, 2, 2), -- s201 (2, 2, 2), -- s210 (2, 2, 2), -- dummy (2, 2, 2) -- dummy ); type lru4_repl_table_single_type is array(0 to 3) of integer range 0 to 3; type lru4_repl_table_type is array(0 to 31) of lru4_repl_table_single_type; constant lru4_repl_table : lru4_repl_table_type := ( (0, 2, 2, 3), -- (s0231/reset) (0, 2, 2, 3), -- s0231 (0, 1, 3, 3), -- s0132 (0, 1, 2, 3), -- s0123 (0, 3, 3, 3), -- (s0321) (0, 3, 3, 3), -- s0321 (0, 3, 3, 3), -- s0312 (0, 2, 2, 3), -- s0213 (1, 1, 2, 3), -- s1230 (1, 1, 2, 3), -- (s1230) (1, 1, 3, 3), -- s1032 (1, 1, 2, 3), -- s1023 (1, 1, 3, 3), -- s1320 (1, 1, 3, 3), -- (s1320) (1, 1, 3, 3), -- s1302 (1, 1, 2, 3), -- s1203 (2, 2, 2, 3), -- s2130 (2, 2, 2, 3), -- s2031 (2, 2, 2, 3), -- (s2013) (2, 2, 2, 3), -- s2013 (2, 2, 2, 3), -- s2310 (2, 2, 2, 3), -- s2301 (2, 2, 2, 3), -- (s2103) (2, 2, 2, 3), -- s2103 (3, 3, 3, 3), -- s3120 (3, 3, 3, 3), -- s3021 (3, 3, 3, 3), -- s3012 (3, 3, 3, 3), -- (s3012) (3, 3, 3, 3), -- s3210 (3, 3, 3, 3), -- s3201 (3, 3, 3, 3), -- s3102 (3, 3, 3, 3) -- (s3102) ); type ildram_in_type is record enable : std_ulogic; read : std_ulogic; write : std_ulogic; end record; subtype ctxword is std_logic_vector(M_CTX_SZ-1 downto 0); type ctxdatatype is array (0 to 3) of ctxword; type icram_in_type is record address : std_logic_vector(19 downto 0); tag : cdatatype; twrite : std_logic_vector(0 to 3); tenable : std_ulogic; flush : std_ulogic; data : std_logic_vector(31 downto 0); denable : std_ulogic; dwrite : std_logic_vector(0 to 3); ldramin : ildram_in_type; ctx : std_logic_vector(M_CTX_SZ-1 downto 0); end record; type icram_out_type is record tag : cdatatype; data : cdatatype; ctx : ctxdatatype; end record; type ldram_in_type is record address : std_logic_vector(23 downto 2); enable : std_ulogic; read : std_ulogic; write : std_ulogic; end record; type dcram_in_type is record address : std_logic_vector(19 downto 0); tag : cdatatype; --std_logic_vector(31 downto 0); ptag : cdatatype; --std_logic_vector(31 downto 0); twrite : std_logic_vector(0 to 3); tpwrite : std_logic_vector(0 to 3); tenable : std_logic_vector(0 to 3); flush : std_ulogic; data : cdatatype; denable : std_logic_vector(0 to 3); dwrite : std_logic_vector(0 to 3); senable : std_logic_vector(0 to 3); swrite : std_logic_vector(0 to 3); saddress : std_logic_vector(19 downto 0); faddress : std_logic_vector(19 downto 0); ldramin : ldram_in_type; ctx : ctxdatatype; tdiag : std_logic_vector(3 downto 0); ddiag : std_logic_vector(3 downto 0); sdiag : std_logic_vector(3 downto 0); end record; type dcram_out_type is record tag : cdatatype; data : cdatatype; stag : cdatatype; ctx : ctxdatatype; end record; type cram_in_type is record icramin : icram_in_type; dcramin : dcram_in_type; end record; type cram_out_type is record icramo : icram_out_type; dcramo : dcram_out_type; end record; type memory_ic_in_type is record address : std_logic_vector(31 downto 0); -- memory address burst : std_ulogic; -- burst request req : std_ulogic; -- memory cycle request su : std_ulogic; -- supervisor address space flush : std_ulogic; -- flush in progress end record; type memory_ic_out_type is record data : std_logic_vector(31 downto 0); -- memory data ready : std_ulogic; -- cycle ready grant : std_ulogic; -- retry : std_ulogic; -- mexc : std_ulogic; -- memory exception cache : std_ulogic; -- cacheable data scanen : std_ulogic; end record; type memory_dc_in_type is record address : std_logic_vector(31 downto 0); data : std_logic_vector(31 downto 0); asi : std_logic_vector(3 downto 0); -- ASI for load/store size : std_logic_vector(1 downto 0); burst : std_ulogic; read : std_ulogic; req : std_ulogic; lock : std_ulogic; cache : std_ulogic; end record; type memory_dc_out_type is record data : std_logic_vector(31 downto 0); -- memory data ready : std_ulogic; -- cycle ready grant : std_ulogic; retry : std_ulogic; mexc : std_ulogic; -- memory exception werr : std_ulogic; -- memory write error cache : std_ulogic; -- cacheable data ba : std_ulogic; -- bus active (used for snooping) bg : std_ulogic; -- bus grant (used for snooping) scanen : std_ulogic; testen : std_ulogic; end record; constant dir : integer := 3; constant rnd : integer := 2; constant lrr : integer := 1; constant lru : integer := 0; type cache_replalgbits_type is array (0 to 3) of integer; constant creplalg_tbl : cache_replalgbits_type := (0, 1, 0, 0); type lru_bits_type is array(1 to 4) of integer; constant lru_table : lru_bits_type := (1,1,3,5); component cachemem generic ( tech : integer range 0 to NTECH := 0; icen : integer range 0 to 1 := 0; irepl : integer range 0 to 3 := 0; isets : integer range 1 to 4 := 1; ilinesize : integer range 4 to 8 := 4; isetsize : integer range 1 to 256 := 1; isetlock : integer range 0 to 1 := 0; dcen : integer range 0 to 1 := 0; drepl : integer range 0 to 3 := 0; dsets : integer range 1 to 4 := 1; dlinesize : integer range 4 to 8 := 4; dsetsize : integer range 1 to 256 := 1; dsetlock : integer range 0 to 1 := 0; dsnoop : integer range 0 to 6 := 0; ilram : integer range 0 to 1 := 0; ilramsize : integer range 1 to 512 := 1; dlram : integer range 0 to 1 := 0; dlramsize : integer range 1 to 512 := 1; mmuen : integer range 0 to 1 := 0; testen : integer range 0 to 3 := 0 ); port ( clk : in std_ulogic; crami : in cram_in_type; cramo : out cram_out_type; sclk : in std_ulogic ); end component; -- mmu versions component mmu_acache generic ( hindex : integer range 0 to NAHBMST-1 := 0; ilinesize : integer range 4 to 8 := 4; cached : integer := 0; clk2x : integer := 0; scantest : integer := 0 ); port ( rst : in std_logic; clk : in std_logic; mcii : in memory_ic_in_type; mcio : out memory_ic_out_type; mcdi : in memory_dc_in_type; mcdo : out memory_dc_out_type; mcmmi : in memory_mm_in_type; mcmmo : out memory_mm_out_type; ahbi : in ahb_mst_in_type; ahbo : out ahb_mst_out_type; ahbso : in ahb_slv_out_vector; hclken : in std_ulogic ); end component; component mmu_icache generic ( icen : integer range 0 to 1 := 0; irepl : integer range 0 to 3 := 0; isets : integer range 1 to 4 := 1; ilinesize : integer range 4 to 8 := 4; isetsize : integer range 1 to 256 := 1; isetlock : integer range 0 to 1 := 0; lram : integer range 0 to 1 := 0; lramsize : integer range 1 to 512 := 1; lramstart : integer range 0 to 255 := 16#8e#; mmuen : integer := 0 ); port ( rst : in std_logic; clk : in std_logic; ici : in icache_in_type; ico : out icache_out_type; dci : in dcache_in_type; dco : in dcache_out_type; mcii : out memory_ic_in_type; mcio : in memory_ic_out_type; icrami : out icram_in_type; icramo : in icram_out_type; fpuholdn : in std_logic; mmudci : in mmudc_in_type; mmuici : out mmuic_in_type; mmuico : in mmuic_out_type ); end component; component mmu_dcache generic ( dsu : integer range 0 to 1 := 0; dcen : integer range 0 to 1 := 0; drepl : integer range 0 to 3 := 0; dsets : integer range 1 to 4 := 1; dlinesize : integer range 4 to 8 := 4; dsetsize : integer range 1 to 256 := 1; dsetlock : integer range 0 to 1 := 0; dsnoop : integer range 0 to 6 := 0; dlram : integer range 0 to 1 := 0; dlramsize : integer range 1 to 512 := 1; dlramstart : integer range 0 to 255 := 16#8f#; ilram : integer range 0 to 1 := 0; ilramstart : integer range 0 to 255 := 16#8e#; itlbnum : integer range 2 to 64 := 8; dtlbnum : integer range 2 to 64 := 8; tlb_type : integer range 0 to 3 := 1; memtech : integer range 0 to NTECH := 0; cached : integer := 0; mmupgsz : integer range 0 to 5 := 0; smp : integer := 0; mmuen : integer := 0 ); port ( rst : in std_logic; clk : in std_logic; dci : in dcache_in_type; dco : out dcache_out_type; ico : in icache_out_type; mcdi : out memory_dc_in_type; mcdo : in memory_dc_out_type; ahbsi : in ahb_slv_in_type; dcrami : out dcram_in_type; dcramo : in dcram_out_type; fpuholdn : in std_logic; mmudci : out mmudc_in_type; mmudco : in mmudc_out_type; sclk : in std_ulogic; ahbso : in ahb_slv_out_vector ); end component; component mmu_cache generic ( hindex : integer := 0; memtech : integer range 0 to NTECH := 0; dsu : integer range 0 to 1 := 0; icen : integer range 0 to 1 := 0; irepl : integer range 0 to 3 := 0; isets : integer range 1 to 4 := 1; ilinesize : integer range 4 to 8 := 4; isetsize : integer range 1 to 256 := 1; isetlock : integer range 0 to 1 := 0; dcen : integer range 0 to 1 := 0; drepl : integer range 0 to 3 := 0; dsets : integer range 1 to 4 := 1; dlinesize : integer range 4 to 8 := 4; dsetsize : integer range 1 to 256 := 1; dsetlock : integer range 0 to 1 := 0; dsnoop : integer range 0 to 6 := 0; ilram : integer range 0 to 1 := 0; ilramsize : integer range 1 to 512 := 1; ilramstart : integer range 0 to 255 := 16#8e#; dlram : integer range 0 to 1 := 0; dlramsize : integer range 1 to 512 := 1; dlramstart : integer range 0 to 255 := 16#8f#; itlbnum : integer range 2 to 64 := 8; dtlbnum : integer range 2 to 64 := 8; tlb_type : integer range 0 to 3 := 1; tlb_rep : integer range 0 to 1 := 0; cached : integer := 0; clk2x : integer := 0; scantest : integer := 0; mmupgsz : integer range 0 to 5 := 0; smp : integer := 0; mmuen : integer range 0 to 1 := 0 ); port ( rst : in std_ulogic; clk : in std_ulogic; ici : in icache_in_type; ico : out icache_out_type; dci : in dcache_in_type; dco : out dcache_out_type; ahbi : in ahb_mst_in_type; ahbo : out ahb_mst_out_type; ahbsi : in ahb_slv_in_type; ahbso : in ahb_slv_out_vector; crami : out cram_in_type; cramo : in cram_out_type; fpuholdn : in std_ulogic; hclk, sclk : in std_ulogic; hclken : in std_ulogic ); end component; component clk2xqual port ( rst : in std_ulogic; clk : in std_ulogic; clk2 : in std_ulogic; clken : out std_ulogic); end component; component clk2xsync generic ( hindex : integer := 0; clk2x : integer := 1); port ( rst : in std_ulogic; hclk : in std_ulogic; clk : in std_ulogic; ahbi : in ahb_mst_in_type; ahbi2 : out ahb_mst_in_type; ahbo : in ahb_mst_out_type; ahbo2 : out ahb_mst_out_type; ahbsi : in ahb_slv_in_type; ahbsi2 : out ahb_slv_in_type; mcii : in memory_ic_in_type; mcdi : in memory_dc_in_type; mcdo : in memory_dc_out_type; mmreq : in std_ulogic; mmgrant : in std_ulogic; hclken : in std_ulogic ); end component; function cache_cfg(repl, sets, linesize, setsize, lock, snoop, lram, lramsize, lramstart, mmuen : integer) return std_logic_vector; end; package body libcache is function cache_cfg(repl, sets, linesize, setsize, lock, snoop, lram, lramsize, lramstart, mmuen : integer) return std_logic_vector is variable cfg : std_logic_vector(31 downto 0); begin cfg := (others => '0'); cfg(31 downto 31) := conv_std_logic_vector(lock, 1); if sets /= 1 then cfg(30 downto 28) := conv_std_logic_vector(repl+1, 3); end if; if snoop /= 0 then cfg(27) := '1'; end if; cfg(26 downto 24) := conv_std_logic_vector(sets-1, 3); cfg(23 downto 20) := conv_std_logic_vector(log2(setsize), 4); cfg(19 downto 19) := conv_std_logic_vector(lram, 1); cfg(18 downto 16) := conv_std_logic_vector(log2(linesize), 3); cfg(15 downto 12) := conv_std_logic_vector(log2(lramsize), 4); cfg(11 downto 4) := conv_std_logic_vector(lramstart, 8); cfg(3 downto 3) := conv_std_logic_vector(mmuen, 1); return(cfg); end; end;
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; use ieee.math_real.all; use ieee.numeric_std.all; entity big_register is generic ( C_REGISTER_LENGTH : integer := 10000 ); port ( clk : in std_logic; rst : in std_logic; ce : in std_logic; -- clock enable xor_sig : out std_logic -- xor of all register bits ); end entity big_register; architecture behavioral of big_register is signal vector : std_logic_vector(0 to C_REGISTER_LENGTH-1); begin -- for active heater: invert vector and write number of 1s vector into output signal change_vector : process (rst, clk) is variable bit_temp : std_logic; begin if rst = '1' then vector(1 to C_REGISTER_LENGTH-1) <= (others=>'0'); vector(0) <= '1'; xor_sig <= '0'; elsif rising_edge(clk) then if ce = '1' then vector <= not vector; bit_temp := '0'; for i in 0 to C_REGISTER_LENGTH-1 loop bit_temp := bit_temp xor vector(i); end loop; xor_sig <= bit_temp; end if; end if; end process; end behavioral;
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block b+g+2OgOtDhXleOrnUYpIYIMHgBJ/lzibaKqCMZ/voxhQfA4tpZumNuYsCxl4fIXN5C/xSmkyuYT XxsJeN7RIw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block QfwfB1f7Qw71+mJasiol0LJ7XgB3BQmROAvJ6eYI1KeLZvrpq752eLZCYL7uL3NX3M6zAh0BmGlr bJvsuuiL808Q4XTluy8CClYflhT4R+TZIRjh59iXbYYdh4vcj42iyWCX+h6UtNdpUUuyurV2V9BJ 003goOCvQfTCKg5Vf0E= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block tdn00GQalxhTxlzZ4yDDGCgStXNpoZVleH6Bba7DWn2HrXcBupFBgszvy0JqsEgC0rTOS0kgYHhf kDUzFCPjSyTuetHdjH9ZWiWQD1TLegR5yo5DPkw+w9FdDgmIh/Ox69spjtJN40/3+T3djQ8R8T65 fn57hrDe4h4CvGFeY7f+Z4c2ejiqmO3YvpyGzaghfbHcxW0IOkfIMuWLars7o1YcKumI2ZQ2unnP 5rVxwb9t4e/Pz4GBLWonljttk+xUTfV+RYqkuQNE4h+EJw+OoKqWTAUOGNz1AXcoz03H9ExyO7u+ CtBjZvtTtS+Ng23RXda/9UJUAhPPkdl0c1es4Q== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Q/gHYxWCqkBjIsE09UARidE7Eu7QeybXnCHEgS4z7V42yNEEsrU0sX7w+Jk7D7GqeQp5YkhTc19U 4WcFnEXqRDMU4iFXPShESPXqEi5un/fCY8TeptigiUSfiQmJizuVGYsof2QjPQskQ3VzHThcFpkA JD34FaNwIpMN/TxFqAE= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Ng7PMNKF+TTbYj8IHjYiGBEZP0HTxksuOkatuPaWjKFAfbzM+I4i3J2UqEFrQrWo2ZJcng5maQjO FaSilskuHVHZIn1oBHJNCXvNCBMdRMXBeB6Nkv6NcqGRK6jiyBKqX14PBn12R9IHFl4J0B4LzpI4 TvzmOjIdVKPImCPzhQECCl3Xggw+3L+IdGT0dDPgJGRosjxxto3AR25eY/37aCYto4E8BTbY4P4v CCGnHjksAUorsCaDFk282+mK9aTS6H4pEtHCUDS8jYv6nh23gpwti1RPcG7HIWE/Wmil8g/cyDFF rjD+n8VhBHbfJYQVoa/8z3U52OEEW7Ur14qA8w== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 99744) `protect data_block pkEn/daUruLZjr5tZ2nbAkEObjhonNXFtOv1XkeFyrRSv6e1tQe6JdpRqRS1pIGJWWhh8fjDeoFG 1+7M6TCXq5MbQB0V7Of3h6z+e90HGWMp9rJGqFsOfjl/a64oEQkCIdj+JgeFUk91Z9ZeXG+fSvCO 6t2b5SjWB1HXnXqmepyeo9PKEmOp2spBjAeFK9F848ffnxQfWLWMY97K7unH0jEd7LnjEge7SyyR ++4lcaEW87m3Ui0LuragEsl+5WNSZ8NRGvz0Ge598l5PJNL+cQqdOjPdRHW7ed4tRbpIJ336GAWu jqLhtAJl9J00fNaN1RiGp6htT+XMw3zWky/VDgpvYO3TaRP3UnUfGpHlF3cmNsORvZ+KCizxT2pJ 8SObGBCnGR+UD9Bk9j5xRYnI7ZOPc88I3E/qZVhHs4swLIhgSRMtv40AAhx8Usu3moMNYl67BpAJ ASdaBNAD3NHcaw6SOK38UIZGssp5sw2eNaAYASl2bMq+MIU8eDVQ30UNbtKU5HT7b5eIVQKPVPKt MRshrrBRJDM38sRjouRRJ82C9WmnoeCAJbKlK5qw8y7vW03K1gMAWH5iQcf1gp7gS2zK4sk0aGkR 6vA5BlrHAY5QPuAzQORNYBKygM+Nxyaj+qUiw2S4uWU4wwayKg1kwie1PiJZjqsloYuOxv0mhEAu Dl1Htl/LkxUnHYhrWFljxsBfc4f5ZZyIfOueBiYAb6D70pzMOCNQfDOMdRp0IAzmbAnS2Bj+rL2W eI5zLAZKwqTrg3NARXXJYcXnPA96QrPP8vOd+FGKlWE/LZtd37RNY9lWNKqWj3IQ7ZEggNXlyD6J +Am6h+s3RXyShkz4+w9tuggcwEAxz1OwBS/3F5pmQETHTynjdOwkmcG8q4S/yvmdpXOk4ocRRBYq ESFIMpHw20aPKLxy29J9P7cW4ipf3EEQRotZZ/tHseSEGMr1UAFVEFuohbZvsDYxmsOfFqewF3cI dLd+BJp9fgeG25Ohuv7/zYPWh/SupDoO6mrNQf35KejbWMB51D8co0Q/ngZ//VAXQAaMvaaAxZrl XGqetsu3IHJ7pHVvrLkDLfLrKtWRHIEpuapulz2BcXOP1k8WpKCZrYm0fyBMCmIfK60HMaQFJQ7e KGMtjPyVsWGT1XM9v34FU12WEmkOfSbvvEBze3Y+UHUPPuOgclWV/wt/ft6Lw607jtRa1h/K13c6 avhVW5ivgId4ihTErKcnQQvDjkI9qQQUHDolWj+rBfmktMtlzkUNoiH4yZfEFOPGh8/wRG/wnsLb oH1zUAGS9KPww0VnQOZQsi+3jQ4BLblCYXyDgAfnbG3GOo1kPUdveQWjo+djWO8u3kJ/2SKEyJzL OHNScUwYtHnC3NDXK37tJ/gJLKjN7t/Y9OF2Xik1a8ARg0ole9jmGHlmeRY8am9pWoFitzACykp4 2/PFFuDIg/zLXsQJkqlKyBKcjsuAwsXEjuUk0UH/q6XZxPok29Yr1H36A5yrqgZ8y7A7bWNK6l1t 1nLi+YNzH/hyFzBKOL5F6ixQMUVAN88Fzqwdr7Rhn7BYtEobJBlbeBRPvGOUSTAivc2N623Ybk/Z /Czu5DUDOMS29Vdx5rHwSanwEyC2cX6pVnDO/SXseRRdTzRZvmhDIz9u18OGXlcWSAFVhTxLkjCo Ubo5BrQpZXryX/EM0CP0jNOPf4hnpenvMzDJ0yDyXRTGLQuJY3JBkmpOlcn+h/OKpq3A+LGfuFTo F645WkOWRazxrZblW+2DYlucIBUB+PHUJ+6TR0XOJTBbVCUgqi/XqqYRCXLetr7WyWwVYnocJkYs QpLqBgTdxl/0KroyU7xYGa2nyNXmUlkNdY9gVO+j68yldfdviLZHUWtfEuGyWlPWiAVOd1Ugekks DiSaAgAXAXiwV1dRkmdhBxNY2nACzDgxEqPdREihB2CcQVDVfAU3fT1epZ20IFPYWZaKeesOJvmq 0roGZ/E3nPUusW+tEXdNnAd5bXZL8txDlkli6P8SoCEc2m0uqHyAYRV9B+r/xyLsieztT0zxsUAF T1nW+e7kghBwMwp8N3bZHocJBSr0nk/XTtMSseJIht8KTPR8yW2+ZICq2enwNuyi9Ik5h9Gv5zxw QarzmepDgvnKC1jfTlsz3WQ/9SytcTJ2Zs2P0ellaMHoza+x2hanma26Vu9RqhjVj6uEFKuDgQzI wloR3PbOQfxeFCIwPzgmISgv7j//T7h856hqRYwsaL1u1euIspjis1KNXsDDeI5+dSd/P7RRtgjG jD/3WgRSvmd/hrvSlrFkjkHGZG8MhRfnjEy4+fs/6egA4mIeebvTcYBkOWVKp7A3eyXatWbTqbsT Cs8V+cPriEVfKnIOCwVPKiJ4K7ZOfP6peq9ULY7fIsIKmiCS4HEpIyzvY6JsUE3HYQZ2dv9VFEq+ H2YLfBUfY4dkB850A967NZolC78KKmgP9UTOo1QcVLSW0whxeD/btRyxP6C9voBK82A0fKaa09w0 nPRxdrzj53nhPKOLoocJoxs0ulc924ssWjQh9qnSez8HMxg0ilZMaSQD9Rd+rfs1HPURVjPFKWW3 Ybwrx7jeqvW20OG5Ab/giQLB3gabMKfbRzZ9hKh1MGu83uBmRD8TgbhxDKnqWIrL0Qt3xK2h1+Ho urqU4qYMaM/RMxFJcB5YwykkJFGXyl/l/5JPHq+VrFW7/xVa6sMdsKgLSUsc14t6rQpMijWRf2Uf 3zFIhhijm4OSlpM99g3mHRDFT7BbVQQ8BU9KIxhSmsKRIxt/WHaWez9ji6BLFA50YNJmGHSf/pO7 Cg2oTVdEyyXiLT+0ehBOnA+IW9uYhguGGNsm5d6nMquOuqpJ1RDMIMHHBOLzJUHAo4LvTNg2a09D ruE+fowctd3kzZ272DEXr6dVjqppbOaR09dLTpkSRxzJ/3PJNdx4WyuHz3gtytFx+GyXBXiBOyUI FOrgxjRdAm4UiHkp4Z9KWnNtn0AajytlMBBKvf2QiHwXURHuRLQRb76yXM4pL1IpiFVxEVZ7yWsd 1gbgiri/O080nGu/Tslg48OiSpT6ZKKSOxupydfG/V2jhYH7VmoENYIfB5SR1vew7pPHrOdRK8jV plUk3NQhF5gCkOvFUHZ9SYiex//yur/QyAqQT1vKlzRjTlmedCW7d/CwVaujYD8IXqMTUJgWNmNL 3YfMvnt6wWIfZINx1LrJb6mgWRkssZsfqTgMYVUOlwizwzbHhZd3aTvZJmGiPcumU7oSI1iIjdBH mbOiRi+UpTKtUAiOsR+jHbD/lTDiXLSTCxA8Y6q0QDTEQhD+OzNv0BGzljKpTA44Ke8xM+5lrn/3 +3k4PGc94mSts9LutWMWCgratmzD8zlHtlSuWMAKUB+FI3DdhOhm/+IXgIRjnlkIE5XyV8SQ0UIE 7DcQlDIgAqM0/J8WUrmmmRHDjqJVIDGeb+TRUkkME8EjGRECGwoYA6SKiQcjNjZgJT9wQBbvKhxu WCVhJ8snwgLPfZaxncelCM8CmaQcVIBZlEgnTA87J7rPoZQDecQCi6og6S01jki+oI6H+jff58Ub y5qv7/cdJjjn+h+92dToqK8ZZQKbYBlEDnztB/xOk/VUQS/N/X0bvdr0/YKqowsJ1qMObWOYPAso Y7345Z05eMOI3tYfIm9u1p744IBF56XFxny7FaIysasHwozxpnz94PWAGigPzzFUO5ITGA4VjTnX X6oYS/BySu+KHB3oxIJB+py2Jr0snwJF2ytA4t1kQ4kdhGkCI+7XoOWKnx5+ko9kKhQ9AKCDN8Vx Nnrn9kOoBCUNhpqZnyT9ubY269BOJlc3B29DZ3CMqNzocMxqC2KBOu7oC97w3NyiJHB2wfEgnCVp g4ByYPe5S+/OCDQe30uPP/Ewfn3mDAkBwgnt/XF6vOI80bZ7YsS9HMzrfo0RmTF63mYkcVO3/PlD 2oUJu6JWR9oXu4KPFxNmvzF8ll0yhevFRXO2O//5hEomYgvsONdIv8V/xp/sU0VBPx9gGnXewgGd xS7jP9YjChfHRLKbSfdJ7VsMyXIlm7eFymLpgP/GftsAOEejyhCvTZqXoWtpI4CvR1r59/DGdI0+ CMHZ26bKsrZ3yP+8F2mzOdjIp+ZymXI0dX03kYHR+Dcg8X9fcF+0gK6C1TbJd6BG9mfdxZzN+3Aa gRJSEOcbw8Q25ykUI+9xW3Ebe/I3/ce0mCYxQ1oyHtALBkBk3fuEWcab//5UyJlUYfU4j/ll/6Cz dxdA+NUqJdm6T5QfeF9ei+hkqnSVcjF+USRhHYt7HHuw2eVrGqUtOSH/fhSxIu2JBmHwF8QZEw3J e+F0o2tCWySWGTPjLojRaNC/+RAsLWHkLjsoxAAkafTs9cuF1YaCV1kTqW7pSXNKhJznglSP3hLy dCh+7hofE7Z5APSgZTEQeAMMbkbb3P3r0xJvzSK/M0JMZSymP9D5eMI+NdOPpxEo0yXmAnsP2vSW jUZ6QaR/rwBa9xJJl2xXbEMrwi8rzCF7j8pGWnxKHyyVXC//IUYL2KGXz44xm+5bzHtmtrFzqhMw D3m8YFp1sok4F1bJYBRwjsR12GM7MObsMRNH2S9LsQZQOS5OojTR6xwJv8Ms/C2Bxix1/YlIEyY8 wKPbP2xlLd9nc9J6OFejENHQCXXRJtZFetIEhcUAeZinsTK2uzME9pu48JoDPSLrVzZNitY+Byiq S5jgopfk8harygQM23xlWVDhlrI3sac1nyPk8HuV0nngYtavwajtq5BpeSYZgtrtFSK26wQFu4cd tgZBn9MUM3phmw7Rr7+Ul3VqQp0aKU2wK33SonSCQAr7dTILEqurgIkXfx3zR68lGh+eZmx5NSMB tJtRLXRfOMrlNcbfLR4WeVUqucd2XMdK2Gu/sFl9N6ERolCzCplUFV9VkddKw8xhRv1xdxhAFpr9 V9f9Fp2c3n/pBV3v1PadJuycFIfy+hmx/8I708+uW/gie0CQPVULOhJ5eybf66ORyLAmMoejoU+W LFVNoxzSoi7eRhbY8j/YT2XgRl4fczaNORa8dl5p5O90tpbL7BAEgK+VjwdLZnU8ECynUGOMYHdg 9mOAb86SfMsmE3lX4EieqyeFZ/WMkOgzczOhv/tkrcvBsXAMB0G9kvZ8m2EjNyvKznxwUwV7dOMT AXlHCR46A2Vgg8bVqUPEnzQHALoeTVjPInMGPzFVNYl4NbAQP2pWrjC/Jno8xT/8kzqs/6ELOyKC 4TTOYRjopPQJGQ5W46h724kXUt391eO2D2buUk5tQnD49cwlu4ZFTOrBlgqgzUS9Wtm25ak/ZBRA DaH5gFbsEXaxPcZzh2kMmj/6tmOXEmF3JVga31hKiRJzLtlWSq3muvOyTIwQZtau7eFyZIVGzpnc HBqU7GZLZk1cCDbtFsCMRTc/Jj2x3jwE+0NYQUoYoJPzFluZ9VUSfMbg+gU7BF+NtZ67jc7rSfIH xpBG04ZGS77lqrti2tVOL9by3AyPI7IGjAOISMZhykCo1gmUVVPBQzjjYDuLzYvyGq/EeipAYVrP DfgBTqr9vKCKnWn0d/NHIlWXbp80RrY54wEi/U5D82NbfXS7zYGXJvBO7Ulj+aQTJbNG4iraBzCm nfKoFKy+ktBKXhoDzeBu4XRoHNheuA/M6OrBss5nd5EiQC3YozSJgJPvvbqi8eTd27QFLmdEwI8D DMOSRAfNd/K9D7gWs8zAihKSlPHF7QS1F9oIpsx6ozj3ZIPA28zIVMaJ1uEchTs9Iu8Y6WgON+G4 LOSrbph5hM84a/nCymgPJwlYHOqC6H31w7ZX68lULVVPovxp8L8elrS/0/+udwPfPliiOMBPztgI dvs079Kab6GFiqebkyhEnrLAyyak8Uyt05E2BcwlC66uEoRC83NwM21o2ETMz566E6xtuis0qLj/ 2FtIuQU410nNnoBbRTqrAJh7LIym7lxODcmg97Oi9bF/G0ioxozxLn7v4eLx5eCzmM+2EyCm0Rh9 wojPhxAU1zQS6iTtnzCWC7Yx+eXs98mmRY0Xe1hewn1MWIeL6sU+p5gJa+HPn6GCZxUojm1eTbu+ kMWYL4riVLReXqferm/Xah7UXUHy7TmEDYDOmtaVf9TepFwGd1XnPM+ufFckSXVKGYtwIVY6KHS2 UYd+8l5ZXk3bpu0azdi/1E8k1eBcVpZKPWSkXiSbtT3RvyZF1NwPOXU8Me5+avnsZ9pS3b0oEOks XQVrZx6odcewkrJFXbn14dcKcUVL5b2FyzEcdDrbGUUBHokR3RwKL4ge24kFSs5N4o0ycp3q+4Ox enMu/F38tlmqSRISvwMVHsrdccvKwfp8bRhio866FWKLTvRB4kxiZugfvUIK46T/Wfm2xQ5EjcXE rx71XjpxYZCQPVxurFP3Vd9LtzCao71g6zZ4aMGw6NXaszP9giUqrw/rlq9Th5Rlt2/qPSwy90KC XK9cCBynwqSxbKzE0VpNuKuZ2EWKdRDGDvXFcqs0DhDUmqZCQeEqCYXW0nYL8PAHg9zUPLH2QL+Y G1Oc1TgY9IVCrJbt+IB4TI+krl8SkH691h0ShCcKKwT2tHBjelWKUtp92iD89mVdV5ZAagYMh5pC jPVsOErulKdwu71/gTurJBeaX4FZfTGHV2hFHq9V/5R7cykHk8fxPGolcKSwD6qL+Tvh6a9jAjto UnrPmUR8f5CqBaHUC2k0LVK1AkT06o9bGczuDeMaSvmgk5+gmcnCFHgdmPGemqoHq8LyV9UdwEZM ds1+dDZeN/Qc1uwGRxRa7pYLefZNSSl91r0nXZGroZj6O8XtdEvkpylQlDvJRzhPJHrSAG5U1UKl 3UMpeuaUfsoSC9nEhn4GQUk2k/aGO/QcOt7ULjiENCI9CCF6bpE3hDzl98Kt/8+32QCmgaRm6LtW kiKBtol7BrJtqVMK6jLlXzgDyHQaidbP0ZChOiHNWqcRlBtLHTKZRIva8ZLbK5jQcCQ6n/OQzaWY qiQQM8gABS4Z1BaKySjkfULRaMpqXOc7k3hV1bpB+jpZ7MG1t3oCUo1JEL8P0FEPvJC/hfyQxsSq Cy+ryadE2eKoLTarL2PdRVQTafx7ECiqcG468Mtt195o463Eid5txbYAy6/I3faVxzcIP8a0PAuB 4KxtFkWWNpkuPZVPA5IzVYc/+BHcw5llz4YRpGOyQfesDqNnGOmQpqNqm5NgEFc0rgUMYCXLp039 H54VKakY9tk9IdZVQAq+s0Nl3lTcMmo/umLVUR9DCXgoT7z/gDv4r66GXGfrGUeyQ+6iaEG15sNA W+RuEODXrr6X/QHSZAZqjo+WboJc34zTGV6TaGdsg9XpHh0a7BNEe6qgWniVeaKKemzNGHmf8495 Hc8HEW2T53iSeT3OUhvef0XmVZRESp8NzBIfqUweNyqFZKUuJWjeTlCmALTLKETQgyYOn7ZGrWY6 PJI6ivs+l7FJVb/Nh3l8cFf9XXStbUtprogOlLidEEWUa1bWbLbGDJ4ChrJWLSo3rXyGX34P2/n5 PNUGpT0u3Ng2JsT+bf8avJ6v9tNrNr1pd/V//tB0ye9IcNIgI6/YneWTa0XCf2BIE6acFuY6kpM1 IJ4uJkT776iul8we5zy3V+HSzFVi2/IyfZsm1b9n/+STkSapzaClz6w7/ruf8XBuxaowb0eUrnSw LuYoE641pmV/UAG1F9aeCvTo3W6ZbHyzDLNj6dZMNjDPasWfRJgiXLsywkpjWQcLtQSYtc/PCOcZ 2Xz81J9GqGPAN53bROL4H5I7bgVTPM/aK2YmTwCspd78D8yhp7yZ95ylmtUT5WV+3UkWGw+Ry43I PeO8aUwTIZH25hxWbPvTkN0HSIil24WvvS8TbrxNd5GvwS/hZaAHhlbwl+ga6Q7BtceUiq2QHrIF si8erMPaafAbTHezRgy++FAPdJtzBam0Awh7cyCu0IxRsG8yB8HwxIra6UnhbJh55BAgbPGW3iv5 hKo/kBGPNtmbf2pRvt8dQNsssaW7C4vVe3rpN+N62Yicv7yEnjdVV6XEQEsvEpH6O8lw1q8nKW+7 Erae3/gbGSQGO8WQ12/d4LqpBCcV6LZKF887q8AH5zag3mlk0AGZNP7GgqwN9kr4gECrSqUDo3Ub 4xGj4tp7uFF3MV67GD6whgCl9dmvzyvIYU70J6pHLFMhHYuZ5olTQ0OcoKZHJjdRY/oL8Rz8OxDj 6q+BEc16admwyhL1pt5QkbqkLURvXRdVP4R5/jPfr+4h4Xy73eYv9KNYqgwED660TNYv0Z02oUK9 3LuQ6pSmJeh/UdmWY15ihzmXzmLeGZPv1b9B/P3wjzvY8BTirejXWxz3XM4z1ZUE+ocok9nc1bSm F8cR5MTdv+V3+G/GxQnaCJSJvC8uI4fk8Hr2fGUgTLMVU5J8KhXw+dnxBiXMmTPFBeVMoTmFdtRs OBznkVsRJ2igy7+thIgE3d+qQrhLMYpGzXWOMzaghiMspxkeps0QJNUNXG0ZCUEl9Ztob0KW3N+C ffFjoXV/y9/kEaMhJWpAfqSf+08ucyl3rid3g43AOW3g/QzPZiX2OcoxzO0LglF679uZxWHBVx8W qDnzcgNGJkg1XC32VhiCWUtLpBThA0eHVecV2jsd2COicAxjbSR6Jsfa1d+YBFgH/UreUShh5fRZ 7O35Ibsv9al4+IQh5HQtEXU+e4nNg8YhZBNKLd0lhuLbWCm5egiyNCv8aUZS7QVATTWpmUn5JwmN fVS178h7xvUvRddHCjibTPq1Vbgr5kPrnsNKEIyUPr0N1Eu8o8aaOW9PqJL5tRNkJ7jGsSkQ7FNC 3rXVNAcO8zccG6MY2J7FEVhpHMPlJQ0fLtFHezoD+DTIDgRtjBGD/3AvLGHM4rXwNBaAvZMHwrDz OHjQh1cxiAx8+2bWD504xWFj2L8CIqrTspkLvpBe9J2Jr4JvzCDj6cOIAOlYFwoA0q8fLZ480MLZ 4UtctFh5IUa208XD+3xQz+oWnacdvjFpTW5F+Z7kUDS24nkQ43NS0Q0C7Yw6JKNg2GEvnPJc7lNj eeFw+JaCBjgPCOuIwsiZvkESBX8jACHLCgfOjkbfuaorZeXufwESqIOS+j6r5buOnvdRkgHX05G4 zZu332imhUnH6PdR+k9ltVlA+IDgrMRHgkkYBNRbjNC2/1LpRZw4Tc3QIaBlx6LXL+MFQpN/bdcq WpLvJ4cu8HCtsOnmbvfCfUxJNqmBXcN+vXjToL12tdcfemDuQ6VXO8+HfX5U1b3XeQddJJG1NJ0/ EZAzRFGAtmD5wU5J4sQNK18WLs1LNVPItdjswr+Fg+AqIJgrpZUVxRThEAxp7oBAVvQ/FvfFJxjj hxTqjzeGvuurdyUp8xxLlMziA4dEbGmLTwx9y63Zzg/D7n5qK61v590DWboiixZI0/Xoi5bWu/uy cG0cqfzJadO8FrUMVLQCtsf+d0PZFZl0+XI4zMbn9EMrAUQAvd8duXnH1NDtL+V/BEN2XJ6hzZcH L/+a/QLcwteuPCmwI0Grzk7fIJeFAVJAXyssJ+GrUNBHAmhLFegDoC48S80crMDFoMlC4JdhBo8Q nHKPJRalE4SloZxNUeQFudQtTaisSykR/VUKGw6ZemjrQAiBbsqy0taTwQy4ASp+JSy38L0rV+sN XYIyGKxgn3fhOZEtf4O6X2/BKLHC6qJQKFwphcMHPEXYDiMBRUBS9ONB+y4qjXHrsnc6w5vvMy3a /Lv1wXHnZdO0dcX6N6iQoGD6D3oxv8ugpY8i25wQ1D8N0xycSsoCbfVtrI7VGsZijz2SVic3ioxZ x6r4SMFzztuV0deYg4tmhp9t6g6MaKw14+TLKybH8rMt7hwiRzq9oRyx/oBDKyq7a00dz2CetQ4W SeZTyC1GXwNMSx83iKdJKhvIqUnqFiNwdhBvTtZhvxillwuWlMExF8UKqLCZzhEJupR4u7z7tjhw Fyn3Fwtwmf3RyObhFZWpGEQwfHQrlt0Qfm/JcBIYniLI2KkM0iI1i18Hqa3qw8L3KGdpKs81cU7D sO1hL5YgVLP22XpctgJejCDI6CpS5CSMeR4E803L/FS7mkV3S1VF0fLuxMYZv02LjKd4RfX4SULP rCIOMRdsFlB9joJwchN5Ig9lGG6qPeZmx1insV4ZJ+aib+RLA/ZkrUarsAaUchuq9+45nWQz/hjS AIEmJu4/DOas0fXuj8sOXuN+lCt2TBgPd2lA4lL7s2vmkEattc7vsPKICFIoLAZMiu+/glNQGAxW +59wx0kJGiA1nVOxEXK+mRKj0Hqm8+0ZUK/4s99fBlRF+1vNmntpLiOLMEaJ5pHU1ooOfx0UdUiG HxgEL6gAcOcTTLjmZfw5q3AFEgGuExZtEyQW956mBWgT9Lj3lZ19tUotYS+/4FsXoROr1J+Hy2CU iP2IE9M89vI+IicIAjYVU9YzX2t6CVPfySmsVH+Ayk7z5faxdJ0bqisS37IIKe5ZR+UdEeY9p9vD qJYVeZN9uhoXxUAcIYGsobfg33E2VZ6QHO1WQoLZQvEo+qV/844ocOr19Jlqvm7Cy3JumQJEyRoD NBjoifp7qokONeDyl/ECOYDqWZbKXINnkUyfHzQcB7taPqFnmVvpc37kTmQRVC4cgQQQpvuHapbh rpdHfpva+68Ddi9rCAaJFDTRchea5gXZMpJuxwElDHD1QjE4oG+gmxfMjIN0gA1ztn8TgQMSFezV Fx9jF+XwA4g6CbTemeOfi8W4QIf1GUv8M471RXle4Xnho9WgDVukSziAYbzy0XcOnqkd6WzmiTqG vJhe1H+TB/6820OIR8ja+T1is/o+7igxsh5b6VvzzZ2ob47iPXziyUkdmz7A28FE5ZnJOlOUM5Lm 50gdmZZcD94iXzknxcL1+lngcLObzr8eZt/xABuOW7COVfw3Jixe4PZLVgFkJxq4a+20z2zwtxre Te8O0NYdXqT6QlbcxQQfeOjD1tMVgHxnuhY7GIQJU10Ef9x5UZahAgICWY12tMpjyOCAUErPipAO INiRhzpiWnuxjjC1eludyNYaFMZfUfXVeTOaXkXAOpNzzKuzWb6GHpDepcVFeuPAtVeOuB37JOfH sYUVQW78OckRT3pCWhqtjSytE2/m0BUKm53lGY7W0yxUEZCdOZzZqI0MFUDQpFNm4Y/fVaYTvBGQ /pAEp+3FAR8yhQ2MYcF42FjysA7o/xPPCIq9Z9O4AQkSyhXaB1IJSMRQxXISyu2uwpXD9mgGJLfe pyrCjQI2UUPhU19KDFfBvAp7yfpPfhUM8CqiITl4IX8TnG7wYeqAvmVwYmiPETgYVrE6HMQfiTX1 fYkTGMCuDhtxGgsXklx+03h6F+Z5J+Vw0V28HL4H3opFC/ekCKnb74FW4ZYbGZuXt35LNo06MrWh C8saDIpYm7+x/pXKd3vn5xdyeA8mg37pv2Xf1RofUHqFfcw5kiH9HnrK/37P/BwBAegWmaZX7CzK gHfOHyKIj9w2ECeYa9zU31a6pPpF95MCaWFnebgpcVdogn4tQnLjqB+T7i7hjgdYpYiWaB7loGnj GK9TjQI/irA6y5m7HSzIZW41IungBDZnz+lqLhJbg7OI8ZJZAcXMWT7lipJHdqz8aZvzHi/FWuza EEsefsyjdfyf6KwcEwQCNrBgjGe02QJwOu8FJpWhAMIOVf8N4oaf5AoiQMur68x6r8rYvoEJ3HX4 YFBCvVHI+ewg7o8Zcv4a4PwOIVSOmnRhyUtqbwuD+hOC7ZcIkgw6GDkjdJ05YIT9nwLd6/lN0RkA g2Rxh97f96qxJw92SqhrcswEEbhSRqwrF5fEvK+7Yg8fCPNmhg61ETl9IDO3GAnt0y1ALDzGaHQ+ ebSDT+bKVX8CvAtkYaIZqSDXCjev+whOiUe3JZ9Gcvk9MyjNFwzGhofdFQacTN3oHRZRYkuXBC3s qRyI8kzJlvRaAdiSZc08qyrqC6s7beQhdvv8j1fbctF4q+8RXH30Z13MyzbLozjGrTI4izK/an3W eIYXiJXGKXESXno8iiGUvEyb0PIc9xhv7BEJQYKLjr1IVLdKfBEJSXpffBH6bevLu7EGReuGqsyP ktQrZz8bCSHvBy/0dC850BJZSKRyLE4U0Juol78yb8dJc3x/TdXkYFS8phYQGT38NJ9SJ2fgaElw OclW4CnGEile4NnmtqykkxB7N+mzQWsz7EmNrOvDYm3ASo3O03MEI800vZ2VENtpuNeliLtKc4qk hVsdT1/hvF8838J0lQmlagCJO++06xQh4MxKlMRlUCIrb6rk9VUq6IgLcapcpDTW23EwhSCORM9h gDHdqeW6+S7t6UZVmbJ1d+m5mNt4a8o210zmqjQpmaBak4Wg3MSicP++wPcWfaUlaaZvlTJ8qkV9 MDTIEAbB3NU6JR1H+/ng4Ui6wmworcB5rYiT8IpFgeR+A+57jiDtbgBCzX3s83GyBNhpGDKx64Wd QURRQa2poVjgzaHsNhTiDPNWvN34EQMtnfmFbrDYRpi5XUB2VLzvKIqdoeGGMTxzzmgHDPEX1XT4 yiKq6C5acK7nYAjtByNvAOiZbzsMTW4foSH/EkYIwnmtwKy4bN6RVSIk82cqhfnAabB3Y27krXiI S+AFQcBqAQm+twdZc6MjeEY3DJL8syFTNgi0H9A9pi+X+OztKWpmSmLMQvvAuNTJg0yoiXTKkLt2 IcadF15vTMYhRp31bQ8gTaCRjUcircOiDlAWT/OFJQRSp+WH/l2SL6crjRIP4ReSms5W/q4K7bei 0EmffRoqe6YxbQ1/SCmohzNr/VYSKhQqr5JB23pEIDYx7wTKOgvLDONf0RHMeFhA9yOGCteNfV15 yn+WGWUUi6UfTiLrOskaYynK4HPw4OZ1W9bq28aSRvKFQQ+RmEL3h4ARwYbtKZWYHt7cG3zaCOlE O/nx7fGxOixgDloGY0NbwddUjJ2tuS8NlHM1W9oBpJ3HZmV4AsjEDVjMCbXTBps8AW7h3GXo1YyI E0Ey+MyAmObNJF3wrwOUEakjw7/Zzc8ue98S/JIHI04d7IwCNCTVeE1rDjWAIbOT89SbbQCDKiD2 W3E55n+w8tYbgNAmiaZsHKub1Mt/PJ3QjBTLlwQXBl5QGNm4ZJooEqoHcKS+NpQ0vBCbsyndIpnz Hxw4VmBGJFjojENf3Vr7NoHSOcCy8lZZiJn8Vh6TG1A3pg8d3QCXEXGYw9xyreLsT8O1J9+ctKnU 488cfIl7tu/OcXVN4dGSVpXYJyaplP1Uq6zTlXYTgEwErwlGrZ+DCeH9kr+/ByF5MArxHaOOcpVB 9ARgT0idNr2fgd/FfFd0hq7jKtziUpIwK4NZtPA7hMW/S01nTC1OINJovB4b6uwEWOBtd+7lCLbq 8kczciTONII7uL/toFNnEyeogZkP3olO6ETPlRV69D5UW6c05SAQRRNWP9B03/8pH76m35qZjUqQ dezBD241ppMiRE6HXvDp+Y1lBvUxV9tHmAhsmHSn381g1aYDW3nE/J5zgS9PBMM2DdtaSGea7oj7 Xsvre+p//M0GWybvp44ek21HKbML7IoUtkFGtVras9d1J+Gx+JteAlJAKD38xktjVJN+0QnQGM+I ivitRb5KUYUAZT3ZqWYYYbXVxKXivNT7BiufHVzAISbGV5tQ2Zm4uOuKfo+cFTRc53qiNjdDi1XB /xDDGAxzRpUQRLnlzXad0/KhqXC10u5pyMB41p/OHQo67DsuNUuo0/NPaWmtd3HQhPaL4lzR4AxJ WOlzN+dtjWdWc4cjiGvQK7wtA+ZODMrAlLiWPnjDD4tc988G3HWtm/9DM0Gad9TRcZ4qxtP3djYw OIMGA2Ou8u6UHoz7PS19+mscgukoI7hjyKz8LvGgbhmFPSzwTFttPKqHLIWLSvGBaWb4mn+FOdvK yupwry6XM5m02GIBID3dYLM9YV6SI0N6nBOisI4VMFTQMUO4iyNU/NkKC+On3SzqfdL8Ww75ALNP 8M+XfLptdVgrZ5aurEy5aw1F0YA4088X1bK7h7WE+0vQzQ6asn8YkK30IkVBsgmx4tFYzYEeE7Ak TJ5zdfRFBe5X+RhKtwMKB6ExtnqYwkppzsUYcRLuTt+lzeAacUi04hRtXo8Mi2pUp72dVIylPJXP u7aIAe34ueOj+MjhBEJn+VL5Pbp4oEWBHMoGbc/863jTqNRQo6XsYWJRndOHJCWpePKgBhdF35jF wGQObfNNckNBvHTBAil6Fwm6Z1VWQoge32Y9tpHxitC4ibQdUQB0Y2myXN+tnjnwVZAw+27o5/Yq KKSambOg5+OjmEk0wMJz5UeLhtwsyCGRm1ck/w/FDKLI0+L4x/FhFalRPSiLhvu6eTyODDiCkWUA EGkNUHgc83i7myuTnYTjX3n6/XCg6HkoLF7rWm7KR2sdkFm+6XHGX8SKsDp2A1m2DhRjkHer2kxc TFJnH0S7H7jmRIV/QWXsJqMl8R+EHmBtqgjes9I/3PLKK1awLlK88maSaEk88xpz+1xE+axqe8lB gbwfZkUDZBir2m2MXzfog+1OrtldUXQfIpGb/KB9c2BXiKLGrNBZryx+tAELNJ/1iwVgcG/LDNME n5AA9DAIV5snlzk3Acp2EEAyrcUj+nkl+o3g6/6IfgEBDpUDglANBo81Mnu/eujssZv5RcXkItLU dE6CiRq+p59mN502RGi3xciUURabJ5LRvoGAK8RO0GvrXXvEbJtJntSXCKvNItReqRb++n46DLhn x8i4Q6V1Yri63aeDKtHcqku0bGfAHRwRSsFGOX3jnErRaDpDanI1xlw9NFYrzDSAPAJAQkApEh6D NHxEh/Q9nAvbJPGADh5C3KyCc5kIDZDen1O/OHCsmT7ctXjsQSWQKYPCEMcL4RS3mhy0jydTNZtn Xy6bmY9d7imM+H7VMwiPRPVNYkuTI49UHV+I3dUNTqqzMKzK/pezHjCRXBT9Z4VcNDk4XX4Xf86C eWmDQcjLCRnLawqjUQcpObuoKdHtvN5OcUi9ERe46OzoM40n56anileeo6fYO+rGScg+H7HYOraK be7PGee+PmCB0SkHaivTdsh+EpY3NoeT1/UGmoqKSfltInb3e0pj4JcUZugtAWX2F452lDfmqO1c 3jlXrAqJTowpb4Glg/TsnjZRWhWOFugGZuyyt6IuZuS879yp7Jnmg3n/g7U83SGuuCMkrGoXV7ej CkSfjV+9LolNYWrjjam50wKrPgnsBwKh0wQ1XL+P13lKizM4QmPMhR+uXCqqjeCoNOQUViJvDWRI LETgqwzlKk4k9KaDnIvgGwnp5AlM6D6Aiq1qNuS2KPD4m03wwdfSZLR4SJA/jmGL1tQFEKRTr6Xi jCY+SGIPkO8Je/VmXE3x7J3Jg0DtJtezTbsgVmIRMyz4LqZiM3csu6FNPwPCVPcMP2HlS5NfvAO3 +GPs7Wqr6nL+yD/U+ER6FTBOoMEQOSNXcd0H6gpmwAranoSCrjThFQo3cnZbFNk+382wnwTvdSko AeafOpf0dBXSjeSMCgVY5LJ9vM5e4h4leUzealIvzrc+dFFS0GbV4ao3AMDcsPn99CQgMYwVTjT8 DEMQ6I0Wjc03aIuOswh3HJeyRjcfr7udpjuSRPD3ehzHV5CGGQ55BIgRJhUiWeuEkd/HTQgCOlla XEylEKaBRsBkeCoyIqj+lT+inOZSuXpNV1DqyMjjznVwo6xDBtkqANXujivFMG1NoEkxiox35/Sz Iz5GCNOK4wxL5JUlApS3MTatNuSnPohnijrJra4ghqKsafwA0ysZ1jdOUN9Bk+i2yzMWOzqbinCg wujR1bDb6vsil2mxlkp3kkGGjiQc5sbQ5CDhfbhGK90TG9t1Z0BMckNmwKwnkPu/ZQpUk1NS5GD/ jZX/3Xe8g6xMLcic6pucb2dvLYjno5tbQBwUHYq0S/4jPlnb97Iy3k5pskXRNvas+rHCxKDQlfI5 xhrs18zvMWsvSRqe/SgXbBGdRudAIWBYkWqExwfBCJfMrmFl0f/Os+vGpkPaNiMTSvLYt2+4loGq 7Sfkfa2PvemhlypgsbL3kNUuHKV/1SH/QhGqejP5+clayT/tsIJxykj1RaRQEVadI+RUBT0NBYVm TWtLfka1X7E9RlVL0MEUZgCzrhaZrOsS83eT1JiExD+1DcP3ZVO8kuy3/kdrD+B+ybfykbXUvCV2 Md6CxjytP/IPBEU2w9Ob7jdXIElZhStB9Jikfl2CC1TAXraSj0xrlBytX0jOFQhuIIKvPbKSifeI J58zwCXLIj1v2/hlbztQ9YjxKqP4QKTBNuoEFHzpuTZJtd7FB4xT06vmhj03MnMDL1NZQKqYxtlt ARHO2IsS4gc8QqGanMlLMmfc01NGpeoiCDq0SDcYdshEuL4ZZWzVpRC9fTb9U6f7wMi9iqy84DD9 pMNwJGilvy15tlA0Mo+N5VmsaXUvyYKKYpNW0RCzgZqMHI5VlPm8byQ4cgqkvP5KBVQeTqpUk1Gd c2tvffsFOfv3XL1hYy/A1zthlwJaoKFV2Fpkbl5Lo/jxqt7DrPTHmHfqngkJtudr2RT+G8TgiMqS hN6vyVKiQ96CAVpRjTi4ryulrM/bjVfH211D419SU5CEt5PdljL9RTplsDy6QQnhyq/3chXMsSqp AgbsL/Z12q4ADBqKPn7+O6YvSw5WEy/Atek3pV5faim9CH87FVZQRZairGzJjFSEr1ixKCFqCy7v mxexd0bUuEfQ5eEwGH6VTEFDk1HADtEPkF1B/jzryfb9naLOnAE+2KRFTJc9G0U+KAiEwCSJWZiL ZLcMQHVJiI6NqwtX5woEcMeP/9ou+fmQ901jMJywXDIgPMcRJolzzBgjGsW3mv8HYMBtORFw4tPO c5geHvBhLGu0p0RNcYQfjgjEv8tlwzBTxCP7WAWkmJPuv4odqp+l/7Zcpe7Or1gAR1uRyj/4BZa7 /3HTOPpO8+Oe85HZ1ktbOSyiuAiaNwSmZHpRe38fR6z8KXQIh1+o7f8Vc6gCQ0IdWC5UsWZYMIXy tAXUnrNnhlVOVF68eimlJ+Ue6KHBfZm4h68tKfcRHoPYB5yPuV2mp2kP21mdTzsdAy5EsKwkrQGY jWW058Gq2pZeImhTClMOO2JClAD6M/1wYDjnFFuVoFIp8JDVeHcvlutGWSm1Nn/8glYyxirsHqOJ pDuQOkMPrwcgc0hIMPShmw7shFLuX3yb7apE5P3xqcGypX2OIp0DawGZqbi23470Pd+vxlcUNDeM KLBxvOOnreQNlOCSHoBYyNMdhiQH9c12oh2//gwC5fESs++CJsiNaLxpcHPb/1YECp96cU+vHUWj RihbUcG2N5qvhzK/TEpXWYWyr48f3vJMGyIqMiWxiO5ggT1hibwCHzVh1ulYK2NDX31tkH0vcOva eKHdALpLSjTT1cAM3vMtSrzmiYuiG1Jda91OWNWY4E4/N5ocoLlFG/Mg0M/FfzfGNe9Moe+WHqoa xZo5No2WhERHJ9V1mauIFSu30dAE7quSpbbD/RmL3I+Fl2PK5MvHluDRm1AWN2mtQo7nyWU4ntZ1 WZgZWkxXXAoJBkJusZGXOAPacMSO8JP4eX7WQp4GohhOd99XOpHFA1fsFl7g9erjbaTUO77WYk5A PMVsXEgvem/DDOEzsHCY05ineBQVMJj8QuFuLavpUzK/AbEfBxRuZ07h++1W4QPhnHdH78chVRrS iYWZHxzdIM/+PBAnxkUQVFykjUXeR1OF27FodvsedneW6odF4hsx7MP+Beba5xgIV7uRHjvQ3dXV ARvWZqzI9Kmg9RcvsSCJO/kcqDj6kpSvbuC4ED6vfSkAFRZReEHQzvVcvrlN6ewi2t8iAs7rHDn7 UMRIUirgBqp8GhUXy2+CLCzZ9F54RxsF/W77MjuLIDh1STC4IVF0tO/dcu2/+vCZ5U50F1vUPTlY nXKkA68ldgr87Bd/HoGFY06UvuZNcTT9uAWxC3JxvzekLu3u2jcSME61xpw+yTbRbV/v1x2nJ8Bd EJ7h9D+0mwejM+UvNi4M9nprqYnLUdiZSGFEQ0hKaJ3prAfRgwtxJnriCujcDgT8LVMLkHEdQlh3 ZITm81AGZEXgR/F1RuchuX8iT380MwW2x78y77iWdTZeDwugBVskEvsUBm8eSObwwI5BmVLdez8U IX172Kufekhveak7swZGyZug3G7tMWjXlLugstqR+gM+K0wCEWyJYVbw0I/JJy4xJ6gwlkvm1jBm y9saUT9nt2J39c/OR9oNzsTNUU8GHBi3UlxkLoCCM7IV0OhZb8JGevCb/sZcOqAUkXISok6iMCou l9mMq9cCRv0ieB3pXp0yw2EMUYhPoQDkRVYjNdSy0/b3pEG7hBYGaXqK0epG3sW9hDgusB7UvwgD FF56y8ed76+WemVLDDGvewNcgsCYRjiFsETFExEhT/jMeH1EW+NsLj532Tx/gVcKJZA21HPloGvo weotq8emciqzDPTDTKB6IvqFoLbJOchbhJ4h3WbFRqurmrrQCWRqQmpTLvgd0BYkEiEBAf/2X9VH +se3Lyi9SezhuC3zLBotAaDrnJTfrYybJBOJ6ChzhuSJmMKPyWBvBsvtf52A/migyFGZCngjZBr4 OLKJXTxfiHkeYt8SkywboBAe2IOLUZ8TIg1BMUcBRHhzhE4soUJ5XHRPGA1UOPGcZI2mmPTcVdwa 7MSyQYcmq9YketTlPXXxWBueFqAsVg9fr1K2PXZh9WJNegR+7xbZfnd8kie7SBX3Iy9R0Vw+DGn7 amhzyGTm16Kia+jtoMG2Lm+cx+sQ+hjIsZT2Z8Kv+kBwL1iBOL5AVUpiW8+/1BM+S4CDHH5k+f3m jyoWcGejhh3KPi/CBS2YYlxDCyM0mxon8JWZOS+iBmSsWyfsyt9JNO/wWi/coIxnvLIbUpKjKEzF W2A1SFyQuDO+WxQKJTvj/b8h1A6WfF9JaGnXYpGj7J0ARVeSpNemhLdukV7dpx2AT0/uPquD1R1w 9L7cu7ts5Wyil5s3WL3eKT8pOETGcJutZ6FRcWcOiPp96BSlHAWGoitvjAmlzjuv7UyoaU6r50cq GmHZ52qbwTKgpR3iKHT/OObbi+GLBKfOtV0eGN9n8S+lmbSH7sxR50oSjynL/YnOo4dJ17Swl/Iw a9PSvna9N9XVSnE7QFDLeBxuYsv1E8itxojQ1088QqNB9YUN9ml3WMjUXOOCvTQB1F95rsiyvDtU DGGO8MpBIyxo5cAUcBnS4xO0bk9gaNieE+Kfp3if8sWZp2bIT2tlHUKGCKlNp/+qfKg/2KEBLHdJ eazTsR+WnmLPKYFnD25gPNXg0oxVETBHrb77ySQXAQqT4FZGNR+h9A5LxiLUveyvEH+y4CAxQlB7 rVZ5MDMXaEmoF386cmxUjnfm/Nb8Zw905wSMtgxaP1o6JDa9ccVB3PZeoGnbKrOXSHyvtJzDIUyO 3hfBKCK0oO+kgY/DitLmIP4xLpKl/icvtS2qRBrkU3rkgGNZ9P6RrJ1SxkcXBTRI1O8Bd3VcE/ml lyaSeOu7qPEsL9CMm8OFqQhFP064uDLCVNC9Pqyc9LDn37t+Gn+W42dgVSymHSiQpH2d8bzA25dS SXVhjhTbjap0ajcn0BzhfrIIkXNXPZtRmAZQvdX/Ex3jIq0MV2W47C1OhmU64noaLoVjOs3BaaO0 2J8xIUiqUdwN0vZYiQCLyoWDY/+9eiZ6pGQyELYFWPB+nrxPQsratP03cKy1p6K3urhEDI5RfiFf 6z94ZfVflx7p/6EAtqV3GWqvMlEZyorLe0NSAzAF221HD+nwwy/i/NcHFHGknS+ge2PxqBQPHj87 nUBSdQZunSxz8unHm7T0h0EJ7k7Q0ULuNzo6CiTAGEBDYphlmqndlng9E+kMwkknn4+D+6B3PiTw 2xueKPF1/Uy++zAa1RuqgXkKmxDGMZDGOFqAAMthdTNGQstey2VeUiOLt/e9qyJSqGrO7pxDj/0V K10Z8gISGEjpJK1vLCa7ZvZjN624Rl3ex4iJ/ARjtjGARHz0NlUccE3iXDIqdS03ClOa/MZ/4r+H zY59CZReqz5lb0hH+cW4i7fyTsAYdTBjHdDTsbosmeHKWxlgnlpB8Kj5rbozx2UiX8QOpz6fHqN1 +0fhVInvmB0IdGXNPjEIVL82HwV9KuLuOmhHSSyda3gA/YzcZKKk7/CoCnToRi4muBKogVNo05re Bni0UgebuNP7Pa33BKKiHoaut0yQ9AFW0e5lfFd0G21MJOeWj0bLL8SuID3UHEo9rNxEWYu6Ciht 2QpV8O3l2U1pp1A8K1DSoI/VMRSg8NRFPYEYFm7dG/ciCP1CM6x7lF1g9Quh6Zg13OGoFRt8rw9S rhWo3RrViSua/YpVU33NQsN5CuWEBoVzsqbNgSKRKD7JtxNLm4G0sm3rfPYQP56GpSKGrlQ/Y/Rn 1hOBXpDHOThawUENmgRL2ZnlyzpISHcbqur1eEaQDPoVqB3i1doR72kx4ZW6Uy1JMnL8TDBoMlIt oGoFNz9oGslfpp+vRsWfI2gAyBKcUUAtmY5LpSNzxJq4Dc8LiAWQMaMJ4yqOybzgwDk+ng/C5koc KCLurX0eukaX7Llw0mXnqGHUkExMVIw0sNSCJ8sQhEM6gZWhktuaD+Pi/epcI11S1kmjApxjIGyg T+W8iurCi0h9LVulR4D7d2E6DzjF+diyd5iAQNOCfr/qkXmYc0YB3vgFq48QHTs2+SNXYfra39/K Fue6JBOLPTYp6KSlFRCoGyODBs1PU0/BC2dLIexTiPnvqdx8vqwJlfHrsTX2AWPB0HjFlTrV6fVf KNmi6XrFnTdScpxDi95b6RaQ2mtkuZ+bO94mpziZLMRjigLMk+hiTCPIpA3g34YLoWicR2Cor7EF XbgsC+nZ1heQqN7MB5qc6onbEDEX+BAM1dB9n3fMrBJdEfN69ua+BJ5FT94XZb3ISAYKLYY2DvXE PeYd6Zth9dWxU+Qozj/4iSywln6Zu0k8ACwQBxhHBhSw/T/HzzhFiawjaDISzc67babgquIvgHXa FgH3YMoxFUstnL+JxBQ42c2j3XtuvcVcFlm7MvJLT9GOnOpHoBAg9EY3YWQzX47r3bH41sSUKs94 FEbcqArvmuT8Y9EX+Ibwljo8Rp3XzZMQ2Rk4j9d8Lj50n6scFrSQovsjNuUiYXUyojZdAdxJI6JV WoZf7xVaqJQwDuFGuaAMeFCe9BorIylepjXHcwEne0KFmDctq4KdirAYAnj0j/kaH6viHQkO0v0D 7RMLLfcllrToDEUP2+lMurxlt0sHykdwcYuvVQ2XX14PVycsuvAc3xc3eXZPkK198jtSiZd7ic6o MNI8hr3IpLtpO5a6Gkjpmm5w6lls9+FDCOD5YffV7nl4DXXuZp6gLH6htqYAxf8Yl2l81yDuVTbA kTBkb/6Z2Rmz2w5yROqtdviibxAKk2Ly2E+NG9WOaOG+/wpWPhdAafqD8IpbZWT+2FjnapMlF/Nn 11aQC4wyRcV5Dwxj5DO4tekrUhHSiAUjkyP3dmKYVrT2FV8fvMHzrgEDlnkdAAG6986erjxAhDNC MbIV55FcFYrksAHfB2mZzvnsAKxozNi9Kr+5hyQ/S2d20Dkgk1xi+MotaWY8YNtX52/1dHxZQ8IK 5Z3l4exuAHDuPzn95TbHJdqEl/18PU3RoP9CRAGaxJBR1yGHLLfqdbpcOu3smFLsUt6c48020qi0 ifQ5FXedfv6IbCZeKqfV9oDPs0e6NIe1em4Ietd4H4xtzebki82JBVeG3B4tmNkW5XjVsdJ9frZa K9ZLUGFuDZY9iYHImVygxD2UkSGHijhzrnRl9uzcCpzaHqF4IRsEd/k9+pAAFefqTrVq+JD2wXXO NoFmVT+PhX8cm77TN7XB8B5Lk6idQvs1LyDk5J0Xb8LKPuONSL6WzplD+3/jYKmz8EPLIfNB/wPh RmIB3zuh0zH+I4hRaYBQwDPqGBA0kGwJM/sbLPWJwASvJk9JLwDEoNqE5Sc4BrWKHykm0THLxn7C VUuZzMaWaUe4+orGbYu0S808dBnlqSeN+cP8eUwzqXKyMwkYH/5CFdNjo6LtXQl93V9Kwr69E73Q bxzPoP3W8HvdioVpPWD/z0KvGzUlN8PxmFO4RzQBSBV8qY5dB0gwVgsoDFjRe5TCoAGUVn2LxpZD VvwIa7mF54J3nV2toybheHvYLUmLE0hsZo8911jG0KZbRtvX18HWwwneE4lvBAgAuKrJmv09cYXC cm5HlTgIWm4ee3JNaSfTRZ9uSC9fdcWXC7qP8UtHuUNE+jEmFlLei+WvPNrHQ73VrfKrMg5lxKJu EM6RH+D82Sa37vm/u3NbzLqpVro6PQrB+pzMavjq7g445o6ZvbR2fp0Sgj28LArW+U5EeVhUiddc CoFpnk3rqR53/oGunSJd5TUYoxoXy+ljfXe/g4K1jbyGIKUT1iCI7p2+hL3ca5ODllopse05FVUI lL8Zuls5+APNgFZP/gOTtkh4dDyOk0XQsrxsideKXAve8zDxixietQVguNUc8zkdO5u1wIjbVTps ubeeOm+32/jdFvp23fw9rkfVmte+CWQT6cdQ9VC/qIv/dYrgB9Vrh+MfmUdgjWiD6+eCy5iy6hRe hVcWYKM2qpcX8BbZF4yYTPRYbxh2u6EEvPXIhU1GKtm55Z4fgm7ej8njRVcMZISe9pFJw3TEhWbo WVYdabOB/aVot0VOJrvNrQUaIOQfy8d6RHIoQrgyRnkv4AqGi0MJjBlhASTnx+F2AW/IRPW216r/ YCZIlJHpmnCiTVGHtpgiZfSxo0qsjCGYBKYuYRpEzFyrbsTJeIOSGP5LBXyuUN3nvDTUPH3tUW+e dfWZGwvgFkRHUcSX3A/fhY/mvJMuDJPl8FUPCzjzak2oHZNshfnLSmXrC9dRA1RwfaeVISajRC/N MKIXPmVPWVnhg7coup0P8sH0ApmbS2v8gvMvtJ0PgWMtnVRH8MXMoQwOlgPSgrLIwFxHXlrvHl1x RE1oXLw2yb3dA656qnnH0VeTZTvnBS7oXpZuC12KTzbKOWKTV3NgC2lUq0VY9obNE/9wiWSGXRry Rgdv3rUYwyvCg8JcVRtq+arTs0QN+pj1J3d/8y2AdIrLZx8tS6kmEAKzNVcFcfozYnOvqkaDwhRg QGcl5SWnaPwBMSO6YfgzX2r7hmTtYJmJHXn4P3ygbRv14yRKHLWWaoZpOEGU7tBgRRk01/9jbY9i PDMYQrBySe6oZtXSylvYxxC7ZN9b94W/RlZ7Y/qJUMBwhgAF5R4/9OCn6K2zOXkbXNxgAw8Yl2iU CKCxh/J0q93twstY+99ogH9pb5sqDDb5BStSJisndlMff2qcFsFHxVD5PcyGsJoKppL7xoY1p4FD i/pDmmVq4vVfJsGaYyPE8VCLDdOeVLNR0aIiSWRbVzaIao0u6y7ORQszGXeo5SZQqBzqFTRXF0Hr L2hswkRkTIvwzCnx+/wvRoHWsz3XuppPNsITZ7eErIcnvfdz6gH3YfWbC/hYk+LjAc5vvqj4BoLN Dr3XDqCnzzTgv23J7vYFFXPnjT3VkEMX4G8O5lYNdYa/TARmx2J1FC8kGNaHiplsC3bwXK2fe82O Ahbjp8oWjmlbyfFnNktWUWxBRKYkj5nZgS8n+Gz+W7xbkRS32tVE1CnvJVMNL4qp3uY7/r+wvFN6 XHa84Y+jlK65EF5tZdrhGDYgnrsIVlzyhLo50tPbPuo1x2S1oWkMkj5y3ZEWZthEveV7pP0nnKT8 qV/qrhY+HnQ3MAhA3oatx+b6sskhl794sm1xQbWCOdtKXL6LdhwBJ7qKSsOLVHqL++Tk2LC7zJ5E r2R+qQxNcUILH1O+f/ey0tB1QGwsAxvdrORuCw2zeJkdwUixkXDboYFJ+w2h6h8JEo8+IFdgnFZo Arm6UjmyRCBkApQyED0mWyfeM0tIVlgTBSFBJPvgDHRfMIoG88FdPEZK1J0S2cnzBzUAcnccSSv5 7MQaQlbbXLIoCeesU9EabveKZzpqkMEV0lfmVn7+qz7je1pnrkKqhdYPI8RTRAYcZf2wbKzvVXBu m/Ppz38Zqn0+OYHGQgP+sXfKgUOFlbEyCVYvS8RIee3S1uI3+iXJQKGJnGg1NReXZCC8nHsIVTKK iy9Ms7c3VAQPFOPlCQ0MX3+uCzhvOvWKnoeN7S/AJaEhb/QOvKilmCGsGeinyLwbJ1X2WBML04cN KnsyevF0zdJrg86kHGjp3K3W2Ttd+NGfk2qk6/rNBf49PSnISHapYdKZl1Rf0+pqv0hFk20Y6vra IvHa/EVEoUipA/TwbxF6pOkFIjSPXUtAT6jqYIYDIQCnKfL5DZvLN7hERzaaxzri3WM0RSQ4ONpn Wecj+ynqmp7OV8lVxKU5P+LZgXguMMXLXMFQdJxlbjK4y+bJYyPOhekEcrxPFPct+iK2xkl0CLEJ Rz1v04YhcoyRsC4jvvAqVAiPZ7gUZKXJJyjDyRKCNwnv4ksnyY0TXyp8CFMRH8m6tjkM3kHX6X4L Hk1DEPEiSV2j1eh6YvSU/aoffwG30a66uZ073P8C+57qDnqela42mJL0XN2DHs2wuoQgySGkwBdf 9OgvbN+KjFzgLhGZpoeBCwT5u4NvhcWg+YD7A8Te5WmjM5HBT3GHyENwpDNHH8VrzP72ucAPcKTq 6BAyDaHDLGs52xlURSvaMWFuBE/VWgNhklFNLeFpL07qukgYgkt3NcYRjhvsX6X3pBv5nIsl3Q+l Mmv6VRp9OSFZtA7nx3P1TxWtdaRkw/eHijzuVXEmw7o56Et0RD0WcZ2SoJYmQSNV1mO8I7bk/gbY cx9FJEGs1ekWmf+fqiXMkw19G76rKlPb5HSn3KdWW1uaxKk5YoNUGNKZ8T4isEH/N2JXY8MVTvCa kMy7MSVAkkknNFoND7l83LR6eTH73cb/viz7+QDw9roMrdvAnuZu+9wT5sDnSa3cYRdwyPwuUR87 8LH+2yXB94vC8xIJRU6i/tC/uArzQ/V+0sbdXCRdO4TvU2SD0b6/4EHbtoZ8/4cyeMSMz1xJG2yT uqIOfMmphMUrrhfQFHUVOxN9lgwIQC/OSZKPnprIvdrAxojyWw9Hm8M4BoMgzuaYWJitzhAB/wge Cxyaq6n6Q1j3NWIJ+8xl0caw0oliU9KhXD4iq038sKk4J5sSH/ENrLlSCszfHLGV+Yvkd6SLMb5S pTfLwiSF72XfqfHwy5G6XNCUFNWc8gas64GATXYU2SucZwDF/GnQUBxlFM5/wcZj7tmUcm4Ez2az 8pH3XfAnnl5m8BTRh30EgBqwbJ3wEbv957a0gzH/Qw+pO8yu+BwM2gH32W85uWw0hR6qdB/7WGR2 wqgdNq3c2shtSie5Nv6cZ242QL7cfluENWyelpv9uuQNZeqt2C3fkBpnnNOgu2Z0Ylmvz8iB+sJq aum6VR0OsF9TDN/e8VZ6pBMG14a4BJAQFhMGqe1AenMZ8Vm5/3wPVP7C/2nLFjK+i7eikoEbl3sT L0IIgRZMLRzLxCvPlz+7cdbVeRKmEJegkHNRNdCH9HlHUB2alVQP8laufrTsEy1idrO7t4XpKXl5 KhgCR4vaVUeuWWAGvg1pDznqknk7ea0bgUdXSLVU1bEV4wRSaM2zQMsfgm0eDIXgwUqjlzFdSOV4 iThEw43O/fWPjIyJGT4eZGo0Mb8ZygTWPnfsylfvg/9t8OWgSVcwMkwGS+PWr4a9DWHgnBjltBKL 5l0Y/mMndz0IdSpvZCTzCBXRmPPJhP3Md4N42yHWzLwmGdfTqHZNF9Pa548ga/4uVAnk70HCpjl0 wKl3b1v0hSRB+EgN9K38v4oLadjc37zHjPHBljJehR9SujfujpX3PHRXpB/gF6HTlZSPSGIYz7cs icqSPlu7zkCzL+FRHNWrNmfAaa6ZagAkNwSGqK0gZJd6kodJlQLuMWRxi0Ba/f1WzmDJyTU1aoY3 lZc7rCxW4hWdRnbLAhIQwRbUuuO/nYAFbqdG/4RnU4WYjTvaKvW/IVk6VjgO7ezWDfNWYHlgiJeC O5Rao4KGIH97UHCR9VT3l5azhM0dVpvUPVPBIE2TE5To7OuldCv/qBd1DdC1wA5Axlw8k0cAI0Ny zTKMgNqtWPKBKeCI3IJxGwVC048H8W+q/+XG80N7r+wgktic2NFaDORw2AKONJUScQZAX+RlBdJ9 DbirK9b4qCcqINAmYsTA9oqCZEF42/3RG2A2zWxV3KOqHb3VKR+QMETFecQNgM/tVBWfkEfg4lEe TJxSiDYw5m76D4AQzWmoUUI30xkM/q4DwiCYPhhf63L23U0lsqyztJAJWROaNmPg2dEkYvauTYBI J21RzFg4rGQlO1YxJ1oeMbRjnaijEvvNJSoqfAIMLpiXZ/bZ2Q4S+7bqLgy/CWV8rKfJU5QAgzOK QJVGL3AWj1pU2O4+5K/8+YbRSpMLxJUlUxxCuiwVng5jyA8HyEhiYUanRFmYUInHFB5I6NTzA5fu LY8uuBHtJSFOjCBRGbOnKMhSS/ZEp4KcD222A06Ji9Ngd+XEUU8u7gH2ijC3UMKTaAoAbZmBAkM1 0ZqxxF4U6BNWla/JXoQ/YGuPCW72E8vRsijJnhsDV/LQnGye2NPJpf4AYGnKgWioc3r+6e2tx9Es xOV5AAe5peQVYNGUXH58z/dcvJAoF8ZjtKW0oPckUMXPbOm2znCBYNhZo6osw0qijpnlo/gD1AMr PnhYjytvyqVgHPupoSV5mhJq/AYHM3oZmziBIo/WjcoYngMciJyWQ910uLU0Hnsjby6R0b4z0fIW PykkxETPS6ZPiUuWnS6cDfruT045d1Y9ZNFvBrqjp0LT2WBTM/Wk7fVa15MmEYW4gQQulqHWBc5O Ek/si6rcs2jBQ/vYHD/UBuWKIjo12JxpwljxGEkuiX3uCfJj0Mxim0ZkaXZxglNk3SwJTqAADtmS 84Jb79gyq8HP+HZ++GhqzfkA25euDMsw5Krrhhg1tOMijEc5Ye4A1cRvhaYQJbjKJLmHHcV1ANm8 S2UIiuwnzA7dWxd1zQjtkDIliO0XzZIrnfRmJ/rflWkjCCXb1bLZNTbEnLgllCt6OFRKkjU5OrpW p6rcteGoPrALIuDtzMtsdgERfS7RnRGEJ0EuUwVpJvhUv2r1TdKkIl3l/eG5Rsw8oN91g60cB8bd P87mZmtbsN6V8nbZ5gbTuP0ZQGeWbcUpyP6+U53W2eC6BHVksozuTI5TsSvUYwF7RGm8TfhU9556 aR04s7Gn8N3k+aPUyZV1wfsHjvpLL0IOyyBNVPelQvBFOrKiLI7u4bBfY1z1z+hHFtfGYf1h6Zde M+sFJC2F/UBnlHW0nFJrRk1kcrI5yvUh+usPPfFp/LER/MMq34IQOdJA1ZNfaVZdbgYbf57gTxaR 4NhHbvelX9TLfgE9WBbSvcncmKKsJt4zHp+cC+z7R5W9bFEWErDLQB4p1gma5dWCanM6sFsI6qLw hZTLIgf5uigkGbSGCeUQ21l0pu02hronFdSTmN+DPY03lNIFvhlmOZ7oBSP7mPCpyuzfn8hjByvI HpyLUgdoaxir1+wtM68WarjNZoNbxvBo0dgmhVpnmVu+9enub3ftrn1QeRpuB95MoEIW0yhNSXb3 lwQXhdn6it49mzLcTIQnVJ2EnTvhlx4++2MicMBkM2Dxmr81kCQfdToQ4rGNRQoUlD5ePyNW4LNX 5OcW8FHoc8r7JW6zbeyiRzdnxYXHwSM4z6vJfcPiG5nF49xBBxZ5SVVOIG/FoJwoj3ZkoZ9ENBCl jwuqsT6vUY7ddc4aoSDCLRpn/s/ocNycbcIrxE+vgOMSeocPLah3PAUQ9q5ZVwKkZfQE4k3qRTZW 15rIFu1LmNP6EppJJXdZqbPzXGb7V9vhBoh1JEIZuZW9HmtBPIl0xtIAPfbhWN0AsrEBtv5sfYgd Q7hG96Yjoi4ckIbKjXuvocIBb47xhibzhBlVrpiVRCL119ZfKnyhY5g5HonFLjY/t5U2W+8Pc1Jg Su68phYQ18Yredj32GT300NHcr8c61xWquYnw0XcR6SAByRYXkUU1l/FznZ7i6MvjaQakZ4W/lyQ 1uMOIiq8wgHvIFHGedYchEr97uX9yWYL7qI3AmvIsQXfizQeofyzN0t0mIUbY/TKTJQSzr4jpKmB DC5VysdhFpRBQ4H3BUTLvhNExVgjOQzWLHyWI8xkFRELGE8cBfEDPURGuo1lUuVx/dcAiVPgAUbh v/kl6RLkzlYJxmZWQBw+jOpqCxxRfrD57ObpC+XG95q3akdPUwREaVEabJvMfaUGv+SYptex2pcD R/CkzgBFZ1X/dqAuJEYOqLN0T0VP0e+ncIJqrr3QF6oQBoKRTRtHV02LePO8eoPbnCrIxtz7pg/c ZY52Qcpw1wvysF/U5pmzgGhOolDeaRw1kqwkoms/NCj/JJ+GO75TmieZlWQNhZlVptz9YHA3Ougu Gtx1ISykhQs78X/qNfg0ClhuQwNP980UmxbnIsuDyA/lRdXBb/vrTIn5XxUPyh5k7GL75BBae7OV YiSoLMHgea5rz+uSi7QThD6eWUP0FpRGnt2PBMawlp6mbiQZTssXBhgJ6p5F4YRL0LaOvtVPl/Ns I+5egMD2W7yHyIs8qSzuzN958YV79lu7/kshB24fZvAbOMX1ISPT4LGXNBh/p9XWqOOggK4DVL6h 7w2XYAhE6xCelExuuXemFbYD14QXCzAzyP74BgMrBhNZXS2YXndzDrDgQuec2GHuxBLt860L/d8L RxYgmhq0KzX+XdQniHF1vgYURiRejWxYM6OCFHPN0WtPbtCMnC0HfSu47ca3dNBWFA+Cwn8o1Em0 XHiU17Y2ozDmIwAORY94HRZtzoQyco6ioUh4lvAaAS51KDsPP8oDEnkDC8bEl9hhBYJheKU+VrIS v2z9pknwDJG/1thcZoH6yod3HuMkmTN5eDoIiNOjyptvjomtca/wZFuh+AOIhbCii38nATZAKv3Y ibzKkZwel/HlqWHmUiCxa2V7wBAbXc/pfWIxUbWuXWMECUmD2/7+Hah00zcDgB7s6GcfMMEDkEF1 OJTVwWpHNBkqHUrlkC/LCRWH1HZnsC4F0xWN8Gn8oorFIyqCyyBl0LJnUWGf/NBG2sbspI9TFEFe JqY+o9LuK04uf3d4dgkZxdPvN+cnKSqrZEKgXZI8jbwupBmYvP1qSjIYTcIb3hu8J+RkF43rReZm MOVY3Labrl5N0+Ok4SXBvXAL4+e+DMuhp+L2DgcI/EzFlZP9/BK1Qc8LonrfDAqmkKFIrNB8T9T9 e2xe1JQRy8+HDT9Hq+ecg4qe5/CKG7PThu6tJJhUqIcLBPouF0EQmWBUfonMyGLf+H8QK0dFqp95 hv6CMp3IxyiyVKBg+gAi4hxCf5NuD3Sy0QlbrAo/Zixfyox32p7kHLaw53wfVQT2oOVTv3fhkwom PztR3ZVK1LCbQ00UVmSjhV/5H53fzOQQzztFtMgLPqWcV5jR7Dr8fQ03mvaATbbPgkvx8fUptzA3 PM2UWyxXdU1I/JSFC9yyQew8WocQ2ew33MsWQ9i1d6c80yE7IjU9AxHmh8b9NLkM8R7ANkIWnLcO 7rQ39HKS095dBPcpQ9R68oYcvb0rLD6jfVnmIh/gYSIX0fwsyTrHijb3I9s5J5tWqkT84fzr/XGl zmTj9palysfw63lS1CHqKHj8kvPQ1Zq13tOEFVxwPmCC4sVVLHz4jlM7fESv2AoPC9rAvysVAhXm v0uWTvvtUbFXnYnMTN4UkZbHQwlagBcEuMpvVvjWM/hom94Q1neJvvPNYlaRjy4xsy8OGTUtSkNY DFmQ+tMlH86bs9Ewgl3MoonsBeN5zaY8X5XRjfBCoMKvAqYIg/6cVjl+Lq2lnUCsKn/A1G1lESlO 4YeTbESepBWrciVlMT2tDv7rwhD1EGAy97fn9KFV5yA2od0R8Ywuvrp1qznhjeIj+NivqWKUEnea 6NWTb7bame+yIwMEDGTk8EtLP9at6NuL+lQZmed/HcNj6B+jzqMdvgSRz+75byelPRbwjx0ubFzZ mgELi1WXevqkhISoKfmU7m+EOlWiB7+LsM+iPs5TsLGtOi1/wP+vTSDDcMP5GlGTrOvIKVV9RXzX 6Mw+uFCplGWXFpenJrTAUo6w+xtwcsgubbo8wPgKiP3irGnTQFBCWcu/WkPhYScsDXCJRPgPxYfk /jJ8a+rF0TWmWfORx2ulnHH0PjWsCO9bzOFdqJ7ZKvD5fUJ4KWU9KIocwnDw1yebKx6aa9058jLG wJTNpe7obc1+/oVyteWaL0/IUffUSXVhBRBBQA6d5ca26vgw/v8MDsCdAQVYDManej9E7jjAgXfZ 99AB9ROU18ALFjgwtqqEGreBue06dXaMQY/Vtn9dsFSotjxvnYhxr7o+eNXpcY0R2ihb5nSJJ+cL ObNtWvcHbcXnAc+kWvbKg4qsgEsbmQ2Icq+k+iob6Lkc04aDtl9PiZvoP3BfXKPy6lZf+VbqL7f4 T7UW/9/T08ZGMqPKwx8FeY8HPWnxZDQSatvc1c2yEP9Y12uUmT4DRfATJaB/Xc1Spx+KDr0A8Re8 U5/9mYDMbl+M8sdx9WET3Y2SRSUy+1JZ6tnX0IlI1BpNj3vPcmkglD8sRS7hpQrJNUL2ANo8BapH NFvKcfiR4YMFtER7buhylEzYaCPEW8uhmYaUgSXjlXT9UeqJSnjCi0EvwI9UFBG3IcIzHuebU/zD JaorWQb2ejjwkCM6/+YB13mjDM+RbhQ+eIhaopvTqtyvxMV6SSM7XtfS7NivCLnTLVVVSi+RqMP6 QBcekPJm1hwrP8HjdBX2zpjBfY7kWVQW9FMJ7CfrQ4LmzDg+MqQplM8sSnH/Qf7dD/AvqNS2Ivj4 ZHYEVLz/+8kSoil35umoJJxf6B3G1/T7x40vSEQq5E28c0BhPkn24DzkRKIrDVyNYGgOqfc05hVc Mxc21Sr7cH2+LO6g6aCF922OKyszwMWbcYazXTaqOexYxOO6Yit5dVhttqyNRDiq+vf/B55BUP4b h3mlJuYfTj3JqaHDNoG6xpTC6XulM8KOz5136VIxhfAKAMCyi40/6JDAzkZhaQxtV7esa67tC7vS Av953n2UkL7TxqhVg1CuN/sOSnlPp6QyuzL1nLStwnfZem2RnnnfYUUYe86ntWDogbm9k0Mj2p3g ldxSB/3MDUZ5xuXLG8i62hHc372l8gLshDbtIYNIfKwqgQ3Xoh2Y5IeDl6iyQuEEq1XS0oZ0qB8w sJzI0EZmRC/SSMgjxZy6DppdIgdYD/ucPZEOfdpgkQpzbBc0Ef0q5kjJnBDcPET9tbXMFK9Iu0pu IT81RO79cnPRkIbSaFHMd3uhritYAmyUmYa0U6CIdgi+GwMHY9tuOlgEugWW3JBcsuw7Ugp06tCh cXIMqBLbPzTZuRZibOGNwFRcbPNoqE9wruukvCdo2NMLm4Of7GJauCsQlxqMTSpOtrGtS3JJuZI1 RTBKYNUtGjCEmQ9tDlIuzlRDAB6QMZ2fSxx3E7n9lRmZvtyVu9WLa9iaQr7mlzz90tG+fGfAnK2N SH7CadBxlgfSEOZccJlTKJRJ5P5tSE8iLakhCOxWFcbqsT/Yl0/huj64fRuH/2i1qKKxN/Jsd0jn WRb4KXyQkgMf/+3FHVj5yJOF14o4c7OWe+wWdfGl6W9Zuv5doavqbEhqD53voBjI59HJ4qMWFOV/ Po0MggKtRZIoIR3/wQ3dNRaVtMPD76lhme284V59lodrpyQus92J4qrvX0l5Y+xqBcl/9w2H9Nq1 85jcovEfgBMdBlLd7f2rGP2eKZq2V0HWoQOQobhsavYIbxNInCmjn8ARUYvVJJ73XX2ZjWpCor0O 8ntl3KIcHHlui9kvm482r7uqRJP3A9utiOoZBcHPVEO6OAv5gM3gADIAtExKOD/42+rUBi6yp0gD GPzszzFLsXhJ7uwlqOK1u1dBnNMs5w0VqDb4GMZIJC0UHZjy1SVmKLIkbW+flcRnOed0xToiqvCt +7btWYMtoCBJxKE8+MbxjnxJeFMcDYKUNhjrQFUjLwN3k4r9gNXc6Is4YdV3/6Hrx5vV/klbjcan GfUOVlIY2FsCbiyT1paD52lkcaEK75wNdM2xgkuYNzvbqTuwO8RYy7wfmngDLETQbDEn0PKCung8 IWowapcSGgUIjj1biJUa2Ku/Gjq0y7VghViUusuGbkTkKNvhohl39zpxyJODhj4JNp2OjqEGtYr3 bcua33MPiKBneYs8sg1jXsNxEy1cbD93evR1MAAYqeMke0teQAoEPrPkQwpeg8bo01O7gPMfn0lI Ef8cwGqIBY9ZMIrJId8Lxid9cKvii1/wWDOksEsiVxONd+mCY/1CQ2kclvC3D1VhO040s9KfwoIa CnTaGk8W6puShBMdYf+JHhTy9ApN7tTOtGbGKzV3X8GLyFORg4S24I0oJsW57/tE+1k9WuPHZH5M YCzAMzRHUUUZQ5lJPRvAkc/RdBmdD6W7jze2GxirYlODPqhXlodkZSDmc+IciV23/x883Fa26qxB UwvwuZb4/cj6krqErdv0jHtJsy52k4MS9I74jzhqSOmXvVtHDyXKeE5zUKcr91cIUWw3BBOhpRgt m9OM/RqYnlUeugClaXYN/GuTerarRNFblo5eI1k1aw6ajZbFzgsT1wQQdWjBXdFR8yo4VoTPPhR+ 8+ka0gGSlMJx1quIkDU0xb2D4SBcBLzVJsGUcD0BLUqWt2n/nhSWaMXqq9BQNW9PW01csGgryd5T jV2h/44acpUnYVlTMDBtzJiDSe+bAS5J7rYVT37c5M3xOPFww1Opc6cv2Q0afr1b27oqamgRsgb1 Zdn0gMAjdteVgpRABrsln6z83cl/1UK4pWYmlBkoN8JdHzEWL0Ykuroo3QErg24fmqT9IsZf2uBL r5aUzsWEl0Vk7gEqOE8iM0L+GOdMvcNrbQ2MCCr/dvdPwGNloJrFtnsIFB4jMEsDXoZPo3jqcKe2 SxVd4kMaerTqr9tj336g8tLfm2X9P6GwgqZZAH70Gywm1iwHl4mw3lfMq/YxlPBpfGJO5VUBo5KQ ypUeziIdmXBOtR0g4wqr4M+NBb/OvMF5QcQJqsh0zXI6Xrf7rmlg8cquQrSCfD/Yk8hxQBx1520t Fw9Uu8ghn6PCfq1czh3YQVNvj/7FvGs7eEYy2y7AN0MSjss9dkzEQG4ToEGODg8MUEZx93rCEPLH qwSJdH2D1MSe9mt7bH0e7gzwpqyik7qdtPjKy40OohJqBVrMQJ5R+6VOb77naiauWC/UpMGswvs3 sIY6FlKBvxRw7q7SCNPFxVa+dVbyz/YSKzjKf/1wZ1DMDtbfGrU9lbxmsvFhXUas2dAnu3I81JbC fWbdsPt6Q0bEJuNTcElS1jK/DMls0u/xXr7hRh2Vk6jpikUpD9qzi/vQxbEWDOIL/Ej7mrOg8RCF DRRx4BK5ctHT2b2JCJrI3hGcwIdJcDPB8XKTZj6AcN8eUFyhvVLI5/jPfCuxvzseZk66jB35nfBp aO5RabcNed/E0A/aKWmOrxKbly7HVK+8+WV6e2PCx/4TNaSjk0cnVjhRK9dTu7rXoDO6P/K3goyV w6dpUNDIYIeeXFk6AKSAk9KdVzV3UMYqFfde4qVfQKs8LCfGgRVUtz6KlsX2pKODVpe0ocOd+FOn 1hPVpsFlomNG75kllzV81B2OEUduDXKrlOQ7LipqXjfFIccmACsRJIlmX5t0QoHXevFba8ekDGQA BdvAUn+5+vTXb34UVMCfDXloCdMOsufDsXrzP2iNLjrqyxmwvKBMK9EYL0c3nX7SeVjUUS2IfDEt fTOzwpKlMF9x4e21ITrdA3VA3R+l48eaPc+k0dG4VxK/JCbPLLmg8Ez39HLM4xfpr7mQQKVDzyrG PT/bMCC173j74z6iM3yaQ2cUhg70hWlNvirUtAQ/83QBaNH8Nx7MflDq4YV4ArUS3KpASAaeDKGb YVb8l9Z5zbQFi732ebo//DEwNB0Rr6u/L3uORHOt1iqx3IPuoih5hDfHOWggEHocn2aEmWF2Bq6U NkXGQ5zQmVJgT59RlyNBU9ZwDmWcpCHi64i2Tkw01Acw93wzN84NFrBnn56vwoioSSU7rXMTI6EW XW6SKQECQODA6lwccZ6ggfUh3+62UQMbaPaSiV5QbOCLH8nH9LBjd6IMBvw93+msQCZY5JI4BLQp xn7AoGaxZ0OynDQoIf085lvRxg/G4S64exFXDbnuT+ldP7gS55DrnoePJcbTIawd4D6ch69QmGzB ySjhIIdmikSrEWLiB9++KXY/GqNzwic6BtmHyhZRyP126N+7EAmPaJfB3aVQ3mNoLdshdaK9/xAN tIx2HLc0ht/UOvZwEblW2NInnL493nhTxnPZCTekWE0f0+0fo2BRHIDmL+uidQoOKvtje1UUZt8J DMaN46+uz7KiKKgP3mc0MvqaCdo1AyHpGiXcw9C6hkA/vLAKdDTc/Zo2xFiuctrn0gK0nPcrGPtD paOCG6cMhZaW+GHs4E/+kbGgiDxJcOz0pXx44wsUIfFVes5Shy+8uJUh/OAcc9oWkQi2hmT/3yPB Yf28Pj7jRax6fVe/z3ZoacIkkcqNv7aC3TZGkqRHNYgGVGCtJoVXGses+NWpl5TlbyHKDbTpnCG0 QePq6husFGBG47YRzUbOQAqMahlXJSFfJQQXY/ClS+kBiM5aB4tVav7epaUbakIKei9rFcu6PL19 ztmnVu46Pf1kfmxg7BVPi/CJSDfxP38iCkhiXjuYBZnXcHzJwpk4VEXg3d7ZTHUVMvCzcLgXAJCg 4j86rpuQyuSNA07dPsD+8eZANRg/u5BUV8ZR7BsXn8iDqL9W6bERiQEW8SqVTngy8o+JgEPXQ3Me B6+Bp6pzyD5txPSMpMd0FBAAJmd8j+GDo/OkLrNoKteY0zctVG1nYBpGGyptUv7/AZx1T17tJjeW mUInRgBwVpMEe/5+Tl4Cqu5wV0kgadeuW9NgqX185zVsTcSu12TLqAXVb/j/iMdTZRUpALr9vbmq vCjt3QHcZXLuKTcBP+ch4KlP4ZLIZnAXE7rvkS+MrpRVgRw0PcwNyoFN/dt5AJWh3jpzh02sVap7 a17GSAgsHSfBIMYpMQ4h2LwSCWeQFp5FRJftAnJ2mWOTLWWy91/m4/QSdhUnz2IiUspT8Ht5xRWy xyl+00POyCSRZcpKpS7bOlDXeIu3slrThdpVAUnzNhKMbGcchfCpkJW3Z4NuDP+Nf413RG4SGlnw C1tpTay3Ema8B+S81vIr6vEdG7iwGuDUWysY9xtrjRaHLXOhCR9FsSJYNsq3vxK+Sajmc1Kwo53J s4WJGP6A3ZacOcskaORgfMic2gtk4zzHXz0W4l1DmQaAdvoX2Pws5Ad5hD/9Bs65KQKzKKjEPTlU kM26BNup2gCCww4U75eRCXoN3qxvqeWWN//Cg8pqWdVEClo6kBFhnRG3jU6ULiHKAJpqpJj7Bx3F G885MGRP4A043qJhHMLNnHpCczk2Y0pFOB5rs15idDPlkKWYxZPAnMkP3ZaMusHE+h3KJS50lFZC BQW6rem/RDkCH0RVBu4BjFm+6AR4VQEPGghABZe6yI7rKaG8QDsI3ABHxDZKeWyFnh1Z5IK99aFk QUpaT7P4Z7/Z8Wg7hhEeSPbq7R7I3rRJRqz0Ch+4lEFWCgToPWS8/z28FDjWtvHa2wMbwsWA3TAw 8ANf2a2UrKT7wByiZm4jlGXv2dc8CSrt3SyYR8DyVE41oKgPo6O7UcvhAOMGixUF/e0x3b5h8Ned 1gT5dcfgub8eMmhtxQ6k/MG9EmyhPib0imD3M768P5RnABvxIFjFgyv298JYA6UPDerHRqeBHYWy BhAC2IbfMUcUo5Q8FyxbzRN1TliMuE7/nJtPNUWgtmqDt6KZqShYuVBb+nFIPO05pKMoQ5fxcFu0 XSKwa6XhOeEqPG0tI1PoaI9l4GZc4jsWPhA6pfGWL/w0L1qW9RqgElpu/5/JubaRmabrCCbef0kF 5RxzEbJtt4Mu/l8pr9z0vdkvWwL5Zmh4mK6P3kZWddt7IWhh3y3HhwkOgEys/nfawHljDWhC1DKF IsKZqpq9Ym0/q2OfhVu78KUCSAYweOLtiaS7tbm6IeM2V0SA2p1u/uM+AVgKOz+cG50p4hJh1E5S SOHmLqEEMGLO8dQS+IYYWDRR4f3YBjXZ22QDk2J8wHUJvQGsekc2T4JRa5hk5X6mvMkbr9kOvfgZ Zun2yc19Dn6WmCGImP82I6CiSqMcCpTSsdSr30iG3JNsEP30RnaHe/7s7VokYHtjuKUzucEyTJlu 558SqehIDeBFDoL0AP0MFDZUfh+JU9zTFCG0OwWKTAWY5comHeIQaxrqSdhLooTbn/RVwEQ7tq+U RMp1wklcHQGNISXTEc0lEeApIC5hS1jAcftwDFTRf9usoTClgMguYs0WRIhFCMOGlFi4RrPwiiSj PSqn65gv2YDyLwtUyAcYmdAJAKdQ2FsKtCy+lYJi6moZSz549rreY93F9IGQbc0gANXZnhpJCGYk e8upgL1k2EgApKM1QLE4yVYQH7O0gPTOfP85yZg6Ic347Q3eJT/zDpcAEucc2tiYrJuWGLe0EOHK +oFa03F/1aU9TNeK2fTdfMiViK/5H56Oy73y8WVBTsespchL0cVT3w38r7Y3OrchvWClXVW2LsWd y3tdwXLsRUYizmaCCO01VZZPWC17NeSb3JujcUesznEIv2tvPn0ZbOBvI+CWHFdULWEI5ZbmLgxB eBKHV9Mx02z73gAujx8/mmRNhYdPF1GFOUcXlwx63a0VOCOM+hG69PiumqmrhbuC0+gLQBOXy/MA 4qALPBsoTqXBNaJ06SOF20JjnkNsCyfDaR0+/FBka0pUEm4hzXol7USAlvRhtH/TDxpl5XHxyqTm eEycNgJ8J5KL5PIY+Bytm7xXrbQGGQRWGLLFmAUAcKfiKU0FExRH/DEiD8AGJh0hZcCiGuRlIpgI RcKAcubLOOPT+fbG0vbKJfrmMW7/Ogn7Iy16r4ceHYixK4Hmcgn1dgwn74GTbNh7vsg44m25pur7 p/8cvZMWdGzOyuQ8VYluTuzBIQOmLV46HtPFLO/P4BxY6Cw8pC2+cjVNVEWJxC2EqgVdfFUtxzXk EISBpw4PX7tEXgHlaZuY/4aMNTMgm6WEFScV8BnOyWtJohBFlVHkhYvdDbf8IeTl1tTi7w6DTe5q 0UaqnsODatlZNhvzAppC24oa/rT34ecvDL3T6upQT5/c599tPlmyqF0J9Cvz3NxqK2bqiP1McL8I t4usi5ayKWl9+MMTG8Vfo8jNiiLTJ7EQfKylmlyzZ6z9ZOTYZH9IBsYMaF1ZvNa/onsP/tDiyTkF E2FCMahtFWM3XQ8akRZy4EPwZLwxKtVVviVZhB+zR3O4ToZj3UxkZVchgpdm8z6iH+HNwMmn/vM0 HQ0qudxTXu8qGa0fBwHd8UVePPaDkOUH9qsTcRyxOueg7uyb1g593JJpgNTCLUvlyGyZyK1N3yk2 BA5YXnjJqCgcNArZxYswBl2OeVTHTEJtjg8eLYYM1UQsFcGJ2IXEHy7htmaniTfYu/E1TXkOSZwQ 1qHJpaGKfhUzX8l4Hp8JKzsORLV1RX3hykQ3ANsikMSdFt3SxEnw6q+8E+qY2acEcXByc5EmpB+8 vq9yIQvtdUFZ5WDlhZhIBesYnar1udJ6x2ivYKwIaAy4l5fkxyjEuAZdXAuPaALTUuaNz2avnL/+ hHZ+u/8QkxDj8gPgXtHdbT57xk0mhTYfTKXedx7sOokQIwh895h7VCwiXi8s9HNIMH1gUTxf9W2Q WBbQk+EBH8Jp3ouR87BdkwjOL+MusssPQTkltNVgByOE1eQvtGgQmD2hDuRW+Y6HmrJ4xC9srBj8 9FxNwnl0Xt2U/1/kEJNhzEUYPOOBGXxEHTRARQ2/MjalY0m1gOsUHuJJZjXahqzGk1lynniQpHTB +UAAZnH/Wyh/32Y/jKLAwKUP53QqZX9/Z6qNQy8bkfivUUA+YHteDyCDoqZz6vpzNKubn6xfioxh SStebEmfzm7lKPgfh7uMtSwRQNoaYxZ+ivBrs/kugf2gwawgfDyPsaWDvm80Pj6s82ABzcunGLLU fAst1OgMnl70zkJ6O9GsUxqoKcc6OmnsOx7EihSZuw+ByT2W1ItHlaW3DVVw82sUp0TThlPPFSBe i3Y+VU7lVWP2vtdj67v1pI7oqKSPk8bU6Daeo2StlFxxAzMwonIlwdR4+20caGTJYV+kXtcntCuD eANiCznxoU+jPBVum9jTVxo1hRAjhlcyOhoUxJsvtgg4a75pgqwnQWHzOgZVR2xvOh4siyzBjl9E VOzFA6H4ZQM0j8px4gwuHDtJuG4ai+/hNS3tDGHOGKEy0TaVd+BMUAAo33Z/Wt/Jc45tOjFGkyr2 S4EvjzoyUSc2RNbLCzC4oIX4d4VA98bewN7iDKu0qyjEKq383cysh5ErKVaP/ApnXVJZKUW9vv9H z82HW7oTiA40FThPyXA2gtI3RFPy97Ym78we7Q4zR79FlX5/aBCpUKlVrIji0iTNJ8ZZJ09WGX6p 8eOOhnYHpK2MyvtGJv/k9b3n2hZWJeeNRcBWsG3rUiXeB07oafmsc4kJnXKubbW/sxUie2JeSV+8 9SJrVFSB7vApKkVX+xHz/Xwj3SzYV9D+xIojIegvc7ULuxY3stKnZG+3o7CqARad5UATZ7VPYReR kqM9CvGz02TPmP8mrEtcCFLfwPTL8SCcwcfydfJMqZL6Ehbmct3HGMCtFeUW/kjpEcJrnHBwgSPT xYPx5U1epfLvKS20E2IM5BrItV7QZm+3GvC+4vbHqWezl3KrD4kIZO4tWW5I2qXtzKiJI40FGkNz WiSXXxL+duRzVP8GZh1s7PV8dKX81epapvwvSZRuQLA3FktgAF6gKjEUl2JukAHAgdAxI1Wmr/Jy TaPX8/RnDl0urLWpdlndTzkcsWHdG7Vev1fm+dVRHatlnVlZVZXKJuJDSBy6fhTW10T/S9gJow3r vKuKU1sRXY0IFAPtciqAkjxebIbioqX0oPN8fKuG+DYf8UV0zpNU3k0GKySH5JIFWN59vJUxHyYJ 4e7MGDhb4D6moI89POlE2k+WFAJtSUcFo+vg8foDEMYm/1M1NPb/NuTkdPPPTWcUmi4YjFD8F8k/ CwPhTGLQQ6D8Y49UzCquzM0doqtzqMl3MEEpslnMVqpXk4FJX3OUdZD3KUajsk0z00N3o4eYX6s5 o79PAoi4hEFnC9FKXSM14rJS6HeIWAEgiGZw4qn9H/oqb8Ow+rajtZDbgOWdm3ub+AFIu1pUFUOs vMcH/PPTmC20LcnX897omskkvdt9mSTeSjX2LfMlauIFHKkeVPKBltIFjzs6WUbZs9QBgjGtix7z UV5ZH44DbJJ+Vg3XPDKVE1VHIkOMh2IUAo+dAYlde4PHfMYbf0toxbFWiqMBO39Rmcc6P/xDWEY1 xiiXYhsaGnT8tYG6/6L3Knp0HdZKHx20rAyRQRlKL9IiniATesDT6OttSgrSwM9pM3ErDWaUw+9p 4F6JyQvFc9d1SCuGfvYTbEV1Hkehjlz8AZQeDueRA4gW2CD/y/yRdxioSnqsKQ65/j73KWiSe3BR FFBvSXMUCt1iu5HKUXtsjpUWKSGc5Y9B18FuM4GvW30HRMHOzsqNAbsmRQIDbrBZW+xIXF5Kbdfm wohukQz1QAiVRWiE/cvE8FD0+Tzp1RYtEykGR7b+PoweNFjHvVeTRjPdS9REE3B26lO1zFTIvsYW qxpSc71IAyfOW8f7wxj8Y/i9a59IqZiCMLQyrpVwrOlET4gm9RcYLl7pA8phB0h3wkC2H4pqMu4Y 6nSmV5byuC7eC5Caj8M3N0/1y9AAHhDNqOVxsaxG9MVUjqbmTN5lJySI4+81j3i+7Cy79/2OWO2F HSqX4desm097xaD9NqdPmgX4j7Jr2+vcLfT0zZMGkPGYRObHftm0kw4Bm9Pec1AYGcezWdhY1vl1 cfui77kGDqPycrVjNdnjcjC8c79/iOoxklYplSdoIF7q/HWe1+XnvE9ZSfy+unKlypDAg47urBay OPlTtz5RNfOnRsO19Ien2IWMo3IxXf3B+oSLNI+wUHhIQZ79kSLgzKDYD4Rlj3c6N+n87Z7sR1U3 z3qG8B0NTxPcftf9Yfm/6QBXbMarkEKRrg1BG790FUoKdXZ8VZ2Q8PxnnLgSelFEX9wLFYj0E2xf E2spXM/CpsKHXL32Kwr7I+urU2ZgtChVc/TjndpcUi/7XoqgcfQ3Pz6j39Pi6VpPRu/OHBAOuBFE 9szesB2QQdIVs+YtbdNBYIvzWOiPV9t4PwUZgsRQ33LqJAQo6OsyxCBNY75vLm9SSY6EVafAWSR1 4xvFkLupeFKj5ezX/7zExtJ7ZDqHabOFzBJAzADv/CQva9lA+P6hIgVoyIXpKr1AOKW5FB/aYGnU kUSlklIjAluhZHmgYzIOmBbbxhytI77P1MooA2TjbDDW8Gt2KfJy2NHk3yiXX+RGgxud1PTuHdWL OvTSozkMyVgsfQ0isocRWbwWIpiJFVNZ0Suo0vOaf0DGsUbkem1xGfnPICBH0RZg08XL9DioBL7c UI3vAeg2B5pL/tCKAFiePVHH2UuX/SikBe6okYZlPLXTIQfdeRN6Sk25JBvIEGkvsltdoPLxR9b9 q0mkd5Nr+5tiMuW8JOryMgmd7rDq4hSK96vpgXoyV7KpX6AS/OCgXx2GRwhWmBPQExiLegpiqcKz TMFN6JIQXyaMODDJiDhcuA/agxbZAGLg+zBW2Gq6xxdJjt2csaqa6sADvaZmiUW4nhvAxil2Q6vG uBsfnxCDdmQgxcNPe1wzkLwcwVj9b+cOHwP7+lSnFsuySWqUPh8uCK0+m5ti43RPTJogIASg4X9e x2UFR9++aH7XScuoMb148BwW/n+mw+UmtxP8K89NVSdkZbP+zsMYLPfYmVoYRr4sGEalut6JNypQ uuPe88+FYz41T2zfZ8VqaDEwyOV6WGe8Spd1IziH8LUIQ84LPg4ioGoel9HEkOWz6x7IOJ9PdCdm pt2kmy4ZsXPXLUKIAbexMclp/CrcXsCzMzVjUBaTIfU+lFlEPDOP0CECxt54RdKhaKyKBHq0mOh8 +B5NoZzuZJdHehsDjUh7m6xWfd5gvfldrUNes8QyXMVmP6lWJ/muTURzrPwG6FeT8lw60LpKF+ix ynETKAcpT++vNOdRB5l7zzJxzVX+JGGxt5t/TLeZgV9NIrS2ISUt4whAGi6xOzFj3B6Dqq/yR1uc fncttP6a6pxZbON38E8NN2LcgMxkd7aP/ccca37xysdapjlnrd0Yrl7bO8/5x2zpS/IpARW44sJ6 8Bcmje5+SM6wrkxf4dOftwx9lZFytw9AWZiLF+RDWwHM2nN06WprMO50UTpfJU7jI9v0O4KrGdFe AuJqp7RTHXocPF2m3N4RNRXB4wqe51tExtWr5RgGcHNdg+l+FtOOv2go/Cf6vM02/J2VDL1mqndh DwhuLCAy87CB7zh4LU6DOGqGpZCleabj11LZaBzuUQRXyYJT/Kb0RH6ytICTYcqWeq9WNx5mBapt 4g5+EQBr9TbwSoz5lKzUvv0faKdt49Upti/Gf5fcf2NZGwQ220TLKWnw6iy29tMvtt1P5bSYbTlL e0M2oPq1hT6xFvx96EWNnMBUjcFcau/GOWtstBDeqNqAtItKRuGgTJLi1Z7Up/io213Jhm4xkLdV Tffyjlz0PJVs1EckTg1/rIG1R+JBwM2aYyug/mb5fKmg5N50Fh4fai0SMZBwza5M0Cg1OS1cXnIf PM8SD1pJoJJLTK/MEx1EDkaHRBIYaw3dJTZ5JAfM3LihHu47lz0969+uYXkdLjcz6iJXuGXVxspt /gNHo9f/GdCOggua1cWGp06keLzs74MSA8qLKdMcH56V3E+e1fatSQ5HBQQKYhRgmJmuQZ1lY/Rs ZjOcsKHWlObjMkN3RP+V3q+vy4higGbt7unV0ZER0mio1oczNZaOEREgBum9MDXQH1HgNcwYH3t+ 4jaE2LjiwqXQehMKVFfcOqczMSBlg03iLBjKAEefR5D++L2Hni6fC4nnzVXTAfYiaQQy2Uy2uhIG 8pC4F1Vt8cwimoCNGjDz0rN6pqEJCmSyTGTD0V29DfhUDGebbC9G+7qqxAb5v9wt3OAV6lanGU+D UEzFSRkpqlhSswmxxW4pIYI6rBgweHipq0cL2IM0bThuOpdbEW8VR5QF8PWFGMGfATWdWFeiVcXN hm/ur1z4iyDukGSpGmJxyLRZTanxwB8sLSUJd993jJLPB5jOEneblgtuLiczAZGL/leD85iDReoX AN5PSR00iymZtvj0osR/BsiSKLIJyp2lF+IAYPFzAixwvSdj7XTBgCr/yHvorZCmedCTOTYP6X+w 0eGn8kmBRHZcEvAYmPQuAhqEeBaOVx+QYd/z+4S++NTZxQgQe7NY3yGi5/QWb6gcdgzo3jW4k1L3 xCncRVdeUbFo7enDzg25xIMORYVizg8doI7GQlmiAMzJZnQUYTfT9jbmalErpvO3Xiddm8L+2wlO MO5oSE8zqJTKf452AbCQS9/5X17t93a8xXrT8Ds0qITkIShvdHDfzPsabjhbg8Hom1+b5B+iSzxk oNm68n0XEJ6xhHtGfH4sI03fjnNXCmZRhivLHnsympdDcERfKDqxBpj899xFyyYobkqsOYkZ78J8 IL2vX6zPAhsOojvl3Tq2ZCAIGcZ6co8iKWEX3ufgiIBXw6C2PjAwGIJualuT77JGnpsOgkQIS1wR co6xgCVPe5L8QHYLlKjzXhrwNmTmnbQ0xWSi350E2r+wRFUFUCUcfmxLhZoDBBKKQ47Z8RZcPKGz Q/HxFhbSFY+U57D/dlLrWCKq/W/psynTo7JyfKRs+RM+xcMsHVHwKKnpZGDBUHpPsybknTEY8Gw7 DvBXrDGlkxUyQynG/UKQco1ztpNlxPdCnaqk2uxHrKF8KIllMp2kjDV5PB7p/AwlGGzorwK64jWm 8lYzme2nAJS7Mw8A7pfgts6oPBgWX8PqhQLvhGA1EzvEvu8tZ9orfAyTxLy0QWhZO8R9VkdntaaP T3EaWxjcozVMaMC1KURhvmBYu0AIJEMbQRM1vCTtGG5QNKUQ5FHKDYJo1+qJ4TP5Zql33gB/WUZw rR1lI7Gd0zFPv9qun1270BucTPn1fYyfd+i+u+6pzoOEm7lzbqqYOSZxaqJGDR7+r91aL/Fak41w gls9Efa7mKBkOJ122cjgH5w56mbcrKJaUD5XcXV+ZU9zLOaFvUVpxx58bSgkYmM6BAMCzxkCCB1/ uL2UC29Uia32fL+1Ynx2tJ+6P9wbzp0Zbq6rS2Jgx9yMZs/ZzDHkeSbswseQNdSsLRnMsBJsVBJl F2PTB4I9A57R3yor5mxgGnliRcIjMRaave1RkbyoL5qvrlA8FnD/pzPJgVy7Xah++UJuMuKdarO0 piqWkAP8cCncdo3MmQGY4THKNZtop6E6dD9CoOw44uKTvuvzAzhbrPOnSD9mXm4Sxo00yRRajuky jMhCCvR7btdg/JV2CRLdTkF1D9xbL8pRaqUDm6MmYcvkYR+gsK45a+L0JLZwGdkQM3aTeBw7ygsY Tb9ZBsSlUFdY/Us+8p00bXwYfiEEYTskLfCVdaZMNGkyn2e2ipHjYsn0VanixD6f1nmjz/8wkglN 2jtyhWL4wnMU0hwBVXcFd3hVVhmJT/sEslaUXtqObOGO7drmXFaXGs5Ukwjg2JTasvipzl7qvm3E H67/Jk/iyFC8H8bRRnbf8A3QwP40xT/kB6Pa1E4hwEx49/LpFNSz5Q3kcnPfD8rA1JfDmtfGGDCu zW+TDppa3URSZAT81YvLJ7g867hk/BkCrXNGchKt4jCm+mc4Cw+9nyyO6O3F2bqce2mzLlJSBKDM bz/8Y7hzmKQD4sU3v1zhNrmey16Y7lV9cpkkJM+UO5vjMpxaBNSoMquoPTfDU34yJfy7qUJvqGrp gOHgyTA/ZwbplgMIj3scFk5T01DLaDJCJgan9Y1OJuMvpeEgG8iEgGSHj3e1x5VKI4ahRsMJ/dgU Jx9ZEBrdQ2aalGP0HsCKvEH1g9E0vmO9ZOw++yW4E972GYE/DcC44FKRT3Akiej9jjikm3TG9PD/ b71KKcOrtnqC+0KYSCwQyavPXHxoTa59f3zCSzStX+Zlmv9b489aArNyI9KwUWfYxrk3hjOJtvHJ Qd3xUuJiRioMsW6bruSvDe4unbp8o2UdPgM+zAkk1sgdbAtzARlDIPvRNUwjduzx+Mz7lzGrsLa9 Sxp4BD0a2zwflTGfl4o64vEEx99qezLEkW8OxF4R+2OPWGOuJVwQnN+U2ekBhwVeOCBGjLBZPzso vkYOWF3ZkXvlbdsD5vCOHgaOQcRxH8ta+FR/J+q7/NWv+C/BfMlI0I40ch/8zMeC8VWUChKdw3R9 J25Vy687ns4Fge/YyTagErsU9vLs00+JCc6gOOtzG1SzwRrVijdLUzdex/Yl8MZbvryTPOQSht2C UGlH/bMoybnRb0SdlOuNSDfSXMqB5LsPz9jpagmslwBF2apeHhKS9T7fL7U+q9RS1ZDoJ8aG42H1 N2IAsbq/XGHXCbSj28UzlVY1o2sRlW6ETxXY0r1h8mT+yKWKD56iGxOkDQiAfBZ6EGA0RGYjkDjz Fb3cVUWYmnjHc1fcFsEhhPpbuNSyWXB1vWbEtEXaExDxzCeiYwiLf8/q4XEC0CzQG+KkUgM2FGyh Wxo76cQ8RTWRrFV+3FWfk0y/rRVROjM/FKZeB0WaiTDUOzvgNYkbtumNqgh/CxmkiWMZp7DG1Irq GI3e/x1mo0uPg2e5wMfDPhzhK4m1StuwiykUcWa5Obn8dmRvSL1ZBCfV9AvORWrb9Eqs81Es2eON IBfSTow4nPSkk2jJAhVtVizEnuwQdItDSQykR2DJJQuisGtEb47yvMqbjzY7ZXAJDF6wC2aH48oF RG7JoEMsAJ+fPACOOBgCfQ+fkTPkShrZfi/i4kPmha70YfLiEZ8tdhoSWy6APuUspevV2srbz7Zz l7ij5MzmCgRMgfys7ClU27xfTJv1NBvG48haMgWxgEDNO7a43bDktvTU2mYqgyOZB/SjNmB53zQv ZwssKdM52lQrncRhNgDPnvyitX9VZw9rfqTa6FlMXw2CLOtXKYSJJz3dNPirJmoIYvl2Z1BCtvIL C8K8A+5hKVuqadOSD7Cu0jxCix3UpN1oC9LOqlE3EKh1T4R3XYeLo+t4POobWYUa+ZzIzGREQkJ7 hZUchMevQogUjSM9V737UL0ddNBgkaejnfNPdnQjxGN0HErC8oG2a/ChGkERI/smfDIH8Tr/+mXS 4xmi83lbHTpl5VPtYPAxrC9oYzK7aBdNxcA//AiPQfHj4E1MZ/YB1lbX1dzE6hytCezCFF1H8tiF FiFGbrA56QTCZsDUBZ04tzbcnKQDh99jJqPmHdd7QMEH/NV1O49PArX0CAzivG2pAnO+YSqfhbW+ xsbf5aKbLfQNO2vupFb4wDZLraZanMwRfOWpIig9sehPqeZNr3q2b+4EX43nhhEkUXuUBUeYjpL7 uAOJz6xs0jAly3QZ8IwBJv/0j8Et1KhhWS5HxxDyEe09GiwpVM6DjTw7c74ZNdj1PUJCsx8aCNVx sqYpiEVikkKP8yc1B9EKnekihgIREGjK/peCZf1Zfuu1FpHm/lRIQyENo9CNUbQKMbnS1TDN4dwj 1+qwlY+D7WYZkGeBDzsVk7td2piGs63sDQEBuHA6uC9mVJpwpAsEwxJVLbnEwGbqrtQRkNY7L0L+ IzN43OGbmPlxUeklb3I1of59Vy8xgNw3GLUs9jucjL9UfxiVfm9ZKIui+cpdGkMi0EG9Z87T1RqJ A0pp6F0CWHgkqIX/xBBlQUcKnKnxa2aUUDF8OUTrt92eChe8MWYwLNgRqNM/INUB23UY3Y6xjuL0 EJpmEG3e90c5WGN+vvRKJB6qSPZccW90ZaGH987rxH1nRpxo/c8NbPT5iDerNnBzuxsVSYh9oF/d EzBL6a0fLbfJ5c2ZIsIkv5kjgh2qU+/mruDfQRQPgtedITACExPOpNby3wi0d0kIxjT1TXFWkDzD EXVvo2ZShixZRYVvYAUf+a4GC0zLa6m+c2z0glGV+eSq+G3YG9SlZmAo6XlJhIWRItlAy1X3oJFr SnckNbjkpewTBHDaZyOajgHRU43+fD0D+sCFkbN7jQ3OboxgAGLRLbnmGndGDBz9mJFq31qjITw9 QP55XnsYx3iNoM4+G5DJJ8atAD8oIlclvf+G0FWPwpZvZ0eUlfSEoWb3Cgiz5jJDGr5jeHhJhCTt RDQVQ65JJSU422/z5bOo1pI20NB+icIxPdFFL1mDvxrz6vPDtMRv/KmoIqANISjHibLcsz/tA61A mlVj6yPSKR92PeMrDx+D2UET9dYKJlcBm/KoQwOLMLTMxiHN/itvPZwSd47T9URsOku7IiuL+ZkD hl1Wbw+zpbBlKxeP9xeHZX43dXWYtkJamA1DB+M+FRbZkWhAexAPn+cqPssgDsziAyZTkuwfkmoc Jj+f1kuYnJe+T0hYUA9beTjyR9uahpi5Fv3Pr0o00/ECr4k6oDSAqVavidAYk19lJ9oQw+u7dpW9 j6uWgBQiiZerYRFvsht85cDbHWSKGfPoqst22iFhBRddLuqOBgeXSfeFkkeuYGO+aul3QdpVgHlT 1mRHs/SSRUhIAogYSAmIN9cFsaGVx3rI9TVvkudbHBoaLN17PcOXrR/hGPw1+uN2ZiawboSdzT30 /qOmQyo8FyNA/RBYUpnqx6Bt7wFBQ4eHdlvlz+ACWUvClKjJzGCIlJryqqOwH4tcBtTVs7qVq/T9 zcY5JkBG1HxVZu4Xeayn9kqhOPgndZCisgEmL4/Eb5Y7GRkZk51CSprOtDKxQkuV9SDxwWOS9LWO 6I9kvgQyZG1YrewmsaxfW/Eqv8eaZICRlxEspJr5QnWTDp+mCKpHyKc4NkjqL6t99L88lFlrt5wp VDerCk6ZsmBhk/fLaupm9jvE0B5ofeBb0+S3EBsz54cuEgs7qk831xWq43zuNLxxvG7FotmqqQuu WnXBaBiB9MQmfZrYsnUlJTT3VytqskymPWDUMaTaEN8Eee0Dp6J9qtgmcpkwOjJEy7+hyMpSMW17 dw1q8ih74Gl4PO+md4Akpy4tnLE/EL1IoorzQp/ORlrnSnocKkW8isqmHH9Wh0U3+cR9Bryq4N6J 3MaxbTmZRDFf32gMse5LpVl4i1ecv2cmdQfLL9CIzrJvwDvHE0T78sKQYu/XTH2oPsuqHJPcxmxb NkFYv33JG976qVqPqtm2PILLvMoN+ccndNRt1Rbmq2r1hdZX1eekvBXA5A6cUAtTmZxVUuJ1Ylg3 HKmkkD8nxuPydoajDjBIn5s6klBSG7fIPi9/QGIp+8uPU5dtLP2PD/Aqs9uISU+2wV5pHK6MXhkk ptJbJZkjSBdZ2dTxaU8Zj1fAOf2WnFBq63RHgyURljmr4jK6N45xr+PjgDKuoRYvXJmotEOuoYxJ Q4ifPRj95X07jS+53R4N/qv5UgyC3FolHgv9TKq6DSxuT9OuX/Ilo5CPzDm1spdfreglHtuz1std G30+FxndEQyFKBUfLorKWUDspT+7taLQXJaSI6iqMOllmYlreixEsi5tEmSx6a0mjNUBfGhC9ATd yw89AG7uwrP8fwgBFn0GsUwKI3TI1l3m+ndBZqU6bbvnF+So44ps0p1Muzo7s+GYSq+udFFeVyj1 btoYBuCe1Hfo+PHNWu2qTGxsfd3t8wuQS0sYveXvcNbSJwIXjgX0TtzfL/kGDn8ZiXD4y9mufKaY 4yQASOqUd+965hYu7x+h8ZB8FciP/rJuevLGaelExqMfOqbp7s7Z4cb596dYsGO4oYPqxYedxk8a 5Y5Rr+r6RzdWwlLy63DcGfwckLV+UJTHF/Huk+Jc2T7ColeAMU/p/wXgcGGAmW0aPh7lvSJ0SF4V ODqcyT5kTKRL/XJxZLDBjA9bcFwCa+4g6/ji2dg8vCYJNDHCZjXSjS5HoZP6lGyEInVOXZkl2644 oo9oTNspTYMhww7wu/OJe08GuBiwY25Kd8OV7Ap66LPFyZHnZsNvKmR4opTzchxxvOsIMRyjiOBu j1bU5zzMf0UcptzdVXXyqEr1GIRoAW53gZ85MhmilV2+aAtqiUIayjFNIzVUK6w+85fWFl6L335B RBoDAxeXxo8DtLqitz+CGhRbylcetVfxKzsq/EwxzG32LJ5Yfh01IgGbLrWT7zvhq/N8tfhFSKa/ 6w+SDD2wtUrJcEhrWnf/UDZ9NzUJ35IWfKVyROiul01qu334XBnc/5ZqmTQs5/g4QP08+9m5JeHe it6vxy+Kb7cUj8bfwRzBra+l5Qi3QeRqQhg7fAVCIoLHeQIvWkzCI/n70AUTfJO4MN5JwnFXiMe1 c+Ft7CgbdD7IwaGzqpgIwOUwwkA58RrObi7/bZPMBibLoWHE4EmUuDPnK/T8GGMmHbbk1aHjK5gl u7YNReUgI0jDenHWMTuzWbe7I9w077eYs+ldkEqEvwV2jBkYy8ayI6nGxaG01RRJGZzwR/x6MjEH h3lg+33SoTJr+4ktobHeB9I1FHgHa4z6t/rVvhZ23kR7CKQdzwguMFw4X1192DgiIqLvBit6LTQX z4HBj9ftMfuMdnEyQarbUGb+R/q64U6GWHYnR/o5RifeOqo73ByhvNkGOG9Jh6k6uo2R/8Z5uWrP ndo9+oUPv+jOD6WwyLBXos+mP5OtUZoMzm6hDBO8gnBgslxBRA5GWTo7VGs29wpvrt574ZeS7+Nr Nynf36VSZ5mAlMapOqIKmM9diWj7AFCGMHmSXiktG8sRLzJFnnEgC9hHoBOP0OD+aANzUMH8sai1 sHWCbCdcROMhLRXlPEpWGfPXHfoJOezsQpBf5G9h3GzAIDuUgBAm6gVNSmEwk2Xa+RYtjR/3k3SB +WE/0jo4lY4g02efWgdjIZVb3rBhyPZTXG/49gs2xcexbMRoA+tXZ1G8kW8slBu2dAfWMb633DF7 45TbfqUHYFe5HUE9hnNEp3Bnsev2BhIArAgMXZze+JzuRG9acgDchXTqdA/f6Mc1DLX4SklS5CXa lzyWekke1Rrmb5tDI7N+1xgCXFJy3BS2lkI8kxa4fjAAd0Ji/lh9N2aSE4c2oAG2pWfRQbEp9pEn 78xRNqOEpFRvd3KET44dICPqmQeXcvu5yHGwEN2tZATW4MqLo0Prf8CgLAmn8Zdpz/rrywlDG8/P zz48GqJz35MesdYr5cKs4m9hABg5gtqGphdrjNwdJhBSDDcvtPXiGI/wxkhZuNZOdjFLuG/1psV1 mO8FvKeXWfY8rwr4fFhLBkfo4caug+8mHV5Bc7MW5veuB8IwUmDQz+BUKiYvFUYwDXK1Nvm0tGsJ RzA/Ppa0a0Ys6KTG9j50ULOFp5Ax3PwybQNwO12Vosd5/oHIMe2QEDK65YQpE385aAh/dcQzoXXr rD/RrvV4t0ji48OckZYe8zoct5nVE1q22SRAbpIMXHGEnFr7727y3yF9VKsShfgqnG/OsMVZOy5E PevJRLdQiT4jzebY4Mxds2v73Wlh8Xf11pH+d1wBPyi5NjYk5lrSdArnQdnfpiyiSOUYMNM+84jg alL3hFoODPcw7i9fqlTKuKEQGfPuVpNLbiqzvdpboovKx8uLOE7ixKKAd9DIAraDpYaIgYo8GgzX OY/vRGrArz9BJjQS0Q3ul74mRrwmopCD2cBTe/a4zUz6T4BUGvS06FhlNWNydyq+lzJlazzx5Vm9 Xe2rQRtOLWA0Le1PqNKycHiQdPcIzPtypX0WxtTJfN1cCWSbUB3LTyw3xkaJYwT98e5tMslUbrW0 ovmiRcTAsLzSqTGabudMCYiaU0lTuSwTPSuq/tQKg3kfBsFECPqlV/5BvWzqEQWqZwDhOUzXqvj4 hcdB0KgSFnKxXys64Iaj/J/+n6zYX9bOwuydDPgEdvesc3BVPbmxLxUEMG+VrsCrGOB4gBGvE+pd bc9+xR+ujqPktegaIWkRSmuFuV5fkE4HR9Tuj93TP+zzTfAp2WaetmGucHTHqhDueFahCPsyWUz4 lmMNqT/r1mjIUXhNZM9cBa+suZ7FtH+KY1WxxZyQNoDIl/iRnuZVoRFQ08d6iMRX1sIkF8N2Uxv/ q2ZmGql0FHQzpFnhExSy2Szdkcdrk3szRJMahge/RsmP0r8u+boYhOiA/f3UVJDSPN+icwGnC9dv sFKgMgwxb4UpfA/d6wHA1f93ytAVuo1e2m0CKA32MV9IMXINfvpM5PqB3DQ9SvIXweHiuLLTPcXp zg4c2WGAXwVUIXYpvTBSQm10KU0qkgorZ2tS8oKpngwC7moxc5raXyn0ptUmd8h2QJAlyFw0qc8z D62LI5yAuO64ODyzGYH7WMIc5ENDfUwrsmP/v3e2sZ5iC2h1FNUw9+pVqNOEiWVWWXjRJ2HqaSkq 57BmJlcWyEp+h5FteGEPkwPn6P5opxyF0wWnc1dd1Z206uon60hkc9Aj1EeTP/q8Zp/+p6teRfyT pdM9bEl8cMZsnnZXWLOeEuCTJM6u60Ek8TmgwGGIKCzofqv3iYUAk8x9JpW8Fd8acf+Putcb6qOG eAYBS0BoZEIDXXgx/jvvK8Hd0ln7zzXOfu/rPPE69E25ULXAECj9QqV8tkqZNXXFraU2Pg62n9Ui 670lHsXuecejVO+7XLLTJILyndxjKoYsCHSp2PQciwh1rtKyGgz+C7ULe9mVYzx2sEVi151wQ1so Ize/w6wL37hxEpioWXMfs0bDSIUeo52duHId1h5kJeRFr7l4ASXaml55Zxo57vBvgcOYYFQdGBaq +iZtxLA90pF/6LjFc3Dqe9Kmj4q+qaJL7Go7HCBMl9Du5Qgan+GY56qyeuK94+P4nKV3HZFJ3AnM A7lUJCZx9KZI67h99UFzJ3/g6HqPst/gVVHIrRsUsiKbptZNNaDdsrSvBFwjr9UCfkkQQB090ueP NB5VK+h07RdYGeFD7nzMGqitVcftp05F8VXGTcnGQSei+NDXwjWkkG366OmvB01jGkwOBQ3z5ns8 U2waCfE8oRACUycvJnBq6AAJlI6+tYWr5Hv9c/CG/fNAXQ2TbRPMuGX4n5HvSqWgS79ZBCQZhNxB fkGUxDmWR6fKvp2z68cQDPJR5i/oxp0j9zmTRhCBzjYlxe+/23hU2vfkJCm4H6cKqWzWJxAD7uhE lknyaS1Fj+a8H2xWUs1xkB/yUVmTCojEumjLHPtfFbbtDZB9QMyfwuWkpA0Z2rRfFDHWKZB2Xlp6 ZYy0wH9weT0BaKVdo0hdHqtCJkWUgA9COvkoVBhCoRMx4CQFQLoKkFkVR+tc01jR5hngOuxDnCiv AYG8DVDxrshgHKhE1YnrBJ6ZnPckZBbXH/CdDxwpYZdvk+hW0cDx9hpYDx/eTokcSL3N6g5h+g/v gvtwXQaApTGCRIqLvObggQ+cfPLQhwq7LpwBClc0vV5+nHeRpSQRm4RHLJmdkgEH4NT3X8+Cnzpj BoW7UP4dEVQzv03nd+nI0OVkXyOkoKucnMHIKIQAHN8aiNEdYqdzJS1iguvIGKogmO+Ucg3KDi25 8iJmTAYHxTy+TTxwLHDrVdEmr8B5zNt318RV4i4RXj58qKrzK7muJ07yr4nY2Qa6WdyA3GOgHrWD Jy0OOeX3GQCJ9bSG1wLeTuIkNus/IhfMk3nTec1TueajXkrS7/i4i/BCHHdogGm/wAKpjK43J/6+ gf/h0s1GnZsHx7PNOl4zSvsAFY4BVstk8dRiSQwMneu2URKTsAjZgImnZVbglzetHc8n2fgb9XgH 8HGb/2gCuukims4KZsXtPdVwAbXYh4JCLeGV9yLam2hBLSiD5+dlBGoysjgn9GdkDCzofyRHYUXD pl034bmVjfrWhW8DGiYoROGvXxDBZde13I3axkaomM+P1/sjZt0wK+XSSy7n5S86Gg2AZhpR82qQ jTR+5NZS1QXo9hWExJSSyqZf2DenubgRYHawvYBcfJL24XHRS3PfqKGPMvbnehRQXjXMFrS71qI7 mI5lwc5fEj2Q7fB89WhdVdFQA3BYuh6vEmRrXaJGy9lS9XvkA5BIotKvliOs5/xNszJKzoDwL8aK MRbxPm7hoxhagbWBD7hroUeACXl/ENhTIQHorWVfj1bzsMME1rBICzIufB64TxhLo6PhsXkJFQUz Flvx5M+kLI+XuE07hRTbZOAZME6vKE4Fu7yoKAgPHnDd65C19X4zTflDqufLygNk4xsFuvYfpOKA fAos+hXFhZWkmo5X6mmracGMJvSKeOqdDkENYcfX14FG4i9ZRc42E1nSdKmckLBIq9shNSw8cYyG znBIDZFPAlJ67ChA064/f3xW94nFu9CAL8meBoQ/mso2uySoz9f7wAWUoFeoQPy5uWibTBQBjgkY 0H0BkWGmDvjSkElCfUt2ohgfoytU3NEQIAnuS2kOMV/dpfq8ZxXGmkNTAHf83ISwPrqNYEyqqznL a8y07tzLdV5Gq4zEBJZ0Nnwww0v/xFFzrMDNeagYn7DfoJG0vk+zaPpBqRYON2/LplD4pw/nN3O5 DDSZVZFnuhjLsV2Ofi4rDb9qMHBwBHFQsrYScKcuHauOknpO73f1CV7peOI6wS++hV3wsaWwo7CU 2Y7ApfR7M85wTH6h1BeJb1HAny8sLmtJbs9/ZoKATJzIA0kIk6XWfxSf+RGKJoGlQS85f+QyaQuX fqgOaBbyaH6vXpt+8OKreOHkqTo1CrbTnhpqUmgoL2Gv0UBHA0oaqy7aOgeYpgYbCGDwWTLwuTOr jyv08uMw9ZVYQlqTtiS61+fAnaR7SiG+KGhP53Lbgvn5cX6x3id2FYwis+xBIQJQl9iBL1WbZLAC hN2cWSK03UMIo6w/Z6OObQyIQFyO7sbqcZsuxyACXgfisYXzGDnmvhiPEVM3mL+W9Nvl+/VJvm5y 5U6JegDk72IikPPkwafNur82liEU1afwW0DSpYrpZsSWQCH8QfNTgmjdr9Mi8EL3gxEeYmmMjLu4 YwRWg281nEpr3QTACjEHQQjqWC+5riaWic6+Yr3jYPsnSW+K2OIHwnmVkgicEtlaIrKrZCvflbY+ NLmHpBrArQ7mXKEew8bj1O9BPMODYd3MpEO09i1iQPJ3txRLo+5rWUAVzCQXhfHehSg5YRAHkJIJ U0heRKYrc3PxM5Pborjq2JwsF2HzuiYaZS4dg2OZICAQhzdw+5Ea3gzjsD8u2lsdWDI0EO2oecch 6rgMQHPcyRV+xf5hHt39PaVVSTpzyb3Az0rNCa+RfhTvyyK9p5kaTeWUWHARCmUYMEThiE6ja1FD Gnt8YIpSKg2Y4XZL0OkMZCFaIkMLOlbQfqaH0MuDf4MF2Dx0YS5BK2nxJR+apdV4MYZUPVJK6rCV ioDdKps7FGyqoFA0Kil1NjvUBAIGp6FPIyyRBT7RLGdTcaCBR+e9sQUdGMdm6mME8Nc4mfOxpyGx ADvj1ll/ou6HBr//xncROSVVXJRvr4j+Q/8VApdVISvieDuiFW+mZevQhkMf08SmJnOlLSPcFYm+ /6QBfHqF1nM0YynryHGi+b+Tk97gXLxfNLvM/NKL315qu6QJ2EfoDJ/q1zwihfNTAeUf6K79IW/+ sat1l+rebOrpqN+8RAdirUNJAbhp4oYF7ySFzy/Ib0tuVxqc7gVQNnJcXzaUIKX0UBV95PhXxqSt i0OmYLfymd3EScsJo7d3MQO/z8uVtuHF0xSxKsWLpQCeNVa4LrakqC4j9W6uf1i4AnO/P1MImdaO S015xWwhEEYwi5xgBaKVo2CuD0TEF22BLY5xsl1E8gyxbls8tyJ6rtGHiGJpvpoUHNkaMBmz8n7G OitGQc2bvGBVqX/5T4bAkVs2IKD6R+aJbri8u60pFSKEa1aC4DwcC8p0sLVr/J2NJtwtI5i+GYAR 2SQu4541Fs8loUdoyt/19n/nuhcP2Yp6m5Pyg+Xw/EKB2pczzJfSD9mPU6eulJjUj1zZLAY5F4es mo0HZc4AoyPW4Wog5WE9b6zEcr0It909IR1lwjzBXJuxJfp4wOp5/9WUihemOnCsnfj1y1ELZoAf CAQ/DLs5IrRFBKG3v4uCyDHySpw+QnLeLi5xQxecjcUqwgJ0u6oW60UyPnIfSiC6QhI65X+V98lb 2WJuM8izbH5qg5tK2N7QQUVyVhfC/7njbn/+uB7xhpjzrO3f3whO7Eweb1JPm6rqk+gY3KOmHTlv PA1I37iYVX3Zt1PZIBdqCPft4/TUzsJKoczAhvAxyAy4Z/vweRS0BUaVcvKI1QGBYEeLU6Rz4QcF zaYpGBDY8GLqsbVxZOjhzadC7lFCcRhBP6Klsgwhm9KxdqI6LSioTp/gSNPm0NYw6aH1YWfA3x64 4KConNoPHaIBae+rYaWPYV1xJGTFHf1IzxOc+4KqnbhsUI9dxLmZV2XdOEs5A6iaTSGjChdBksFp CNhyUcJtpR084YV4Pb2yoW5BeFZKIOMuO59RlUixNxVVw3vyFU9iOdgxgG5/dCgrT7Jv0SAuDr8z U9Zd0wcZfoXMEQOjeukamOhxZ/ZwnBuNDuFTLdzq0IUrY6WNKhLiwcFQFBM3fucepgZNQESWqv9u FQA5j+ue1HL6h3srsyaHZSWDZQXvSvhJ8gUkc2K8A1D036lW+48WIOn59Fub8bUkzN2buhH1+CvL t2igctP8CSlQ/T5MuoNm/iyCeggu48drz1kc3IoeZcYfyEWZJQLubGFQ29NVsWM9fbIroBp7v6Cu hD1ezp2kwMpohPanUirjLhCN314y6+ExY3nsCbFvMauJwJsTcUkWFuUU3vQuN1Exx2UGOlRo6NlI Kv+oShyqD/rJOoVv0RIoLv5QuwsoopoeIBDTwLevkW9fSXKBFCrqtUXH1RNH1gNBQuKCfkau2sUV LXp+oBTmGfSwlEeOdj5yu9hqL++DzG31iYkOAq/SIViZWWtZ9jd5KD8xhdLObizxX4y58yEtzyiL biAHLLroxmyCfn8q/uMXkJ6K3PQQdeXhlUX63Hmy4v3dojbtAfaRn+f8rfzWqVXSJLKjjCg16giP wrSFNytWfWBTmZPNVlvAreNMwersPLwqv8TX6baQ2JJeJK3/+YJeK/xOizB1ZGu+ns0V1Yznxtsh V0OhA9SwkTpmYOVxpukc/+JMymWuFcOeCpFOnjEwskg3dl6iB2CILMEsiW+96+zxxcGIyvpvYQJQ FIseyRKw9731+bKXnYROBaj8XNPMdhuE7CABZoZFInqzvgN81oLoQeGgwAsxX/Pmsapqh5bauuV1 Bw2Db6E0Pm6xZj68vQVmxl4PBaOeOozL6c9OYVazHosWCh5rXOut1LYMOaaxzQHl5aZe7yafdnOS l4ng33YgkmOOW6rwvvZVvMC8UkXi/DMtNTeU4XrWvVn9oAQLCYIyRcBCP331ZxKmTxSPglAWEzSW eezmEJ0sVUTa1ICy8gQ0aR09JuMRs+NHCQVL8Z3a9HYWSY2rQUnLTiMrJ/niRXnD9C4FrXbu2IX/ WzjZ/7xsRUk9thtLH6d7xgHOi9CajpsukbGWE6dGVcYvXR5usQhUkbmvPhntum1jtMrLy/V59OhR z4AWpUQRtk8Uh+Tv9TKvZB5kAKGKYos3IzHKdqmglXiw8/DmN3DsaBi4gEBh3Ug4UGVAi88jqU9Q WilBXhw0OeMovXrCrjOzr2VBzZ/ZnPVyFo6U1HW5XdKNET6OwMVQFkU5UpVHzOpNhX7WLzGCjJ// 7A5AV+MC9DnfbL2BUjFKa7Srrl05F+br4PU+jpzs8v1iQAujgF7qSbd/8/xDVKgS/zP46j5unRSG k3dWPGVcGWiO3mHVX8V9pfjBAEiv5Cd4Rq53zPhNTKhvoTtYgEhGjjtQLk5pV4Li26TP/WQNrSrK m9K7FTVBYA0n5QfbBHewGsowd8mD9z/qPMn0FqQhWTnsRx1kdy4T/VYCfj1YqT4i3o64redC1G+6 Y6EL31vm8sSSNIv5hFUYd7Qf0FzSNvRFofjh+qoHENayiZmyeYDAAYUnZhsx8ZkUGed9UUXzyTpU qvKY4GlFJyWmHA0IrKfv9fHKN8Kp1wOjOXqrL5rZx6nucCqjdn906mEJmsHmv5wWw34NTg29bzcH +HQ83wOoSxkVpU3JP9YH82ueQ2KwOUo7aZW8uC5ywXq/7qSyUl/tfAn+fg1VlHV3ic7L8D9oAtYC 45nsX/hewXS/qjGWuAyKZMDcRsMn97yzaY6xa4UGpmuRvS6oX+Jf/80jmDi8LFIE+0lnJL/KrpxV K3ztg8vhPBk2QvhlQ5wFtzzqgl2IUXXLpOZeVOZvU3sgWPeFVIWyi5E5GjKStW4a15FTSRc3Gbhi 3VPtWdEK5pA6t9vuAm1UzRFx0hU+D3IT5utzXhqQCoCu1/jn1nPAGdZnPdJdcYgbcJnAgF6JqG77 6Z5r0c0a4cb35TXumPDuGFZsAGgN7sq52mwN8rzypRf/fZIQg9XjqT9KRrU5R+jbBhkgZzYkxOhi JUfUkxTLDMmKLDNrnIdLSIHlBLamEDtEpr8wBX8IC6nzOwy676BQTEm71tL+XWHgAskUNPjyLiVb 8DagSBt+lD3xbhUOz5tzt5b0nzx4bCCTt0duun/UQsvgJumW73hUeb5um345FgrBns5oeJuNK0ir XzGVvIGrU8JiScW8ATnDrv8kUXvfjjp3V1wDia4guxr0nu3S+Qmrv5yDiVjB73POhjhHavwy5hfQ L8N7VMBbqm469JMpFSELB3O7nAuQiABoeBkM1tTCnPBBkuT923pkbYSLdLyzmgpwZXbJkXP92Xv6 SfqsHuSZAMamZVQKpqhWJPsr4JNBoRk86iXbliUCw1Eup+ynb1imVsMfvwbi8eHwFcBZnSDdwEVw Z+4FdxWpNxn/DUHWYOESZwSbZBqjk7vRVFrr043iSHJjshWwame3fqegp1pGexOGJnfO2ZnPwxT6 41M6GV76Pkb+c4hXVT3XLdwbW39TACtkQEB8eBnBOZ0MCH/PNbJit5ON4fZSmyhqhl2LV8MPVtpX AkYTDept1fpQNM4E9wHkOzMNgxa1b20XINlPItlhM/uB7/vlIrS9BcC01NCrk0JbM/OYam90x9HX 75HgaqMRg0xMCCesSreJ1PgsSFiZrwxiLv/APPUpBdsf14BRxJoWf0+pSXrpAgWV89keM2TDV4Pw 7ChkYT1iVchJaWTfcCxztwlsdOshTu+hEIL750LK3PDpmTZwNPnd2Y7Vq6qMAfEakeV8/g5b8yCF SKmQ5IMecNoHuUoH35mmfVWrr3LKdnMPJVdywmE3jm3x7WjWmpEDwGG2yyojK3lFsdDT0VKFaWzs 5ecrUL2Ic5dQ7cu0W5hPSPLZqKu7ePGJTqeAOEdwTAc59F5Au/OdE2t91DIjeJIKPBi1Lpki+A0r v3h6ZxYwSCR82Ov8pMB1+Qv1xQP9BkL7d5jVK0k46N+FJKkopXQOZxqNh99i0bubvoLwVP0EU1Mz URQFCF1XBmlpsrdZfhFkhM66iPq+oJhvKL0AcUgMwlVD4kGyMeBP/tbTdB4suW9+J9JHlMNnebao P66l/wqVpVg2lGjOCrolJCeTRYYPBb0bknl3v2UmfM3WAbFhgs4qfcQmTRY0DT+Ve+XlE+XmQ8Ju TrsfkErNJd87ghK0Moso2Q5kbW2YM5MNsA+0P7ziGmIyqJgUgJcfdBTSREY93LUeFDeP9l3KA9OQ 0YCgowhFYVnqYMLdumNInREqKjigkW8S6veaVwkCMhIfWNAM4knRli5I3NiiViUqTqihr73TPLFe 9saGyP8esxfNZVWLxv3L/923N8KrBYwKRT723n3gjdj+yssnEdHfqpXCDcV4rltTAn2AUQdEVI3p PZnmwhhGU2kU9J8oPnZW6JKMy0h0EFCkXD9hZefFnuSLtafa9tNfPbVx0563HMZ2slaKY1kjTd6D 9hMmaaKSItg45C36rYDnSk5LwDO17F9ghex1GCNJ+EVba7tgOjyoeih/LkYjSqsUGiedZzEXthEU 7n+9u9ZhaR6XkSbiF03B0yMDh4buq9fMXgr5YmsklZSy68gmfb2YRdIrtaD8s8xFfhNtwJRICsrc aFavixqytAx+Nx66BrbDAP37Pn90/d4d0Xt7asQslGJlppEz/WlCF0oopXU3UXSHMfICPtBGCQ2C DjMXG8jO5BPNImpxAFw7WDq/cLfWgvAtNjBwMqVxyaPe/+FqY5/zPkqOMe5jpu3xTX2MslaAHB/J iRqjDs/cwHqLC2v0G+kS4iDaTuc6wid4jvmWGox263r2FbGulskDmGP/SXKRp9O59OC2FIkqcQNQ XAymU6sJalCokTpmQA5n6tfsipG7w1xl/O1C9GBr8poEDARUjHU9cz9b4gCC/KM+co6WUpjT3xXe ewkDeCKMPDRauYTTL1wCobq7vZ99N6IXg6wzL2HtE6u3E4E+OsdP7WsVwPml2Vi1phurT/iQHvZb RYVgxZnvvQj8aXgnGDdLHbEMe4N4xNRjb3/7g8XXZLftvFsYAnDBJJr2dsShu3NISIVmtvphonpU HGWTz4xZVc1LAgzl1KRE/jsEg4BO7OmwWbtTR8jbrqed6kUxY+iMW661CxclPfwmf9sfpvSTsxCQ o1D8dYvc8wlKooaZbbI6DAFFYKR3sa3fAeTmulwxQDtRryxU3B3VzIN1ByE+w5bKP2ZbIiHO7rBE ZT7XcUCam4mRe1X7XSlXq5xgNM4+tHhXBCiJiVyVJHD/n2pKO5dpIKLHFMQ5NaQrd/VxWcHRK5hK U9QXr6zV7A506wX7JXPbWTUtWvMrNSXNrNsAUGn8ne8SGJ58PtvgLlyx7OauGv/q5Ixwn5fkbKEs VllOMcKfXA38tv9SrKTlvFNWvLD8WncU96OpOl+R1RZTNX8cX0iglMwi6HuYvahFWcqRaxOCz4zI Bfn6YvrJQ2aeoWg5LNFNQeOosYInOqHebuohm1mvFrcQF2RJXONwyWdvuUHE6QSOjR9NYaJcWVlM fISCYUlrhSQ2JgQ3a+fFFtn/hWwk9FxZ3M5uTBUZT968mj/B9XTXDIpAWNyDRIJDM9KRJ052+LuB P4GzxewqBdooZ93gux+JlT60r5UHF/eQ9sIre9c8Bp3kBSwwWsvnHIa/IskS8X6veDw7DNVqsodm IYkcB5yG0ru0OeTsVb5GglM4ng+jXerqTDWOb7GeUJMXqC8ttJqLRmmfhEjtKyGo9vvgXl/92yDa IcztM31bwcRdrx4OrRi+SGzAtY2H5Ib1QJR0gYa7fdMOO0Pu6Y3nloy1/Kghazg2j3sbFcIDHPtL DHxiaMsamqRyMF4HIEGhivI0V6JmZkt7+S+05j/aP6ah0Nn4NLf9+Dr3lgpuS4RGyWbqDbHR36q7 RD8L+/wQuLmSYZ7u+T/gC9Ga7TWhyzYIHeQOyI809PQqu+N6XFXu8DP5qJdNVlIdAiVfEuLgc0Gh ZvF6Lp4n15bXH3odfCbiCER3ad7Hm2ooAiz6mMwiiy4f49I/2SaNFc8NP66hlRzt5K4dKAtYzIt5 CNCq4LvxMC9tnKP2XnWQKY2cgRDT9GU06MdQNmzsEnKHs6t4kJTLE5LG+ZwMdbanwqOeROT5hK29 lkq7E3JIGMPhUpk9Nc6w0dXAbr2+lsZIjR7TBZu1w0qm8PaEVGcGp3Fpc0Mbp0ZXIXDxgyC503md qcGI3Rqv104iO7AA6D22AgNxR4NUex7icbcA6/II8e3gjOFDxTuDlvrCw4Nij/4LfNVsKY7bAZbS FaZnfrNSBDjmsN5xknmLEqm8jwU4gIBXJ0frr3Erx0jTlvvzqFKXZwf2zYfv6rCtxtmyOCiPTZ8W QKnXAMIcd3UHKUinrefdB0Tr5ev+2T5i+YSSeKba3wsGUlz7Xh7nT+m9SyP5ls5vGhSU8tDJaqN7 ddgegWhsuBgtrh7MjVxr75nD7sUYGQklCp0oysTnAhORqIvo9qyhvlZ+EADMKHenu6sVn95GRAO+ VBN6V9euoixsge22bfGKPZIXvxKy4o01Xecu6sYUsZuQcH0svg96CAiYFFVUZKarGD3ATL41zotq 2BPwzu8zHtjpd+WAkqXQj5uHGXRnAj08kMXyXIOGyTZJrObfCYA1F73bA8TFjO53iXZVyPQuF2x5 Ekxou/lxfXLbfoMmzNVblSPS5WNfu/1hqHKFuQWrgjkExuRloQd1FZfnT4rRvsyrqRiubFGXeCPH pOpVSHaQnjii+pnLCRGYyqAlM2acilT0Viv8lptsDbGUwunsJJ8hu32aduBZVwCd814mfbwV1cJB 1ifOzjLUYbZwKuiidCuMwXnZRobFRuuj3CbQlOmv+8oL/oZcRHeFfCkaWVtu8yTxtbTOqPcdi5Q3 tuExl6nj90oOIt5Ap0/8z1azqC5btaS9tJ0GmSKksT5f2NXKBJ0X7kTvemdo6RqmOoq1wTDINUP5 PXcPO9DalFEdzW2ivTCWnrGNiNGC7sEGCaDi3KjhO18Q+SFrR3pfhGxQ9wrIYhawRrUJHzcWguiU 9YVvVR88tj5ipWCrdeBrnNMgpSi9aoQSBUvasZEn142V/EwhD7iX6qxrZ9Ni+Vb+bznAjFUeeuHp YP5N8cREnfgEkclJ/zpf9/6BEjEquU0hAuPN+/QYCowaGaUjGqutHhvlczDt3UMUf4Cj9kFWDAnw 0q2kdOZyQ6pkpmxS0XKQ3jP4/718l+zJ5dtOww91tZTGCRC4La1EyGWaFVlZwwgJqW6haBIgDFtZ Xt5hVdgRcvFY4vlUfhdovhXsEK/VQUQrGGI5AjgKqbaTYWJaMoMWiDDmgKvLD/mrmGoweq1ZNNMO M1R1e3YPk6Q4DENQudSp+0TwZ5fPD/jy3Dyn769N/zp+OWbyAIPYcKrQgViwMoQnViXOHX95Tnru vpkWQkSCRaq4e1nU2IvnTVvONVoDvWA6u6nZbDyiAVq3AwTMsb/hDJzaeq4C20zvY5ar2pPSwv1D z5tIluXuopAeml3OSORKj5xm2UVJcLXGmoRNqa0K25I6I+nsYjF9rbl7oQlrg2FVUSm7AGFW4pKF 5NI/QK7dy1+cpV0x2uHwVdm0TTLyDaejXsM0xnyxS1qw3266RO7uoe6Ux7RzstcXnUkomyj7yAEh 91S1zEGPdnaPhrgLW6RKT4CXYqDfx0fCevZOAYnkB5Li9s3xCAZpADQ/E+wUFT7+zXy+TWv3cTES 2UVp3hbtnc2GRVQuUekVTM8WvmogBCLOCB0qVoZPcQxQwVfGYa+8MZ3fXMpUDGvVaH9ZPmZ7H+Wn B1+yicbRdAldXdvSeMokP2POQ+t3wiDCy6/NKkSw5Qz18X9xH/Laq6GxQR2SZOBUwJInvViWo2Pt wiZ0Lqzg5foKvIKx6X+Rg8XGWRIYBUFRP0shbuRnqwjJmWZ5uRu1i+O00BnSCCu4i8CvOMqacfMr +hYH8VCMD8KFThMHa2wkwweDL0UT70yzsKKV+YyPndbFhn22AOynmVhGP4uFpxSxdeu9U/pxkB10 jZH7a9sI22jumOnNMyQj9GXgnOGk8t4BADJaematjE17pfFD/s/EkAR2GKGSd+ExSVS2eB5b723C X5FrdyUN6Kp6abrD5zxLrauUwWlJxk34qurQc4YrLwLHcolJGC/2ALN1thK0KQGBLqrq64pHpXXF TJq3UaI3UWhQ5R9KqRwaqVWXJgYyZWyYHKjJELiCCs4zmlxaOc6015LPj12KpId1wcHoIa7f2n6l QWyVlY/SbDcxrUFafeYLzU4UzNJ9cU0sM9H59GKIG4bfX2r/1qMYzugL2+1SWpannAy9v5E8Rgn0 B7EeGG2Kdwcv5ChveYiKQIUTcUdY8FRQ+qjpxUTiSkJMcRruS7ziFfqhzTKQWQEUMt0heYOuNa6K fvr2gbgLugfokJoPOOi7aX/ixPkIcB9PgajzykSqvvf8D7I2N2Y0NqQIzyYQOguzseom/sg5hpyW kzFasy+uRT6HZc09hbVHzpIP9hWM81/BAELOOsgFa8+0B/SwmfdpBefPMvHP+qIYjwKJO6CPDjdo OauhZeHodWVHpMhoCKDxG5bnlMAKsz7m5rDAqvEdUrs7Bzou0/+CM5sEAfWC5TkkwUxSkoiE4Nvz TTHyVP0Dcy138DZaDHsSNPW9rebmpp0hIsJLz0+uKcuPu2FpghwdcCrLM1HJ4cOg8LRNHtFuq07R yHIOWM5cEQsARqKqXiVnNwmFv2+wJFFQj6hOtE3dUu+IfvbvRhAW/57QTdJbf9NzWKxp0Ef8S2oE r7m72xT2IluxKCFcApeHOydprquJAY6ydHn82Nd1GHD2RCfsbVWyDnCEEt5DHtD3EuNcFx0QlZOg 8UlhOZyVdQoSnOe/wj+3lFh+FiuuCzo995IJqOOHSZ55RdaCQj2W3/wcV6h4gLqsHcOBWehbjhYQ yyttw0HfZ0J7t3IhTgeVdM4EZyZXCgaPRZPcKM1Wp21mZPOMkfEgcAY9omZoaKMioH8JQi+O+3G7 j7ffx6VUft1QZpMZHtkgWvDlW6vmgFGGiboP6JFm0oEpPxa6IFr1PLhsbn4eGFUCjiUV7mBHL/L+ 59T09mBkrmuxHwIr0hIsbCZupl/yGnFEYSTmJ0aybfLjktWUzLC/hGSH/GhmT268JmYIAOGTDWoF HXtGd8YMMUnGfI5T3XBFaajyWEOn2H5QQ5uoE4emJa2/q83w/fyrf6Bd/zwqCGlmenslbM+eD3D3 u3XxsRi0DO/JhH9imOqZ6jL3voT1Qz04vWoDejhM78nffhTDYsCrfqjgk65UwV2NulBO1tShW7KX 9FQZEFKS7YNZNI+v63VYnzwFYq6/oTO2hT1ZJUTohYjapxL4wSphddN+UOVetAWOzwcUNkSzLlFT bTfe05k+PUVPmJyfFaOYJEGJ1OLxE5+SYUTUgHUZ90MPwp+ygTmJ8ugMIWNTsYa9jOQ+u84PBMyP 8UqLf1VTBH/ldPlPqCsdAW+ywZKKQk0aW+zH7RN45tCi2OF4B7nUJnM7IRj9jCZm6lAXMeqgB4wY qKrdrXbghtemXR0HW+uBGuJ/lFiCB9wlnekXut9YQk9ERZBV7VbLj4uWnzJtVWuBBOZKHIRS1swr 6bNz4RYjyqtNbwc3h0vqlEHOWxBVZbAq7NLECEOwbrnLBfM0i2IdzMSpwDF+fPSmtyq0trOVM0/l UwSyRQ5q2IVfuFhq+qoMq1GZnHpVp45txQuoq7F1gBHToR71r2gykWdnK/1xd0snoQEQOwyvzPJ+ yFNcT+hqes8BpWODwzgYupn5c8yCqs3sd5Zq8z/rGISU87rNV/Lp2549ir4Cip1JyCpwmvK7m8T1 CLiH47W6D/+pQyRqLHFhytk+jThgj6Rz7mljYBEJvCtGFPyi74el7O5se5HSP0eh+sn+k3g01PnV WURs23JlmcSNDU+20+2Ib0t9kRGImHjTRmxmbAYR7OTdAsSCEFJcJaSxBMucSBaQzCKvN4ffP8qG BWlQ8EYnABAO6eYt4Ly4QFof5VgTbpCG6jS18MrmL+TJG29XjGA9Z6uzsMXQogkc0wMej8OTX0w9 PN61NcEtJHBDqG6zDew7b+0tWE4CCaIfdZZ9h7Iq4tq3MHDDktfQXQZdd5Cj00h/30accETqFbx1 +PviDC3XUUhrPI7QuktAyy0UaHTfP8n/qWSkZm16rQL54xhntCS1cA2ONm5Yp3F3GuMF2oXXNzMX T9deuY5vvQWDVCp6xPPLwrxwsoOK+ejhy/BxRqYyNZ1brmF5dnswTG5kl5sm722ZtiHINpb6EqqR AcPS+uK1X/avdN+kr/ZwG9KIfsz403POIAa118skGeVizpfq/agEEaMfFjEV2NkAhcJ0nyWdjban jMLw9YGjR9G2XhI3ctsrs0EkqJiGHWs/K5Z7JMmmQ1xWHD0TS1aKLLie1zwdhHiUo7mqplWbFJVw V90p6XKg/WGMj4ydV3RTM5J9uAM5uqL77/yuUQYFCUXATKmXBOqGT1bvToAXrjJcvKFU+jMyO9/3 mkJZLayf4E5PUBpPAJ0g3SLuFrRoPS6r7VVJYY97Z3gPLEPa7INYngqFWyWf+cBWliVD7w2++Hnd AXthVilu/G6BcmHb0APO8u0mbhoy8c6Kpaklr/mOi2AreawNDMmWc51ZP0BIq8PDVtSHx54yn8se WJ6Y2unOh0x9CCOLp94SajE6n2s67gcXE0NyYJcYCuyCgkGwTVteDtjl+IpR4L+CR139ARyJsqwf kwZuvtW8FTfiI1MuMP27Diwd+ZWQkoJGn9G4zVkd3xp8vi+NGuRge8gMx//Wa9BmZpZGeR+vE86A iw4eNk3Eg/IfD2R/Q2VofCe29YdEeaOxiqb2yZVuWkf8fp3ng7sXi6FUHBpGyaOFCctof9rcojsJ ack3bVS2ekOde8YHn7nCPxlkm6uGbhmXTpTVHTTPpSZyzhYUsqLMgdMuE9qRN9OOlhx07K/XgPJI HDCjiS1Ly1A2UqokClO0vwHeHR/bBbLwCBz5SSFn3xVQh7KE8CUReT+W7ScEwA0g4Pc+pv8bYK1A XwrXbsxK+tPG29kT0cznyUx4y/DAM15xKSXvyiAD92laX1vhIkBZ2zjPnfnZvXmX8zwgFrgHSaaV AVG4zvxgyMBtIoUJ6rijhiJ7gB4RnXUJDhsRszw6zrYy9LR1H873D7J6gu4tl8fZ4Fnx9RQQLOFh xYrJL7uuQds75MhaVA34OHtoapkZHbDJw5om/Q47tlvEfixx8B9wwqEfziNZGLxSCv98rx5JHHID p6naxS9gyLeNqYdjOg+33RH6YV+V8/e9K38qNverUKxG2DS5xY7N2BToEWux2sDBplhdjme8+XOB EfUiHOeAce/wbk1Ale7EUmVNzaNb1V06NMDt361GHjaxfEbQ9ng1Oa/8AC4UonLjSC1U56K00ID0 LvQt4hbXAD4E1iyqCIU61MXWDMWhAntMVx/pO9f+DHVo6glRB9DRQYvmdiCJMNJTY9rMrKIUnm5n AgF5uab5YhgsHlxPxyGr7yRde2Sa1OoMbcGVyxwyBH14bOKm9pVv9zkojKdYvuZZ7n2FjHUerX+W CYr5qiC4DHxnYaOldUff66z14zHL0UfX1OFuyCu/evSwFldw0deYU/KL0ZOWjPaBZVsUUXM6HT44 olPkR/fH5pRlzyy1EDJF8BLYcp4o0az9JDo5kz4LqxRsofSWqhPnTQfNksV93sw8skF28BsgLgDP F5jS9iyXpZf/p25j5e93vI9bgO2qMJMw2Hy0VYrBHgNkV7QZQHeckMdIoO1mu8JlHBEUtaCJvOKt 7bmX9+HuSkuSm662vewptNe1MRZ/T6u7tEFDWagHNo1BT6SH6O5R5jDlTVt/bTdziOWGZSzZbfiQ nOkyPIM/hZIasPwG+0gK6VGDho4Zs32tiFL5uCBh3IJKOJkk3Qvy2UIg4niNaAh8PALXYCNoQE5J igvbo1xhZdF6rELd2SOsb9Gcv/Ecu7J0+2qPxfe/VOfosdeKn+H0TX/id66Nf/r8mhqcaimRdary zSQNVyQGFfEdNccKzR3FUyRwO5djU4wbSE8Ni9yUHk/paUVbjgHjhPVZzPFsY5UzDjVGJdj+epm4 3l99Fulj19uFCDfWk4EYrTlJDgkypLWbSP7knuPbGOyOjzpzoAvv8XbwaKhwITvHtZSd9K4kwxHP xON5zRqMaljeFbzuEKO9H192ow4mw3XchdEF+PtTHVVubN6rsPK49VX33k08qIy4uFeX6gjyqjk9 RPt+XhrcRVfigbfqgvCCfWNUsg/fbCaQSuA2c7CbDPuR9yn1uSLmxPXgGU1T3W+0BFyGr1kK/fvD 9W16pow6m1i72yk0MiRXtqkk8d4B4ee623qqnS/UWcLaQ92P2rlBE6cl7gZO8qXKAiuZpNSZhMJ0 rdh9Hs2VT6U183jj8dW62qZTxpMffFK0Ic8qb3bReY6wX8lZtpyuDt0q67uMbx6hiUELdCPgKbbf zOIspofpxn2VNVfj1ZVl7L1JTG99KiSfKs0sZ7sm4xJVtJTOhKr5J9Xx+rugFA6i7KiJDMqHC1ab oO3XU3YLnYu5lVOy/8L7THh0UVLXq8VOIJhx19zCX9IbNPLKESwr/1pEz36DH3VJ3PHZiv85LWzf ja6jbw1ySAHCrdWcFOGhOiSlJvfKw78rJoNROP7HHUBxTLqnzuXZjSRmOTg6JQfoZ/rvNNsEXXtX fG2O00QdZ5X3eSMzyWihwI5TmSoeNiBn7+B30KG3V+zEs4bNDJcjM32eiPW3cmHRHAWKNzU2GtKs +ZbwImB6vGtIM4WMHOejiEf+7Iyq1pvbnGDsPpWj1AZM0GaInYQPD4RL7TNN1oZ7Qzco/Vq46nWh P4CKbsYbOHeC+4KLkibgyyho2wG5nO2uQDhi4UrXHpw6qB+Z7EFJ8A6XLREKFF/duqK/ZsqaQMII UNV3S1UGnLbaWNwBTC1s+J4Vf0iC22h6vAzQnVCdKCYpbwizQ357QOOztNM6OTAqogOQMHzr/Wur flHYn1MKfRcQCHL2cx53OKGVvyHUQX1F+5qrid4HetO4EinyEi2ael/hfVG/F6vLUQFrtAhyiJ8K lipQ2l7y4MCi5aQD5ci0PybG2C9GBtR6JFErIX5EWY4UdGBP2304SShn4043led2tfw0QqTV9r+w cca0fihQ0scjulzNTY4qwI95XJvu9i3yJjUtyokljpJy7ey09hk0hIKci3+YcnriYZGb2DcQRVxt l5aVhMgr+O6yieAw6N+XIXIo69P3IsAzq/ALX+l38JXvVnGLdm7smySJiLIognellPwege4tAU70 Mwi8Zvp5nMerAIfWmw1CP36H2RBu9pAS0z3ienrI+CPlBD0JMA+NFYyKvyykML+jriQB4OgB6Bww Xh7H7dkVFDF8dcTZR+zXP82ighpAqXL9tKV5JputFwIdhIlO2xRacHTPthjsH+NUwnd57+XXGQO3 h9LV1lvUKhQlcRLYqVNJy+FxispLErbE5Zl+/v5Tlva2vwJyAnqicIh5czdFLDJda5HBSesz9BE4 jJf4hKC/3rTdf5v495xdVvOFiSEz1boFWy1bBewyn4f1b3zOmUQ7T2E1kOMlvG6ahX3weoppUJvb A7yuJmTe6ZO4k0xR4SNsyuHgAYjlv/jAWpmqDQHNDhE8Zxd9hGoTjvJH2QNUmnOoHqMCWdoTAA59 q+M7iKCTePGXS8TtTF2KJIDRzbsfPEjgTVMFEcTuPvIWTAvOy45d9Fe2lwerrGI0FxQRjGaE+9fQ 0GXr6YC7w3Gebsg6QvwYG4TAe7GkSA0H8G6wFthH2oVcx/nebQyueGWnFS/iRIeFSOpP41VzkzzI a0xBQq7r85fgJoh3xNjyDt0/rN0g1g+heVuoCBW6Meu/r1QKrvnj9Fq6L8xbFdSxK7f3VrI1XsB2 KfFBxdAKlYHuyND9L27FHVKK/Ef1i6+wTy4yKpRc22zFVFXMan9P0FJ2z4qPQ73ghRX9pnLoy/s3 qB8S2jFKCgCu5iXtrag0f47GkiUI2gUdE76u+Qu/HOq5BtpO/51Mx8OQDwqAexZvXpZZWMfyMcJ2 SSqd16cCwPv9E/frPnBejJ9nekWzATkAamL15xam14WPyP4PD539DEKbGrvee+WTkpaFd2LM9831 pTqSEASi1/vnvMQq3vbFGjUyqK6cvO0ozFX2SNX4RbKPsD31M1inTIuj8SaKVkgcF2b9PnHBobw9 G9w4gXuFqOOmSIetm3V6Ij9ALbUepoOqM7gwWL4HrkkKey9Mx/sLNesrnmz9coft+fOBCFZ2nFBm 1b8KmXpG9Sx75J0KCSqIJKR62WbrU5x5/0EL0XkOjHsLIOg37S9G58n4a4Dz7o1yZuVjTCd/rk2S F3BUqroCT6xbsANUON4tIZDQ9syrttQ47X5Nqt4XohykeEQigIaXt6nbuB4yT58vrYYuZEoltU4o yy9QgYZGnX7YYx+8w9xgzJKnjDWZ8bYDtY36ewus0wyYQ2czJAAgJi7Xe8xlSxzIG5+v41UxALrO rqSAiTMPEMeZoDdIDJI5osOwmnBgc1Ejc3kyrSGyTWlPvTuPrhvm7JJpj6IDohgYzIDU6kbo9IBf x5u5lBSgVM1lR/6g22vrWDH2jREz9nUHbUZGN7VSLplPdRhwGfT3pzAX3/Orfsj/zFrvhmSWP3vC uZuYHU8EEYm8FAngbDUtdNTXrGf5QFV5hoknu5xZsFjqTfXK7+JeabaEjOpqMaxndtB1IJgq1sAD x19J5MXyJap4cvzvwEtzW/ORxH6OOheamaeNFuG/OqiHiUsTrsiIG1egGEOITZz/uJORqRiD2YCV N8oNlxPqvnyH5tB3Jqe2nZaOVmbf4ySvEy8+GWR3kIgk+Rx5A30pNKziGscl5GEbdnvnOvLDV/Dh R9OGCUunVO6PAw3RoAjAFib9kuLxDhOyT/V0AHJSzj2jhpM8TvQ/C8qwWgdt9Cn9MPlNLpY0W9xi uM6YO++2SOoehFWEFEXfxq02M+aogBqe7TJM2neROF4zhr6UPhnb7adKJlBMmNq5UBUqBZXI5c4G s3HuxbhxYn5lXS2yva7kZm5R/HVsc4mr2FpQX+URrZGX93h9kjfFjrc0LjqMItGX7JRgY1HDpjd8 9p2IKmfGUm0Gbocy/Z4Icspn7+dAs+pyRdVWPG8oFYuop+15MbScnx2EYoqCK+/N8+pUzhxdP5xj thKppOyLDGsYUngxtFLHze8iItVt7Aff6vFMEqBJbZeBBNIPzR6I25NTMnxwE67uIOqSEtGpi1vR yz+kASe2KpNK0Lc3/L/KeLNDq3tNNEQ3KibfwgeBI6/zw84sicMDIfAneSX5n6LaKrukoU3RgwVi MBZU3mULXpt8bEBBopVs2kKpjYtUgML+ZSHtkl8iUtQ7nYm+jxzzpOpI8H+WCmWb0dv0TRuxgo/l 12lvW1rZuaqD6imVlvu7sCCiZnyQhwZlFeiX+piLeu7uB4AuHccCxejX7uXImlQuvtw6HOqynAVE KmIaS1Olcy7SCxmpyeaBqdHzQnDR9q8ZsVejDFxzu5FvhOOBiJ1Ucybwy7zuX82GzLod0xCdHMw8 0RC1hwOOd5gIWmHYJbf5Um5/JHmuy6YPpuBNJ9kE/MzrlZBSn66/FJYhoWmR49Qn+gzNeQQf7i46 sBYlL1pO+JNR29OyIm/MLm3rdcRuH07A75wBsIaRJtwqktgr8RXiWHE85p2d4R78oDo8tLNnBGbl afdlPCiym3mQPh7ystboHJK6WU1VbSQPlzKsVaNyKy7eamXi1qINFoHd7/U6wxe1uy3UX4IBBJdU 30BJr1FksYOypcL5h/HkZnMQRLr5RV5O0rGTkolbnyqDsYXk3PQlIuixhLVcjyGZoBKG6cJgkNBH 3yzpxms05KxMXGK8YJBxuB2u3eYmYBEr7OR05IUBW2327UHsuVSOo8iR67zZ4JdFWk80v7YB7TUJ 2W7WI3lUR0ggEQp0zvj7wpJdHrejXPFDYRHNcLtfQZ4xuxJItf3aGLJKMgOjsY4NkfhJe7O8Ijym 1nSXxCRM7M+Mc9JyC1kDsgAZREyulgS3V6BDTyxb5QZuEbbOq/8WPTEP0YQEwISM4ZP3MhDyoPvR cQ+CyS4cuZMi5+5VVafXqetwapHriACQ57mWMOHnMAaPjX7JVgHWPripbkzcPTkqq4d8/4Zq9e7f Tv2oRhvDAVE++dni8TfLt5tknR6NopJpPRAInhPVcwa4HzxVdrBRJJ2GThmVeVkH9d4C5+AK5lQH ekEYpbpTT2HuVdRky0Bjg4W88yByh3YV1uFqTw2EqDbAep+upf448fUMyQbD7rajNg2K6aDrfbX0 DDYSEiqJ8/vSrbSDlFiZC1zQPIOEZ15uOO0FY59SZ27/MFimEZxNPQfzbsAdL3rbUvuYqgxGhLi1 VWff8O3ZjnjkVjS0TJo0w63y1MIpVcQkIAkXt49i/l8F6ROVrx8vNxpldnIajcJADsaqHLzWn37I 9wdVrtLvncq39KbdQVixfHO9H/FE5ePY9F9Z8Ibx32C7ksFJo7IVrwVCKpHmabKAXWyYyxOU8AxO M0SMthDIkhOAcNjDMhcmjbpAHezgKhmILBoSKVhT3DVJg75eVOnSURKfXIYsEHj5q5c0J0lYxuGJ /c4MVA0y3aP8gdsdovHTLlKlz+lBZD82OomgQ+Tr6t97Py1pymmXiyBrlvmYd8y1nRzzdrBfLCVS pCUs7kSJvjICfJB0NbZSsvhqCppwoft5vIP4AWlzJMlAG8XVgiwCsOqteCUyGZD/dJquKmTaOQ8m kx40SfkBBKfJ2jrSQ/MyQmm2FZWk0fJ9oMHwQh2QU5sfYbIzkYlwXp6JWgK3+b1iYHeGqGEIWKnZ ASLH0dQUgNWuIj7WB+vVdulaEKXYfaeCLJ9xfsXixRD9eWPlnMQd2/pfYpL++b3xtEfA3p2/90CF kz0E10H/NfpvcdXYyFr0l4Cb1Md53TiXz68iBfXL8XY1FmWFYlHzI7kWVzGR+uA+aOt6AVHZpS82 JHk3WGS3Yv22Rc3yJYGp1xx11PJ33Uj1RhwLC8Z3PrYyTyVoVC+r9Guz7onmjI7fQScHSHLqzRaC E81iuNpphRM5/SOpkXf7KFDZulRC6FHt6bJKVyqAwT8/nIq6yz5Fj7FsLDVIWnFMJFuQuJLwgD0N a9QlTdAeoP1i73PZ2c28XK/3nTiFNPnidQ1LOAjagr2Ooe4vB8Kd71aT3XSpXQy19QrzrhY43AJt hP1j8ljf7EmBD9CI5eblpr9igYef7JYmGt3kc9mgfi2+GBXCFwBW5PcnZQ8Z+kZchw4YbRRhcg7/ m6OK21mosWD+h+YbK7hfp22PUFwxNUOY0tJkVkL5S+eNShpyxNEF9sn2dkYclUuh2T8sNTGqm2+S k/Mr3ot1K6u1F4XJLWFFVyG6qzuudxQ7SMbwqfzfk8PpCvLWMWtXfBPwLy1/GNOvBzMPnpuyQ19B VyG+8nZNw3FVJOJPlIEclYB9CLtCJUbeLXBM5S3OJiNzDOkYR3T5v/VHMAyG9Fu6KcVSINyTZu+y pbR0zcbRZuAHUVR3/3HOBaUyATfWIWzGYK5vi+3+qK6xuN+WHntrv44UVbiiHtK0cKe+duLzrGp7 N6oeVpXRVhSJ6biczKWAe9GU3AsAwwh/d20Wb5q15R8KGLQ2xy9q+EjTHos+o0l4VTkQrsggrP36 Ojzh16qoKW0lSknVKb9Fz5zCMYMo5EIBKlqAlX35qrJOtLZ9qNc+J735mcIU4/RfPI+h+RoLqeki 3dU09/MYGPEBC1pjUFQYeIXe0jIePCBSxz/9r+wku/jIlRwrGuscODP4KGr2jazE3X5reJAbZOGH fKkNSBrw4wZ2NHse23h2+BUA2s2XYKB5QGFq5ScxmC/ylmUJTbPWbxmGMV1cda8kdK3VrY+nSMEJ MIYZ+1RdYPT07Y3ZAE7RPhenfGacYtKnQ+FoKaf3UNrE40eu/hbMtaPlkBtMDz+ivv7qPjMn4xC3 CENJZ1wLjGcxhaDCUsPo5w9DlrBHnZHhn+LbX5kBWTV5XgZPStYa5QFD4Fjrw0Oc8W1FAnwG8y2J bS6good0xGH+c2TvYM/srhIQ5Ose3EowRzVVezgcaDJeKsZi/a5+ahcpCp+l3TIgSUf/liagmLtE 9rUs+pPes316/D+8it4W1Lx5x+FbH+Q05gxwEHifadsXlXn20jFjSiBAPv7LCMQO5B5iXfj5cykS RoBi9t25U13TkM9eOc2iBC/Nn2iJGytLjoL+sE3gsYp6GMy2ZDF69+hvQaBQsFhzBoZHCkWClxXi EVPa9Tsyue2lt3wmk4Fg8FG1aRakQDO0wOZG0pfhNAVD2TXBgUbF3cBs+omUR87n23xJEaOP3P9w mqGfJo5lcgQ23JE9YbSMFuk64rIXPYoJFzPxyiGn6jiSySXaQpfYAIpXxv/5svjyXzlkL1Vct+iY ddjiUw32GtrGvSTDdfT+P/Y4NvnJy9g4S4C6c8z3d5wG+FjGeaIanX37eVRk0hagn6kB3dxdeYiJ klHfgre7r87ACF4VBeW5AM1azvEii0bUZ7S/mr4J9eFcto0PRvZ95gAZByoKFtNPWaFH/PxPTqGM hOI0fTn2/DNfZBotBqhw/lr4BZFNpFPVQFYmmvxTGugUQH5PnVAKCHxg3QEtPHI73lt4YLezCWkl BGCiHjB09uEHzrSaFNT5T5Zq82O9Dzqo8jlXLW565W/2oWHlmUXNBRGS1Gi098SUsAKW3Tng7FM/ qje3BVRT1fqmYF1ZZpCtYuO5OtGfHmqmaSD7cjTda8vbtj2oxRt1J7lXBrGECVxEgSvq08EXh6dP tnnL/ZZyfD4t6Iau9au7QmneLlq5wzHJH/BjF2YNwACfeETm4nRxcF6bqneCwTMFXTrEt24B3MoN 6bl52wHCzb/uqd60vLOQfPlH16uB5dltRdQ+dUxeuwis/lv5Yu5b7YqtZ9nTfXF2y0Mi0KhU6BoM fb5SuxnnJYl6VbKUe16qhcM0UX+bik0ie2/0+2L3by5s2yzcGqpCEfkIunUR2dne1hE8C8j0nGzT Xl+/EhaxhXiz6hdV1yC1HqtlTM8golB3AUPnFl3SvqyTlUgsAW22aCl5aLmyiYqUjA9NatU0Y985 6y1RsAEpx7K3zjIyyP/YPYC0XPpV+d/ZssONkUiOJYGY9Ztzaz1s9ewAzmmaYX+JBPNSa/TrXGkz K6XyB7tG/Mhde2y5ly+uYqNbyv343i+W90ewVGrGzists0SdTR5WJUHO4vMAP4iPibbvk2SZWx51 bN6aPANl6Q/xzJClz2IQcq34X6Nm0yUNK1nYwxGLvt1tds2SU6CdPxXHAkSkhbCrdtuuAUpE7S4T Wxf3h6ITBQ1zkK8h075YlUTJDsy6eFA3S6syEZr+HwkbyugQx7cJr11GqtxX2uhr123u7DqiCQsD //3wBXeMEBx6NHTfTsoLvh7md7hLRr7dmRNYimE9O13GOcGF5w9S7z2gLOF3mjDrMm0ptN9hoceV kuk+sMSANFJEkXKVSgedOhArLhT4oDol7i4ve1+czZ5VaGR+NTRKO/4TaVM4HLfuJ6cQEk81DVMJ RmXrN84yMmdDVHnT2cTnKnKYuCJcTpJ8nN3N5uq4pOxLetGw1N6B63r5031MsypFzocodKH8ayyt UrQ/KrWgM0T6x3zOiMmIU0eGYq/vOJYZKEWSIfB0ikCGyYImLmUqfgaw+9SjacgcJ/n2yw0LEchP aaJma8aPjc0GkKB9cNHyQc0RVBNJOcTKNwXgXsc9lfmmugjxjVT5HD7X/C27GrKmDs4CBZH57HtW m+st1xoKwOAnb5YRU9S3EWWjz1BuJITRumS2A4IFw4LlYuQi1qdqfh9afySAxZc2kAt5Axv9hh82 HXqbgYqHfBLKQFGG4KQtthFcCPHRmKV2wSR2DmAOUmLjIzmkbN00o+R5Mwxh6+YFRoamkzuJ19Sw jpTQ/A8u0mbzOaNbGsnW4KCyKaOCA2qnKbOYAwar/wZK6WoGagjzQHu346fwpjYYC+9Q5d31BIYl 7m1ezigxRlXVqDofPOoMC5dv9BFTfJahnmGrGLXitTsVXjT9A064R051NfyVOUvPK5/gt6oZDyx/ 77+7I45kgLfhhhq4cwVNPAUQy2APXxdaGP2zWlyHQJuykNM/5++MlzE38YFD4tmAiLUEh2kREiud a8Ff8ltCW9GHpmXS/3c0ibEey+8H/qkqT9r84SjnWNcYSmDyPHsrY1Y6ueRn1HDS5cvzRj53hcBW LaH/YFajoJOn2vmISV/EcDAXi3I2kfsvdHt1jU5c5/cDlyJsxF0gNaItCwxxRfslsmMAqB48ygCo Q86xH/uWhibsjWZYEVNlGYHLKGXtdc9oRiV0IkBz9tPkp8Ofib6AWlJtf3xvsO8wr1OARLPgiJVN C7kXo7HEs4eYKoo3ba6vGNEa7mjMwyF6q61uG6AXJS3mXW7mo1vLBTlEBmc3WXUPBHEVvo8Kejcx A3KMvoMIrDd+BZ8E97HNhJA76i2Zq809eyoXzF+431i0UjmnQhpCQJQtlMEFb/7C0oH2n6/3LJr/ 2m2/nLtGwaFrlVkiRRzkTtJqiFDCecOJcjQfWhMzAwIYODQ9b2rM+EjqdgvYmx/K1zideuXagEK2 Ffin3UT54GyC03YSMSTZ1Xg9VWWIeAlq/fx+Bbjchspq/QOrznAi/uyPZmDZuEDol4AVGiuLqMWB ZLguGiWgHqWytSJi58M3Hm5rYGtVg3rSihAb5V3qtQx6Rustn3hn4GZvJT/ds1b0+DTqKz/pQe1r x2pyx8RFyXkkWWpYpIWZ+uTU+MTJruWkqXHn1mHRh6Kkh+/da7aZyLS2R+I50Sdgc3L6Kx0SmCwX aVnk3DbOGu/yEDsvN8QOijrL4XrkXTp0JpCe1NTz1SDCp1fm2gchF4i3VWX7YlUaZnSA53SNp43/ 2DzrFPdqAeSOJuhS6yFjC/Q0Mt4DgzFAf90WtgS85TuusPdBeVYcxZ0mzkHKvpH0AUrLfZjZ5gWQ Q2QU3DkYNFDpER/QL+MVkP5nOoN6ajAbC/WCSwCkMyn2IwH8R35caWpqYrcBTZSADpNU65z5OY6/ 0M4ABbTyRUo12BGR8SDBXzmdXUfYs3HS4CjVqv3VjrS09YG6zH20mnCIXAElVccfGxoDF2R6od77 a4dsUXTQFe4Hde3G/mxVK1CCo3BwSWhX7oUdW3FdgHupFYnHSbInUErFvs+xHfrVLSHa2kY6jnf9 0uPVntSWAxBhz9sVgbqP9cDujPMbbievs5F0010C07Zn2uE3hfJsnGsofbe05I2r9DPrttRMpnmV JRMvl1Fjb1KyX74zurOGUkCztdek+gI7jA1C9ewQTXc5I6iaKm2iT/vW2j81xXJaJ+6pIsUIm5zd aT2QOE6gbXn68wpYZ3sWSc+2NiNGRn0SR13vj76tqP6c95omNv8Qtwa9MzevyLSg7k1ZqmYp0hcX u8s0lf4iDWSx27BO0O7aaTRtRcY8d8f+KoUhs63K5A/4/dw0pzgYyLqoRNomrzwBsLUgaziKt4a8 Rpy2X0HxhrbgGq4bDpVISUFoB/0X0aVCGHJmLXglxXiHB5jbcO3QTbHlxs5NoFbg+FvVNRp3MIca L5NyRgIEJ71Lc6w0xExxBka04ql4YJDWt+ZANo+nqa8IXlpdPVXb3O8kGr1ctQLhbDdjJ4H7Hl2z bZQhOtP2TqZod254S87PRkszifK5S1MihRuFjLCZKmr/v4EFiozxgw1AfyJX27m3X9a7/W+2vXTT w5KgUzCgAk9Ez+OjJT1PW02dkfi7j7HCz9Qk09Ys/sMzwBILJ9yD4sT0TJHRVzyDUQTvKyDVUFa9 o+DT/Jqr8jbMKnVqdlIa+N5r2/UDKz+72a/CHQroPOeV1NQw9tYOanGQOVWnMaZ8jem+Fxcv3RGJ MpxOTHTE1B/OZNZgQKj+RMyO+U+w30jVo/Qjv/34poq8kiLvQnsmgvYA2oS52Ibe3seEG267bOPZ AiSQYYhyzs+mQRxjQcsdBFKlQRdAAQ9vZyr2wpdlL47r6NvKXjdL5Ep4MdB0o48wdew2jhxkkK6P CiobD/nOJb9RHhWHj84CrId56SXaoYszC6mtxNwzl65XxZ+YIH5jlsYcqhiQAbDYw15IN4jPIY3O Gf39TLKD3q+DB8eQuuiIDv9aFQsgycmFB4ABg7BofbxHdlG8v+oRbv9gw6SKtNaVnh15E1uZVRwN zEWhJLHEQKP6pIdWtCHSrXSnrWbqPtuxNyC5dPmfsmuimnAtocbHgzRipFDobiePDgtdZxrH3jqO oWvJNWiyNK2mS5NnBgXnhD7+mE0rZsQkNYQG/Q5Zak0flDt+h4CwJtp+CeDOux+jD7F/CNV3JtFJ xFqiTcr7DxT7XmUcs29a+3Gp3O4TYx/cb7IQMULrK2QbNyfxfMc+M9odgY1zQt4VH5qu/HMVdPzL amQ7YvLEoWfTgUsnfyKFz0x6TY0n+hez7I3zLXZDZY7mEhTlNCv9MSvuf13WUmYKhvNb+DGAgLK3 a4tbba5VkH6mo9VIiwFptRe0AAbPIpdsROlPDBeOVMEDZmjriNJ65GMZbCRp0U+kDJ5Zlq5pkjxU KyyHXE/7DgUpwLVBv0C4rMhVa1MjFwTXqO7BLmWkUtHvIJUNWvgVPx1HSXVAAJGWWLCegVG1l+2/ eEb1ENrMjeS+/sTWBHmTGnGtTNK7GiBYbJU4Obyg1kkockO6kwILAqKehBz+UG3nCSewExCOxPUM IfLZlYbyotXtS+q0HvZMyZoUyL0zQwODVP8s5vxkFW/2omKAr7DhNY1KZVt/V/5UGsbwCkqfDgMQ KFilrxKNSirQe0tddz6U8X8xjdLzoCLDrdChbzoH/qqC+RKz4H2pbWQ/CcrRv+1cHK+sgEJdmXMt oijF2IVhyrgBCh7Gyzr8iid5YOdM6rcnIUJQv/yEzWf0iK6Ue5sH9sB/l+tZf8Y8dYO84x7oZo+B hE4MmphrBMK1gQp5KAphIfgtBhDIAlLs/9zqsbdABiCDTM0s4dCZC1tH+PoJe2TQTuzTf1vPI94e zJuTJmcN6cvhiAPIQ4WJLGENVXQSmBMUY8O6neL5u21OfzQaygpi0sAGHJ3/q17gXbIhI4sU5CaC rWsDHiF2+EYdg89Yd1G5T4JzQHg5DCKwNKV/ZGdLFr/nVF6OdE8tBMfokfufUedJLFUAjE5hUhom /qd1Igta6h+G7TtdoFQl3GeWEVE8a2mDBGZ4Bzhig6IXKLwfx2sAjjzJV96Xfh+JhrCd0aeTUSQA ZDkThYq+OEOT+nitv/QOndm7SqVZ/6Knqzypl7Xa8dPqJhAnme4WfKN00dKuo77rKchlmfWeexG2 jEIoZX+7L78MoOmxXmRE9q6iwBHGXVVqtWANuY/4AQTnpjIwkLmJ/eLTvHwySgqjbBRkTUhep10W LlS/plC8XBLRXHruzsYRFIAcoYDSRVAAeBPC2T6srHaYraIve6Z3B/5IiIqPBv6po4YDWrN+PPhe PI+boXMZzGFWRfwFnaNoAGRHTPbd6d/h+c1HZgiQkWsagTCClqMx8hEnYW7a5TDfwdaRsddZjK2I Vx0jWlioKSq+gp0Aj9JnecC3VTyItSIHcdhBkT25DgeIJ0FsekqONsumHIB8wfMFafqpHvnwbicS 4+M7u1Snn4BPhz4MClFCxf7X62gpU3I69Rc/rx8v/nBNWRUKXEeyQGiIRnIQgaQ2eIavjDhFi7AV chlG68NJ7/hRRWUsZt2ytkLjLvC7a21a/l0XGt8/3b+JVaColBYLsqgAQk0hRNqNs55+lPcMHFjv qdPt8a/5dv66fRla/VMGF7ilr2ABcQvgUnu3P85kDvv/OopVkxx6ANVUjdwd+Dg20Oj1iXUck5nv T0cmCzGT+twucvPnYeDMvqu+yvTFiAyRngfCQ/lamEUZ3Y1xh1fnoiJxXKQKM9la4cm6mpTGLyBL 1BVqDODo0SaFfeFPoZq+7zC2pfJaJC/IZBVXeL7yBu2QZPsrZzHuzxZknm6VX+OE9efIf8YTj0WD j9HMl2VMqvB0an886fpAAtq57DA1O/Wz7kO1DGCVq07c3T56odGMWUQABWn4BiDFcH03EOlGR18e UrLr10lOhWRBoPfDzHVtReEGSzG7gHSEJCu14TJah5mVYgf7iyf1XbS1/dIFp10teevq4tBmYp/J U3keXHmzhGsD2ghsfPrVMZhwqLc3ILrgNhouAUlRODvZUAwMZUFI/C9wSUu2Ws0pgnZ6u1AKREYq k40g0FAUn3hGc1sPKgzJWqU/K0Ax57TG4CGlnU4Nr1SNs9Rt51ig/iu6vL5w/3wXe+YN4LfXizBl Bi623azX/NjBNqI1WDj48xaRKtPWwmUe0TydceBFd4NGGNpC8o3P3sT/LtvaDFh+Jh1Xsi+Ksmi/ QigoGuL/ZqaVzZRGPF01nOlQ1DB+YxCgKsvgMZlzVNrjcHmXvR6M8IoqGTulVXAZukIajXx3Pa+5 EFolfVYZEcNzGXUyvaB+QFJe10ax0GHqtAtOuJonzQ6W7qLVCYZB8gtvEI8QG/opHQjnssPszNJc VNPkmbIZ2NGrS6XElUkx2RH3A2R9A3fz3eCAVPrNQgWUzj5CVsyYxcpzKBukMpZ6KL61NvRgHbpC s17P9OdFA8k4afXSnKibcGRuL5kqCXpWpuR+la0L56no9Fsfos0iunNSKgSRp7SEltvglvcbHBR0 TuCpYJdQhI64XJxncZlpK+e2XWJKbwDyPzzyck+sWwap8XQAWzv2+VqPqJV5n9BDWoXadEZ0r4Vt jSrrJD7E8nY9xN9Bk60oBVEZDGNp6yfpg5Fr536wwTnmMOvT/jilpqAzyXA/V4+x+leqF7hGtXdU eCUVpkrFbGvqZf4/wXlmqueUXUjr+TiXcBsvbaaZq6dA1gDIh4TPNvHFOJ12jAA5WyM5bU9/N68U qr/4Gq8W3MwVjbt1E1T8Ia7MDYDFk5fB4gc0lKb+/omlHYo1Gr0eByahcVwuYqiWL0QG17ykCqUL 7v1Kdsm3p0vqKON3CVs2utij9o+WH4XogL7unbDiPJL6o6tkd1ZkYxlr7vqF9Znw8AXYmbw4nLdq 6K5s8RejfVRl9VMfcxZXbz8cA6T4HCYrkjjMjUHt4Kf4DzNNmzSSRnLGkn0IrHxJY5YukodDTq/T qDdi+RcQ3jdpZNXNb+moZDXrnhrLwNcqcTL3ipI9vJZq0+4+Nj6RyxJUYMLP1E902yIaio81S9ym KcHvlov5EokXKBZwZpFyUgmND4dYrxvL4EUhI77bSoqWwJ+DTn3x8PU6LINnWyaEQgtem3jlxcaf o4R2np7TqM7feiaQm20+7tTxTVdWXIhRYRPsHuz9OJdVaIrCuJeOrTaLAbKXkrSQzez8t30Iku2Y KAOUYX4tB0Gk8EEinPAGA/LORcat+JKveEP0Aq9/zqtz5HauTc27A2K4Gn8MgFMLihBz07B89kTF rWVUYhIyPG+EKm6ho/tshzjGT+S/Uczf3PIHNMu/f0BmwBzWUvWaq7OM0f0ZBshhv0dPuZs/kiwo y/HZ9R0KUcGgjxWvRxdhGwJhQF/UCGgoOhxlgot6as8FkfqPhbWXDZe844r69nH3HUDqO0vcq40N P5ZIdLrmh9jSCFLX8wpxY1GT/YC0hUNazHQW9u/lrACjHoXq4SicrYxC3NKfZ/RVxMiry3M0J28S gHiT/WSqPi0AgTBsKBdLn7RI3bxUuvphytJpqFt/OP0vmK/obQQW7CNNb0ZqQv6N+gEFw7as+FLi F9oufc1lsxyw08ubjYFZnZGDOaTMccVsc2Y4XFPWG6Ksh5a2VTQKZD2pzDWnq+DuvkTMLS+rsDSM /P2ViCl8iDVx5+n5oafjG8makAs1F+An5J37Zi8BsV1Ua/o1wgqqDgxM23xJRy0aV1uyO3F5qHdv v8u/3r7TbKq2kg++XKX4q5AX6GbGXi3hVPo7Bd5fXtKukAGPyjHBpUjByLFjj/43eImWzkOi4hq0 S0YzEwXWonLrHz3mnkcsrmDcsvQBdMVPbntEpuwMGMK+vKm29uKO9lXrKJyuQGyk4oK+9xnHOkTR +q0GTjO3eg/EgeGVQfajxsWo7DcjUqldr4f0Iu9NQZWOfMU4eB+3jN0RQBETkXRqlcHbRs7hNt1m 7vl8JsRgSZRmT3zQW6S47ehvP7Lo6VOxpplIJuG8w0x7da0Ceygw4cPVZsYo4BGvF1GTTDFs0Sqj IwBlXNLH+xjjaNlxAB166ei7506s53DSaLoHYf+3CE8z9MMpXwH4B7n8lpeszVW2SGGVKqg6ppZX KCt26Z1iuoCSIe2FI9OtPhD+vuzpE4TF1WFE3AzxvglxurXPSS+wZzWNSWgj3nyuUMYTV31N0yJH /oVxXekyBThecwaoUek025mDyaRIfpqXR0cC7Y0xINsbOWSiIPIu0OYU/4mkheStPMPF9GjB4AkU 5IXnm/5I8c8RrJ5v+Y5Sj7EdZO8/h2PNYs+E5a4ARvUUEXgPE6gsu42SutPW9g74Evz0/N9aff9T dih+UeqSzqvz9tZL0JbAeX0a62Xtomyl01v7uF3QEf0LeupR7A9LON9F4VpmdJgOfIJlcRVRsOG7 oUj/e/eMRhomJA+Frq8DZJGJMVuthiqBEZ1vJCPL0XUi15chEdZI7jTNJt5vjULidTD62x0Pk9oi v+KVkNG2XLhb5+MEaxeTUc0dZDH9TrWVLVXa0K/c6kZf9B9zc9FikGUgnkVr+64tI2evbYxuU8sj VnvGkCJkVfDSZEgxblXTQ5CJbafpF3QOotlsWzOjCg3h4KCAXCl0fixxdbSHhPRBCQ9qV7fwd16a 32mNEQphyIwJSjG7KIn/+cCkQYJ+S4uk8Ccv4LE90PcTvKPreeRBgj/Ykc+KkZQdOBv5LrVXFltm Gc7fmO2TUTADfeL4vV7f3Xyo9z3d9NzhQEu7FB91X7LT064q0J6NDpHUechrAPcewYqhwVYWLStr /kuCceIS2NRPmFUSDiPp5JM5WoCTDtG+XuKOygdYyj2jj/QXUtK3ZrY7gaxUAedItJV+JRiF0AII Ym2PJ+vTq63QfZcAs4FOnhoH9Z336X+dwEvawooa8ubovqR5oIJ38mo2TPfuQ+ZLq2ZcbWf+H+j9 h6+B6NIxdP9VGXm5cWjc36oAsFHVFCEi7Iv7Uw8pB3IdNkYsTifoJ0s55A4/S/sIq15LhCluXMO6 Y6cNpd3XgEYYqUp9tKmgu8dadUi0bxAWHdTDemlishqKyBC8zd7UPgOBX0uf86D9iWYfyljeqpVl 2zwbhx+TbbyewSphkUzkF9rkPOq/6SdutQ4r/hl6A7unKMWpXmy4RmFMyoWpeT1YQHc6DBsMhXFx 8g080On9+PdPKVdjZI4bYOUtJu3Izp2CRFaI7WgJEhLSjqkoD9TBneqYx5AHiHThj/jNjUjuS9bJ iBZ8ykwKzJc28xPb4DRm+1r8NeSKbyq4BIvbk7odC6eUFRpHdjCx/4irvEU/yfPmIeC44UBxqg88 53JXwIi4FsxA02M1X0mIQ/z1zQfRpvgvMcjWYYxmKYQI/mConUYe5vNiwNBcxH3ioc6soczxcHna bfqyNXjD2FUcC32EpgwyDUQUZhbcdy91vq9RbES4jDFV5HQvwalSSTbVEfsJramCqdbnr9oXCLdh W2SxdneRQbEGlLXYWrO/wc3gjGYqt7oRe5v/37wJ4Mq9wJnolPYuAlMAd2UasEX4dQFsJZeZTNsI so5hW2o1d6emgq78u6QCceN2aS8Gt6dyydnQXEE6o+r8vVAmc2jqKzj4gak1gq5UBPBGbuXNP4sl g5eoRBQ3ITmevzEnuGnjXdny51FQiLy9JaASkDz9U2ThXjYNPUA/jsaoZUT9Hn8YlpvJ961I3z+4 hmE4ktNSlDnY2CEW2FNO3pYRK8/9cPeAIOp407G5PA7lCi/uBxCtc9LysO9VWa8lQC3xcdyUu2wv Ib7Q9V0L1BYNVz7JBiSWv0ESMuZdn66fUXsvjffnwj057w2Zy46M1MN7RcdR85hOS8svDKhQ8a3L GcIHzIoxB1pBXcgc0YO/Nu5bLmQXJmQO58L4ZIWUIA8hd82Tn9MJs9wqa0CUP1G+D7xaBc6l/C/K CGRd+vjKl2IEOWpVDHVO8SwUcdJWljyINvQK0XSr/jw++W4ZMbWKJ7s9iMrConQ3dgpbQ06lvaHx NGZuupzn+lBQ5no/QknOXNeBaTTqAodoXZ2Ryv8H/HTC/6ADwY7DcZAoMioRvL9EnRHC7GGwjivv em1A0cMPWWaG9pP2tsmCRixncEEzI4m9O6ToRjlZ1Z7NiIYZg+ThyK5eYh4rf09vV8rVAwRsV4M/ s+PuGFYTd6zc7huiiWOoRVte1IYRaqVkVJyxZfgMcVODRKm7taKKdSbyrdCtTUm+fg2pN2+3T3/O RkZRUT1l8WGYA56ZzUq6cAGmBbgh0rWC769lxvqtaxR5ytzcr1JJBbCvjIiHNijy7yncfmy+V7wU 2yZwfTFRuIgXPkyk66O8+WcQMb3nMogCKK+DdLjbS2tdWYX8iliNjfDhBwK5xVhR7N12FOAzSwMO VSI4+4BrmOe1lFt1HQq6NxRSvpIkfDfmP9K+FUnOLHiFdFh14ecsXzMBR2iPEX1OQHPJeSTIxF9Q 35kOZn60+kxsn1CETf5SzEc15P0hwEQLX/cUWjSrSrStMsFpSuz/roFueZHgVniEmLXJt3JQsUjZ pVQtcas8PDvof64aJkWVVb5vlwkJaPIoJUdNTXXRjmTF9gMmyZk21WO8QjrXbjFLWtysNuLi3j1G 9MZjwl1oofdjs2iUoJT/Tv5JjHMZupdSda5GsAaaIJqACqTc8Pua0W7IFyiZHQh3plVoLTK5jozn vqKoEjQYmWcomZc6AwIlyuAisur5b7nh9CXOVbnfwhK8mPZS3zn1Uin6WizxdFVYgxrg6m0NoPkN u5anF7vBYgxKSR9i5KX5VFsRahWKck6LI8Jbfxk2wlG3960LgyAC7adm+Iwkf7KQJ8wnwva75FPq W/w9mAt7/zdUujcf3vF2ANqdf+wNcH11pybXUtAnYxTPoFvgaERmXSmBHtPZm0uRtXSckwmBxw5q f2WwQiAsWMxIneeEpXAogmTevngZbAbMK8SCMoNmfao9KTCSoYLel9wkx+SuNFuTTpDdMkBhQVNy vKJA7QKBxwwYkw5HEUmOpt94FKz+0F42ZxJhKvIhv2zoi4+kXuXK5TDXMQuuexfoYIOpZ+BKfXyQ 7N/b8a5W7Tlsgmw9xPphm2yk36rQn+b9D6iAUs0vJzPKmk8AusXQ//Z21xV4SNmzTQ6P+vvGBGi5 05L8ZaSqgfL30hqcNbhKFi8OMf9UGrFPr25AQPfXYgOSwj4RJ8Mowi4J6JHTh9/K8Zpwu2vgY4nK 7L0MUkVR3aFwazDzhPAaXFGWFjjjWnIKxvi972zD7y7bLhS42yN80sbW2Eet5sFjSobR0OYnvffe AdHvTM7S3Ie7idl1hk0FsFN+TjapqiYRN/9ILjTa5z8xV+Gkiqr5UO9MT2pa0rG4r+SmOZJ/0f2X Ww4BeOKXBVNH3w5HqLz8Eyi5HxzKY+WSqXziCqvXyvvSMw3KNE0BA8ra7AYdXlfF0M24C/tJKiB2 apq0imaNvVsyk2nV/acb3GkxKVvHRjPmgoByNSfPT4YVWBuKPXFRfqOIdc8HQvHXg/+WZrpFkprX d24Z2wAXJkbyWzeLzs4U/k5gYquh4IyU4xdixCWxXKbLV5JLMwatBonrrKbHW8MdFHrKlAxSsIh3 JdOdCciGElsYwAIC8O4s8d4TUlSbJiSUzMFwzC/JUHzUSQRkkBmCtGYykCf9IN50gQvRXMPpKhfs iqJT9r8WC+AMRVZ+HLj1xpHYO9/gjQTt0PCXfcCuIe3q2fdH43TQXiWwNMU0P9obhq9RrHx/NXYO /jSVk38YO14Z7Mq0DGeorYWapYGqvqSwammo7o58qWuKVP0SCb3t6YNUvP0KQY1kBgMMQeryvL6m XsvucMMqclH3MFJaexg1/wFHixpr6OqcJpn1samLN2Oz8vYomiB81OB/LHp12AIP7g9asLJM5XN9 s854ToPdF4yILtLBOK0CT4hMzoOGrOc0KRvG1LJc+VO5LZh7/4NJsibgJcdahWYefhiuRJr2jmyJ l1ciEPznu4AxPFtWcuD2oqF4y1fw1KwR70iZeWGyoz3ODSTwHrds1LKU6W3Y2QdBVL3/w43wGORk lo+IOWaX9tdIWY3owDm848Q9xPAJGy9CTTg78pgxwt1hstTHk4kWTqTDC+PJB4DLfJ6OTr69xAUH iXaGHrcRpT4peaDNB3KmIKrwY5wu3sAhO4yZN09mSix/KE5vmh5OKYsDogUvgvDAJIlDuRHlhLzF QcKPu8i1UpEJojZ3zoNAdg0nO2IbkjdafWSlOSonAMngkKWdnPYYe5kNPpmEAsMu32h3pJZHXX+k TfEIhaPA9MOui54doeHONxeP6hKNx6tleTkmWXFEQqthuLGt/pUl/ZvDHPtir0Je4uRLhP+nI6lk uIrvZf12tRpdCAWt4RtUFj5w2OpzAH6BtzgbYQGFiUeywDhCSs28hS+bfX1kE9M8c7P7wgfp0jiN ekP+bu+rDlHKBOfCYZKIlSjR5EUglQzfDHUTkenlLPWeZNWebSKDyv6LoRMrz7VxFpY+wSNbqq4c AKSmjIicjHL53kHTTcyB5Cx/4O+4Yq7vJD0B42+WHMuggmfWm+bVfAm6x2CkbTzOfazT8vsf+5Z5 TQ/bh64d+we6n7gO6k/otVDnOa71g+GBkVYlJAjMsZCyb14DuSUa6miE8oCSfO3XWXKa12qONPmq jiYWaB4JBczRLEC2VKLJvmOcUEUx7ujVcEKLZK7wfk4+bfWtQtzwAWwOexLl8zFCz/of3/zev1Ug YzkjlvSz/AqvCF/DImTBfKe3HOU3Gw+ww0GpNCO5O6C5ReC5NIbWoNYQCDmW+FrrjKxnB7JgHro0 1D/xA+5l2NzUqrCvkjyBD93qezWoIWuK2PmQEqqKjddcPlIGUXjGvfUO3EhXOvfakt7zW8HYZTdE aajA9Tx0AuItwCQC0SeS7Zuoib+zAsah++i2XTQUobKxivdLgT4CJ6VJWWiwTCLROZQClcCPTv1W h+cPibVlWN6de9evK+Ol027xRWOHj9lDSj66+QzYov4hfKg1diprBPyLbAoip2Qf5Py3rrsL5Kzg SHg+E452XkSiVK9eywnH43iE3+2ST/SGWxrma+BWCeRNfD1bhSWtEmE3cXUk2YWfnFSlkEyvjnKb pYjQYweNensr2fuptDd0gr2wnVEo8/OnmpTzI/aSr4SHzbY5fZnDW8kfu9hfOgiSNP0cVmPojo+G vtca2inSN3K2hOk5vjN3PWxMyq+fXqekUE1mpEQeILDLGVscVeoJwiU6icrEjSEOheIOiC2G0Dqb N2KZWYFfNWaSKgBrkrpncqhAMxNmxOvE4E9zBgiLJZMb+mmjf1vIOKvY53UoizR0FG0JLQ8zamUf ro6nF21wn8QI3l8u3vIkYsmLzjjSIks3SpY4TiD2C39UkCw6rAlWZI7IsAEnyQoVcXfiCLfCHeQx SCJwNQ+bwv+p9JQsI8UTq7VJrbNVIqzl5ReStyBDScKjy8IWixPePaQi4D7L0LiZgw75sYpQ73oS SDE0a4QIfkPusikF7yzSo2g7tIjZwMiBJp37eoj9OCzkSayn0Y2Td3EPd5f3GizXV674ryKnZtX5 PqeGOenAGfUlOchjkHrO/sbAzeO7JBS3Fm0dL3gnSfsAoA2e3bmWZJwCcCIvSqf+vedMBGieioLy 07axBScnUcOSpNwRMTgq7qST+0RFrT0GOHGlIFrD1XHrEQRMdMd1ksBkmALyfOqPl4uAB1sdg2eJ Bc9w5h4+2PPQmoPRsMokSMQGmB9OdeIrwq+N2ldFOnlU5Hoc5mvme3vMwvJPu0UFGxesGNmqoQAR OShamp4AUEG6VBLVFfGma1Dma8hjIoMKP2PY4RAqqVRVG7jCcy+2KIXY95pC+w0aqOeSz3jn5GkP npDdw2auCGClbV1ES735K0iWcFB3wZ/K02WfzJUjnDSRC7gy1HHFJAXT2BwgtISa8VESCS+GY0OM JQ04+xEuWbocMVbt3b1Mjb4lGG16QUPMjKNfv+Y1rF6/qz0yZYhwTNqMKVtByAbZgN8bjkDz4lSD fnQ/j9tWPuz+HveVIbGKxCF2QQh25Ps0J4b+PJup/zCPm7lI7LBidV5vKLEkkdrNVdXFzpwYTG0E YzqEfZvOEP+8cJm6Da5WCztwFlD0O6XJqMXkOjy+qt0h55XxOhZ4o/E0ZDzunKf7Sa9uKZQPWyA0 +bhBl1gqN77cNwu76iv1+BR25oNW0mFIChx7lHK+xcjmAn/dPOU1D8d0iMGbJlvA8nib4PfNfhsl s4hIAzKX6pKBf2WDXGKhUKHGF2qJorf5WtNDRGre0TxIi/5dy7JpOSsIWuZZuGYT9ty414Uc0I8h R3j6uoa+PQkBGipDQ4QcKOWWz38dFKQwEUS938IxxE4LzeVthzFtYdbRmcv7K09Sf1USH1wcFSQ2 DggkgcK5hAq5tqn72wEfjtz0sq22R5nJPCSKSJy/wZw3opC228PXDwZ4pNAXnLiLCWr1BWVSJ9DS nE/T5lvHDzbWF/8UOIX08tv3d2e9tCd3J2sBl1V6VhcQUzrEqftnfzw+WlLabzW1WrwKAm83LwN5 HfNyqac6SnDvx3uOFTtFgHffoLALcRgFDKtCE3CZnQs3qlkSBHzHJYJfY6RViC0NkJBkHUmMBKi0 V2eVTwydExNJPb1+G2BuzuvQORXxnJ3ELayXvlTEoXF32Huy/bnmk3p9t52FtqkW8U3Z1E1uuEj6 hyD4d1l5ioO3rUWX7oeuONluL0JuCAbu2Zk2wEPW3jAVXcAfF3esPc0MGn2pOQb51gRkK+M4UFrp UjVWde4udVtlqidn/n+fCx9G7YChKYAK5EhKrKCOFKJoih0tfNOQGv+iA4fJhuLlEa8KlQ5bPuwC fVUZJqAfyNubRx2u7YfJM7QjvcZE1xKeQAH7czyjKRPzQcgo6qKrDpCqOE/AkaLLZV3gEYXeRWbt AWSOnM6d9Nu3MjCEUGE575UbUUpdG0wNBT0GiIIv87pOlvojvCTzPC1bHXfzd4xHNBWgdJjvSdPx xHSu1Gh/BS2IJIEBiBNuy7ms4CWUxJiuGr/OXhNjJQaAlCzQwKVaCyb6TawZgQYh0kUvIgnHWtkZ P/wXDHC5nDO0YjgD/R3p5T7tyF2WaYWHhwGDQPy4nxpVJUyL0wOUct/TVBODbWvsV9bg+X8puStK snchtpq5kqnuS4JyCSqk4FNlgpaGX0IH7dMe6M/efn9n24ygnmEqY5KJ87fXknTQpYFXAl+2jCV6 LdAlslegfwsp0XoqC/h38X8XLzDlebDBo+nGY/Dfw2Ev4VOxQYv3zKhHqE3WnkAXTeO0Sl/U4n9S vI7Jo8nYJ2HI9P6aA8OS9FKe7ZhXVzG7pOlrTVjELgAjUaz9K3ge9wFmW7l5Z2BydOVum3apbepY xoxP7XaqggOHrVJGnbpVyKoKi2gx6HhE9cPQOP2ATcfj2C1u1eaB8t9GqG3KSdUKuN6Hgk/Zxm7b bHuOnWjlPDDYPs91Bgc6LrNCANOSSwjpIz1LKWumx9EJxqJBWGpn1cLtU8ztHymVDZvu2GWJ6XkN zuiDcc95eksszBpIYqNyKetk9BD80sSWLlEX993iqvwlQZPlksqbZea/PbRtvCVdiRGXZ7vjZfzI 1hqX9pCHJL+/ps0BQuGpx+bJFgU+FlQ23+G+F8fY2qZxowUTGEmmPJ4rmK1FlVO+i50Umz4j2s6f Z/6xA+MuEoZNBuAJ+AtuSxongLQxVEU1A/xwGfrh9zwCI1hu9FxW04toAUDQrgheBRiOu6QIeZvS /5lRFwJf9DHT+vQEtkX6msPhukBu7LXxAK075n06NwKGpFH4Gzml1tYeIIlMQPeW42Jw/hJm7hpH +B5EMz9RR2VVm71EMsBPAIdcSpp9ZK1cLG2F4Zcf8UXhqdzez6SKltFUyUfImvRNJIc3TxrippNF 2+N3Wp3Di/TrAWGvuI/cFTvO6ySOKzhCJiDt2mGvfgGeyu9n/XrYJdqHRMTxxIpt1qeDlz9xz/Tz TB+QNECr+nOss2KpNFtsEzlm/P6uO2bw9KspJYB7eJNF9M1O8UNSsmIFE35VGjdHopDzJKaFtUYB 3SJ90O/wzNyyGe2GsnIN39gJYuys6x+TCkZ34ieSSoXri5KMX1RIoUa6/Hotc1b1jo7P1KmX/1FZ QYrdOkuDfbJqtxZu8dXvfTPrU9PZa/dfr8edY5uR0hImu2EGvqnjLJARV80h1HZ1+5Yrd5WdvskN Ch6MG7ZV/y4UCZOf/T8NpIDGz9eZiajj1/uAeRiDf186bIC3folGiTAYmjr+kde9sD+OFRqAmXBQ zRf1qDuLbBquXn+c3USGSHz66+Wj5sY6OKZWGLVrZlPoohmXEisfgQ78uI8Dx5XtoSe2RXNQ+vNC 2j1mgTROhbIIHUvPAJuGvzJdWVz9q2l0zzQbCc25mCGPcG95yvRhenhZ9RDxjJJJHAdJk+5/hLL0 ArClXadtWQBuMHazLCKYuXI4T/Zr+6hhzlVCuQRYBKWeZDJMzCHyB2XeEQL++wc6FlwOZ3RJ9j6T 5YeZStBCUWMGeq0Ie3TogjvU1n6Jw7q5XkmNXyfHe1FHyM3xB5A8+aTvHQWzBNvBlrDRT3GIdDIY 2f+N+C4N4HuWKt51qcQRp18DwhmXYS13MxhbAC72QfyMFBWEYHlw1YF2gqpv9UsguuY9RpplePee 3z6vs66Aqn8LOcWxaKKl7sh8S8TvHzlRRFXMfjedQMkgsFfNI5WJU0XYN7nRxHpLDq72pZCErsyW oVTm1xD9Aw8hn9GURsTLEN70bcKlox0q/IEak7Axjhk5szmt28U2GYgqORUyMOWuPy5/Esm6lQSk 4Y//bwcC2v0Y+bI9Uu6xvo5YUKSHjxspFyoloaHPavtfh91qgDPzzwy+7uDgTbvH7PDmzDBEVNwK wVSSNDpWsBiCmqu/9MWhkqg/7RGvMVovODpyCxINCCUeY5a3n60vc5GeWbixvMCND54yvf6oyng+ vviDHBlx2SnRF6VqYy71LjgFXJjQR4m2NWbXTU0jkgcYZvSVi98XH/oovQLFIpZ/pMsdRJ6B+b6o XaN9EYsWvwB6SKt9jw/mBTN0Gi0+UIkwf21tTLv0InTOU2+bP4uswbG3ISydQdltNh5p3XqHEJx5 bmOBp6dpJLVQbLqdMqaBzw7UmPayzC3zVWjudfHVeEz0izCZOTlZWehUfOTd4kBLb7u+kRA5Hfn+ nS1YHpGNV9/cH7MnxsMMzQOlgM1mi+ll1Jk8dGoZz1r/vlRzdzCjSDZueA7SqGtDXjrCDnzdohS8 pBRGWaG4MGiO0gny+j6eag2JKDqmsvzNuGPUVhksiu5pAd+j5habX0SZ2EPwQpKB6pInvXhcaUxu KMo+VprkoM5FxjxMQw57tsryFAMimp+quQeV97voZVBnGex83TctITGbvXLxtRXUcU3esrigPUEw PYxJ5qpi+GP8Kdmx7w1YSvs/W9pJI4Sx2SoGenHMcXhMZx2Z8dGw4nCGWlqX6bS2tC+caiuci758 nAf+RcXgwV/aAyjlz5RkMWS6RxIprJIS4O7xzb9UttWVd57vxozbfnEJeZ1W8U9Fl19/StZL603S oDUKp2CInaU7s2Pk+HLc4nMUYaq1VTk+R0X1YM4JehfPEzcrlSo/RLDbxRrSXn8xLhejVSyGbCoK CuJYSHP5fVY9tYPeLGpfLV4SgPNioQOyYbs6Hae9MhZ34GydJeG00fN4maFiAxGF66WCc9N8FbnC VgFjKMwdu774WnYKAauZEOxQ4z/OzvkxLmeJn0cGtg3lGjvvLJb1Z1CS8l0zOIr234CGKBR+3Oyi bDYyHdjtGYTIJAHOCpw3XeYwjAY1owEWWr0lGAtuadyaj9g+2096zLIbNDXcBexhnEehGoLx64Cs BaIoupOQbpyBxY3OxkHAP5jXRimj3RdErm8yyguHuz2GjqVVKW19bOv4Pc9En6984HVbhJTG0HdZ 0GU85lPoLkSXWSmYQ68tIRBcn+cnAwAlSInO7qPJwIasbDr5VpI/mHQfszGaaJLdyZhFqs+C9H5Y hn3UDqbMMeKNgNR6AMt2e/q5giSPJZFq9tuCPg2L/2rjQ5TAntDsAD6wrvIEt1B3c6iYHdypqEXq GJta1lM4dTTzMuh9PkWKxIbbU+UwaduLIYQYEd7voYB96vi+N3jBVL7hVcit1/KKILWHRPLMALPS c8agW12kYgEW9THo2phZHj5Lg7Kvo4kG4iTVJSaR5AFHmagsRFWsul5LsKQ4O1ay4GbJHXJpNoTh 5BWV7jI24ypJfdPkaVtFZr5uqE+d909g5dkXnPFoM4gxeqIt25VNPnG4C0oHWIlDdA0G+0lgdaBD HDZevF9GTxPtW7JTw8dm1gMeIs1OhBtDUDsp20vC32lPdfncbUWIQYisNmtIGbFty7/TolLXWbZa B7cMNCk6SST3rLX7DQG8FF+O0SGXVTUZqw9ofLXStH2a9wQ/6X2ThJCrBhPJZsb8370VrQYb8l+6 foNu6Zk3XAU+n6tpQEEb1AeXs/LEvyfsz8rx8gvafm/hlvlztxfBCGZmzyE5Eh7T/3Qc8OGX/jDD wez3TVk0mInTQEaUBorVclzHEWanJwONLij9M4SdNB+1prmkmLboKiHObQka3DMkeCfJJKOm076o D7oOQOlU/TD+3FxQts8CGtt7NoLLepEC38xSqBv+K1KiO2hrmlfbTGDF16mdQIlzaeSc67oi6uxr 65BBZ7FcPIK4T/qWShunLocHWLHOZfMsufy1NLYyaQXUf1kT3nvcdtv8pYOOpCXdhJLrOwPZ7uG8 sb/tYfRstDBnRMpAhTffFwO0BMCwwuX38gX/lFEES45d52qUVN2aU1uGXKikVS8aPyCBGf7QVriq Crfj61YbETO+6QG5Yn+LHRD0DxVb1ivcbAjtoTpFcaL/9VCN2BrNQurk9La48PHfB/22JObBBjCH cexh5V2ykKLi+PYQrHG1fq2ViJo0SCs9moPU1oS9ssapJe4CzvLSP7UWYLZUrAIcztcdXPXl6AjW 4yrnCie9pWfa04dx6WG2Lz3yAF9yDK6djHqPTGq7VNH8Iz0nD+zp40Ns1aT1F/kKZptOQ5PtL5Ex w0/cpqptndHN+6uP67DkcFvBdlZVPy+ZDUzWG3FfUkh0AvywSVCeOf0SQEyZoxUd+s1NIsOMWDD6 BbfuyQZPbwPvkQzovxVK3+nV3PrW8gq0oFC66Yl1y1VvE8svAkpBqn7ivkGFgdYihZWBN9X4YpG0 qDsyL4gBfPTRbP9CyL2q/jKpZ4uMhzQ/cX/UMDOpT51leezYy2zrTX5h0AtCvoyLrsMv42FDtpQY sBIWE4dUC+iyoHvrGFeJ3fnQzyPCSt0OY+/ApiTIy7CG3gdbJ6m8GqnfzTHWGVqZ9KBSi+YVEjRW G/esTZZxa2n7c+dsN8GbhoR6ty5LsKR6z+Xj0lZ8Jmd2e4pphsV/JalcwLHgTacYNupMLWIdlV6J PuxViYeQX7xUOJapOgnxPEm6VSqJmSzp6kBRiwORsNzZZif7I4hPF3+pRRBV8YrkbwV/1UPtAVnp IvLBsay/Wrcnk8GyXcNjMvyF6e2J/OffgqW2j68G0XW4mVEQafU33dkUREURcMK9dIT72IUYmpy9 teAxzckrrh8oIoNMbe1e6c1giby6AXhQIzNqll+c+Oiu2P/jEB0f4DajHFPPpVxJ0dAEp/TbJKgT LkfxK7fKT3fkTEcCj9SNNaz+ErRSwzc5ZCEcRl5xlWUM0p15aUv0yR0qSdOCBj/XsWD9yNfd4LCy J986H7hXcCg9CwNPbXwI+O6gkc8dBNflyGgN9iB9ZDpg/8j9egdYvxxrzP3RKkW6JoIxg3t+OQrf GQpy59lqdtkFlLMfF/R129tHlmn8+b03oWuFllRrZLzA/CQ2UsvUzQYO5ndOjD93agFA+7gPXQsI Q4Jysjm+o8H5e2PdlNQtLCwy6KqPJ34ihrH8PM9NeSn/6id8f8AMok66d8HOH0qV2MuCVNz2fPs7 0abpO3XH/Vk+B8qIPkfZHX114xoiSZ+BLIZqdpvzuhfoQHdTC/HNygxGb1GX0ZRK3jc4e8JP0fZX HDAZwJhXnztRKXFl33lvjoRJjaa7IghkSahl47Zb3JUgIVXiqIM/+6ehlrFqxICykQvoO2cSxHbQ z9lODjGQODGsEHnHur9XBSKRPbhiQgs5tCpZflOPfKGgDOJQ99PmBUddV/HzZoMs6llEIJLzp6uE nHHTasGj1IdED/hwB7vagtXwdVsRr64sfSujhU8tIgmTmoLYSbDJitcCLPcovEtDZ+GZTmYtDqGS iIT/i/oPk51Ck3JA2mV2i0qGEBTOr4w0nnVgKF/2s41P5AuyaNPVYJPxlNGlMoi0h5BLBRWfddad 5KK2gqCn5Xe+yCLycnxG5pqmubZ4wmgDoHeiYaBADTGiRzRM6zh1IIlzIRNcu8tIJKB17Im99QXc rvoyaPsMlqT5sdKysPDJG+vUgYEQSdt1Df26JEeMAPqo7/VNPlymuKEIHP4v8cqqEpL27+G1yG1q ZzTJHiZqw8zlAoyXkMqaO1Q2Ud/CWA0YqfN7/GZFTaTd4I9o6wa34iv3BCj7Gwhg0/4yB+pflzKC DUxr6C4aPUW6DpRJRwlzGq7qHEwx0DvhRClck8hmQCGLoTFTJ/cM+JqP7AlNTZnRL8ggQGFa8C2b plKkpUojT2kzPKnF2/YLnd2/G6+RsCqGTmeT1k96QjX2+kPjqALlqLPT5yfXeqCOj/7+HrEkck1w 5LqTXJpErdZlyyd3qfkdsi0aV4Ntawr9lJ85TcA3wITOpYBFlh1zS2DDZCtA4VSkwKixEnXvEFdC 0iTdwDgTho1cYn5Bd+OWHGc2/frLBw8sRkFMxefr4Cw4VWISQ+7f2c/jIyKNUC4Dq2f8e8RIuR9l tih2ukb9PsVwiYdxwqqtES0Rgghg4BpNJjn18FxGdG48/CeQkXKt0HRGP3Ta2KjHj4qXFHc/wXuk PrrDZaQPjnRRN7Dh1PkAHo/tbUqkL7J59i/yW+vPfJR4h9ZUDIUrv/iDY4/M0/zIDLdmoMyPGZiI gKKgamrxA+W5pbPFghkKiaL0QTzOg797GNjkttH4W7GuUeg4yXGFvqxZZ9pVYxvi6w7Heej/DYuI hJYfoPw88Odigmb32s0FNlgylhTNH8UO/wioZK45Zz87mU2MITfuwMFzOomNKnYgMZeaCRc+NU2m vA/WjVlPG/e66mgcGL4CFfU3M2QPWw4oDVWhdUDsdJpwSq79P0ksS4Aw2akxGu5z2fV4HQe1OYi9 /7fFKUlshHfJR5xDcr5Wa43r5A0uOStnPJKbCHjaDclamWdRa2ydvwwzDZYP8ICOOAHsKh2xO1UE szN5h1/Jqr1WuGOx1VoYCxP/C1y8Nt1szw9niaV5co9jtKShURsjh9uMlJcLbHh8kVAzsLGxzV69 pJ6gMcRtOlxszjfrVjZS0XUsp9OMnIohhYqBOulo5jK0ZHiQlqL7MHBsV9UcF4Q9eOZZEu2En5ln gPeIrNBFSQHsmxj496HWX2QZZExAJ6KEE/YrDTjlrVIdMJkq4VAcys9otGu+HIym0h9dRc85wIy8 XP1bGK2P1/pW5hPIDOW7EW7QeGBH6zfEZDH7HeqjsAfT6zJWABpJoR/A8/Liu3y5YZeU4p1dJDXg JL/5hnHG/hLpcbyP8U1yhdDTdz61aUndrQI1D4ax9ZPQmF/wrYI4zQnvo5Gll7jXdukiFt/tCW+O 039C25maq3oySEfFIiG6fK4l+OUkxERq8MiUnht5DeojoT9b7pxXXP/soWewWsl6mNHHZWwuRHzP fkbtPweYtB1XoINXQQQ+hR+0Utcvh4e4+/Ajxt/rEDh3T2AhNxqBwAWh46vcxWyT92mBa1CGYpsM TocmDb7bGpPWI9RrO/QLyzUp02fFpJjMd2CUJJ26U+pPES2ZMG+KigytHcsDoioz2pemyxXeacRp rxeoadfxAGiG6Nz88NJ294wY7qiVdR1HxBrcp8OhkPWUImTbwLvEPu7yc1m/aRsn9icuCVPLCDlP KbTb5KIfcxQ6kBCAbHliEoQ9aCTqQiuebTWD7QuWESm8hn/WMUFs98fP+A2twkzWJ85v6y0ozIUD qT0fFvdvNw8aFQvqsjmOUKYxRXuBtodZiYOOqW1ntAb6UrJBC1rwOTaVu750gsqw44IGiTPK0KmK UVad5/A2OUs2FaBaTM0X40qyoZ2426D4jVC4XtW4D/NWPkr8oLtyBtoXpTj/AyQ+p1IaZftsjauq of9H6yuBbCMxOtuPjE+WtclZXHJ64cBTVKr7BRMGC3UFAERowBw0/sxxTnEF6R0tbjzYnLoy8aZD OTEGzSOfbuSgxmLMQMJD7oOx3ElQ5Z6n951ms/+HEKAEMUYLQw7It+cF2GDtszUOQC2JQ/Y6x2w+ ya3kDz47UUYhhTGvgstptmKaEhDQPyN3D6t6zKdUDT+PfxiuNwuOgaeO7H2YSweYloccDYwddwnh CBL0UD+goBK+7NDYIrzDGLIYTRv6yXiw31N4OVI+tReG3ezz6SbPJKo9LRPIgdVjOQxDLBGiAvPx gUZzUZQBoOLr1dto5hqHVnHfKJ0JhMyUI65dxsC5tTCKjoC3h/MdGBUSNI+nXYSMLQtFlRKw43R9 mePxCaxEOSKv0ZYiJtSaiKDH6QVBSukImvgotnPVoOsjMY7rnDvyMaX1RMVpbxVXg/Nj3xG8PHs0 j7Qj20E2kmUpz31rQ/X/t0vN+o07xwJD/lpFhC7aJmCgwBYYZF8+cTFCYimVKc3Or8uJ8B8T/fum aDfdRDw6xhcTRuhB2cYhjkHoyP1wLfhuADv7l0wa+dUOTT6LOjwI9HSuf7k4NovMHGhvFJtjlf4U VsxRqkNxACj7mVZiyKvxA6rYcqnW0JGQVeoi7tLNbCsSrl1m/8O2kOYLRVDu7xXLPGG2zKTJLYnc qXTcFtDtzzxgXIgBOa6iKDQ5AVhp5q6LmWwD/X+13lRQfbtd5EJqUyAMv7+i7x8m2ez+lnvVNG0m qAYjCrdVgRl4+IRlZlAlPGszCGDE8Ro1EPLhyyQ8DWTmU4MRSR/crvvSZkc83Oil9WxF8nKS57Ua 6zWwaiftnXeHuaJC2zAJTAsmu6UCSollhbjdx0WfYcrfOQPimsOGybKWXoQo6nGUqjXMDOVifTn5 IgRX6F0v/po8vwurTj6gGh8ObYLkcW3NUrU2Zokt8BeGZUmimYEKwu4Q8ykHZxCYMVf/9IeiXsE2 HCkrzgEuI0yHuDtnfU5h9O2EOsT5LIvY6G85VXkjKB3NXtkqI1IMY4oZEmNh0ehg7kX5ZXGSsNrR I7Sju6wL8VJndMOPtbhxeq7QDEuDjYjDbDRcO+8PhkBfUMjTCWE6NaVM8gQvfycRmagR5GX0WoXW kW/jxIAbWBefRG4kTPP5uhIrOsz70oc2w9diJTe1aE+1JZWhFxxig43hKAoIjyxFSvZE0WIdK7Nc H3isI07TvXbIrwvwlENEBm7V2zXEU9koo57N9jhXO7qK25kG7d9CYKk4mxXNWJISmx6mwRyRJuih 7KvMoqR4F27psGpG3apThlR4TrCzWnlYn4y1IV+KCEfVuDObgUe1+C/URrxbpsrKObO/xVQTdceh OJnhimBA0W7t926PEdb17khhOD3W231PUxBkdq6+zDP/RePM/FsyXckVprJHoYOWdRM6Tt56v/2P Fxdoe+qVaMlzddN1NnhPwhrUbyseXdmDgFozesms9M0/7vR6WtWb6zkpkij6Tz3XgQSlMS///tfb FimeqCbK7fCLJo7YlBTgo8ZJMOQxLebpej14UuVmP8Ixqsj8nUjvrLf31lN1bkPYzLVeBc5OH/Ym kRLK1GDuRqp4IrDeMrcEgPkD1vLuWvykGk3h9c0T+wVwmifd9fjOBnbYSWLKKJrTfEXc63JcdlXk DNBRo5yknj9uVtdlEUcJ0IC3QxqzGPr3W8leEAvXt4OFnIjJsV1CV67DSOoMYQrJtI5Igg0PSEub ScqDvKLkm9yZ1T+O+DC885u5NZE2p6z3eiIe9VQBBVh4R5TM5cw+Ti3/EmvX7iWmAB4JpcNmaYm1 4piYpv71RmhPkZzK3h4UJmnImU6BR5d2nCCn0WlACXTnNaPGce1qZAthPln2cY8A3o/QSXRVwpsy kHPI5I4Y4v3xeO/7fcGuNDsJcVHvi7ikMFU+4xZWrNLU4iBk17jYhgKnSHWYZEma2W9xT9l9MBiZ dGXrb89ku47AeX1QiYYffnofWJqvk/6jlUKR8bnIbgV14z5b89P1DRiqyslRgsQYNlCaeFXiTgih M5lFUcIo2VUZy49BGnKRSBM16HAOKnAxtliGrhjyA7aBVUhMhpEmd9Z/WcFX9GskEWrpset4x+lA +RRWKjxwP6AtqzSanLCAmy+outUJl6IqQrcHqr/0MnsZXSwK/23y9UHS/jUpMfkXWx0O+vbadi9o EYhueObG755XkLQJwqkcwrt1jtSs9csNXXi36rbov1yaR1HoWRYvWzsOPB6+AmorCb31SoU+R8AS qOno2z2B2xvzHPTS2HKIQ8tMAGSlJiMgkqYfgtAKJapfh7lUlp7UeIRr+/DZ6noSPsnn8VOuLGky txQx7HrWGWMKMiGeFHWqeEzt6YuR2pcUXIn/M3NHR3ubPuxxMLkbAj7rBl9lsfI8voiuLBXzd7Mh wtaO5l4wU9/LjuWITLXvU53kDMFJsdMGvw4K4P62WMdUUlTKcyeXKgK+V5EbfgqjaCqW8vI8sZon 5N/LOPBbamZnVsyIYgCBUS4zgVcLessnjgZuVSnDtj1pdI7oT2rpSuq7fHyNmLALT/JlLijTLVGQ Rn3NcoC43ZrLV8TIKrlrHP8LKCPSmAVj8HR6kJrNiS0+JK8aFuiiKESpHuPeISaoGEJHXeC8o9qk 7zbPrhvleUJhtjELFtn4JS5GGbjcM1IfUvsHdfFee+BAtwOh3aTslcs77H97ZhhC9OvichZZPdOb K/4os5UDjX/yNTNsmXcTD0TJR+USSMyc0d3/zbtrisSGPzWe+G4AcDnQzR3c92rbYpJEfAt5+GW2 6OZ1smkv54YUdbIv7Mp6FgZvTumrw+T842d+VuXXw5Uji5EHK0oX/fBNfCBhPAVROcWl1WXLE/s3 RmT+PzoTBsGcpzzgUiBNOokaPjK9/JVwnQDXBhgiKTCgo/CgsShnlCkjXlllbYMatV7V98EDwbq6 G5mCJ0FCmqmu3S+xh488VPUPYuycUma5eQxnBW6Oev1fY2SYv8T8dypySfPo+tFiUet74S4705CC e8q5xpUfHnWbtBSh+Y/Be2Ysy9zCTZeaKhsazrhGy2B0rXl7WLXWUsOBVLnBrlGsMGNFsVw70taO tkZOo9TMqktE38cyXLvN5BLddcwjXIGwq9k0kmgdKVhK1zO4fEEQsKHNWrQzXxnw04xM6DBM9VBN LNre4LCi9sDcHY0c878OQe9wPq9p37TwewAW9epIQDhfILVGrXUCQR+ZBdqIDVnbA4KEG8Dg3Lhx LWYB4rkUqlbmVJl2ru0Q6pyimchsBQdsfZL6OvuYN8h5HQ8zo+y+oSky3LMI1Zym51k0laI4e1H4 ri9lHSxhHltPpQJBxGXZTTFZ3jrzN8FkDBJjUggOnIlOu5wj5Kt7d1EQbCWlT7p51MyugLn7jmrW AZ7NkDBP3vMIAJFXC2j/WAxxpcqlZmluBzZixFVmFQB5FJZOTHsuD6j6nktr2cqE+V6vAaOpgGjY 0P13ODCjnDL7XXNe5D5UejDf4Qsos//MYZ5+fsg4U69ps6lJWr5IJGRwdleJt0bPTbx0KZYod2o+ Ph4LtuyleTA5j0wcvxVzuuzz59/QSM01FchfhsMlHkEBDw4YPgo3QgkNAGGDv/ioBx/NF4QH4afL N2+wiDEIEJpmNgxacMxpQuz1KMQsJSuaHXoPUq1+h5WBSZezUIrYdUrUkGW+Hk3YCCDy02WPyr/u d8ykrvUyybTtcPGF1t12VjMi+nNA32NZu1PAlTSWhAagZ/cEuYneUS/nO83MeEAtJ9asBv/zb3ui G96r0iOQ4JZV4WBfECMDDDsrQUFcnkPYWHfc9L492EzzXzpdoGs21nSHxFIFJgKCVxWTwjGuCdfp ITJxLrg5DSNiYwDN/HgQUrVnLysazab+bTcq8Ix3dhEx826EwfzlTB+zUwahfA2PtSgtplrezFiD V9t7LOHXBDcsd1fk+6tOXp/eAc07MA2QKs6PD0czwT+CQPqoyVWiU14TVrDjhT3yFFy4MDFSoG+S lIqZr84gitghjGeSai7upV0q8p4xaJnDApF0wbs7x6/nh3533h3cuxsGSier/S0O/HXEilKfUcl3 bfI6agBUggfXmsV661eo5KkKrQGK/fIM/nvLt3VkkA0ZRNZxqq4/oze/dl9DxtRiR3ET5hYG5cIA idRGPavZcTrvUhYOHi/fUKaMrV4dncmXTuMRjSdhd457VAyPr4OBSjp2O1tn5mtxrhivgFRvc2sU RJ7Sa/MTpNGtvrvL/1+yb2VutXHhBphRv04j3o8Td82pmh2mjebFwQfEIdFRoJSTsOhrQNKHVwd/ DwDKgMOYX4Qdd7uANxHSpqa3MzOR8fqbuo/E7VKy/VbuxXJVgtxkhp1iRcKRqlP+Q+OOeduMsLYn QlPDP0rXup64QOL+U2FiuYuOYeluuGae3aicD93c+7aFMkp5f3hWJEIcJmYbnoESyJiWNS1tyHuH m4eNEQs/0tdf1zbooNtSpRbcFu1U79O/0ju8LVE/cYCxFI95L9hWFr/CmltVnkRnf4SA2jB8ZjRg uZKSPRkjoMqYyxKs/xRKOPeYhbLixPQK9c02Fhg+O24J2mfQtzs7oAOoeW5mUvTvyR4NadXJ6KHw DspUVQFOx6snqxkd0YhUxgYVjooWRHXbgGp/Y8mhEtSIZogXwHFdC71+PQUojtBCDNgz/LUvMyZX Mu47E8Y3D/bXy45QSflRYKs3chG+10Z2B/ZNp5UPc2dECr+bzHHmHdSy5FLc9iqZzRcDweO3n44X MZHTt6w3e7hxhWIPrz2+Es7Y8Q3whiTHqdiCFXbed9hRWy+5HgzfRzYwABl7zw4Dh2R5p9N4fVOK YKxW/LKZy4dl0fWLnoqfcSn1MdXVSp+fQkJL1vo64hojKa3hfh9DTLyGYlrsocKoNNewesB/l7Yd co6yHukDDHYkD0+HgvDpC8z/7ldLwjWiFetayQbK8rZ8tyRVOLLzhFEDvLl1YWk7tGdpKDEx+Mx1 RguYqEN3sQNGGE30DDvEW6/12DhRqIYYtSIUvQoFYUC2HgaVVBM4qMc5DMoEa5OO96Rlyr4VLyNs aE+Im47PR+qY1EgjEW41xNvR81PyYERImCnPAU+wwkUWH3ZPi3DogIiMpIq1l0d4UXR1jscNUzjW DGSwqU2PXcCizc/cG9PPRk1lg0R8iMsup8PaaA5ddoS5rRhCeNRBaMT5R0W5WjWSEtOBuViuF0++ k8qLRlEL0uh8TFRHS6GHsNVV7Nb6l6ygrKzFiUc4RFD5pdv06XA1k8rGWc5twj6LKA1IHCwY/86b fSbtC5ZVrJ49Fov0aW7OtKxIN+J59sjDdrJ36dQ/gqMGs1zjRgy4IIrVUbbuUG9OZAEI2GTh/hFq MTTuKPDSkLNeTDTI7SFw7jIWhKc8kMtCsDL7NcAzIttK053XMx3Mmz2oPiw1ech49fb2U/tzlrnv tShsCWwSOk8a/5PSka4A+zBCIy+OpArZ2GBWGKuuGKcqCmnd79gRuaYHFSsvS8LhNjSeuFk1KSbc zhnVjV9wPwCKE4n6vGJix1H617TvWzKRgkRw/1brIGJ/XpUUy+q7k0nI+PICO4sgTEgAK3mLZIsU kCTycF1PJssXDaRUmKU4w4iOtFJQoGF+Eemj61cr4Cf4KebenvUrJhl65b9GRrRw7epukNXDLr1n CPM+ufXZX5R4D7do9eZyQOaG8uZCj3VCx8W2SDJEHUrWc1bdAwgXqjaL+bbvhCi3DpsXB9aDuAgk /JrGvO907J4nI8I796+risjB+NRgDpgd6K/ZYFvofYUtckS8tlTsJkkqEgsxFZrzh35zSg5KJIlW Ka1OKNHbpp44sleoY63DX83eWnDx862f1KCel4vOl89EcuPtI4TePLMX3UEE/UER9Ymr3/qvKM59 3zYG9KWDnDcmTvdPw3VUZqCfbPzikEyv5tR4VaEnQUBEN49bXc5oDXO+GVGLYa1l2fi2lvGua7rd fdGkKuyIM8hBYY5C52Ctpg1xhuk4++q2pFr73tMaF5wjzr54m6f3xynktqo1AJgcs3aTytiWzYAU L9DxuIiR9vIHoM4QhGNocR8yeG+PnX7X3AcLmCwHKeOsGJrboIqi6R4IIkX95LdfxSmMwIkEpREd LhM5AAuMClJ07LUl5NOH+H+hU7DIqkr2gyMM2PdKyskqFqmWesdk8xOF6Xt5XS3i1TJWe9cJHktM jrSs3o2MJ5EA3r2Ngh08Hrjk7o8Av5foWtsO+0/bw475y3hZ5e4jYXJ5jAogGb9qIeUQFfKzGNjd uTLU6nmKQ1J55k7jK9dFeSQDswG6GMU7aRaunzVLqXd/3DGo3AFBYZbZBPdap8HEc8Unzw6mQQba H7LVZmEs8TkdEvXbjtx/P0xJshqH87p2ursVah2Lk0d1IPd+A/UPQDRtm2ilBiDb6LVI5CVI7Rc5 mUJsF29eOHyyXiRtimzk66etgkKyzV+ziE5VY19jCfdnNyTD/W94a0DvnfejEkLnNiT8Nfz1Ghmg RUGstoWIQLbCgDWFSRk0rJBtdo4i5s1T8iWvII/GKvz1hS8QS9UUVG+ThBahWonBWTsH6dQnpWZN epDmOAxCwSWZ4Ad3c5VFfh8vhzpWHwGAxqMqphZzFzPz1rFI6wNwykSa9zPxxGRd65jeXYuVbFUk iusAec8hJlYsIxS9kS3i/sDSkipDfVcNEK8lJRmenlySKx4wbcQ9l2ZfvQBMFprMgafRVX0FPz4m n1SQuhG+gOi4eSPXR21GJ/RNLyI48lMGNT+xb4IlDeqdDwgNLfR/ldGk8bt3zIg9QL01jqaQ9I1/ BogfnUcBou6C7wHeE0YoJy+b8V890ZoFC0giXS1sPWOkxRxLEVL3PaVjKvL1uby2gD8Tj4jELgms QtbLi0ITTIN6MFs3pa0lkPkbnCl/ktLCSo7cMmRkcTk0YUWZPuwM8k9Vs+5F3W3A3L+jDPksvyRw FHRE0zeMoq6WnVo0FzPxYN5XT2C2FEj125o2uPdIGsykEXXPFcD6tdR9i1vR1nyGWXw9I2fjXmfy Ksk5GOO0OUXv7qgbuNECZGBLwPewJmFAlKzMmdDPx8Ha1uH0UPYe8rUsKVLBZVB5vJaYFShjnt4c FL9I2mMAEKxiwN1S44lww7cwTIMdAJ4h9YJ155fmtrfEe/C93pzk2g7aNq0jkxVNO+oQlyXaGe6/ veQYudeIR0srPx0PORnoxBJVjNqTwscxvLZyanvqy/cWPUkzz6MvOdrmaWpVD/AP2Sl2jnl8sEwI ZFn9rqgS2unc5oBJOscc9g+YQ7wr4aUGUR21AXGXMhjBt4HU5qkexIHwDA8ahMuRCl+V0N/PC1qX WgEJLZH7cc2gToe4/y1uo1Sw9C+07KWS80ZTJI/UWfFnQvbhEmcSN2ImAjtUoVU7jDY0ZZFCru9K jOTgOUT4CkEpKkVtpLvCwGSKMfdLzQT8k7uoDmLvHfQX6nCnf6kb5cWeCRgEcw+kO8LWJ4CMvN2O TeWB/2h78BAmRZ0SpcSU/UuEy5yA08FaiGi1RpH7gbj1ehc6mmKZVdqELHDFXu1yHnKU1L8W7/8Z ihk4/qNGV2iLAE9j0LmZMaNYEPTTZPERXCSmPGI5EiQ7dmRrAdTYkif7q59/cg3XwjUDnPawX98P kQM3gDfF6JUTwSITzF3HEFa8dIZSYiFhYXve0PvhTZ0ohikFxM1zj5tUgBM1vYa4dvis0e23vawd mVVoNGAwQ1K8W0ovpfxcArzAFW9PbqDWUclDQLL4tXMRyLBLkf+DdQcFncdCx1nGiMb+gxemhSWX qm+qZO0efVOaUNCDi+49aeznyoLJtF7ETCmfn1y0p45AhkZwrMc/Exw2W2my9AWDPuW5YtMJGlag qkzqtIqCEceaqfJic7ZyItG3iG2ZmzRC2pmxTqQumklvBuwdVFEcaKlotu5gNC5RAL0H3Pfdij+/ Pwu5qodmnm5x68QpOyC8BHCimSCK0te3fTLzyZ3tWLtc34pw91k4gjLd5ZrTcLfvdnm0aKwQiA6h ihUeNXJytMPlo4SGBVuVeP5HH1Dg34z9l7aavAPrusBckPp9KpJP7pXOki9rHt/TwO0qe0niLy5m XMCI6hT+28ox+cYKfxo+drOD81DXx1LieP0/Uy0nizu7rzk6yJ/M2Y03L5MkD4ky8FLW2okgLcn9 +Iju2/FlbC2r1ee9jeoBeYifG2jaGwPmvCrZ3Ak1XY5MY1avAB/VjKDMvtYQsGB4hMxDvC276e8H 8LqikNV+Kh+iWuwDsHnmBVD71vhZrPyfSlt6325XexLfUjQ2Vt1pdpq+gFIFXE2Dn/29EXhtU00g BWR/UJP2TQmWn5MwGmGIqLb8ADAWAZIjwaZ8Ozw3ka8pEiXuzidYTEPsJRCOvdfIrm4sfLJ1m6HQ SttAU57CMaZbl7vyakfkvQ6gKx9TaAbPTo6tbUzLKVW2nWLKo9VFkPiZNqIiKTGrAHD2DChsR9cX XpbHtcamCphULMhnilUkPyTSzh5oNhweUsjvqPSd6rAToPl19gwy5MzF057CZ8oBi7yxaVu2h3Bp QYbKmUZnFO1TtTPLKFx84U1afV1Ujtd+eqkRzFRBW+Y14+/kItYG17hdJBP4c6XSm5ib2dkZel/6 K9ieEYTUfAmiw4BczFhVxBo+6Zv4XonfnhZ8EYnyKGsuOey4rB4x5dmyZoKBsRJJBa1ITl8uJOcs DrkuW1tce95DVPqpgpXCT9AXgRhnNGdGxw5yKo06YVi1URewlR19rRHB/7qOJIBoUbHq1RZM9vfH P53vaYUztufxti6IKd+0uCUs6jOvPGUU9Am4pnNMTVxT0wf8M2dGJKhQl8plMluynhzfF27I40N9 2mL0X6WC8s/0h7XLScEPmvUZYEpTEThQVlJ5IV9fPXy08qbsVhjca4bmgi9/FFDwUJ87ZCeRlJB9 vEjgSWpUoSG77abS5Ssdx+aKHUf3BwbZ2zs10mT2n0a+4e2e2SIlm4HG2ihjW/rwyalRqewidSG6 NbtDURvdZqDBLN1TuZqeTJaS0/CoAsimcSOCWOLTMajKVS1pkfumkQBPVZ/0aYapB07xaCiGmYpE IoKJ9KvocQku8GR07qhwdtpkr9Dgi3gR1gljFSFzURVqMxwtHa+GzndyYyI3OpqpPdCmhfUoipGk TgWORn8xFeMBrIzOx0U5ONeatyuTHJZNcTv0vujAzPWiPtShNVF3UZ4N1ZxFEcweqJBUYQwpc9/J hMmH58WPGLaxIpPEw73O8Mo+I2X05sYTEc/7E/xp9rts1xJiJIgzpVhnSsTjRv7mxIGE8tYtFCzK mOZtsK0fxWc7RTEqYIAd92E/WNGxo0GHfYivL0saxrQYivOxCiL1FoB0g02G4Lj4piYpIwZOVlHk Rm0ekhRo7YRhCRwwo0CNLlFCzzUsiFVubiKmGyqxdn3qocQqYl5zCMWhixqWjLGNrXCphB0V8JiH nV6Z/WiAxHRUdKMXPSpUa+ny+qilfVLUqsCiXbTXbecJ/98XW/HSr/QYHQbIrk5rWDBcKQy+wyaz b8i1lN1aTOZSH3jA9d+8c9uLm7BqWmdd3s3jjO79dW2tOuAkg05z0tAEFX3tjJwVmvp0Qye+87K8 KJZgs1wiBV+RAN7QZ/m0D4BmU+D6rU4VGPZHGzrLxnh2kiJC7rAuC0PXhJ+Cluh95ZgCYc/RYwvr MmSnlmpW8okoe2kJ877LZYM0Kt+q7RYdScWqWSeICGcQDVHjQXEZRvWit461btk6jyffDAB1Uq9U mcSt5sg7NmXftMustLsUrRcIpFGcWOW/+YnXWmnHieFuHI3rUe3kvS0KsXEWZVb9/V0bhVVQINz6 1won1xEFuSV4ZgZdtYl0pwKz9l995TmCWkAG32iZWd07SJB6TiusbZrFkwhVzvmBIWqowzqqoTyX Hno6ctKP0xeMvtt3UwOkzDpCVioo8Naz/BVZZEPJ2MF/3MoZ1HZZWSW4JpyIzLOOV9A1NEsgDwCb mHklZGVxheNhccSsj0lEaxXp59iU8bjyjm0LM+4qhF+mptsViHkGcUTQmtzzp05/KzklonWJ9gZp +9cGThxzekUz3t2E8wNn0c/ALOY+6aqaZxDy8EZjQcFvTRR+JRMm81P9g1QhEPQDqklw4ZwFOE02 vFQ1yxry4X31TwSs7z1vANWUYApY66qEnnZUtOflXUS1Izg/X3zd0P7nfAcEPxnPI6arSAjwk5Wb BE5Z1T0S+GZBJ0i3EAE4/Kre5vax5Ed4LpMmCQ7/TZXv+hWk0ZW1Py/Rp9WHIWfrbQ9FNGLAsnWX qeUMrjl8mjdBMqvccmYjF07XUamNp+3dP0gri9Zw79n4gvtIuIJvU0kBRu3wwRP5sex5s35J38OQ w1+a88n2bQkAEK8Iwo2yJ4arGqa5BqkY/BrY7tDPxMCHDvswJTX33NiOymZS5lWgH75cGF/8oQNF 4ZaL0RKkNpoqetBRt2iCvz0TF4VUiNcu0GcjkAPD16RsXsE6qYZPnypIfa1lK8RHu5A53Vd9TmlP nCTQLE/4TRo+3vDI4QJmmYI6ooupyc/vkd/EjbUm0XK1IAZWbD9oDXhfS+DiPgNyHQk7s2DXe5+y 5zKGdSQe4NbbHOTKkcEK72H5o3c6O5zt0xr7X5rXHa0Ml5yVsgpsbsCl5I4pvCDWFPPqWnef6dwR +LDh89i25Oxbng7OSkUU3VGMUI1Yh7enQC9hkR1UK86FXQRvd2RQIzrkB/y4N5Isor5+aNxAgz5u dimotg89Xt5jZC7sBldeN+az9f7298lN68EAY+K18IcDBq8wV+jn7FkGB9HPTclVmNTciZOT9qk+ uGwMBKSo/HB3oiATj6wHA87sQdfqvlLiF53sbs+CY/hECJllnV7EE+cvz8DMWJYpJRlnYQU+59km 4x1DwyuQ948GB6LItR9B/Z9PyhdVDWx7nTkE1sRKbwAy71/y470kncsHB/Stuoz/GOjX0o54BqKv URKKz93sgmaV3QS46e4xoHWwjJOfdCrOaZEvR+6bw3YELpFYj74RMovgE3Xon67cRx9mwhJ0fx/P DhWskOeXbH30EmRrDRml0Dv4ycE81EJg7jtjkvQ2i5V+Kme683l/4oz7bt2FX60CS8taSudCOY50 TxIKeHXJsiBHfo1fshnp1lVG5wxgA0BdHpIyE4dKtebos4ZVtxrOhCDRzt3lHFK7xf5qhBVqL5Vt i0PdiOlfNlEoZERoaP3zj6RjczDNPO8U6UHdQ3s3kkrt3wgCiDRs5GjDvVx4BLBoN52R5GZ6Ndys fucfP/xqgKSTiMywbqTR1OEoA/WJ200iCMsoRzgGSHwZnlQL+6PmEcPyEw5meX1PldSPsF03gpwS frLAqSrcL6FcWUTGz4rd2prw098AIPATewaF/JRfVTGZYJkD5gRo1Wp6GStog6f8ipzjr15oIgju X2w5Q3YZW47XWXmJs4MPojl4uWJTm2PY50iqqowzMSh2VPLykS6wQbeClFmJMvcW9F6vemsoiXb0 DAKxLBtFcaH13g88z39e5k7ztVjQr95MhUfQSuqTQmO76LGx5nDJvV7U40Iuwhjb7Z3+dHWvglYt +649LEnKfPaL8h3qPUDpy42QNJl6Ib16HSIwSd3kRoaQmNf0I5fRjBhgZ830WGSQp7cRjeYTB7Xv gqQdJp2cOGVSOjqmc4WVaB/GuRosd+xb7X/Nn72eHpDp22QHOUEhwMdFNDAPLCqxbTLkiQqBihrQ C9wqL/Y3Ed0rOMG/bUe/05CEykdaTAxC1jxdLS6wVtwAMqXFcoFV48JoOFOMUuKn2F8kNsDPKRfA hNOkdC1BBlAemC0u2eYCIDRE1gb5/iXu/5JLW6jQGPIlgBsZS61YjFdnLSiWVC+JlFcBezy3jabm GJX11F6+rRwWTpbj6nBGYKN6Naaqw0uuo3oNw8V5XezjGqdIcKkbS4UnhRZuMRb3JAd7V/yHnjlD 1AQ3X/STHeHr0PggbA3S4QQZ50YKmpITM91532uMGVFrVI7gsXfAl4a9uO4k3+rzaMhbLDcStwgv e5FHF8QprXNT1SECqc7divpNfA3A0tbil+Zb2TOkaDKTJBygWis2GldAWe53r3Tu948yONlC6gFc m9Jfei9zQnZvO4tLq5O5OJPAre6vlXZBLwQZl6hi63sfPjY836PyYdPHkSywwJdXZ6opH+5JLRNi CD5AYOSgb9yCsJ9iHwIHkEOzz8E8w7H5Ctp/cZ6r+4XLF9ZYb2WZWfZzCRNgFdFNJAi5Kkv2lx8v KUCky8wZhsTngrC4E0PIN4BtwBLdXbTN0VyrIKGXSXhDgIysW7fopmapV4nBTI95k2ubkoevnR4W aV8SYTtHCHqt0oa0RT0s0YwjxMZZf/XTF9ck/Sb9oN8i1jbQdfBI7DoVXsRyU2WLYc73jx//hDLS eJfV527zC1/is9a+I68SEP09aM8sJ3WWbqCSpDXOmgBhg265tGVZYMB7z+x8NVi/zHrT7VgZ8G6V U4+axnQFpLn6XqbyI64Cc73XubiI9DbHq8RuMPipG32Wr72cqA5cQX6NZInQcOxyr1irEw8xinIZ 2Z4ywcQ7EQZjKtAvODuKfX05qQ2TbWmLEYT3KoqRx5rg7D7IF6/KtUZvGO8lCaEf3j+LxQKfElnx qjVdz/QCe90pqpGSYICH8bdsttwDmjOCIOWwOOkxx4g7HTIPNkpo7+y3Qu4bZuaEhWQCfzrdTtJS iqXwPczVVJOsUlZLhGt8oJfTjp27F7Q2xYkcfvjEKhpjcDAWUeH6skQqGEanCRY5DuY8GV3DYegv q5hUp4UK4jD5LgyVWSbhY5BgGB6rLz/sCiIie+Vk3ursg9pWrZNPCCr1nAJzDiaLVzLf0xfYZd4B 1HpqhycERX3C2BaCFmpivsOnZTPvfJkUL2fmiOnN6YkN5rKG9uk9sDJMV+68+opJh1GgmodanijL nsHEfJ5WM0/tG8TU50K/pbUBNciU5tYBkR7bI0CcYTU/Kn6IZ4zPeKnSWP/L9jzjY8pBQZoAqYqY /VWapvEjG2bHcGosfsrjnY/7dykjrZtoxdMjsKLBfrvFm+ghiurU0PDvyBEjTq5AL0o3Egn1itL+ QbJJuS3yb7gYuYs5+YG2WRgvzrCHYTVBm1FG1avVu1xl3/HjNRBSaG8vCuKyTzpZc4whbnbB9QJW Pj5GPLJ+Wb9jQmtwfoJNODxWwkI/QSq8Bwc9Yho7fcRX/ptTfvO9aS5XjY/wisanV8ppYKTLOXZ9 PWMRRgrk+89w1myqwBb556YroYZgxApRMLjpXF+b3zwolO10ggFKzdfDiJHmQIHsznmYdeJ70DUA 4vr67E0p103DgQZHgl9k5cabJfwEymMDfeJHIsOA4noWLIVYWah3r/B5HSr/md5J+X+wzoTiBumg mQYCXPWhhDlR1gVHIft6Cv2NNkJ5xLBeFbDhp6CLwhh32jEDuly/JHsIFRZKwgYPvMBcp/6anz8f XOamratv81f34/bLxCyc+jrR2qvxJYuqTYsr5koNKtFkBzDfPIe1BEAZixXZXiZxge20nYCtvFfl 6LqIKpEx5zLIqms6E2bYHOlJOW+IeB2LLhV8EVrOhY8IH8GqI6D9vXU7nL7uc7OElcuqCf3TzIHF YIwnlj/tLOsXxi4mDHGrSmGBAzZBFyVFn9hUCZwrV1VwIvYAK8JjNhHRQqZXXQLOJX+pRW0ShTbx Es6ItKMfStgWgf6f8L56Mst/yly1hhNFvv/bMEAANnfI5pcgST3z2tXaiCFf3ZHH2snZSV2ZPnJd regDzENdnLa/L4mNDKPfSoGG43ZS2ScJCFcLsROHf7JLh4Wm0jvgq978cU1QQGHBTfNQePJonSZo gsm4dokob8errV9KvxtDJ2uBeNVS9nscIclQgdkZqDB8qf7sLVxUGu2S8ZNrWeZQXVKxjwsSRNvk OrTJje9nVfx6EElV5axFMYtY6V4t1dLNYed9KrKLgf62Jy5YLfPD3VieNj5pq/hF9BxatScaPhsy ENnKFGK+OlriGkPo3769JKIe5EgTLLiuLVh0CeAo5henlwtLWoI3GYVjpmnTCq3ZG4INSlQOo/jN KM/ENYxqdCdwD60X5IAXfISSY5LmhskqxrT4hctCMay6dtRyMsLyE4JILy+YMAkF5azyBYgAM/GG GFBy8qx/wAJaFLXlw+ZphDh8JR+wITm9v5n3ZlAGwdHUK21f/TUc4w4pEBdmsQ3XUZzrxZS8r7OU wxKf5+jvQh9/asQJLPV7wtXoZxqT2Y0syZv5qV8GjXW47mY5VUKOxF9SpiEjaEhmhIN8Y45RuocR ujsPgYkIGdQFUcvP1EQYnWvynHtMW9EG2RFwJpvob17U23eVIgVHHZz9y5QlNTU4km1626PcfwDP upsONaR3LoivMLt3gHvRwjPT7ADSyN0ekbTzEMTyzOclEgFtfvThQq20nwZKIoUiNY19OEJDfsWO DZuqkWfHJMhWf16ttT8ZCMLoQE0AWEnseUaVqYUhzyTzywVl+zLYCyExkf3YVSbYHKr8LU80+dfN Q1LJZHCFgcAgU05TiP0lhI+BSjkCbAcyDtD7lSAYHyNLDlgU5IjOmZguernmaDJEXq61faFJZ3Dd XukQmpfEswu/Feq+mHTCbWP6EgtsfoU81F2Vtu1SWJH5apIySJBWOVaFZeYz2bTkL2R1c9OpOnNB ZQU6Ql7kse4Vznxs2y1UAga4ufWq+q1vkXqaP80aY8XGvCoq350BadWOgQW2U5aBbnrGDwQXbmHQ f5zMo9tNE1IevBDqlfXTCTA4CWzo6M+FF397PcCdsnOmqPOT73Q6pNj/e/LyMI60dufvyiTAdJMS CZKXnCDrHFv8++Fdg45ZSUgcFBxTMfOq2arOY/IfpgJibTfrg+A4yBirhfVoXfENFfWb+w5Y+ydX gNRQpQsY6zn5RJWO9NvISR9NDnc0LvK4tyiHLjL01aoUa1GPuDYY1c282nABO6Hm2vqcY0Dyu1LU U1s+7kjxESz1720glVvKgyAIYHZ6DmFWFiRRO7h6fpW5p2LxiUFx7pBramoLoJf1s3d8tkFwinB4 57jM3EIv3Lqv1mmphKa4KHmnexlTLAQRdNIgAE51NDxQDJyJY9L9tF849i836k1bbKWE7w4LN3vb k3zvJv0YxldPNFLlKPZQitwLMeAuKXFNgmu8WYyO1OmRZOHIS+hIOLyvS+cIoY9kpbOGCnwHl/ll b+Pu8aJyAAnKWlnD4m1YoryLB4J2Rdftl5lNW/4dX4C8F4Fj6YHn0JuIXDZvTrLuXtGPX/lITV6G gv1ngI9T9W0hpBpAKp7/DJufXBvD4jNSF9mzZ4B/o4mSBVPscj44JldIejflgoBOVdUSGiJPt8lC x8ABhDlfvU3jfzar6p5uOdCxO96Ja4B1wq+7gowkZBfILvHF6cE+seiwezS3PhGFsZdtuMkKRe9Q 3KoJ7GGpsSXIc3fKAhtZ3V2TSQFwmi0H4rIPn2Ebqh7frtyRdPDP/f/DRL1B9NC5tYReu1XwyxOu 6QM3XgGWWcIzyqe3fS9Y5PoKeikokjsTuW9iKxoPGFWnLvxFxHI9LHpHTHDGmCVrjKNrLl13RibI /OFyc1MxTXeowmw7zqj9wPy6G4MdEBmwOtiSPnpN+8ejl3Ak+ImqSDQBznkXB11YV5NYDU5S9dtB d9EiqCqgrOBkJS4sy2CpmQXGAQnO1fCizfNQZM8Wot4ZJQDQILQ4PMHBT+4JM4JWgRRG8WjrI2z/ j4OYeSqgriW8599iZ08u7xOpAAQkrtJMBiZtSmHT23uHL/vCKteiVWKZ9bYdCUKG8y6sa2/31t8C Iy93zBFypbYMrbedGCUT5Wrw+JFBqihlTopLXl6QCi3pH9RnE75KDIImndsikyru1nReKFVNdEz4 SGGEAcKbACjjDga2hPMMmeGzzylVpOr2WpbIqpHeHeo57d/azN8r9r7NZBZhg5yf2pyv8keQmb2S 9iOFTb55Uc+glL03jfyA8vv1kQXUocH72NpJEvg2M8hHrD9iNTfH+BYwHFBh66UnmWYe4lraR2KT SsJxXIg1nr0Hj/48VQikKwzjc3enwm4TDdYfe9rDIojejtmPyU7v05rYXAu7TySD/K8AAbj8c/u6 OO9qoypBJztEoLqATjYTnm6wdj87m1NSv5ERKhT2TOLCNhy9JuSQdNSQiIBbNsPi5Sq/Wv2RjfGS p8GBjMvIPzw5u5qr/4+ey7SlP5vwhmkTAqvAapi4LMCgZ9/sIKKldJOM99cTDjmLvnguC5Nv+1o8 wXEyRhBql/0GqBTLTnnhmfSaz1PTMJdmLBN1KIEpuHLKPCzUUUZ0Bbp36sk57+iRHyPIMW2AWjDx AAveNtZ+/4NKsvrI8R8fXpFp6mLzI6jiQ2T8sFTjt5w7ERdm12xBUbBoalQkHi1FH7sK94pcsh+n QBAw2LRunhNHOaI6nu8cCfI60KEGUCHHWD22U1UmrOi7yhlANIIzIeQdvPgJAw6USzMQlA84VLZj L3CWe7wzrbgEuNm6guDvm2YOb6c8huqGU0Rn5nUBX3b8WLVhBouDrT2/4YUQmQBhrXQxl8Z+RWjN hWFX/4MuWiLQTeAKI7Zcrm83c2jsopMHJNBrYdFdvnI9MNZI8hybHTH39VgduvjjGiiZmNFxD3c2 nPOAdQhE1R8mHYTSA/KQrp9+XoXcwRShoaqRESy4DmjJ9UYJdJl/Dx2c8T193H7V8/6riNaoAhqB fw+vfDqsM+gM+iZpAryYc+PviBG0lUt8IJcQdHrMT7qgfjTeClZFf73VFPdcUWEDkVHpwpE0dJ+3 naDD2iC+/S65eP8xMYID1uFiwqThG13TcrSbjx5aYa33buNoJ6C3ZDzYGuRWGjccvFL5vyiaa88H bCku4QM4YYwOvvSbF0gdnFK7jpW0npw4yvuK+3qrxLUxWgIm9kPYl75qzPln4Fxqr/vwYHXYAF7x b3VuxiukbxmY+p8kdQf9dNnccGEFM2FnC4uh6sp57vM5QUEEF5D99CrVG18l5edlAei/yHzF9568 /XmnZ+wEkk69BtCxnQt/ydCxMFjcwNTcqhOJJvU+cF1+I/VTehkXlrYhta9xNHLxgD6E/EdJSQDN pSz6bkwkf6iD691efN226vxpMWBYOCBRVTZ/Dzwi8MwYdoQSbUH0vw0QV2RTF4FVfset7L/mOBYd 7ot5nvdyTGrRX8wL1AYZapRR5KWud1Na/79yidSmnI8mW2fqGV8tyvGodOYS3svDjJINq1B2kmdp AoIm4eB/DoHIYsnQg5n8ui4HznXRl96UxeailKPuK/y8EmBf3el12VTKPttKGBs6PkGpsX1Crkrv MFLCfAtwX19RPSZhV7VntFtvwexDirAY/36NP2FFW2iMXeAzc3Ikx4vQJ9GLF6CQOWVB2CbSRKv5 TbotMMBkMpPuyY+le6K/VcRdUSKPEVwIR/kzloLPNGbNoccyd/eF8nEo+4ax+TTQWJl0mL6UklsW sRbiBHM4turmm4+tuKXvjn6Qo7T9wlcoCfn81C8lQk9fsK3yYjX1IzFFP2JubekdG4aKYSs1VmE+ jDMujmoI74gzrXQw9g3M7edAmeIUxW6BOEsGUyW1I8NH09wxNeuaUmMADMCunU+0Xq63u8lETs6a VHNSlWYzG4mnwp8E0RKlJxIp5Uoi1DgTjGIWNanDitf7+QBFaDt7IZ57RLbuzZnT2pHE+XOlh263 yLk4x1E1iF3I9QqEmBBRzXgT7UEhwDlsP1Z2GUr49q1umyBDstOP6H0nuTauDGVqeEAMq/7ZqRxZ DFDXfFp/tU0yukjtvVa6j26qqC/pHKLCLan/ZeYJB5BtUoCZVtvoSSYTEyp6omeetw5i1Rr01vSe KYGO/ykT7HXC9DB8EcEyUmZps1FFCwafgtqyQfqF6m469DCyS3MMI6lzuxxvbl60pTEL+S83QkoH OfQGnDMeI8a5ZnxYFZPuLavJdLWEZcBZW03i418zi/4nezHRvLP4d9nW6Vcehfd+jV0LEXN9jTlv +p5nXF4MlZiRT/iiLXYTuuNVsn6GDN3srpCBZCHCfMuWGOFCDLAGcWGFznSzkgJZKk8eRgskC9tR hOxKVqR1emK0G4QiECdw2xnG89wk54zcy3oGqhiCyVimJ10C2uW9rkwZBaiIXDSwq/gihuowxqv8 xI84zXsUpeV6/25qSGXiMzHhsmNlA6buF/LbsO/2WkZnd+pHbNFG4YpUvE5t2yOZxeYiI9Cj4txx 9UiLK7DxONI9eMA0ZfzaQvPnyD+dsAzUl0hLWW8cJhoongUDdMlFQRnR5lJcJoBk8B5eLmWGXEhL 1ZNJ5E3ETz0YZTKt8hxfMJjOMYuksaVc94PYF5sr3FA6uCmfZwCGpHlSXIHzUEND6BuH5R/Nkihy z0weYgXI726sqR9o442i1peLgpOCaSN6xoRINKUcdnwPTTxGZ3YB8Yny0Jpqmf0W0g9tElMXSCPA BRXsAjOcOPJhRLQuK0wk33ZTUSEJS39NUo+1UGNFF0HOmyyVyvgMIyujl0/WlygGn4ilEG7GNDMY lOXK8SqnyZ8IpsghYiVqmqJQ+bKXjNYiSe/D2XFc2FRgWvYXTxsO+YJ/rc7BUlaGE89ivfGf0O1L QouEunM6+iJpCp2aDSaeaAuTfn2HIW8wbaW0CVVCsrtc6GrzI/dEsVAFFZOh15sP8rsadIyGpq+Q Vv35PFcXOa9abZs0I6li1Xg0nsi9OWyEo9PZA2wPtaHFrrifiYxwbJL4aGLMbPLj6mB2K9k5qwzg 1BVj2iS9puHp1jqLAiumbYWXLBOUzBuuncyzEBDUKPb6OqEjUEpa9wtmvcxYWlu8l2jvu6IFNpIN qKWhR8T2nQK2OMejc8EcuFyCwJNJIV2KybL3l40+PNt9+whySX/NPsRrEkJ4DRYnzL9fYkXtJYBi /tXi0SMeJ8AM0VJ2jg6now2JCo9xXTuLCrM1gnZGTdXGmtLfQZqMoWwj4SgaaUAZRAahgQ+v+fT5 Mc4EEZn10OJR+Uqlvu0m2diW4FwinBel7gWr4HOC2yv/v8cNQRkvqeWa28FtycNwL+26LKbNUQR/ kUrhASYfJKLdpJkmf06KNxoFMseKusb3KCCseh3kyTkC3UZiiRfgmt2iWuTnTn83qjfRcrntxhYM gcc9hMG9sAbBl6v//r7GOMQaw/liRRna1T9iqZB5QCazEBNehUcKSDw8YuDGTeFdEspk+Wcp1s2h RqZjD8bhnM2sggEE4xgrbknwoE8l0bvlnNd5vJX01fBw6wqDGr923v73Jt6dVDj+zuXaeDKRTTbv uQgUvWnaD54DAPpALdweeJRmSi7YkQxRL2dwabzsze3veLuF0Rws6LdwjMGVIWuRGWfYiJLDQjAA 96RPMXKXBtJnHcnCULQrFv3PBT2FA8diyJoGSIWVTS4tW+FX8nU1PVvlPzF4nmAdrLCBn5IkVxVe C+Kt/jzwXhyPpbq8fbXyhERKdAx52UaXQvns4Ke0epLs/RrpOW50sbyI+4MWJsuEYmCioPx6QEVk eRTit5BaULn8gHFC/mlcypUf+iPrjzvK6rrldxh76vkqN2v0gsz8WI0XnQWbiJG/mI/epzrgVupA ZvZ/LILRwfVjR+NzUyaQPG+dFy6nnZF/8cRijuGbHzOP22FVUjUutVtxOxI6XOQbeM/wDiUDOwYf LosQFIXss4585Ytufd+3Q1rIxKAoI8Mn9rZYZWgcCuKh5qrzz3rdg3wuWM5jjLX3ljsjecpWngIy FPVlSIS7gUzBOPWso1hA/Aix4EL9mu+yyhhNA72Q+pJeDzxJX2kukSIBpRRpAyzLGqeKNt6hERRG 9m9Q7HrlDiXlyzZwtCB0A99r+1lRcIgvDOkiL72kJ/xrHS5Rpi3OWWcASjp5qCMJf7z7ne/3jL1c OPcXRlarYE05ku82pGvwadzOfWK0y16G2CZaLRGoMBbqWPEjk13u/leBzkrViDo61Ig16FzlNxrk lp5TAAWaWTfEyWA2zzt3hekCtPhQ5DW0+J9+UWKa4cbsUFOyEiUdy57XhDBuXVAP6PISfG2Mm4w+ AQibvvEYPnsxJTMilczlVp4PnRMVeKfKW6JOZlga1jY/MpXBDqEsmm8wedFKk/qjvdLyB02ZOlNv vairpFub4RLlVm2ioFm7pXLAAmIUH0j5ozDhxuy0cbKs7Zrcxs4FyiPO1uRytQHjculLvW+4dOY1 veRCLYMne2SBKz3DTii7J8Z1BZ7Xh1Itcl8IVkiAofnvMMJE6U4MpWaEoxBshGcJLdKwI9xCxQhS WvpkwIQBACgjigRxYoDgeY902kB2mvJKioz+ffsYS0p+tgOKZnBfBm1UO0KOuvHIpygEds//iisj VwGQB6+9VgC2dF3ii6mEWC3zrcfNk6rO75Vpc1NDSrnZKG65rhGUvEPRAi2xBvxflX3Bul8OasFD XJaLsVpCs7BAWhczBPGY9espZIlVxp4c5rYfh/HyjGmu4Pc6GBC0bOomVKDKG9uEyJTCTEupOVrb FsiHdHmXVxwtBDyzxL18OGO+Sa82I93+8nOEbZM3eOz/0S0QNcUJqrAcbMiiJupBPEPUk5QS+vP6 IxKHnWkrGhEBbg3mAjwHegpDLMIkLspeb89hPi/OwVfQL93PuYbNRr8ZRS5dN6nA+qIfjEaYdBoP 8ezhMsNvtEWTLxJuonN1cmoeHrT48cwXI7AsrOps4Vu4I4A92A19C2d36s5NxViotntbe+E6bhhq xRwNt85+cGpcSF1ygR4pFU8Z2lAbdFV1Efs6VEX37oTAixEws+m/IFbRBjrpLnxFQ6lRYziLnpWC jLkO3ZxOj0HocOhx8qtb/dd6JYmr4dOKosV1m6L7NtcVTW4NQNQBDoIC8GiaO3HwguBCFkXZBTlg XxxSwRYiZaZOJL1sMdE83mi2GTtRgYjMCOzbwoLUIxlP6ZOygPTvBHa4vnkVWQamjK7kTwyZi0bz cRgOa4uxC5+Anj/Dlt0wzEwpn0Q62tY9envy39w5dJE7y2zEd004DsDmj+PacIo/AUhgoyQQO1iO bp0NamxeudFwuV7P2O+/u5KxbnLDUH4Pv0dOG0EoZH2UYySwOmXmrjR567kH+wtNPMdRTnJ+GBLN BbdAtPa7bzfOWxT+OanDw1gknu9Mjc0o0to3YRLVjKavwsOD4WGjWv/JWcLPdhsaeI7YnjZH76Ds pz6zKb6vKYDZXvZ/DEcXN01D7EV+1EhmZzs69qIUKRrzbw8qOm3+a0T+w5gW+tk8hp+0rAd93X2+ xFJ8prsOdxSAWG4FER0waqMOf3cvhmspLmPOjSCGxm2/loPjmDEL2Sl/lDpk6npCskAKHoV8PxJ7 W/Hjxi/wcoZcn1qd3bJ2C2eE1D8A0gSYO34LrsFaGLv8JOLpjZxLv+u/J/2/XfkonbSkt3+2ZCck fD/qpIuWDNfOgccaw7c+a09wgIO9At/EG8jYnRFMnpCz96rnGe7fvFuLZ/RGhoLoD6Au2M/uxsFD ucRaOVo43b0uz0/QzEl6FNaWpQYvt7ng2ch+GoVj+tzUdRGhQj3JIMwecd2YcXMVdSk04gdd0ROW vDZbuRcxbuAJdsnEDEh4hbTztos3xFPiVmVZRO1KojvH70QIrRLQICUQQLTsJuvkT5mZbkx2yHdy p1uXJDI2EHvP/8mVfNp/cK5cMGtAEnbx7BAbqMBAiLBU5dYQeeHg913S2cs30H3ELaoV4BVJ/nRd o3HPCTZCmSODOB/7BaQD+zcOxlu5+ryd9RkTvhdSXoDfGiLoHRA7ZnCld5BwKgJjLKbtV6q56HaD eVgDGATUgadP93hhYP1qnRVJ6OhUe/TFZ56LYxfdtFr8uUwQP9YDn0ZKFP32H4XrQq4Rk3ChIFv2 hP9C5CdTmvWtLe9zQUahPJ9WJFSiF6DlMevtIiIjFXOKNuV7XUDrl+cr+C7WrhMOlZ+CQODv5P1J 93qddcHDxiYOnvaJP3jA8BtabhcTZpFWJul9qKROLWvQ9d+7JddxAlK/u6nSuL0169M+KVQO9KwL eKqnVo1X5xZG2PmWMBLaSwDfGxD4qiMBKeIW/KZsovwUMw9F0+mQndj1owNkZb1LtZvzU4Yni0lf V1W6RhWvXJn0TKUx2OLcW5hLOm8QYDjZfIkDvYQB5olZ5N0Zqzvw+CeSSGzte1+rKan7C3wt9CWU ePB3I4bNYgc620dkXNWko2bW8JqPd4ccyDuvFeUcX2N1r2ujnQpw9gWT5kSSs4qaS31jcOfrMTyh sJ+ox0bQF0j+m++BbUvNz0l8ivAMdH07a2aJ/1mDyJ5Wn/MHUHwiYHFGwEtesfX9+zt+iKgKA4Xn 16XBT+gV8bUxs/vUrTfO+OyN/hSbVn8q28VS32WSsHagxS9zvAdjmcQItb6dr5jXnl1OoSdswQjX MOE9F/Ffm5Wf23Y8aAjQPZQaZlTHC0m2Dxnslyk+UNd1cUidRV765w6+xVxD2hoVK6gCRwLAskdn es5TBYPCzRr93p+pxMY+THyYgI4YzNCbIMbQpV8p/Pkya/tK281ILlm2UE3LdYX57VklTq9JYAAM DqghwtDo4dvRgKhuIqVZJl8Css+Ss5gaZz5nTYnyuXjbo98+8CT3vYvSKikxu+o3j2vuInUyhJ9U K0iiK+eAxdD5aIdx9yXqR98XRQ72XxE9/8IUa1eUp8lSqiVfdaeYZ2tolIx1LP8yODKMA5O9XtHQ x3uvHKnm4001Oh4jfc3DH9blqbDKUNo9ItPn6J8ZPWrG/IbaIRuZeiCldj7JVKOa8w18mxKuuzyj xzlBJw22kidJHk48gBSpVgWRQwHc/qf+LVmOyAZCtHsCzuDixmy3xNlaD0aRs4FSwR7jZXU3B3lB UJyLqSda0raTvI+K44tAjHWvEzn2vJkcUdKEjSK4s2KQhXaOejafoFkfVG/DkZRTBqJ3kSgBlh3Y 45VDcMm/AwfIK02skXpuu9xvCcAVi5zN+T9lEIb5sRb1oSUQxAnlw7ZJuJPcTP7LhSX16CXQeULi fUVFDBP6SL1mCjBKYBC8SlwAkm5hInOxont74LmTn10pxFmu0cbz9aB3wX3CqK+fmdvJUnriS2bg UQqhq3nVB13gm49WFwFqly9gN4cvy790gW1isAdFh+wxp8e3y5W9ZnC6V/bxnPr/Rs/YoNNIl2Mc dUL9sCAbjQw67p/ZFZR0jrW2iPAympKGCh4CvUXI0Ldg99CZZQoevK91rnbyIt/mRXuJxS300ufM JIlA9h6jPXlnY8Al3a8sMQsbLvXKKJk/KNSvHyDAGCod5UdSt7MDFizTbCk2SwYnw4Y8q4/+KRXv fShCterJc6InQhxzyAKbqnr5mIap6CAbd3QG9M/B2S2Zsqlo2Z4e62ntrl0pj3aZ4RIVBOu9djM+ qz85dPPNVeT+APEGDvxV1abAzsgOXAVz3vQhFDwsblWDSy7hvZwXODhm2w1AoRiVJMldvtragiC/ qUFsQazJyXAeLj25+zFGSyAP+qwEV1FIO2yrk8MFJ2y+h/svpajAdpmr269QSCpku6LJZIV+YMUe BUa4Dgq2NzLt63hVLs3rDMTJVnjW6JUHhzJTI2Bekigl7qEL9AayiLT2eJywONvL5F5XLLPr1oU7 7l+gucJAO3gMlZiQVN9aaene089b0c7dxVgBOWeyDxD9ZF1wDtVqySKGSH+40xOCftYGO9aZx+Yx TByIvin4//HKsbJ+4LtNWYtbaK+2NjX5fxpun3DrfHU7BD4G3q/xu+UPiC8HRWQS30/si9YAvxxI qDUS6XyBg6mbRRyp24nMuOqKM+ClHRjuLFFHw/LTbQCWvoUeUTmOQhkOnGPiXaTy1yO75B5RAHad uqf7ZaabM1uIdiWuHn25tNEN7CS/kn4XI5oBz277274GMDCn/agMG0aqGVdNc+UxZpvxJLXHTf4Q 9QoZwFxbu4qTDGQwjP/kH2JdEyQwOXcoaH8vAJiftqosx530tBvcdG7kpHMk0TCvIDFmbfMSETsQ dapXjh8ZBlCvtJcB7jOvHiElguSLydmLl/M+SXu5AQS1G2qyJWjUHsEVMOQU4SQCCTI6eQIEgq/P x2PiUp1kSnyE2KoYCE+vEUAhkBbcMpYLhNXY3hOswCGkM6UqlHTW2gGrHYEjEU8KiUj6Vqt1v97H PCJdJla2Fy3aBUUWLTqVJtR2Q16V2mLvkfu1QwcPqMma54MYdLYnSBIC1U50npGEVHDp9lc2VXHU egjoYkVIl58XPhB1UCrqX9BQUUOUTvCyZR1EVPLFEuyTzs6VsjYceBKIvFftiJQdqSP0PejqE7ar buO4HRn/6wpNcpKtkuFx7+NNDAoKj+B+FYQk+qhCqjr1rWtEk5ttaEOWUs2RfTvjreVcVSnBMOfF jExj7MRz/pUtEzkA3/qqM2EufmC+qXvgarDKLhOpuufstNTm8+FlDIVXRQ7i11K9iA0JbXMVD7E+ Irn1aSkvh5bPSg0ZUcX/Bj4a5grKdziH5F2MiWY1L9kLkVRfEGP07K5Oa0r8zwUx34REIMQSzzu+ BI7DJUGEcRUBOuzBlbsCyEDkTo0RBY0hbNB9zOHAzfJa5dPvy1ZkE6WzlNqF0TpobbKJixGpRaxp WsTpNzI5a89DLzxpxYe6QuJash21M/lgFBR6+lQTJssmmR3kG/LO6bK+NSFL19ne8K+uEFG+d0mQ VNl+82tU3iFWiEQSHQhzrIg7WTb6IfRfZ0Jy9ZNzcWwy7HRWWN+Xzmboug0nwtLFi5h2ZpWyy4Mz DcLpKQKefB8SAXyOKYM1g06QYoYQact4Pd+7sC54/IFW9FCK06qTRXlOB4gVoSNJlXO3JXLf+SpV ZwzDy9Ai8m0Hha/ygEpVoPUcJ+aZh4mdBkyXJI/Art9A9kXvxA8AQtEYWlEi3QIa+GjQydwEGb64 PMXRLsoAhsdclzQr6I0cjAPUYa5OWIQEqF2bpVQxw96vk58zZkUJQuFTlag4dztBig+ZgQFOkXf0 rcoQ3mM3W5EpnLE100Ci3+R12+2oPnoZv9+n0Btdk1VG+c9gkZm6qcYeGKYUhKpJ86523gF9cQVW 9kCwFYFhOLIOamMyKCFeG7htznO+ynQCMnLLYBKFgM4G0iZAPtGGEBCKp1hW4pGzrNmpO6dY/icW 4m7POdngJlVpfdMgBu05dYxCIUwXsXVg4pgMwvgvTaCst8YFWco9VDIxCUQhze3giZ3nh/A7+P33 P36k8I6NeV1JXgdA5GBziO+CnX7UYM63Cb+T+Dzvt92fS45M2dv5Q3w9IOh1wdYjy5cSuexf9H+n x3BgDwU1IZ/xssFBW3AJnLus+LLfiqcsQxtYAm4MoWLS6jUkbGqg0drore5Xy7HGH8uGWMdEqbpo D20I/rIa9VlUfsWduPHgQOs2SF2/Rj+RNcxJqYC7b8a40d8Op5VYyKWhZbmXJYRYfzNbQlXYVuEL SVoI89v993ny4QELuTMZOcKF425ebMP5LOBdhQBOHZKT2UpVVoEtNX994D74DqTDD4jF4oadacdB BAIePsazP9ivh7NZTptH94RnXRlRivZvI18h+ZbgDb18ye4WiEYgPVMpQ74oR0ZIkoC0Xw7psygE tcW6/QpHnpPXppd9Jder013ZI4nRXIwUdYSHu1ZufZb4EtUerxhHYqncB+64BqgQ8/p8QzOeE4i6 aTSY1eDqkOstmI6EkF/97mOoDyLejuqaP4zKFeBBUtAoXaWHgBH8GFFBqU3Wz1GjyzQqGXpseVT5 Grid5MTsZc0hSY8Iayz/QQr0pg6LLBwznUWZX6zBqMTojhRL/PT2t/Bp3DOklYrDdXqbrtc6gLQ6 Y3JagqloafZ2yUyvAYjungz8Cwe1oEAotADjjIX9OhykQi1N0Gh0Mb93TB0gR9KNOrf134Mlw5Rs vjMIwiu0JyTQM8iAspX3nMAfrsURfy/6JLuLbBVPKT4kCtcxRPnN2L1AMfGN7C5QLxhqrYgkRwfk I93WVP7ZgzeETgs+4mE+qZ7eMeEiQhDiKhHmv6HxW+Y10lf6FVEvBN0SBM4yzPR0pKpwQne16eQO /a2SRfOVcouBrQJm5JRBM0Nq+5ASdv//NMjIB2XZC0cQuf2q/OQQrw2bylwMoPSAC4ynXQ6BabuT FFZ5bmJsSEWU4oxySB1hAsIs1EE1DLhMSmsQiBnNkOsC47xz9e30O60cLrfHY3qMsbA6Fxb8rQl5 GmWGXT7OqmXPU25qojhKDYNaPClCeYP+ZT3EzRxmgAV+zmYQG487jdJ6RAUb3SqVWeiIGNqzdcSJ RtXVCZdPLlrOh+nS1UFCdgTjcrM4lzb2BPOASuUWO/46+JgtQvT13W7GBBfTdw378bb9cPPkFFpZ QFoD/OP/MiTS//xiZnK79nYuLVqLsmE3Op70wU0nzuJGHiuhO+2SEqbqT4H6tlf7S7SVdRM2uloo o5Y+b7HL48JXcAyHr1AKowDWP+byM4eh+kSO2E9e7+TZ7+iqdsz7L2cpZdX5erYY3nm2ffFBHKKj QPnGgz92YiGUksEqEfILVWd06aYS3LIUAnS3fRFBC5oWfPh2lXAix8cD8u/RTwrJl+0t8NQ81fFi S4fVM1HIntMvbROptI8yBYTOOXzxYbW4eyLqEl/N72Q3ML3Jt9pn37y4JCgZ2G8LR2Njtx1lKJ2m /kQJooxfTiwsFRzdPLcK2KPWfVerNKKdNqHi7rXsqGe1wsa0NefkqDFnXxU7kZfDRYavNnlM1p5d coUPJ3chKOIhiCvEeMXKqscPRJ2gkmIxK5M+HOiK0YvDZlqjVgsW4bBH2Ai7rfDf+d3gZn65swN5 NBfpNqPVnAPdSuNELqnbpvbT7aICiSVFbPK2dtn0oF3GXWjCtukxq565MMprNBbH7ivqh0+m8s+4 f90NSt8dIVhAO7+FLoQNDLnCptcHXgg4dbCF4izl2M4oQpmGOf2PEBBJfFawJEPH9j01hIMmjDUL n7YWzRiU/yUPh8hbSw6zqGBbn8tu6v00M9SLyqiLNj/7s7naclCsClKsjoiz+Z/i2st/ifu/m/h8 ATLS5ZgHZEZ1VDWbNKi3Ks15OEYHVUY4bQXfc8Y+lV8Mr5/9DpE1SMurBHSsDOV6PsOWYcnsBNLG CwUJmXb9g/NFWXwTDzptYmUTpW6RfgMgzyXpkEj717U/GNBIqI8QfHwihPl958/0lQ2MS+Q5ZJ/e h4K6V5oqLlqq2PFNnzDSMVxfxELwDZhfuBdvp/07AGfUkq0n5hMpxDS1KmHkOQf6yNFOKPZCg6DO nb2qPDl6t0ZON46OJ48cqy3wqvd4wKbwz1ABzU68PbXXFHytAS/7NtNHTD/vLTmvodxqH+4m6Fwy 1/RADftjLK4f+29HEKZA9r1lsuGtnN/mh7eDOKDzUWhi8CQQsl3HqHDpE8rggh9jpkurKb3Exr8y fQnQX+f2GanCPU0YT6kasfOkgvymYvEHv71C3gXX1z/koaAE1sWbB1xlR7wlEz77uTbMoIMxVNwC 3BCnC2aDEOF8rfbTkh3fPsziZdjCc7Z4r5WfpdU6w9o2sQHwfzzT5z4rbbcKVd/NJ2Ne+jIBedDm n6pr+cHBemv0LI2JFYaFmFIZxq6d+oC69NiSO3rcKOQqz1FBvzOH9kSwKHpisEMztFrRgWY+wYAP gVTuuQjGJWaKvBgb1u+upBLohJGirq9BGz7B7/YV5BJCjvTD1U2lGiuT+sPQd/sxuk0t0ld49UZo hSt6c1BQcZex3AietxO4hYb9qjXdUTvWLPMG6TVRs3wS8aqJxAE/T7VsQJ61sDCCkiXePICxMAub bX4Jk0604VTOkkziPBMO+ZeZQAUkm8R26P9luwg7NSDaA5Iwo3W3O1nOd6+bdcoqyL4jPxqXoyqs mpO5kjPXQ0cd5o5XB+WwMTjcK1PvEE8pkUOIOxuiQKPfCzXfVuUMr1oIq/veVNzdpO6h4X2CnFzB LMu8MV2Go0Wh6UYqNCjc3WGvseK4l9fHE7c2K2KQz3cArkgzwopoGMS1u3Pn96TWZDy8ENHlO7NT ipMAP/ogOqVEUA597lCweCFhdKJJ58QN3DyOUF8zByn5Wx/LWZpZ47ammr4HTkzC11OH0MDw67l/ 4libigvQBVKhqS3Xs8Cux9XCeQ7lSjcYjf/Fy3pXmhIGuRsIOJ3diWfVcJYMp9H9vLFuf6IuqWam SeRKhzntem6/0zBthC80RYit7mRDenLrM6E6vRWF5VPUsuWGKvVR4s9SG8PbbcL0douqvSfkF6kE aSCPsZuNgLgPY61BorsPb2EVGdQfucW0aUTqDfwfEpRt4AtCKnOP972lbNoysmeiDAP+7Ej7f3V8 k0JALP9wiRwjwck8tdAdzVH0uQ9iKZ48Mq6ZFOp4Xd2ATlF0f9NJYvh9t23t0TUH/n+oSR+lb8+7 8NjbOfR+1X3D8OY5Xo2aTel7kEge0KeSTHNFtmWa9dttu3DdDl5vxcK54g7DUEkj3KEVVL915HoM 3b1OlZwKenmUfS9RMt5LvSHWdC5yAOWEomtsXXpltNLkbs4Db7/IaC5WT1qt3ObG0qF8NG4JtTcf PEtY8Pt1crEWxia0hvSldO5Pwna2uLqkKjcqG1NFgUbQX4HOzMosmNDNviq0WIA8tlvQaJkNhK4R 7I5EFoEaJ5Aq0r68KrQtt2TOUtSRsp2KeSdCtSrUqNTifegc+7jcUhW3X24TsNqmtoHWW32GVnU9 krKLCGTH2X2W+Fsjy4WK86i7wM2T+6RNhgdqZ4DVPBfaArZiHHLssZ930iQlArpPsmPeDyzBOs3l nyoer23BnT4ONIr/7AhAwBr0hTHnsCEH8QRciQuigOcVO8mta1Ph7lofVi3WJa43Y4Oxez3PWT6k 5YlYvV5iZb6We8eblHfQSY72tAD/AzWAOFdX8CI8d8gOeIRE9p/F/Sm+QU2KNIMgFY4hmwLlTjVG UL5iEF+P/fAzFvIaqcMS/BSPHCIxy2q2X7XTA9Cr4Bh4p7HQDJhlYkBmXQz2n0p+v2eWP0Q2HNoY GVnlTp7Cl0bVmUrkC1DMHkzD8SHZ7RwUcjTd+G7PnginmRcOBp+zSWateu9anObdXVBXYTibSjNw pf+SZJt9ZO7FLAf7iEcNxxU8hjvb9UY94qJ+h8eJtVu0svS2SoXIJ7Hxiq/VjuLtV6hFOYessjhG cWl2OPMnaGix4BRtGMQ+ECCGjednXXTuMbdEXmDtXELVPy3mahWoXOLgleoTQb9wlRLHuCBfpihW qZhVvI5y2Spg8LD1qfOX5omKZrYAzBsmal1Pu0fgvDjnbSbfaOncFn/WTyGw9rjnWlYE5ECeO2hV HKFZR7NzJt3DnXd3/+2xxnqYo+ibo1cAJKHWrWgBRquKss4XpcOSruuLqjXgjCzJ8QHnHplEBDpO /Lsct4+uqQzKCRydJuvInWMZ5bO1BmQ/Hh7urufEeFH35Kdp/rFsJiswtRu/PEluJ6Qjk66lwU7O idn5RxKQJELBuXz25UKbFQBmxc45fPqRLL3mx3ZPONV4mESIx/IFnU4ddHk1MysPB/Y6khx9vtwx hjtgNiGC4sER6NCu/KRsY5bCaoJ3yBlv82ZBGeh5rJHaP4G8ShJTP7SdGqB5BDOiBO1i1Z7J3hwb uFa1QUx6LDjR8KWtr84oqAaC7YHKS4S3kXbe9dIB9sEDjk13cY73YsZGIFA/qQ/0n7ch0qphDL/q Oh1ZaIcO7AjNOeJpC+61fQw/qaAGs8vXkcP8HW+cjiLmevzhX28BvwpeZRfauX49nJEDzvN0iKnK QgbYym8mbMzylC36YEROSw5qR4huQCWpHwaQM4p7DG3OSQVabhcsaEfkGJTSugvRiHCCorxjnQYu MNhqiIsdk/hgtV+qSuDrDoWG61d8kO7mIWk4znyduZLd0elA6IzVDcYqJ7Y3CZMcssTETN2MnDfv 7GHKXCPrN4X14wGDuxUN/LR81W5l7SpgOAX7400DUZBUnLo9qRZKjyM+eIg7vjTUCtsJxihcygle Jb4T91/8uAgsrZRVTV2pjNQlkL4XcxGhHleaJm7Wva+ykXCtr8KTXLqWJWxBtj64D88CO8UVAFVz cSbitt0DSfsWApdmxgqkXRHCxiSr1/ubrfC6/RMauRuJzf47Z/Gd+Zr1KNTwxlRahd1V8dpoH3Xx p3jMp7juPEXY1RJ+wC519BfVl2NiX2a1bY9DBbYHkc9efWhjWg61sK3JN/NAT6m/nTx7v1+I7tGw uAGt19Dko5OUsdNmbakfxkRZ9SA7zUHYbj0hueihbVzgB+WHM9/JpnyH7lp71TF7YE2JpvIZ+Hf7 uOXCdvY8nGq2tSyDZx07pTNzyk1U/Umir3pPsbxIUcehTGCibn4dRdrKPiIusbJejqHJQiC9GVJY A5CLV4B+W/fYrv5jGVoimD0lcG1TMyVmHNUgCkz0RPov2v14dI9JE4yGHNa+H6COwzvizInMWtaD myA883Dxwch3GAdKZaw1tiuCtpEB9hWRbTBJz3q97kmEo/f1626i8dVQWkSNHkd+WlsYZqgL9eor 6TRM6tr1hwlhPkspDdNeB5k7A4mMj2qGx/mkpaoF/xzsgZA9Ab1c+v9lOQcKDR4gsFUN0U32SOEI p5M7pKJzE0nSZwQOImUWy35RB8g9dYcyawuWMAhFxpecvYVCBkowfBdAh9QqVCdeIbJUmP/NkMMj uIxvXlXjyDKPZTSWorZP5GWiGoSaQ48OfmlYtnwTI+cuHzgQ4GiLKJOxulRGFO+f7mxR7+U+Z5et M3BTMc2X8FLurFqkAH7W47lPT8TKoWIObyC0UtIItXlxedv1tOQo/NNrp5jcSJeMbP0mdl3Y+FBC rBZVolZgZDVuxm58WRWwnuIvvhCfYpm/HS7alOZnLGzhobbnMhkUKrRmYWyq/4uCpqFY5GK+xAUa KDGLMBLmzYeyn85Jv8014vM5PgGpsIhC9Yu+WLBObYC3HRcNWFTqlxD7x7BAE4wwAxDdbhcWgFY6 4Fi4g32tgKIrEFe8HDgALjAF6DgbRWaf7QzHHcSwY0piTSpJofDf82ZGQljR+SqmPRGF4zZzH3Tz JFAImftZP0m2Yt7fzvusy+V3rpKUedYwKaHKfi7mK+hxg29GM0c3UWXys6Nx0U8uAlBCozpwlxb0 zpz1bWziONmJh1copOlTvc9QKU+TSvllDiwOZZkyJ34wEtBbg+I0BqVuykrgBE64pUmSvxuIDdfi SdNj2drl3YiszpSWDpPUQmC522G4JXUee/eW9xIkoFPCXjp1+s/L0cgSxLXVB8XG+9WI6QvWKKut HjSmCvy1qH89CJPYIgVZWWdZWNQslFOS0VzFQ5aDz/Fw1/YBPuPbqzH2NOB6JjRM9C5z9c3tmvP4 mGxwPgMi/ihPLmO7VMtGojrMqNV6G/GX5o2wFnithB60MikGqe9lLZ9c1PECL2+gqkJRdYNJViDO rC+KtnMEOuPod3QTzFWIdbIc4KfhylSvqo7xD0JqpeGEV/cZK0UHhNcJ2Rr4ABquQXl5zDXsMueh vrnT+qCRbKGQYleELH/DFRoAGRDC/wfSxCj6iM/jSPgoY2ErQ3GoMS11HguT7q3nfCVswwNfBd2X E+ya5kQXzzu0P2/zsYcjBAB27VTs6P9eyWlatpybUkBJNSi3/ILz9LjT9ZQnbhjWXKUjlaKtaJXF LOpRbLj3HF+w5JxA/f/a5VigVVeVaRfvJelU+1sxG+xRVjKbSyvGGVR0N+E2c7btlhppF6Pb+HLU 7RYY2GZX7Txfndk+mZELSTNRKCvDi86AVvxWCwaHm/GT5+FuwNJnxvu/80j2QNw2ybQShpawZU6l aQXO4kz8oBhTV9AWlcqjKMXmn5eeUBDUbUlOPl7eQQ8F3MFRrJMOgeELvtO4gRxPGJ4cse5qqK3S 64Jd0xFnokr/3RUvZByanV9+AlVxYyPgio9xd+8dyayTncNGq9udnqsIVq9nACRnGjoNHG93xezO n+NRnXuzuyvjJKCah5XID4Wi5+nO9qH69t2K4wddeT3QldmSp99Ykkj+jKPZwtdcf5QXAmTgLanv MDHr51g+gMxEe67CI+fh0zKuYsWlrxKsFD1VQDLk2VoWuXBe7wwiy1C0zmiOmgNqH6zQKZvLHvEl m7OriGcJK3nMvRjYFqLeMmCQPeLwqMyPH+4VWjbWconScpeVNnxOMfA+JAZTWEFA2nHbOJ4ja/yv XonvQX5PcEFpHEQ9xCdi0fMfIfjF5ddwRDlpT6+ztYO+f0/NxVwuEjIGiJmAR3OT+5RsjflS8dD5 /Ui9sUDvHCAyQpGHqDnpZAwYDLxMo6uL1zFWAp+SNl9+Mv2sUMKThR6cQg3qiha+0A3l1tdl6cUq qtPMm/8nI8MK9eMOb8d5CZXwHI3wwlo0R0qU+gLnREcbd7cDwrVjjEmAHvNq+ZY7Dtq3ipTeiu2B xis/BzwKYHGzDuK3UomSCjOfwjMxQ76n4KX1UBss41px6/4kqm1NI8p4qNQ0tFV95/eIT9sgN8Uj iaeAX9sJgXV5EjDSzDFSTNE79yqP1RUazD6ISNjj9dGBzvCH1pYi9BPbDX/4ye5vV1cNoVe6wWJt J2gff6zjes86DqehCCk1U23aDxHa25vnn4AAUSlUepMRFRwHa9NBS978qe29LYhj2D4Yk6do80Y4 ZABmhS6mO983z8e1enfEIKYNVILIYUljOWUjI9A5fqLq8oqsuyy0hzldtglhJSBZyRaTCFWKK41P 6xAGX0sc5eeletGPfxtxAKQSGfHr2ygm/wzCKj26XFp7RWCuVjyvwZmmT0H+eCVGl2FS3OJ5CMAF cRFZnUADSAop6TXn6Oho012vds0QF6s00cvaZzu8JlJN8gTq3a2Na+2GxrodwtxEDKZv6GmVyWcU 9L8OEU3dUvO1hycMCndWQ43bZBVMDtYSExkkA2lo3A3hZBf6LUcFgW8WuvSHj+MSfC3nXqrTXfMd a9NL9zT1X+1523wWZNiQQ5vQA5mnApnnIiTfJTP1HWUF5JD87jS9eMZBlFussqoNofLU8aWGij5+ ER2hMv2G2ei/M7edOw9uV4CYy/xWJuz0esQGSVElH9ecmY/6LiCVSR//C2bjHBJvyRwW7t0tmm30 NehXVy9gGjv1Qe0NUiZEY6Wu8QDg71zvDN6o5GjGic3NKSELQGu31LDC92s5GOsw8zbPEdrDHwJ4 +1GExi9v3/17AVM2CILQifVJhLNmXvowgTYGcxtSvgnYeLVwoVmFcyNzcUVsdUKfnP6CXlp0kli3 LNFQXVvtPtmiDE5dv2UUlnmARmirkMj/eg5JTEiRVIvCMZRlWE8SFBz8Nwkb0z1ex0tou4VZ6S4A H0K6nfidmWlfsvc00CZ4qWpBBwQj4blG6w/diFva4/11Wx3Nq7y+qpBvFqPl/OLJkyWM6bDLdBBM DgYI6qRcxLdIvsf5lz1VgrmSCYyCUOE+e996EuMUmu8f8AkvBH3K/98c5XMERDpcFC8Dt/gW73bc jq4wG5wmdsatgSBTqij4Tw73NT5xh7rMTT+J+AijPa2CFAH+TUsb6v5sbU8GjFFZcukXi1JqzQxi CJT1n+PthGDwcdQ9HfXEG92tyAkHUv2NisMX4X3wzYvoH+Nrsf1ysvIdMjAnlsL+bEdwA89TUwyE cCA39ou1yBNQWhYKrkwaDfPA7LfKqE/nnWgH3ORfHQp9pDx1D2FR+IuV66pz8R9jThtWVPEbqFwi 7TGFn+dA7Iuanuyh1Oz+7EZOpf4MW8UddgHLjOUt9t5byxTiXFdpqSbwTkdk88pASJyRybeop4tF zIBi6bqm425hJhaNefXBED1e05L7UHZaBSrTpnJiaFoHZCIQsX8jt55B3lCCLqKP9kWKAies/8lP ez6+NJDP3f+DXHKYpuovG8twplmno1xnsp8dsoaizLcvpchkv3TagRtMOl5wnvd2mjvxsmKwIjWY 3IhPl6Lzq/l1QceWr/vhBMet592Oufc0TdJpcT+/QcgyVyi6VOW9OT9cjglZXS1RzpV/qxU+dMYb XIPypzT6de6xcPfO0o5ig9RhV4gf0ZHYuXtt+XYfMrGmoMvX157ds+NaeGkbcMMM3SJcr5igUD46 JUQTwO7BYKI2aiPAViccdVBHGCaJY5rUifNpChS+bPFgbA4RWwjHL92MhGpXxo7ZoWTPkOy6Pc5+ 5AW1WHY8MVoIiusvbfznrT1/4JUZmO5rtZBddL8xb43Ab2NsMzOhKZvdJ7fM0YIEWXkAXdTgwL2T 6mVbWEdISI59UJ0M6HpkJ2iDh9YgsIK1spNDQ1xkojMTKxmxcEJkQ4mLr9ufWfib3bdbcX75eRMF Eio6bc+I09Qx5QnGdplYeF9P1gnbd2F9G6HcorpVvddoSTfCGVHAqyTc4vdBPfJlxclTuwITu7qt VFky0+h3zhIRPMcCCwXWnjiBq89PrmXwTMmraH+jsVxZDVNXCA8Yep0SppuaLajNtSfEV2aDV5mE 8ZMUxqYDEJtkIHAeRkRR2REqvK1Z9QHekBVVr6+l9V1++0/e/ryv9bIEiHB46dDB5MX2dj/k7NxV CN+L+UibPWXzqwTmP8/EIVxH/xuqAjasgzL8+TUoR8bGjwFP9ARtvzSlBFYQ/Lx9Ei/NeXMjyt2G BYD6ht9qzOsezXFR+//O4Etq0QyLAMBUWoXwdf1hwnWT3eu2+L3LQx15LDEf92tsVVD0X9m39rN/ NhRha3YglsOTBtQWd087ylryPyU6z9gmk/XMqqMPIWQRkh3dD8FeM7Jr//QDWhmD/C5eSNEf4T0c vqxWdRR1ScRVX8uL0WJAbAvAPDCFTzINPdkNV4YyKrPYhfVgz/A/NJ2+KtmBW8vErJgG2aEs2aam twUYDO+iOgAP+RsazedxL+1hzNi38nCMNwJi8LR088t6tqulwDtCocGwZ5Z1fSMj8auN5dxADF6P n8V7tXXnnArCvRpc+SWxqTOk/wKcICJNVr7lnFDd2jRvZ3U+8w1EXwjUb6AIdcJuY4wars4eeVB0 rF0QtIgFZHU2z5hIelD52eCeZyAdrcl0uk79j0AnWBjJNB3UJZpJzw9845D0Q6cJ/Ek20IZYSEyh /FQxH0uxv2JNPXALvWaKANkjuOv1IEHOon0MGzY8PWugGJYyz7sCtSyCOPgxb/w1bGansfpqjD64 /fRdVLKeYwI3+GojVr/zNSOF8b+IsbNIOkomIBF0/6dzjXFkAoqoHaT2Dr1U2BRK0+UsbW7uW0fp RAXYEMqcCzA/AyDZ8GGQVH1lBJ8sU/225imVnHg3WwSZqIboiFq1qyl7zhngFM9WpHKfKCdAHAhu U7a9Dia2jc4N8fm+AMf5D39cJrlhtgcHSJJ7fOuaHuNSdHjIObbZIPu9izCurZxPrCg7ktsemloA qfjyfw4pIy9zYAmGM67PCnfuzXJIMmUFsb7RqHoR864SteTk9W90zrurpLwZYzsNRVynFneOxAaJ czmgd/bz4aJ5FpRrK250PYZEXGS+PsDpVSLNTYhvTRmCA8szGQKrLhNToGDLcmnJ2tEi/v7nRc6d DhoioC5ma5jwPfXjLf95UddBI5/QKdRfb8JoD+C28eJ6xWcKNHRafFtyEAuBFaJtE1NnfCE0A8d5 Sq0RyFZ3do5C4a9Au8POeyAPb2I+QBtzyoDfh08VPvuz2359iadMfKpDJsVVV5zEOowj8xmrykuF KUW4L4C+B1U/01xlUY+JwgaK5JK7vxqWxWf9FBqnb1FIR16L6oHzQVpsr/yIEeYgtSEyFzUuUb+y SPVkqGwmWiSSUBJ/QHwCyWTMltZkEVfErCRsFY+W9hJ35OnOHvIlFRca4Lhx3EWA+EQ6O2Uaq0eF aQ4TOD1+tgn8HiHN8GsIzoQcCYCWbrJuGEnaN3BM9LsRKQozFiPHFI0/JesxWF7XyS6nP1jAm3RR QN7GsQQ2NB7h/wHGExpIYGgxlvM8s5rMO0h4FMPwxxJyBcdqxMvv2WKmtid/6mLM2J52NLpZ99JZ 2tKcAsd9BD+p3h8r0k3h4eQbbNkscRzdGBZKZZQt6jnQVDkzMeie3z1NmeJzGGcBVH9aHL7E9eIu V88hNAqNLm0GhsG6inQcupnLL3crmgNw9WsZnPxZ5dneZrkujqs55Cerd2+/ciPuAxPLoyT+ZjYC AkHZh+1M9iVFOJKTl39+KRKyXfbPQZNY2NX6/MxWuQTmXmMR4Jmf4JgyoT2d7l3cxsSiC8/zx2ne yDtZUk1fJCiCHvJFYyIv6dfXayrKNwWAtA/lUGK+G1jHrz5Rk7Fyvv6ZiwqW+duAHp7Aw360G1v3 QJ+G1vgZivY3V0C7Yv61I4y6TNFu26jOGo3uTemFvusWWW+YrqxGiNHPjFZqtQ4E/jO3OdulLnOx FoSiG8Mu+cxLC8m0238nIw57kpE+QvFtguxrULHgk2BKla0mu2T123PNHUzjEvpBx6pGLtxAIcQz CPNn9Ggrx50mblLmN8gy7Yv82I3XH57+vwd8oYdaLHAwvPtLaiToWIg3tZyCG1Ch+8pt/cSMZwyc SMl1gYdaprLePa0u/p4RJgxbQbNtSUpa3R/g2qImyJs9kSdovgMHvHmxnnWQbIxhz979eWacRCFr jhi/0+SK3GRJb7KuPiI34C9YwCvL6d/KmhxdEpOV8gk9UJGu14WVujIBSj31/u97GQlsiobp4wm+ w1gEuaMbd771wUvRZq3OXTjlwCZFtJwl4darUK0HftYjX7t1eyDASgZeczSTy5sAZ72UNDHUW7PH vFpxJ+mxfaryL8pYouCFJm+0q3kVepBMy3gw50iOlahUaYUjnkHrY4fIFVOyyX7EsamL2ZL9qzlp exTB5zeNqUDrRavsmSumEj5SrrARpyRPGmWN2DLsGilt9sTZxbfcwvis+mmSnI+5f/isWahvqWuV i3+l0lX51VusHs99HwDsglPN+mRLrgyHryFYJxcY4CUIGww75ImLSbmGcnz4o9w1e4+t2OZHYe+u nREbdTQoYDWuQIbsvL4UiArzbtZo5IpEvf9cuKCMwTw3FfDgTscRf2yj9xqNzOg7DfB+PC51f/aA B/8kiITUsmatE4pOHy13BTBXkLKOKwpcbCB4ZULpGOcisS+lS6X/4wfOh7QTV/hYJFp3BLjhBdsW zWPf2U7T9DX66tFAm2HNuzYd1R2yWyGZ/1EsGXsJvMkX60PP9MDau7MMDCWToXJQn91iwj2YUkDP Pky2Oj8l6HOt0G9UNduhEfBhi/dHGnijLXXqVI6gCQ8sgQ/rC0nDhdIQSeiSJgl2ezWOqy4meH6L I/I6lgiCprrP9EY3TzU3ARQXytbR1CvstHyXleey1tOGvjQc8+JRHdh7YavVFgmxst2xYQNirnrx YaE6qtXy9aGdimwloVVC63OuY9VDt2rIqQpNB6qHK6RpI4x0nckiImOWeiyCTl3ldNUtypnvFuL5 QufvlV4hdlRX+QjvZhI8MKhn6meDJ+4av+YDBb3wyWJtchEGeYOnAuT7wBzn1ff3c45ySp7u6e8m DlKpK06TAouZkAbpENUGjQ0gj0nAUxSuNnJlGoFyDUZOGTeb7k1B3WpUH6m/RNl1bjMS8ddwFm7u h4JSRpb1bi5YnYvx17EtYWZv7vZeEwzWaaL649q0gap12WSfHiFYyouFS8IBLrWad+9+O+5S0ITU jO84hxTr3z+rDSDLKWwoNcSVgMX2jPMR3TA1bJ6cX1wQaNtZZaPrVwfCNuxmGon4f9GVPqhbMgU8 pgnqlJBvDF+HfJzVrZ+/DQvwa0x0W9erXs9w1TCVrTQeAUoNdJsCjtSGskRzLSiKrd5i1kCTB8gG Dl/e1vM2j5zH2mUYlZ1PsO/+6UhSXKMYPvukQrWF35/lEhGj7Uwf1a2+Xt9wCwlcDuNxShHDUHfW JBvqOB8gcKqC3sivySb85zpuhyTGn0jG6E1bDadIs3eMAKcTeDCUVH65934T+ree7kJ1ApTYOCTH umrVouPt4e63HtZV3Y0I4aKRBduNz0jVtDQhqA6OTJcYo6mnPFh73AUL4s+gHLJS2e5pebYVNaCF lq0sovDAZJJn4fX2DyBdINCwGmraQi9f8HQhh0EvDeqFzzUmAIFxmzBUrmYm/fZ+lh5fF9V6U4UW lAKrsFvfTJCLUVP6C+ImFLBvCWUuT2eu3rbtvuRgUzJB+Oa25ltBsDF0HuoV3dZqJ85Gm3rxLOH9 +CTSKvEfmV8aPnAo7qlr0F4LWoqL+lli+pyUCqzIjC2aT1ITfiPO/Tq4CrYInMtTuaqRIoozpdye VrOWEZmptKQZxR5ssdAQH1rRcFUGwyhgm3I2fHtbFpDExyW6ZLVZwSjjhcRI5FHc5ilzEVuImaph sdr8GRHDCRagfi3lo96BXgzDbtLEfVKjeRPpijNu5ZpIMVSc0dmNS6k7DcOzFMVxgjdfp0OQpcL+ dS4daNJ5BdMvE1fOH5jkyYgHumQjnNm3+HRVgTPwPh15jxVtKPE/EZkkSw64vEqJnglB `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2013" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block b+g+2OgOtDhXleOrnUYpIYIMHgBJ/lzibaKqCMZ/voxhQfA4tpZumNuYsCxl4fIXN5C/xSmkyuYT XxsJeN7RIw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block QfwfB1f7Qw71+mJasiol0LJ7XgB3BQmROAvJ6eYI1KeLZvrpq752eLZCYL7uL3NX3M6zAh0BmGlr bJvsuuiL808Q4XTluy8CClYflhT4R+TZIRjh59iXbYYdh4vcj42iyWCX+h6UtNdpUUuyurV2V9BJ 003goOCvQfTCKg5Vf0E= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block tdn00GQalxhTxlzZ4yDDGCgStXNpoZVleH6Bba7DWn2HrXcBupFBgszvy0JqsEgC0rTOS0kgYHhf kDUzFCPjSyTuetHdjH9ZWiWQD1TLegR5yo5DPkw+w9FdDgmIh/Ox69spjtJN40/3+T3djQ8R8T65 fn57hrDe4h4CvGFeY7f+Z4c2ejiqmO3YvpyGzaghfbHcxW0IOkfIMuWLars7o1YcKumI2ZQ2unnP 5rVxwb9t4e/Pz4GBLWonljttk+xUTfV+RYqkuQNE4h+EJw+OoKqWTAUOGNz1AXcoz03H9ExyO7u+ CtBjZvtTtS+Ng23RXda/9UJUAhPPkdl0c1es4Q== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Q/gHYxWCqkBjIsE09UARidE7Eu7QeybXnCHEgS4z7V42yNEEsrU0sX7w+Jk7D7GqeQp5YkhTc19U 4WcFnEXqRDMU4iFXPShESPXqEi5un/fCY8TeptigiUSfiQmJizuVGYsof2QjPQskQ3VzHThcFpkA JD34FaNwIpMN/TxFqAE= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Ng7PMNKF+TTbYj8IHjYiGBEZP0HTxksuOkatuPaWjKFAfbzM+I4i3J2UqEFrQrWo2ZJcng5maQjO FaSilskuHVHZIn1oBHJNCXvNCBMdRMXBeB6Nkv6NcqGRK6jiyBKqX14PBn12R9IHFl4J0B4LzpI4 TvzmOjIdVKPImCPzhQECCl3Xggw+3L+IdGT0dDPgJGRosjxxto3AR25eY/37aCYto4E8BTbY4P4v CCGnHjksAUorsCaDFk282+mK9aTS6H4pEtHCUDS8jYv6nh23gpwti1RPcG7HIWE/Wmil8g/cyDFF rjD+n8VhBHbfJYQVoa/8z3U52OEEW7Ur14qA8w== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 99744) `protect data_block pkEn/daUruLZjr5tZ2nbAkEObjhonNXFtOv1XkeFyrRSv6e1tQe6JdpRqRS1pIGJWWhh8fjDeoFG 1+7M6TCXq5MbQB0V7Of3h6z+e90HGWMp9rJGqFsOfjl/a64oEQkCIdj+JgeFUk91Z9ZeXG+fSvCO 6t2b5SjWB1HXnXqmepyeo9PKEmOp2spBjAeFK9F848ffnxQfWLWMY97K7unH0jEd7LnjEge7SyyR ++4lcaEW87m3Ui0LuragEsl+5WNSZ8NRGvz0Ge598l5PJNL+cQqdOjPdRHW7ed4tRbpIJ336GAWu jqLhtAJl9J00fNaN1RiGp6htT+XMw3zWky/VDgpvYO3TaRP3UnUfGpHlF3cmNsORvZ+KCizxT2pJ 8SObGBCnGR+UD9Bk9j5xRYnI7ZOPc88I3E/qZVhHs4swLIhgSRMtv40AAhx8Usu3moMNYl67BpAJ ASdaBNAD3NHcaw6SOK38UIZGssp5sw2eNaAYASl2bMq+MIU8eDVQ30UNbtKU5HT7b5eIVQKPVPKt MRshrrBRJDM38sRjouRRJ82C9WmnoeCAJbKlK5qw8y7vW03K1gMAWH5iQcf1gp7gS2zK4sk0aGkR 6vA5BlrHAY5QPuAzQORNYBKygM+Nxyaj+qUiw2S4uWU4wwayKg1kwie1PiJZjqsloYuOxv0mhEAu Dl1Htl/LkxUnHYhrWFljxsBfc4f5ZZyIfOueBiYAb6D70pzMOCNQfDOMdRp0IAzmbAnS2Bj+rL2W eI5zLAZKwqTrg3NARXXJYcXnPA96QrPP8vOd+FGKlWE/LZtd37RNY9lWNKqWj3IQ7ZEggNXlyD6J +Am6h+s3RXyShkz4+w9tuggcwEAxz1OwBS/3F5pmQETHTynjdOwkmcG8q4S/yvmdpXOk4ocRRBYq ESFIMpHw20aPKLxy29J9P7cW4ipf3EEQRotZZ/tHseSEGMr1UAFVEFuohbZvsDYxmsOfFqewF3cI dLd+BJp9fgeG25Ohuv7/zYPWh/SupDoO6mrNQf35KejbWMB51D8co0Q/ngZ//VAXQAaMvaaAxZrl XGqetsu3IHJ7pHVvrLkDLfLrKtWRHIEpuapulz2BcXOP1k8WpKCZrYm0fyBMCmIfK60HMaQFJQ7e KGMtjPyVsWGT1XM9v34FU12WEmkOfSbvvEBze3Y+UHUPPuOgclWV/wt/ft6Lw607jtRa1h/K13c6 avhVW5ivgId4ihTErKcnQQvDjkI9qQQUHDolWj+rBfmktMtlzkUNoiH4yZfEFOPGh8/wRG/wnsLb oH1zUAGS9KPww0VnQOZQsi+3jQ4BLblCYXyDgAfnbG3GOo1kPUdveQWjo+djWO8u3kJ/2SKEyJzL OHNScUwYtHnC3NDXK37tJ/gJLKjN7t/Y9OF2Xik1a8ARg0ole9jmGHlmeRY8am9pWoFitzACykp4 2/PFFuDIg/zLXsQJkqlKyBKcjsuAwsXEjuUk0UH/q6XZxPok29Yr1H36A5yrqgZ8y7A7bWNK6l1t 1nLi+YNzH/hyFzBKOL5F6ixQMUVAN88Fzqwdr7Rhn7BYtEobJBlbeBRPvGOUSTAivc2N623Ybk/Z /Czu5DUDOMS29Vdx5rHwSanwEyC2cX6pVnDO/SXseRRdTzRZvmhDIz9u18OGXlcWSAFVhTxLkjCo Ubo5BrQpZXryX/EM0CP0jNOPf4hnpenvMzDJ0yDyXRTGLQuJY3JBkmpOlcn+h/OKpq3A+LGfuFTo F645WkOWRazxrZblW+2DYlucIBUB+PHUJ+6TR0XOJTBbVCUgqi/XqqYRCXLetr7WyWwVYnocJkYs QpLqBgTdxl/0KroyU7xYGa2nyNXmUlkNdY9gVO+j68yldfdviLZHUWtfEuGyWlPWiAVOd1Ugekks DiSaAgAXAXiwV1dRkmdhBxNY2nACzDgxEqPdREihB2CcQVDVfAU3fT1epZ20IFPYWZaKeesOJvmq 0roGZ/E3nPUusW+tEXdNnAd5bXZL8txDlkli6P8SoCEc2m0uqHyAYRV9B+r/xyLsieztT0zxsUAF T1nW+e7kghBwMwp8N3bZHocJBSr0nk/XTtMSseJIht8KTPR8yW2+ZICq2enwNuyi9Ik5h9Gv5zxw QarzmepDgvnKC1jfTlsz3WQ/9SytcTJ2Zs2P0ellaMHoza+x2hanma26Vu9RqhjVj6uEFKuDgQzI wloR3PbOQfxeFCIwPzgmISgv7j//T7h856hqRYwsaL1u1euIspjis1KNXsDDeI5+dSd/P7RRtgjG jD/3WgRSvmd/hrvSlrFkjkHGZG8MhRfnjEy4+fs/6egA4mIeebvTcYBkOWVKp7A3eyXatWbTqbsT Cs8V+cPriEVfKnIOCwVPKiJ4K7ZOfP6peq9ULY7fIsIKmiCS4HEpIyzvY6JsUE3HYQZ2dv9VFEq+ H2YLfBUfY4dkB850A967NZolC78KKmgP9UTOo1QcVLSW0whxeD/btRyxP6C9voBK82A0fKaa09w0 nPRxdrzj53nhPKOLoocJoxs0ulc924ssWjQh9qnSez8HMxg0ilZMaSQD9Rd+rfs1HPURVjPFKWW3 Ybwrx7jeqvW20OG5Ab/giQLB3gabMKfbRzZ9hKh1MGu83uBmRD8TgbhxDKnqWIrL0Qt3xK2h1+Ho urqU4qYMaM/RMxFJcB5YwykkJFGXyl/l/5JPHq+VrFW7/xVa6sMdsKgLSUsc14t6rQpMijWRf2Uf 3zFIhhijm4OSlpM99g3mHRDFT7BbVQQ8BU9KIxhSmsKRIxt/WHaWez9ji6BLFA50YNJmGHSf/pO7 Cg2oTVdEyyXiLT+0ehBOnA+IW9uYhguGGNsm5d6nMquOuqpJ1RDMIMHHBOLzJUHAo4LvTNg2a09D ruE+fowctd3kzZ272DEXr6dVjqppbOaR09dLTpkSRxzJ/3PJNdx4WyuHz3gtytFx+GyXBXiBOyUI FOrgxjRdAm4UiHkp4Z9KWnNtn0AajytlMBBKvf2QiHwXURHuRLQRb76yXM4pL1IpiFVxEVZ7yWsd 1gbgiri/O080nGu/Tslg48OiSpT6ZKKSOxupydfG/V2jhYH7VmoENYIfB5SR1vew7pPHrOdRK8jV plUk3NQhF5gCkOvFUHZ9SYiex//yur/QyAqQT1vKlzRjTlmedCW7d/CwVaujYD8IXqMTUJgWNmNL 3YfMvnt6wWIfZINx1LrJb6mgWRkssZsfqTgMYVUOlwizwzbHhZd3aTvZJmGiPcumU7oSI1iIjdBH mbOiRi+UpTKtUAiOsR+jHbD/lTDiXLSTCxA8Y6q0QDTEQhD+OzNv0BGzljKpTA44Ke8xM+5lrn/3 +3k4PGc94mSts9LutWMWCgratmzD8zlHtlSuWMAKUB+FI3DdhOhm/+IXgIRjnlkIE5XyV8SQ0UIE 7DcQlDIgAqM0/J8WUrmmmRHDjqJVIDGeb+TRUkkME8EjGRECGwoYA6SKiQcjNjZgJT9wQBbvKhxu WCVhJ8snwgLPfZaxncelCM8CmaQcVIBZlEgnTA87J7rPoZQDecQCi6og6S01jki+oI6H+jff58Ub y5qv7/cdJjjn+h+92dToqK8ZZQKbYBlEDnztB/xOk/VUQS/N/X0bvdr0/YKqowsJ1qMObWOYPAso Y7345Z05eMOI3tYfIm9u1p744IBF56XFxny7FaIysasHwozxpnz94PWAGigPzzFUO5ITGA4VjTnX X6oYS/BySu+KHB3oxIJB+py2Jr0snwJF2ytA4t1kQ4kdhGkCI+7XoOWKnx5+ko9kKhQ9AKCDN8Vx Nnrn9kOoBCUNhpqZnyT9ubY269BOJlc3B29DZ3CMqNzocMxqC2KBOu7oC97w3NyiJHB2wfEgnCVp g4ByYPe5S+/OCDQe30uPP/Ewfn3mDAkBwgnt/XF6vOI80bZ7YsS9HMzrfo0RmTF63mYkcVO3/PlD 2oUJu6JWR9oXu4KPFxNmvzF8ll0yhevFRXO2O//5hEomYgvsONdIv8V/xp/sU0VBPx9gGnXewgGd xS7jP9YjChfHRLKbSfdJ7VsMyXIlm7eFymLpgP/GftsAOEejyhCvTZqXoWtpI4CvR1r59/DGdI0+ CMHZ26bKsrZ3yP+8F2mzOdjIp+ZymXI0dX03kYHR+Dcg8X9fcF+0gK6C1TbJd6BG9mfdxZzN+3Aa gRJSEOcbw8Q25ykUI+9xW3Ebe/I3/ce0mCYxQ1oyHtALBkBk3fuEWcab//5UyJlUYfU4j/ll/6Cz dxdA+NUqJdm6T5QfeF9ei+hkqnSVcjF+USRhHYt7HHuw2eVrGqUtOSH/fhSxIu2JBmHwF8QZEw3J e+F0o2tCWySWGTPjLojRaNC/+RAsLWHkLjsoxAAkafTs9cuF1YaCV1kTqW7pSXNKhJznglSP3hLy dCh+7hofE7Z5APSgZTEQeAMMbkbb3P3r0xJvzSK/M0JMZSymP9D5eMI+NdOPpxEo0yXmAnsP2vSW jUZ6QaR/rwBa9xJJl2xXbEMrwi8rzCF7j8pGWnxKHyyVXC//IUYL2KGXz44xm+5bzHtmtrFzqhMw D3m8YFp1sok4F1bJYBRwjsR12GM7MObsMRNH2S9LsQZQOS5OojTR6xwJv8Ms/C2Bxix1/YlIEyY8 wKPbP2xlLd9nc9J6OFejENHQCXXRJtZFetIEhcUAeZinsTK2uzME9pu48JoDPSLrVzZNitY+Byiq S5jgopfk8harygQM23xlWVDhlrI3sac1nyPk8HuV0nngYtavwajtq5BpeSYZgtrtFSK26wQFu4cd tgZBn9MUM3phmw7Rr7+Ul3VqQp0aKU2wK33SonSCQAr7dTILEqurgIkXfx3zR68lGh+eZmx5NSMB tJtRLXRfOMrlNcbfLR4WeVUqucd2XMdK2Gu/sFl9N6ERolCzCplUFV9VkddKw8xhRv1xdxhAFpr9 V9f9Fp2c3n/pBV3v1PadJuycFIfy+hmx/8I708+uW/gie0CQPVULOhJ5eybf66ORyLAmMoejoU+W LFVNoxzSoi7eRhbY8j/YT2XgRl4fczaNORa8dl5p5O90tpbL7BAEgK+VjwdLZnU8ECynUGOMYHdg 9mOAb86SfMsmE3lX4EieqyeFZ/WMkOgzczOhv/tkrcvBsXAMB0G9kvZ8m2EjNyvKznxwUwV7dOMT AXlHCR46A2Vgg8bVqUPEnzQHALoeTVjPInMGPzFVNYl4NbAQP2pWrjC/Jno8xT/8kzqs/6ELOyKC 4TTOYRjopPQJGQ5W46h724kXUt391eO2D2buUk5tQnD49cwlu4ZFTOrBlgqgzUS9Wtm25ak/ZBRA DaH5gFbsEXaxPcZzh2kMmj/6tmOXEmF3JVga31hKiRJzLtlWSq3muvOyTIwQZtau7eFyZIVGzpnc HBqU7GZLZk1cCDbtFsCMRTc/Jj2x3jwE+0NYQUoYoJPzFluZ9VUSfMbg+gU7BF+NtZ67jc7rSfIH xpBG04ZGS77lqrti2tVOL9by3AyPI7IGjAOISMZhykCo1gmUVVPBQzjjYDuLzYvyGq/EeipAYVrP DfgBTqr9vKCKnWn0d/NHIlWXbp80RrY54wEi/U5D82NbfXS7zYGXJvBO7Ulj+aQTJbNG4iraBzCm nfKoFKy+ktBKXhoDzeBu4XRoHNheuA/M6OrBss5nd5EiQC3YozSJgJPvvbqi8eTd27QFLmdEwI8D DMOSRAfNd/K9D7gWs8zAihKSlPHF7QS1F9oIpsx6ozj3ZIPA28zIVMaJ1uEchTs9Iu8Y6WgON+G4 LOSrbph5hM84a/nCymgPJwlYHOqC6H31w7ZX68lULVVPovxp8L8elrS/0/+udwPfPliiOMBPztgI dvs079Kab6GFiqebkyhEnrLAyyak8Uyt05E2BcwlC66uEoRC83NwM21o2ETMz566E6xtuis0qLj/ 2FtIuQU410nNnoBbRTqrAJh7LIym7lxODcmg97Oi9bF/G0ioxozxLn7v4eLx5eCzmM+2EyCm0Rh9 wojPhxAU1zQS6iTtnzCWC7Yx+eXs98mmRY0Xe1hewn1MWIeL6sU+p5gJa+HPn6GCZxUojm1eTbu+ kMWYL4riVLReXqferm/Xah7UXUHy7TmEDYDOmtaVf9TepFwGd1XnPM+ufFckSXVKGYtwIVY6KHS2 UYd+8l5ZXk3bpu0azdi/1E8k1eBcVpZKPWSkXiSbtT3RvyZF1NwPOXU8Me5+avnsZ9pS3b0oEOks XQVrZx6odcewkrJFXbn14dcKcUVL5b2FyzEcdDrbGUUBHokR3RwKL4ge24kFSs5N4o0ycp3q+4Ox enMu/F38tlmqSRISvwMVHsrdccvKwfp8bRhio866FWKLTvRB4kxiZugfvUIK46T/Wfm2xQ5EjcXE rx71XjpxYZCQPVxurFP3Vd9LtzCao71g6zZ4aMGw6NXaszP9giUqrw/rlq9Th5Rlt2/qPSwy90KC XK9cCBynwqSxbKzE0VpNuKuZ2EWKdRDGDvXFcqs0DhDUmqZCQeEqCYXW0nYL8PAHg9zUPLH2QL+Y G1Oc1TgY9IVCrJbt+IB4TI+krl8SkH691h0ShCcKKwT2tHBjelWKUtp92iD89mVdV5ZAagYMh5pC jPVsOErulKdwu71/gTurJBeaX4FZfTGHV2hFHq9V/5R7cykHk8fxPGolcKSwD6qL+Tvh6a9jAjto UnrPmUR8f5CqBaHUC2k0LVK1AkT06o9bGczuDeMaSvmgk5+gmcnCFHgdmPGemqoHq8LyV9UdwEZM ds1+dDZeN/Qc1uwGRxRa7pYLefZNSSl91r0nXZGroZj6O8XtdEvkpylQlDvJRzhPJHrSAG5U1UKl 3UMpeuaUfsoSC9nEhn4GQUk2k/aGO/QcOt7ULjiENCI9CCF6bpE3hDzl98Kt/8+32QCmgaRm6LtW kiKBtol7BrJtqVMK6jLlXzgDyHQaidbP0ZChOiHNWqcRlBtLHTKZRIva8ZLbK5jQcCQ6n/OQzaWY qiQQM8gABS4Z1BaKySjkfULRaMpqXOc7k3hV1bpB+jpZ7MG1t3oCUo1JEL8P0FEPvJC/hfyQxsSq Cy+ryadE2eKoLTarL2PdRVQTafx7ECiqcG468Mtt195o463Eid5txbYAy6/I3faVxzcIP8a0PAuB 4KxtFkWWNpkuPZVPA5IzVYc/+BHcw5llz4YRpGOyQfesDqNnGOmQpqNqm5NgEFc0rgUMYCXLp039 H54VKakY9tk9IdZVQAq+s0Nl3lTcMmo/umLVUR9DCXgoT7z/gDv4r66GXGfrGUeyQ+6iaEG15sNA W+RuEODXrr6X/QHSZAZqjo+WboJc34zTGV6TaGdsg9XpHh0a7BNEe6qgWniVeaKKemzNGHmf8495 Hc8HEW2T53iSeT3OUhvef0XmVZRESp8NzBIfqUweNyqFZKUuJWjeTlCmALTLKETQgyYOn7ZGrWY6 PJI6ivs+l7FJVb/Nh3l8cFf9XXStbUtprogOlLidEEWUa1bWbLbGDJ4ChrJWLSo3rXyGX34P2/n5 PNUGpT0u3Ng2JsT+bf8avJ6v9tNrNr1pd/V//tB0ye9IcNIgI6/YneWTa0XCf2BIE6acFuY6kpM1 IJ4uJkT776iul8we5zy3V+HSzFVi2/IyfZsm1b9n/+STkSapzaClz6w7/ruf8XBuxaowb0eUrnSw LuYoE641pmV/UAG1F9aeCvTo3W6ZbHyzDLNj6dZMNjDPasWfRJgiXLsywkpjWQcLtQSYtc/PCOcZ 2Xz81J9GqGPAN53bROL4H5I7bgVTPM/aK2YmTwCspd78D8yhp7yZ95ylmtUT5WV+3UkWGw+Ry43I PeO8aUwTIZH25hxWbPvTkN0HSIil24WvvS8TbrxNd5GvwS/hZaAHhlbwl+ga6Q7BtceUiq2QHrIF si8erMPaafAbTHezRgy++FAPdJtzBam0Awh7cyCu0IxRsG8yB8HwxIra6UnhbJh55BAgbPGW3iv5 hKo/kBGPNtmbf2pRvt8dQNsssaW7C4vVe3rpN+N62Yicv7yEnjdVV6XEQEsvEpH6O8lw1q8nKW+7 Erae3/gbGSQGO8WQ12/d4LqpBCcV6LZKF887q8AH5zag3mlk0AGZNP7GgqwN9kr4gECrSqUDo3Ub 4xGj4tp7uFF3MV67GD6whgCl9dmvzyvIYU70J6pHLFMhHYuZ5olTQ0OcoKZHJjdRY/oL8Rz8OxDj 6q+BEc16admwyhL1pt5QkbqkLURvXRdVP4R5/jPfr+4h4Xy73eYv9KNYqgwED660TNYv0Z02oUK9 3LuQ6pSmJeh/UdmWY15ihzmXzmLeGZPv1b9B/P3wjzvY8BTirejXWxz3XM4z1ZUE+ocok9nc1bSm F8cR5MTdv+V3+G/GxQnaCJSJvC8uI4fk8Hr2fGUgTLMVU5J8KhXw+dnxBiXMmTPFBeVMoTmFdtRs OBznkVsRJ2igy7+thIgE3d+qQrhLMYpGzXWOMzaghiMspxkeps0QJNUNXG0ZCUEl9Ztob0KW3N+C ffFjoXV/y9/kEaMhJWpAfqSf+08ucyl3rid3g43AOW3g/QzPZiX2OcoxzO0LglF679uZxWHBVx8W qDnzcgNGJkg1XC32VhiCWUtLpBThA0eHVecV2jsd2COicAxjbSR6Jsfa1d+YBFgH/UreUShh5fRZ 7O35Ibsv9al4+IQh5HQtEXU+e4nNg8YhZBNKLd0lhuLbWCm5egiyNCv8aUZS7QVATTWpmUn5JwmN fVS178h7xvUvRddHCjibTPq1Vbgr5kPrnsNKEIyUPr0N1Eu8o8aaOW9PqJL5tRNkJ7jGsSkQ7FNC 3rXVNAcO8zccG6MY2J7FEVhpHMPlJQ0fLtFHezoD+DTIDgRtjBGD/3AvLGHM4rXwNBaAvZMHwrDz OHjQh1cxiAx8+2bWD504xWFj2L8CIqrTspkLvpBe9J2Jr4JvzCDj6cOIAOlYFwoA0q8fLZ480MLZ 4UtctFh5IUa208XD+3xQz+oWnacdvjFpTW5F+Z7kUDS24nkQ43NS0Q0C7Yw6JKNg2GEvnPJc7lNj eeFw+JaCBjgPCOuIwsiZvkESBX8jACHLCgfOjkbfuaorZeXufwESqIOS+j6r5buOnvdRkgHX05G4 zZu332imhUnH6PdR+k9ltVlA+IDgrMRHgkkYBNRbjNC2/1LpRZw4Tc3QIaBlx6LXL+MFQpN/bdcq WpLvJ4cu8HCtsOnmbvfCfUxJNqmBXcN+vXjToL12tdcfemDuQ6VXO8+HfX5U1b3XeQddJJG1NJ0/ EZAzRFGAtmD5wU5J4sQNK18WLs1LNVPItdjswr+Fg+AqIJgrpZUVxRThEAxp7oBAVvQ/FvfFJxjj hxTqjzeGvuurdyUp8xxLlMziA4dEbGmLTwx9y63Zzg/D7n5qK61v590DWboiixZI0/Xoi5bWu/uy cG0cqfzJadO8FrUMVLQCtsf+d0PZFZl0+XI4zMbn9EMrAUQAvd8duXnH1NDtL+V/BEN2XJ6hzZcH L/+a/QLcwteuPCmwI0Grzk7fIJeFAVJAXyssJ+GrUNBHAmhLFegDoC48S80crMDFoMlC4JdhBo8Q nHKPJRalE4SloZxNUeQFudQtTaisSykR/VUKGw6ZemjrQAiBbsqy0taTwQy4ASp+JSy38L0rV+sN XYIyGKxgn3fhOZEtf4O6X2/BKLHC6qJQKFwphcMHPEXYDiMBRUBS9ONB+y4qjXHrsnc6w5vvMy3a /Lv1wXHnZdO0dcX6N6iQoGD6D3oxv8ugpY8i25wQ1D8N0xycSsoCbfVtrI7VGsZijz2SVic3ioxZ x6r4SMFzztuV0deYg4tmhp9t6g6MaKw14+TLKybH8rMt7hwiRzq9oRyx/oBDKyq7a00dz2CetQ4W SeZTyC1GXwNMSx83iKdJKhvIqUnqFiNwdhBvTtZhvxillwuWlMExF8UKqLCZzhEJupR4u7z7tjhw Fyn3Fwtwmf3RyObhFZWpGEQwfHQrlt0Qfm/JcBIYniLI2KkM0iI1i18Hqa3qw8L3KGdpKs81cU7D sO1hL5YgVLP22XpctgJejCDI6CpS5CSMeR4E803L/FS7mkV3S1VF0fLuxMYZv02LjKd4RfX4SULP rCIOMRdsFlB9joJwchN5Ig9lGG6qPeZmx1insV4ZJ+aib+RLA/ZkrUarsAaUchuq9+45nWQz/hjS AIEmJu4/DOas0fXuj8sOXuN+lCt2TBgPd2lA4lL7s2vmkEattc7vsPKICFIoLAZMiu+/glNQGAxW +59wx0kJGiA1nVOxEXK+mRKj0Hqm8+0ZUK/4s99fBlRF+1vNmntpLiOLMEaJ5pHU1ooOfx0UdUiG HxgEL6gAcOcTTLjmZfw5q3AFEgGuExZtEyQW956mBWgT9Lj3lZ19tUotYS+/4FsXoROr1J+Hy2CU iP2IE9M89vI+IicIAjYVU9YzX2t6CVPfySmsVH+Ayk7z5faxdJ0bqisS37IIKe5ZR+UdEeY9p9vD qJYVeZN9uhoXxUAcIYGsobfg33E2VZ6QHO1WQoLZQvEo+qV/844ocOr19Jlqvm7Cy3JumQJEyRoD NBjoifp7qokONeDyl/ECOYDqWZbKXINnkUyfHzQcB7taPqFnmVvpc37kTmQRVC4cgQQQpvuHapbh rpdHfpva+68Ddi9rCAaJFDTRchea5gXZMpJuxwElDHD1QjE4oG+gmxfMjIN0gA1ztn8TgQMSFezV Fx9jF+XwA4g6CbTemeOfi8W4QIf1GUv8M471RXle4Xnho9WgDVukSziAYbzy0XcOnqkd6WzmiTqG vJhe1H+TB/6820OIR8ja+T1is/o+7igxsh5b6VvzzZ2ob47iPXziyUkdmz7A28FE5ZnJOlOUM5Lm 50gdmZZcD94iXzknxcL1+lngcLObzr8eZt/xABuOW7COVfw3Jixe4PZLVgFkJxq4a+20z2zwtxre Te8O0NYdXqT6QlbcxQQfeOjD1tMVgHxnuhY7GIQJU10Ef9x5UZahAgICWY12tMpjyOCAUErPipAO INiRhzpiWnuxjjC1eludyNYaFMZfUfXVeTOaXkXAOpNzzKuzWb6GHpDepcVFeuPAtVeOuB37JOfH sYUVQW78OckRT3pCWhqtjSytE2/m0BUKm53lGY7W0yxUEZCdOZzZqI0MFUDQpFNm4Y/fVaYTvBGQ /pAEp+3FAR8yhQ2MYcF42FjysA7o/xPPCIq9Z9O4AQkSyhXaB1IJSMRQxXISyu2uwpXD9mgGJLfe pyrCjQI2UUPhU19KDFfBvAp7yfpPfhUM8CqiITl4IX8TnG7wYeqAvmVwYmiPETgYVrE6HMQfiTX1 fYkTGMCuDhtxGgsXklx+03h6F+Z5J+Vw0V28HL4H3opFC/ekCKnb74FW4ZYbGZuXt35LNo06MrWh C8saDIpYm7+x/pXKd3vn5xdyeA8mg37pv2Xf1RofUHqFfcw5kiH9HnrK/37P/BwBAegWmaZX7CzK gHfOHyKIj9w2ECeYa9zU31a6pPpF95MCaWFnebgpcVdogn4tQnLjqB+T7i7hjgdYpYiWaB7loGnj GK9TjQI/irA6y5m7HSzIZW41IungBDZnz+lqLhJbg7OI8ZJZAcXMWT7lipJHdqz8aZvzHi/FWuza EEsefsyjdfyf6KwcEwQCNrBgjGe02QJwOu8FJpWhAMIOVf8N4oaf5AoiQMur68x6r8rYvoEJ3HX4 YFBCvVHI+ewg7o8Zcv4a4PwOIVSOmnRhyUtqbwuD+hOC7ZcIkgw6GDkjdJ05YIT9nwLd6/lN0RkA g2Rxh97f96qxJw92SqhrcswEEbhSRqwrF5fEvK+7Yg8fCPNmhg61ETl9IDO3GAnt0y1ALDzGaHQ+ ebSDT+bKVX8CvAtkYaIZqSDXCjev+whOiUe3JZ9Gcvk9MyjNFwzGhofdFQacTN3oHRZRYkuXBC3s qRyI8kzJlvRaAdiSZc08qyrqC6s7beQhdvv8j1fbctF4q+8RXH30Z13MyzbLozjGrTI4izK/an3W eIYXiJXGKXESXno8iiGUvEyb0PIc9xhv7BEJQYKLjr1IVLdKfBEJSXpffBH6bevLu7EGReuGqsyP ktQrZz8bCSHvBy/0dC850BJZSKRyLE4U0Juol78yb8dJc3x/TdXkYFS8phYQGT38NJ9SJ2fgaElw OclW4CnGEile4NnmtqykkxB7N+mzQWsz7EmNrOvDYm3ASo3O03MEI800vZ2VENtpuNeliLtKc4qk hVsdT1/hvF8838J0lQmlagCJO++06xQh4MxKlMRlUCIrb6rk9VUq6IgLcapcpDTW23EwhSCORM9h gDHdqeW6+S7t6UZVmbJ1d+m5mNt4a8o210zmqjQpmaBak4Wg3MSicP++wPcWfaUlaaZvlTJ8qkV9 MDTIEAbB3NU6JR1H+/ng4Ui6wmworcB5rYiT8IpFgeR+A+57jiDtbgBCzX3s83GyBNhpGDKx64Wd QURRQa2poVjgzaHsNhTiDPNWvN34EQMtnfmFbrDYRpi5XUB2VLzvKIqdoeGGMTxzzmgHDPEX1XT4 yiKq6C5acK7nYAjtByNvAOiZbzsMTW4foSH/EkYIwnmtwKy4bN6RVSIk82cqhfnAabB3Y27krXiI S+AFQcBqAQm+twdZc6MjeEY3DJL8syFTNgi0H9A9pi+X+OztKWpmSmLMQvvAuNTJg0yoiXTKkLt2 IcadF15vTMYhRp31bQ8gTaCRjUcircOiDlAWT/OFJQRSp+WH/l2SL6crjRIP4ReSms5W/q4K7bei 0EmffRoqe6YxbQ1/SCmohzNr/VYSKhQqr5JB23pEIDYx7wTKOgvLDONf0RHMeFhA9yOGCteNfV15 yn+WGWUUi6UfTiLrOskaYynK4HPw4OZ1W9bq28aSRvKFQQ+RmEL3h4ARwYbtKZWYHt7cG3zaCOlE O/nx7fGxOixgDloGY0NbwddUjJ2tuS8NlHM1W9oBpJ3HZmV4AsjEDVjMCbXTBps8AW7h3GXo1YyI E0Ey+MyAmObNJF3wrwOUEakjw7/Zzc8ue98S/JIHI04d7IwCNCTVeE1rDjWAIbOT89SbbQCDKiD2 W3E55n+w8tYbgNAmiaZsHKub1Mt/PJ3QjBTLlwQXBl5QGNm4ZJooEqoHcKS+NpQ0vBCbsyndIpnz Hxw4VmBGJFjojENf3Vr7NoHSOcCy8lZZiJn8Vh6TG1A3pg8d3QCXEXGYw9xyreLsT8O1J9+ctKnU 488cfIl7tu/OcXVN4dGSVpXYJyaplP1Uq6zTlXYTgEwErwlGrZ+DCeH9kr+/ByF5MArxHaOOcpVB 9ARgT0idNr2fgd/FfFd0hq7jKtziUpIwK4NZtPA7hMW/S01nTC1OINJovB4b6uwEWOBtd+7lCLbq 8kczciTONII7uL/toFNnEyeogZkP3olO6ETPlRV69D5UW6c05SAQRRNWP9B03/8pH76m35qZjUqQ dezBD241ppMiRE6HXvDp+Y1lBvUxV9tHmAhsmHSn381g1aYDW3nE/J5zgS9PBMM2DdtaSGea7oj7 Xsvre+p//M0GWybvp44ek21HKbML7IoUtkFGtVras9d1J+Gx+JteAlJAKD38xktjVJN+0QnQGM+I ivitRb5KUYUAZT3ZqWYYYbXVxKXivNT7BiufHVzAISbGV5tQ2Zm4uOuKfo+cFTRc53qiNjdDi1XB /xDDGAxzRpUQRLnlzXad0/KhqXC10u5pyMB41p/OHQo67DsuNUuo0/NPaWmtd3HQhPaL4lzR4AxJ WOlzN+dtjWdWc4cjiGvQK7wtA+ZODMrAlLiWPnjDD4tc988G3HWtm/9DM0Gad9TRcZ4qxtP3djYw OIMGA2Ou8u6UHoz7PS19+mscgukoI7hjyKz8LvGgbhmFPSzwTFttPKqHLIWLSvGBaWb4mn+FOdvK yupwry6XM5m02GIBID3dYLM9YV6SI0N6nBOisI4VMFTQMUO4iyNU/NkKC+On3SzqfdL8Ww75ALNP 8M+XfLptdVgrZ5aurEy5aw1F0YA4088X1bK7h7WE+0vQzQ6asn8YkK30IkVBsgmx4tFYzYEeE7Ak TJ5zdfRFBe5X+RhKtwMKB6ExtnqYwkppzsUYcRLuTt+lzeAacUi04hRtXo8Mi2pUp72dVIylPJXP u7aIAe34ueOj+MjhBEJn+VL5Pbp4oEWBHMoGbc/863jTqNRQo6XsYWJRndOHJCWpePKgBhdF35jF wGQObfNNckNBvHTBAil6Fwm6Z1VWQoge32Y9tpHxitC4ibQdUQB0Y2myXN+tnjnwVZAw+27o5/Yq KKSambOg5+OjmEk0wMJz5UeLhtwsyCGRm1ck/w/FDKLI0+L4x/FhFalRPSiLhvu6eTyODDiCkWUA EGkNUHgc83i7myuTnYTjX3n6/XCg6HkoLF7rWm7KR2sdkFm+6XHGX8SKsDp2A1m2DhRjkHer2kxc TFJnH0S7H7jmRIV/QWXsJqMl8R+EHmBtqgjes9I/3PLKK1awLlK88maSaEk88xpz+1xE+axqe8lB gbwfZkUDZBir2m2MXzfog+1OrtldUXQfIpGb/KB9c2BXiKLGrNBZryx+tAELNJ/1iwVgcG/LDNME n5AA9DAIV5snlzk3Acp2EEAyrcUj+nkl+o3g6/6IfgEBDpUDglANBo81Mnu/eujssZv5RcXkItLU dE6CiRq+p59mN502RGi3xciUURabJ5LRvoGAK8RO0GvrXXvEbJtJntSXCKvNItReqRb++n46DLhn x8i4Q6V1Yri63aeDKtHcqku0bGfAHRwRSsFGOX3jnErRaDpDanI1xlw9NFYrzDSAPAJAQkApEh6D NHxEh/Q9nAvbJPGADh5C3KyCc5kIDZDen1O/OHCsmT7ctXjsQSWQKYPCEMcL4RS3mhy0jydTNZtn Xy6bmY9d7imM+H7VMwiPRPVNYkuTI49UHV+I3dUNTqqzMKzK/pezHjCRXBT9Z4VcNDk4XX4Xf86C eWmDQcjLCRnLawqjUQcpObuoKdHtvN5OcUi9ERe46OzoM40n56anileeo6fYO+rGScg+H7HYOraK be7PGee+PmCB0SkHaivTdsh+EpY3NoeT1/UGmoqKSfltInb3e0pj4JcUZugtAWX2F452lDfmqO1c 3jlXrAqJTowpb4Glg/TsnjZRWhWOFugGZuyyt6IuZuS879yp7Jnmg3n/g7U83SGuuCMkrGoXV7ej CkSfjV+9LolNYWrjjam50wKrPgnsBwKh0wQ1XL+P13lKizM4QmPMhR+uXCqqjeCoNOQUViJvDWRI LETgqwzlKk4k9KaDnIvgGwnp5AlM6D6Aiq1qNuS2KPD4m03wwdfSZLR4SJA/jmGL1tQFEKRTr6Xi jCY+SGIPkO8Je/VmXE3x7J3Jg0DtJtezTbsgVmIRMyz4LqZiM3csu6FNPwPCVPcMP2HlS5NfvAO3 +GPs7Wqr6nL+yD/U+ER6FTBOoMEQOSNXcd0H6gpmwAranoSCrjThFQo3cnZbFNk+382wnwTvdSko AeafOpf0dBXSjeSMCgVY5LJ9vM5e4h4leUzealIvzrc+dFFS0GbV4ao3AMDcsPn99CQgMYwVTjT8 DEMQ6I0Wjc03aIuOswh3HJeyRjcfr7udpjuSRPD3ehzHV5CGGQ55BIgRJhUiWeuEkd/HTQgCOlla XEylEKaBRsBkeCoyIqj+lT+inOZSuXpNV1DqyMjjznVwo6xDBtkqANXujivFMG1NoEkxiox35/Sz Iz5GCNOK4wxL5JUlApS3MTatNuSnPohnijrJra4ghqKsafwA0ysZ1jdOUN9Bk+i2yzMWOzqbinCg wujR1bDb6vsil2mxlkp3kkGGjiQc5sbQ5CDhfbhGK90TG9t1Z0BMckNmwKwnkPu/ZQpUk1NS5GD/ jZX/3Xe8g6xMLcic6pucb2dvLYjno5tbQBwUHYq0S/4jPlnb97Iy3k5pskXRNvas+rHCxKDQlfI5 xhrs18zvMWsvSRqe/SgXbBGdRudAIWBYkWqExwfBCJfMrmFl0f/Os+vGpkPaNiMTSvLYt2+4loGq 7Sfkfa2PvemhlypgsbL3kNUuHKV/1SH/QhGqejP5+clayT/tsIJxykj1RaRQEVadI+RUBT0NBYVm TWtLfka1X7E9RlVL0MEUZgCzrhaZrOsS83eT1JiExD+1DcP3ZVO8kuy3/kdrD+B+ybfykbXUvCV2 Md6CxjytP/IPBEU2w9Ob7jdXIElZhStB9Jikfl2CC1TAXraSj0xrlBytX0jOFQhuIIKvPbKSifeI J58zwCXLIj1v2/hlbztQ9YjxKqP4QKTBNuoEFHzpuTZJtd7FB4xT06vmhj03MnMDL1NZQKqYxtlt ARHO2IsS4gc8QqGanMlLMmfc01NGpeoiCDq0SDcYdshEuL4ZZWzVpRC9fTb9U6f7wMi9iqy84DD9 pMNwJGilvy15tlA0Mo+N5VmsaXUvyYKKYpNW0RCzgZqMHI5VlPm8byQ4cgqkvP5KBVQeTqpUk1Gd c2tvffsFOfv3XL1hYy/A1zthlwJaoKFV2Fpkbl5Lo/jxqt7DrPTHmHfqngkJtudr2RT+G8TgiMqS hN6vyVKiQ96CAVpRjTi4ryulrM/bjVfH211D419SU5CEt5PdljL9RTplsDy6QQnhyq/3chXMsSqp AgbsL/Z12q4ADBqKPn7+O6YvSw5WEy/Atek3pV5faim9CH87FVZQRZairGzJjFSEr1ixKCFqCy7v mxexd0bUuEfQ5eEwGH6VTEFDk1HADtEPkF1B/jzryfb9naLOnAE+2KRFTJc9G0U+KAiEwCSJWZiL ZLcMQHVJiI6NqwtX5woEcMeP/9ou+fmQ901jMJywXDIgPMcRJolzzBgjGsW3mv8HYMBtORFw4tPO c5geHvBhLGu0p0RNcYQfjgjEv8tlwzBTxCP7WAWkmJPuv4odqp+l/7Zcpe7Or1gAR1uRyj/4BZa7 /3HTOPpO8+Oe85HZ1ktbOSyiuAiaNwSmZHpRe38fR6z8KXQIh1+o7f8Vc6gCQ0IdWC5UsWZYMIXy tAXUnrNnhlVOVF68eimlJ+Ue6KHBfZm4h68tKfcRHoPYB5yPuV2mp2kP21mdTzsdAy5EsKwkrQGY jWW058Gq2pZeImhTClMOO2JClAD6M/1wYDjnFFuVoFIp8JDVeHcvlutGWSm1Nn/8glYyxirsHqOJ pDuQOkMPrwcgc0hIMPShmw7shFLuX3yb7apE5P3xqcGypX2OIp0DawGZqbi23470Pd+vxlcUNDeM KLBxvOOnreQNlOCSHoBYyNMdhiQH9c12oh2//gwC5fESs++CJsiNaLxpcHPb/1YECp96cU+vHUWj RihbUcG2N5qvhzK/TEpXWYWyr48f3vJMGyIqMiWxiO5ggT1hibwCHzVh1ulYK2NDX31tkH0vcOva eKHdALpLSjTT1cAM3vMtSrzmiYuiG1Jda91OWNWY4E4/N5ocoLlFG/Mg0M/FfzfGNe9Moe+WHqoa xZo5No2WhERHJ9V1mauIFSu30dAE7quSpbbD/RmL3I+Fl2PK5MvHluDRm1AWN2mtQo7nyWU4ntZ1 WZgZWkxXXAoJBkJusZGXOAPacMSO8JP4eX7WQp4GohhOd99XOpHFA1fsFl7g9erjbaTUO77WYk5A PMVsXEgvem/DDOEzsHCY05ineBQVMJj8QuFuLavpUzK/AbEfBxRuZ07h++1W4QPhnHdH78chVRrS iYWZHxzdIM/+PBAnxkUQVFykjUXeR1OF27FodvsedneW6odF4hsx7MP+Beba5xgIV7uRHjvQ3dXV ARvWZqzI9Kmg9RcvsSCJO/kcqDj6kpSvbuC4ED6vfSkAFRZReEHQzvVcvrlN6ewi2t8iAs7rHDn7 UMRIUirgBqp8GhUXy2+CLCzZ9F54RxsF/W77MjuLIDh1STC4IVF0tO/dcu2/+vCZ5U50F1vUPTlY nXKkA68ldgr87Bd/HoGFY06UvuZNcTT9uAWxC3JxvzekLu3u2jcSME61xpw+yTbRbV/v1x2nJ8Bd EJ7h9D+0mwejM+UvNi4M9nprqYnLUdiZSGFEQ0hKaJ3prAfRgwtxJnriCujcDgT8LVMLkHEdQlh3 ZITm81AGZEXgR/F1RuchuX8iT380MwW2x78y77iWdTZeDwugBVskEvsUBm8eSObwwI5BmVLdez8U IX172Kufekhveak7swZGyZug3G7tMWjXlLugstqR+gM+K0wCEWyJYVbw0I/JJy4xJ6gwlkvm1jBm y9saUT9nt2J39c/OR9oNzsTNUU8GHBi3UlxkLoCCM7IV0OhZb8JGevCb/sZcOqAUkXISok6iMCou l9mMq9cCRv0ieB3pXp0yw2EMUYhPoQDkRVYjNdSy0/b3pEG7hBYGaXqK0epG3sW9hDgusB7UvwgD FF56y8ed76+WemVLDDGvewNcgsCYRjiFsETFExEhT/jMeH1EW+NsLj532Tx/gVcKJZA21HPloGvo weotq8emciqzDPTDTKB6IvqFoLbJOchbhJ4h3WbFRqurmrrQCWRqQmpTLvgd0BYkEiEBAf/2X9VH +se3Lyi9SezhuC3zLBotAaDrnJTfrYybJBOJ6ChzhuSJmMKPyWBvBsvtf52A/migyFGZCngjZBr4 OLKJXTxfiHkeYt8SkywboBAe2IOLUZ8TIg1BMUcBRHhzhE4soUJ5XHRPGA1UOPGcZI2mmPTcVdwa 7MSyQYcmq9YketTlPXXxWBueFqAsVg9fr1K2PXZh9WJNegR+7xbZfnd8kie7SBX3Iy9R0Vw+DGn7 amhzyGTm16Kia+jtoMG2Lm+cx+sQ+hjIsZT2Z8Kv+kBwL1iBOL5AVUpiW8+/1BM+S4CDHH5k+f3m jyoWcGejhh3KPi/CBS2YYlxDCyM0mxon8JWZOS+iBmSsWyfsyt9JNO/wWi/coIxnvLIbUpKjKEzF W2A1SFyQuDO+WxQKJTvj/b8h1A6WfF9JaGnXYpGj7J0ARVeSpNemhLdukV7dpx2AT0/uPquD1R1w 9L7cu7ts5Wyil5s3WL3eKT8pOETGcJutZ6FRcWcOiPp96BSlHAWGoitvjAmlzjuv7UyoaU6r50cq GmHZ52qbwTKgpR3iKHT/OObbi+GLBKfOtV0eGN9n8S+lmbSH7sxR50oSjynL/YnOo4dJ17Swl/Iw a9PSvna9N9XVSnE7QFDLeBxuYsv1E8itxojQ1088QqNB9YUN9ml3WMjUXOOCvTQB1F95rsiyvDtU DGGO8MpBIyxo5cAUcBnS4xO0bk9gaNieE+Kfp3if8sWZp2bIT2tlHUKGCKlNp/+qfKg/2KEBLHdJ eazTsR+WnmLPKYFnD25gPNXg0oxVETBHrb77ySQXAQqT4FZGNR+h9A5LxiLUveyvEH+y4CAxQlB7 rVZ5MDMXaEmoF386cmxUjnfm/Nb8Zw905wSMtgxaP1o6JDa9ccVB3PZeoGnbKrOXSHyvtJzDIUyO 3hfBKCK0oO+kgY/DitLmIP4xLpKl/icvtS2qRBrkU3rkgGNZ9P6RrJ1SxkcXBTRI1O8Bd3VcE/ml lyaSeOu7qPEsL9CMm8OFqQhFP064uDLCVNC9Pqyc9LDn37t+Gn+W42dgVSymHSiQpH2d8bzA25dS SXVhjhTbjap0ajcn0BzhfrIIkXNXPZtRmAZQvdX/Ex3jIq0MV2W47C1OhmU64noaLoVjOs3BaaO0 2J8xIUiqUdwN0vZYiQCLyoWDY/+9eiZ6pGQyELYFWPB+nrxPQsratP03cKy1p6K3urhEDI5RfiFf 6z94ZfVflx7p/6EAtqV3GWqvMlEZyorLe0NSAzAF221HD+nwwy/i/NcHFHGknS+ge2PxqBQPHj87 nUBSdQZunSxz8unHm7T0h0EJ7k7Q0ULuNzo6CiTAGEBDYphlmqndlng9E+kMwkknn4+D+6B3PiTw 2xueKPF1/Uy++zAa1RuqgXkKmxDGMZDGOFqAAMthdTNGQstey2VeUiOLt/e9qyJSqGrO7pxDj/0V K10Z8gISGEjpJK1vLCa7ZvZjN624Rl3ex4iJ/ARjtjGARHz0NlUccE3iXDIqdS03ClOa/MZ/4r+H zY59CZReqz5lb0hH+cW4i7fyTsAYdTBjHdDTsbosmeHKWxlgnlpB8Kj5rbozx2UiX8QOpz6fHqN1 +0fhVInvmB0IdGXNPjEIVL82HwV9KuLuOmhHSSyda3gA/YzcZKKk7/CoCnToRi4muBKogVNo05re Bni0UgebuNP7Pa33BKKiHoaut0yQ9AFW0e5lfFd0G21MJOeWj0bLL8SuID3UHEo9rNxEWYu6Ciht 2QpV8O3l2U1pp1A8K1DSoI/VMRSg8NRFPYEYFm7dG/ciCP1CM6x7lF1g9Quh6Zg13OGoFRt8rw9S rhWo3RrViSua/YpVU33NQsN5CuWEBoVzsqbNgSKRKD7JtxNLm4G0sm3rfPYQP56GpSKGrlQ/Y/Rn 1hOBXpDHOThawUENmgRL2ZnlyzpISHcbqur1eEaQDPoVqB3i1doR72kx4ZW6Uy1JMnL8TDBoMlIt oGoFNz9oGslfpp+vRsWfI2gAyBKcUUAtmY5LpSNzxJq4Dc8LiAWQMaMJ4yqOybzgwDk+ng/C5koc KCLurX0eukaX7Llw0mXnqGHUkExMVIw0sNSCJ8sQhEM6gZWhktuaD+Pi/epcI11S1kmjApxjIGyg T+W8iurCi0h9LVulR4D7d2E6DzjF+diyd5iAQNOCfr/qkXmYc0YB3vgFq48QHTs2+SNXYfra39/K Fue6JBOLPTYp6KSlFRCoGyODBs1PU0/BC2dLIexTiPnvqdx8vqwJlfHrsTX2AWPB0HjFlTrV6fVf KNmi6XrFnTdScpxDi95b6RaQ2mtkuZ+bO94mpziZLMRjigLMk+hiTCPIpA3g34YLoWicR2Cor7EF XbgsC+nZ1heQqN7MB5qc6onbEDEX+BAM1dB9n3fMrBJdEfN69ua+BJ5FT94XZb3ISAYKLYY2DvXE PeYd6Zth9dWxU+Qozj/4iSywln6Zu0k8ACwQBxhHBhSw/T/HzzhFiawjaDISzc67babgquIvgHXa FgH3YMoxFUstnL+JxBQ42c2j3XtuvcVcFlm7MvJLT9GOnOpHoBAg9EY3YWQzX47r3bH41sSUKs94 FEbcqArvmuT8Y9EX+Ibwljo8Rp3XzZMQ2Rk4j9d8Lj50n6scFrSQovsjNuUiYXUyojZdAdxJI6JV WoZf7xVaqJQwDuFGuaAMeFCe9BorIylepjXHcwEne0KFmDctq4KdirAYAnj0j/kaH6viHQkO0v0D 7RMLLfcllrToDEUP2+lMurxlt0sHykdwcYuvVQ2XX14PVycsuvAc3xc3eXZPkK198jtSiZd7ic6o MNI8hr3IpLtpO5a6Gkjpmm5w6lls9+FDCOD5YffV7nl4DXXuZp6gLH6htqYAxf8Yl2l81yDuVTbA kTBkb/6Z2Rmz2w5yROqtdviibxAKk2Ly2E+NG9WOaOG+/wpWPhdAafqD8IpbZWT+2FjnapMlF/Nn 11aQC4wyRcV5Dwxj5DO4tekrUhHSiAUjkyP3dmKYVrT2FV8fvMHzrgEDlnkdAAG6986erjxAhDNC MbIV55FcFYrksAHfB2mZzvnsAKxozNi9Kr+5hyQ/S2d20Dkgk1xi+MotaWY8YNtX52/1dHxZQ8IK 5Z3l4exuAHDuPzn95TbHJdqEl/18PU3RoP9CRAGaxJBR1yGHLLfqdbpcOu3smFLsUt6c48020qi0 ifQ5FXedfv6IbCZeKqfV9oDPs0e6NIe1em4Ietd4H4xtzebki82JBVeG3B4tmNkW5XjVsdJ9frZa K9ZLUGFuDZY9iYHImVygxD2UkSGHijhzrnRl9uzcCpzaHqF4IRsEd/k9+pAAFefqTrVq+JD2wXXO NoFmVT+PhX8cm77TN7XB8B5Lk6idQvs1LyDk5J0Xb8LKPuONSL6WzplD+3/jYKmz8EPLIfNB/wPh RmIB3zuh0zH+I4hRaYBQwDPqGBA0kGwJM/sbLPWJwASvJk9JLwDEoNqE5Sc4BrWKHykm0THLxn7C VUuZzMaWaUe4+orGbYu0S808dBnlqSeN+cP8eUwzqXKyMwkYH/5CFdNjo6LtXQl93V9Kwr69E73Q bxzPoP3W8HvdioVpPWD/z0KvGzUlN8PxmFO4RzQBSBV8qY5dB0gwVgsoDFjRe5TCoAGUVn2LxpZD VvwIa7mF54J3nV2toybheHvYLUmLE0hsZo8911jG0KZbRtvX18HWwwneE4lvBAgAuKrJmv09cYXC cm5HlTgIWm4ee3JNaSfTRZ9uSC9fdcWXC7qP8UtHuUNE+jEmFlLei+WvPNrHQ73VrfKrMg5lxKJu EM6RH+D82Sa37vm/u3NbzLqpVro6PQrB+pzMavjq7g445o6ZvbR2fp0Sgj28LArW+U5EeVhUiddc CoFpnk3rqR53/oGunSJd5TUYoxoXy+ljfXe/g4K1jbyGIKUT1iCI7p2+hL3ca5ODllopse05FVUI lL8Zuls5+APNgFZP/gOTtkh4dDyOk0XQsrxsideKXAve8zDxixietQVguNUc8zkdO5u1wIjbVTps ubeeOm+32/jdFvp23fw9rkfVmte+CWQT6cdQ9VC/qIv/dYrgB9Vrh+MfmUdgjWiD6+eCy5iy6hRe hVcWYKM2qpcX8BbZF4yYTPRYbxh2u6EEvPXIhU1GKtm55Z4fgm7ej8njRVcMZISe9pFJw3TEhWbo WVYdabOB/aVot0VOJrvNrQUaIOQfy8d6RHIoQrgyRnkv4AqGi0MJjBlhASTnx+F2AW/IRPW216r/ YCZIlJHpmnCiTVGHtpgiZfSxo0qsjCGYBKYuYRpEzFyrbsTJeIOSGP5LBXyuUN3nvDTUPH3tUW+e dfWZGwvgFkRHUcSX3A/fhY/mvJMuDJPl8FUPCzjzak2oHZNshfnLSmXrC9dRA1RwfaeVISajRC/N MKIXPmVPWVnhg7coup0P8sH0ApmbS2v8gvMvtJ0PgWMtnVRH8MXMoQwOlgPSgrLIwFxHXlrvHl1x RE1oXLw2yb3dA656qnnH0VeTZTvnBS7oXpZuC12KTzbKOWKTV3NgC2lUq0VY9obNE/9wiWSGXRry Rgdv3rUYwyvCg8JcVRtq+arTs0QN+pj1J3d/8y2AdIrLZx8tS6kmEAKzNVcFcfozYnOvqkaDwhRg QGcl5SWnaPwBMSO6YfgzX2r7hmTtYJmJHXn4P3ygbRv14yRKHLWWaoZpOEGU7tBgRRk01/9jbY9i PDMYQrBySe6oZtXSylvYxxC7ZN9b94W/RlZ7Y/qJUMBwhgAF5R4/9OCn6K2zOXkbXNxgAw8Yl2iU CKCxh/J0q93twstY+99ogH9pb5sqDDb5BStSJisndlMff2qcFsFHxVD5PcyGsJoKppL7xoY1p4FD i/pDmmVq4vVfJsGaYyPE8VCLDdOeVLNR0aIiSWRbVzaIao0u6y7ORQszGXeo5SZQqBzqFTRXF0Hr L2hswkRkTIvwzCnx+/wvRoHWsz3XuppPNsITZ7eErIcnvfdz6gH3YfWbC/hYk+LjAc5vvqj4BoLN Dr3XDqCnzzTgv23J7vYFFXPnjT3VkEMX4G8O5lYNdYa/TARmx2J1FC8kGNaHiplsC3bwXK2fe82O Ahbjp8oWjmlbyfFnNktWUWxBRKYkj5nZgS8n+Gz+W7xbkRS32tVE1CnvJVMNL4qp3uY7/r+wvFN6 XHa84Y+jlK65EF5tZdrhGDYgnrsIVlzyhLo50tPbPuo1x2S1oWkMkj5y3ZEWZthEveV7pP0nnKT8 qV/qrhY+HnQ3MAhA3oatx+b6sskhl794sm1xQbWCOdtKXL6LdhwBJ7qKSsOLVHqL++Tk2LC7zJ5E r2R+qQxNcUILH1O+f/ey0tB1QGwsAxvdrORuCw2zeJkdwUixkXDboYFJ+w2h6h8JEo8+IFdgnFZo Arm6UjmyRCBkApQyED0mWyfeM0tIVlgTBSFBJPvgDHRfMIoG88FdPEZK1J0S2cnzBzUAcnccSSv5 7MQaQlbbXLIoCeesU9EabveKZzpqkMEV0lfmVn7+qz7je1pnrkKqhdYPI8RTRAYcZf2wbKzvVXBu m/Ppz38Zqn0+OYHGQgP+sXfKgUOFlbEyCVYvS8RIee3S1uI3+iXJQKGJnGg1NReXZCC8nHsIVTKK iy9Ms7c3VAQPFOPlCQ0MX3+uCzhvOvWKnoeN7S/AJaEhb/QOvKilmCGsGeinyLwbJ1X2WBML04cN KnsyevF0zdJrg86kHGjp3K3W2Ttd+NGfk2qk6/rNBf49PSnISHapYdKZl1Rf0+pqv0hFk20Y6vra IvHa/EVEoUipA/TwbxF6pOkFIjSPXUtAT6jqYIYDIQCnKfL5DZvLN7hERzaaxzri3WM0RSQ4ONpn Wecj+ynqmp7OV8lVxKU5P+LZgXguMMXLXMFQdJxlbjK4y+bJYyPOhekEcrxPFPct+iK2xkl0CLEJ Rz1v04YhcoyRsC4jvvAqVAiPZ7gUZKXJJyjDyRKCNwnv4ksnyY0TXyp8CFMRH8m6tjkM3kHX6X4L Hk1DEPEiSV2j1eh6YvSU/aoffwG30a66uZ073P8C+57qDnqela42mJL0XN2DHs2wuoQgySGkwBdf 9OgvbN+KjFzgLhGZpoeBCwT5u4NvhcWg+YD7A8Te5WmjM5HBT3GHyENwpDNHH8VrzP72ucAPcKTq 6BAyDaHDLGs52xlURSvaMWFuBE/VWgNhklFNLeFpL07qukgYgkt3NcYRjhvsX6X3pBv5nIsl3Q+l Mmv6VRp9OSFZtA7nx3P1TxWtdaRkw/eHijzuVXEmw7o56Et0RD0WcZ2SoJYmQSNV1mO8I7bk/gbY cx9FJEGs1ekWmf+fqiXMkw19G76rKlPb5HSn3KdWW1uaxKk5YoNUGNKZ8T4isEH/N2JXY8MVTvCa kMy7MSVAkkknNFoND7l83LR6eTH73cb/viz7+QDw9roMrdvAnuZu+9wT5sDnSa3cYRdwyPwuUR87 8LH+2yXB94vC8xIJRU6i/tC/uArzQ/V+0sbdXCRdO4TvU2SD0b6/4EHbtoZ8/4cyeMSMz1xJG2yT uqIOfMmphMUrrhfQFHUVOxN9lgwIQC/OSZKPnprIvdrAxojyWw9Hm8M4BoMgzuaYWJitzhAB/wge Cxyaq6n6Q1j3NWIJ+8xl0caw0oliU9KhXD4iq038sKk4J5sSH/ENrLlSCszfHLGV+Yvkd6SLMb5S pTfLwiSF72XfqfHwy5G6XNCUFNWc8gas64GATXYU2SucZwDF/GnQUBxlFM5/wcZj7tmUcm4Ez2az 8pH3XfAnnl5m8BTRh30EgBqwbJ3wEbv957a0gzH/Qw+pO8yu+BwM2gH32W85uWw0hR6qdB/7WGR2 wqgdNq3c2shtSie5Nv6cZ242QL7cfluENWyelpv9uuQNZeqt2C3fkBpnnNOgu2Z0Ylmvz8iB+sJq aum6VR0OsF9TDN/e8VZ6pBMG14a4BJAQFhMGqe1AenMZ8Vm5/3wPVP7C/2nLFjK+i7eikoEbl3sT L0IIgRZMLRzLxCvPlz+7cdbVeRKmEJegkHNRNdCH9HlHUB2alVQP8laufrTsEy1idrO7t4XpKXl5 KhgCR4vaVUeuWWAGvg1pDznqknk7ea0bgUdXSLVU1bEV4wRSaM2zQMsfgm0eDIXgwUqjlzFdSOV4 iThEw43O/fWPjIyJGT4eZGo0Mb8ZygTWPnfsylfvg/9t8OWgSVcwMkwGS+PWr4a9DWHgnBjltBKL 5l0Y/mMndz0IdSpvZCTzCBXRmPPJhP3Md4N42yHWzLwmGdfTqHZNF9Pa548ga/4uVAnk70HCpjl0 wKl3b1v0hSRB+EgN9K38v4oLadjc37zHjPHBljJehR9SujfujpX3PHRXpB/gF6HTlZSPSGIYz7cs icqSPlu7zkCzL+FRHNWrNmfAaa6ZagAkNwSGqK0gZJd6kodJlQLuMWRxi0Ba/f1WzmDJyTU1aoY3 lZc7rCxW4hWdRnbLAhIQwRbUuuO/nYAFbqdG/4RnU4WYjTvaKvW/IVk6VjgO7ezWDfNWYHlgiJeC O5Rao4KGIH97UHCR9VT3l5azhM0dVpvUPVPBIE2TE5To7OuldCv/qBd1DdC1wA5Axlw8k0cAI0Ny zTKMgNqtWPKBKeCI3IJxGwVC048H8W+q/+XG80N7r+wgktic2NFaDORw2AKONJUScQZAX+RlBdJ9 DbirK9b4qCcqINAmYsTA9oqCZEF42/3RG2A2zWxV3KOqHb3VKR+QMETFecQNgM/tVBWfkEfg4lEe TJxSiDYw5m76D4AQzWmoUUI30xkM/q4DwiCYPhhf63L23U0lsqyztJAJWROaNmPg2dEkYvauTYBI J21RzFg4rGQlO1YxJ1oeMbRjnaijEvvNJSoqfAIMLpiXZ/bZ2Q4S+7bqLgy/CWV8rKfJU5QAgzOK QJVGL3AWj1pU2O4+5K/8+YbRSpMLxJUlUxxCuiwVng5jyA8HyEhiYUanRFmYUInHFB5I6NTzA5fu LY8uuBHtJSFOjCBRGbOnKMhSS/ZEp4KcD222A06Ji9Ngd+XEUU8u7gH2ijC3UMKTaAoAbZmBAkM1 0ZqxxF4U6BNWla/JXoQ/YGuPCW72E8vRsijJnhsDV/LQnGye2NPJpf4AYGnKgWioc3r+6e2tx9Es xOV5AAe5peQVYNGUXH58z/dcvJAoF8ZjtKW0oPckUMXPbOm2znCBYNhZo6osw0qijpnlo/gD1AMr PnhYjytvyqVgHPupoSV5mhJq/AYHM3oZmziBIo/WjcoYngMciJyWQ910uLU0Hnsjby6R0b4z0fIW PykkxETPS6ZPiUuWnS6cDfruT045d1Y9ZNFvBrqjp0LT2WBTM/Wk7fVa15MmEYW4gQQulqHWBc5O Ek/si6rcs2jBQ/vYHD/UBuWKIjo12JxpwljxGEkuiX3uCfJj0Mxim0ZkaXZxglNk3SwJTqAADtmS 84Jb79gyq8HP+HZ++GhqzfkA25euDMsw5Krrhhg1tOMijEc5Ye4A1cRvhaYQJbjKJLmHHcV1ANm8 S2UIiuwnzA7dWxd1zQjtkDIliO0XzZIrnfRmJ/rflWkjCCXb1bLZNTbEnLgllCt6OFRKkjU5OrpW p6rcteGoPrALIuDtzMtsdgERfS7RnRGEJ0EuUwVpJvhUv2r1TdKkIl3l/eG5Rsw8oN91g60cB8bd P87mZmtbsN6V8nbZ5gbTuP0ZQGeWbcUpyP6+U53W2eC6BHVksozuTI5TsSvUYwF7RGm8TfhU9556 aR04s7Gn8N3k+aPUyZV1wfsHjvpLL0IOyyBNVPelQvBFOrKiLI7u4bBfY1z1z+hHFtfGYf1h6Zde M+sFJC2F/UBnlHW0nFJrRk1kcrI5yvUh+usPPfFp/LER/MMq34IQOdJA1ZNfaVZdbgYbf57gTxaR 4NhHbvelX9TLfgE9WBbSvcncmKKsJt4zHp+cC+z7R5W9bFEWErDLQB4p1gma5dWCanM6sFsI6qLw hZTLIgf5uigkGbSGCeUQ21l0pu02hronFdSTmN+DPY03lNIFvhlmOZ7oBSP7mPCpyuzfn8hjByvI HpyLUgdoaxir1+wtM68WarjNZoNbxvBo0dgmhVpnmVu+9enub3ftrn1QeRpuB95MoEIW0yhNSXb3 lwQXhdn6it49mzLcTIQnVJ2EnTvhlx4++2MicMBkM2Dxmr81kCQfdToQ4rGNRQoUlD5ePyNW4LNX 5OcW8FHoc8r7JW6zbeyiRzdnxYXHwSM4z6vJfcPiG5nF49xBBxZ5SVVOIG/FoJwoj3ZkoZ9ENBCl jwuqsT6vUY7ddc4aoSDCLRpn/s/ocNycbcIrxE+vgOMSeocPLah3PAUQ9q5ZVwKkZfQE4k3qRTZW 15rIFu1LmNP6EppJJXdZqbPzXGb7V9vhBoh1JEIZuZW9HmtBPIl0xtIAPfbhWN0AsrEBtv5sfYgd Q7hG96Yjoi4ckIbKjXuvocIBb47xhibzhBlVrpiVRCL119ZfKnyhY5g5HonFLjY/t5U2W+8Pc1Jg Su68phYQ18Yredj32GT300NHcr8c61xWquYnw0XcR6SAByRYXkUU1l/FznZ7i6MvjaQakZ4W/lyQ 1uMOIiq8wgHvIFHGedYchEr97uX9yWYL7qI3AmvIsQXfizQeofyzN0t0mIUbY/TKTJQSzr4jpKmB DC5VysdhFpRBQ4H3BUTLvhNExVgjOQzWLHyWI8xkFRELGE8cBfEDPURGuo1lUuVx/dcAiVPgAUbh v/kl6RLkzlYJxmZWQBw+jOpqCxxRfrD57ObpC+XG95q3akdPUwREaVEabJvMfaUGv+SYptex2pcD R/CkzgBFZ1X/dqAuJEYOqLN0T0VP0e+ncIJqrr3QF6oQBoKRTRtHV02LePO8eoPbnCrIxtz7pg/c ZY52Qcpw1wvysF/U5pmzgGhOolDeaRw1kqwkoms/NCj/JJ+GO75TmieZlWQNhZlVptz9YHA3Ougu Gtx1ISykhQs78X/qNfg0ClhuQwNP980UmxbnIsuDyA/lRdXBb/vrTIn5XxUPyh5k7GL75BBae7OV YiSoLMHgea5rz+uSi7QThD6eWUP0FpRGnt2PBMawlp6mbiQZTssXBhgJ6p5F4YRL0LaOvtVPl/Ns I+5egMD2W7yHyIs8qSzuzN958YV79lu7/kshB24fZvAbOMX1ISPT4LGXNBh/p9XWqOOggK4DVL6h 7w2XYAhE6xCelExuuXemFbYD14QXCzAzyP74BgMrBhNZXS2YXndzDrDgQuec2GHuxBLt860L/d8L RxYgmhq0KzX+XdQniHF1vgYURiRejWxYM6OCFHPN0WtPbtCMnC0HfSu47ca3dNBWFA+Cwn8o1Em0 XHiU17Y2ozDmIwAORY94HRZtzoQyco6ioUh4lvAaAS51KDsPP8oDEnkDC8bEl9hhBYJheKU+VrIS v2z9pknwDJG/1thcZoH6yod3HuMkmTN5eDoIiNOjyptvjomtca/wZFuh+AOIhbCii38nATZAKv3Y ibzKkZwel/HlqWHmUiCxa2V7wBAbXc/pfWIxUbWuXWMECUmD2/7+Hah00zcDgB7s6GcfMMEDkEF1 OJTVwWpHNBkqHUrlkC/LCRWH1HZnsC4F0xWN8Gn8oorFIyqCyyBl0LJnUWGf/NBG2sbspI9TFEFe JqY+o9LuK04uf3d4dgkZxdPvN+cnKSqrZEKgXZI8jbwupBmYvP1qSjIYTcIb3hu8J+RkF43rReZm MOVY3Labrl5N0+Ok4SXBvXAL4+e+DMuhp+L2DgcI/EzFlZP9/BK1Qc8LonrfDAqmkKFIrNB8T9T9 e2xe1JQRy8+HDT9Hq+ecg4qe5/CKG7PThu6tJJhUqIcLBPouF0EQmWBUfonMyGLf+H8QK0dFqp95 hv6CMp3IxyiyVKBg+gAi4hxCf5NuD3Sy0QlbrAo/Zixfyox32p7kHLaw53wfVQT2oOVTv3fhkwom PztR3ZVK1LCbQ00UVmSjhV/5H53fzOQQzztFtMgLPqWcV5jR7Dr8fQ03mvaATbbPgkvx8fUptzA3 PM2UWyxXdU1I/JSFC9yyQew8WocQ2ew33MsWQ9i1d6c80yE7IjU9AxHmh8b9NLkM8R7ANkIWnLcO 7rQ39HKS095dBPcpQ9R68oYcvb0rLD6jfVnmIh/gYSIX0fwsyTrHijb3I9s5J5tWqkT84fzr/XGl zmTj9palysfw63lS1CHqKHj8kvPQ1Zq13tOEFVxwPmCC4sVVLHz4jlM7fESv2AoPC9rAvysVAhXm v0uWTvvtUbFXnYnMTN4UkZbHQwlagBcEuMpvVvjWM/hom94Q1neJvvPNYlaRjy4xsy8OGTUtSkNY DFmQ+tMlH86bs9Ewgl3MoonsBeN5zaY8X5XRjfBCoMKvAqYIg/6cVjl+Lq2lnUCsKn/A1G1lESlO 4YeTbESepBWrciVlMT2tDv7rwhD1EGAy97fn9KFV5yA2od0R8Ywuvrp1qznhjeIj+NivqWKUEnea 6NWTb7bame+yIwMEDGTk8EtLP9at6NuL+lQZmed/HcNj6B+jzqMdvgSRz+75byelPRbwjx0ubFzZ mgELi1WXevqkhISoKfmU7m+EOlWiB7+LsM+iPs5TsLGtOi1/wP+vTSDDcMP5GlGTrOvIKVV9RXzX 6Mw+uFCplGWXFpenJrTAUo6w+xtwcsgubbo8wPgKiP3irGnTQFBCWcu/WkPhYScsDXCJRPgPxYfk /jJ8a+rF0TWmWfORx2ulnHH0PjWsCO9bzOFdqJ7ZKvD5fUJ4KWU9KIocwnDw1yebKx6aa9058jLG wJTNpe7obc1+/oVyteWaL0/IUffUSXVhBRBBQA6d5ca26vgw/v8MDsCdAQVYDManej9E7jjAgXfZ 99AB9ROU18ALFjgwtqqEGreBue06dXaMQY/Vtn9dsFSotjxvnYhxr7o+eNXpcY0R2ihb5nSJJ+cL ObNtWvcHbcXnAc+kWvbKg4qsgEsbmQ2Icq+k+iob6Lkc04aDtl9PiZvoP3BfXKPy6lZf+VbqL7f4 T7UW/9/T08ZGMqPKwx8FeY8HPWnxZDQSatvc1c2yEP9Y12uUmT4DRfATJaB/Xc1Spx+KDr0A8Re8 U5/9mYDMbl+M8sdx9WET3Y2SRSUy+1JZ6tnX0IlI1BpNj3vPcmkglD8sRS7hpQrJNUL2ANo8BapH NFvKcfiR4YMFtER7buhylEzYaCPEW8uhmYaUgSXjlXT9UeqJSnjCi0EvwI9UFBG3IcIzHuebU/zD JaorWQb2ejjwkCM6/+YB13mjDM+RbhQ+eIhaopvTqtyvxMV6SSM7XtfS7NivCLnTLVVVSi+RqMP6 QBcekPJm1hwrP8HjdBX2zpjBfY7kWVQW9FMJ7CfrQ4LmzDg+MqQplM8sSnH/Qf7dD/AvqNS2Ivj4 ZHYEVLz/+8kSoil35umoJJxf6B3G1/T7x40vSEQq5E28c0BhPkn24DzkRKIrDVyNYGgOqfc05hVc Mxc21Sr7cH2+LO6g6aCF922OKyszwMWbcYazXTaqOexYxOO6Yit5dVhttqyNRDiq+vf/B55BUP4b h3mlJuYfTj3JqaHDNoG6xpTC6XulM8KOz5136VIxhfAKAMCyi40/6JDAzkZhaQxtV7esa67tC7vS Av953n2UkL7TxqhVg1CuN/sOSnlPp6QyuzL1nLStwnfZem2RnnnfYUUYe86ntWDogbm9k0Mj2p3g ldxSB/3MDUZ5xuXLG8i62hHc372l8gLshDbtIYNIfKwqgQ3Xoh2Y5IeDl6iyQuEEq1XS0oZ0qB8w sJzI0EZmRC/SSMgjxZy6DppdIgdYD/ucPZEOfdpgkQpzbBc0Ef0q5kjJnBDcPET9tbXMFK9Iu0pu IT81RO79cnPRkIbSaFHMd3uhritYAmyUmYa0U6CIdgi+GwMHY9tuOlgEugWW3JBcsuw7Ugp06tCh cXIMqBLbPzTZuRZibOGNwFRcbPNoqE9wruukvCdo2NMLm4Of7GJauCsQlxqMTSpOtrGtS3JJuZI1 RTBKYNUtGjCEmQ9tDlIuzlRDAB6QMZ2fSxx3E7n9lRmZvtyVu9WLa9iaQr7mlzz90tG+fGfAnK2N SH7CadBxlgfSEOZccJlTKJRJ5P5tSE8iLakhCOxWFcbqsT/Yl0/huj64fRuH/2i1qKKxN/Jsd0jn WRb4KXyQkgMf/+3FHVj5yJOF14o4c7OWe+wWdfGl6W9Zuv5doavqbEhqD53voBjI59HJ4qMWFOV/ Po0MggKtRZIoIR3/wQ3dNRaVtMPD76lhme284V59lodrpyQus92J4qrvX0l5Y+xqBcl/9w2H9Nq1 85jcovEfgBMdBlLd7f2rGP2eKZq2V0HWoQOQobhsavYIbxNInCmjn8ARUYvVJJ73XX2ZjWpCor0O 8ntl3KIcHHlui9kvm482r7uqRJP3A9utiOoZBcHPVEO6OAv5gM3gADIAtExKOD/42+rUBi6yp0gD GPzszzFLsXhJ7uwlqOK1u1dBnNMs5w0VqDb4GMZIJC0UHZjy1SVmKLIkbW+flcRnOed0xToiqvCt +7btWYMtoCBJxKE8+MbxjnxJeFMcDYKUNhjrQFUjLwN3k4r9gNXc6Is4YdV3/6Hrx5vV/klbjcan GfUOVlIY2FsCbiyT1paD52lkcaEK75wNdM2xgkuYNzvbqTuwO8RYy7wfmngDLETQbDEn0PKCung8 IWowapcSGgUIjj1biJUa2Ku/Gjq0y7VghViUusuGbkTkKNvhohl39zpxyJODhj4JNp2OjqEGtYr3 bcua33MPiKBneYs8sg1jXsNxEy1cbD93evR1MAAYqeMke0teQAoEPrPkQwpeg8bo01O7gPMfn0lI Ef8cwGqIBY9ZMIrJId8Lxid9cKvii1/wWDOksEsiVxONd+mCY/1CQ2kclvC3D1VhO040s9KfwoIa CnTaGk8W6puShBMdYf+JHhTy9ApN7tTOtGbGKzV3X8GLyFORg4S24I0oJsW57/tE+1k9WuPHZH5M YCzAMzRHUUUZQ5lJPRvAkc/RdBmdD6W7jze2GxirYlODPqhXlodkZSDmc+IciV23/x883Fa26qxB UwvwuZb4/cj6krqErdv0jHtJsy52k4MS9I74jzhqSOmXvVtHDyXKeE5zUKcr91cIUWw3BBOhpRgt m9OM/RqYnlUeugClaXYN/GuTerarRNFblo5eI1k1aw6ajZbFzgsT1wQQdWjBXdFR8yo4VoTPPhR+ 8+ka0gGSlMJx1quIkDU0xb2D4SBcBLzVJsGUcD0BLUqWt2n/nhSWaMXqq9BQNW9PW01csGgryd5T jV2h/44acpUnYVlTMDBtzJiDSe+bAS5J7rYVT37c5M3xOPFww1Opc6cv2Q0afr1b27oqamgRsgb1 Zdn0gMAjdteVgpRABrsln6z83cl/1UK4pWYmlBkoN8JdHzEWL0Ykuroo3QErg24fmqT9IsZf2uBL r5aUzsWEl0Vk7gEqOE8iM0L+GOdMvcNrbQ2MCCr/dvdPwGNloJrFtnsIFB4jMEsDXoZPo3jqcKe2 SxVd4kMaerTqr9tj336g8tLfm2X9P6GwgqZZAH70Gywm1iwHl4mw3lfMq/YxlPBpfGJO5VUBo5KQ ypUeziIdmXBOtR0g4wqr4M+NBb/OvMF5QcQJqsh0zXI6Xrf7rmlg8cquQrSCfD/Yk8hxQBx1520t Fw9Uu8ghn6PCfq1czh3YQVNvj/7FvGs7eEYy2y7AN0MSjss9dkzEQG4ToEGODg8MUEZx93rCEPLH qwSJdH2D1MSe9mt7bH0e7gzwpqyik7qdtPjKy40OohJqBVrMQJ5R+6VOb77naiauWC/UpMGswvs3 sIY6FlKBvxRw7q7SCNPFxVa+dVbyz/YSKzjKf/1wZ1DMDtbfGrU9lbxmsvFhXUas2dAnu3I81JbC fWbdsPt6Q0bEJuNTcElS1jK/DMls0u/xXr7hRh2Vk6jpikUpD9qzi/vQxbEWDOIL/Ej7mrOg8RCF DRRx4BK5ctHT2b2JCJrI3hGcwIdJcDPB8XKTZj6AcN8eUFyhvVLI5/jPfCuxvzseZk66jB35nfBp aO5RabcNed/E0A/aKWmOrxKbly7HVK+8+WV6e2PCx/4TNaSjk0cnVjhRK9dTu7rXoDO6P/K3goyV w6dpUNDIYIeeXFk6AKSAk9KdVzV3UMYqFfde4qVfQKs8LCfGgRVUtz6KlsX2pKODVpe0ocOd+FOn 1hPVpsFlomNG75kllzV81B2OEUduDXKrlOQ7LipqXjfFIccmACsRJIlmX5t0QoHXevFba8ekDGQA BdvAUn+5+vTXb34UVMCfDXloCdMOsufDsXrzP2iNLjrqyxmwvKBMK9EYL0c3nX7SeVjUUS2IfDEt fTOzwpKlMF9x4e21ITrdA3VA3R+l48eaPc+k0dG4VxK/JCbPLLmg8Ez39HLM4xfpr7mQQKVDzyrG PT/bMCC173j74z6iM3yaQ2cUhg70hWlNvirUtAQ/83QBaNH8Nx7MflDq4YV4ArUS3KpASAaeDKGb YVb8l9Z5zbQFi732ebo//DEwNB0Rr6u/L3uORHOt1iqx3IPuoih5hDfHOWggEHocn2aEmWF2Bq6U NkXGQ5zQmVJgT59RlyNBU9ZwDmWcpCHi64i2Tkw01Acw93wzN84NFrBnn56vwoioSSU7rXMTI6EW XW6SKQECQODA6lwccZ6ggfUh3+62UQMbaPaSiV5QbOCLH8nH9LBjd6IMBvw93+msQCZY5JI4BLQp xn7AoGaxZ0OynDQoIf085lvRxg/G4S64exFXDbnuT+ldP7gS55DrnoePJcbTIawd4D6ch69QmGzB ySjhIIdmikSrEWLiB9++KXY/GqNzwic6BtmHyhZRyP126N+7EAmPaJfB3aVQ3mNoLdshdaK9/xAN tIx2HLc0ht/UOvZwEblW2NInnL493nhTxnPZCTekWE0f0+0fo2BRHIDmL+uidQoOKvtje1UUZt8J DMaN46+uz7KiKKgP3mc0MvqaCdo1AyHpGiXcw9C6hkA/vLAKdDTc/Zo2xFiuctrn0gK0nPcrGPtD paOCG6cMhZaW+GHs4E/+kbGgiDxJcOz0pXx44wsUIfFVes5Shy+8uJUh/OAcc9oWkQi2hmT/3yPB Yf28Pj7jRax6fVe/z3ZoacIkkcqNv7aC3TZGkqRHNYgGVGCtJoVXGses+NWpl5TlbyHKDbTpnCG0 QePq6husFGBG47YRzUbOQAqMahlXJSFfJQQXY/ClS+kBiM5aB4tVav7epaUbakIKei9rFcu6PL19 ztmnVu46Pf1kfmxg7BVPi/CJSDfxP38iCkhiXjuYBZnXcHzJwpk4VEXg3d7ZTHUVMvCzcLgXAJCg 4j86rpuQyuSNA07dPsD+8eZANRg/u5BUV8ZR7BsXn8iDqL9W6bERiQEW8SqVTngy8o+JgEPXQ3Me B6+Bp6pzyD5txPSMpMd0FBAAJmd8j+GDo/OkLrNoKteY0zctVG1nYBpGGyptUv7/AZx1T17tJjeW mUInRgBwVpMEe/5+Tl4Cqu5wV0kgadeuW9NgqX185zVsTcSu12TLqAXVb/j/iMdTZRUpALr9vbmq vCjt3QHcZXLuKTcBP+ch4KlP4ZLIZnAXE7rvkS+MrpRVgRw0PcwNyoFN/dt5AJWh3jpzh02sVap7 a17GSAgsHSfBIMYpMQ4h2LwSCWeQFp5FRJftAnJ2mWOTLWWy91/m4/QSdhUnz2IiUspT8Ht5xRWy xyl+00POyCSRZcpKpS7bOlDXeIu3slrThdpVAUnzNhKMbGcchfCpkJW3Z4NuDP+Nf413RG4SGlnw C1tpTay3Ema8B+S81vIr6vEdG7iwGuDUWysY9xtrjRaHLXOhCR9FsSJYNsq3vxK+Sajmc1Kwo53J s4WJGP6A3ZacOcskaORgfMic2gtk4zzHXz0W4l1DmQaAdvoX2Pws5Ad5hD/9Bs65KQKzKKjEPTlU kM26BNup2gCCww4U75eRCXoN3qxvqeWWN//Cg8pqWdVEClo6kBFhnRG3jU6ULiHKAJpqpJj7Bx3F G885MGRP4A043qJhHMLNnHpCczk2Y0pFOB5rs15idDPlkKWYxZPAnMkP3ZaMusHE+h3KJS50lFZC BQW6rem/RDkCH0RVBu4BjFm+6AR4VQEPGghABZe6yI7rKaG8QDsI3ABHxDZKeWyFnh1Z5IK99aFk QUpaT7P4Z7/Z8Wg7hhEeSPbq7R7I3rRJRqz0Ch+4lEFWCgToPWS8/z28FDjWtvHa2wMbwsWA3TAw 8ANf2a2UrKT7wByiZm4jlGXv2dc8CSrt3SyYR8DyVE41oKgPo6O7UcvhAOMGixUF/e0x3b5h8Ned 1gT5dcfgub8eMmhtxQ6k/MG9EmyhPib0imD3M768P5RnABvxIFjFgyv298JYA6UPDerHRqeBHYWy BhAC2IbfMUcUo5Q8FyxbzRN1TliMuE7/nJtPNUWgtmqDt6KZqShYuVBb+nFIPO05pKMoQ5fxcFu0 XSKwa6XhOeEqPG0tI1PoaI9l4GZc4jsWPhA6pfGWL/w0L1qW9RqgElpu/5/JubaRmabrCCbef0kF 5RxzEbJtt4Mu/l8pr9z0vdkvWwL5Zmh4mK6P3kZWddt7IWhh3y3HhwkOgEys/nfawHljDWhC1DKF IsKZqpq9Ym0/q2OfhVu78KUCSAYweOLtiaS7tbm6IeM2V0SA2p1u/uM+AVgKOz+cG50p4hJh1E5S SOHmLqEEMGLO8dQS+IYYWDRR4f3YBjXZ22QDk2J8wHUJvQGsekc2T4JRa5hk5X6mvMkbr9kOvfgZ Zun2yc19Dn6WmCGImP82I6CiSqMcCpTSsdSr30iG3JNsEP30RnaHe/7s7VokYHtjuKUzucEyTJlu 558SqehIDeBFDoL0AP0MFDZUfh+JU9zTFCG0OwWKTAWY5comHeIQaxrqSdhLooTbn/RVwEQ7tq+U RMp1wklcHQGNISXTEc0lEeApIC5hS1jAcftwDFTRf9usoTClgMguYs0WRIhFCMOGlFi4RrPwiiSj PSqn65gv2YDyLwtUyAcYmdAJAKdQ2FsKtCy+lYJi6moZSz549rreY93F9IGQbc0gANXZnhpJCGYk e8upgL1k2EgApKM1QLE4yVYQH7O0gPTOfP85yZg6Ic347Q3eJT/zDpcAEucc2tiYrJuWGLe0EOHK +oFa03F/1aU9TNeK2fTdfMiViK/5H56Oy73y8WVBTsespchL0cVT3w38r7Y3OrchvWClXVW2LsWd y3tdwXLsRUYizmaCCO01VZZPWC17NeSb3JujcUesznEIv2tvPn0ZbOBvI+CWHFdULWEI5ZbmLgxB eBKHV9Mx02z73gAujx8/mmRNhYdPF1GFOUcXlwx63a0VOCOM+hG69PiumqmrhbuC0+gLQBOXy/MA 4qALPBsoTqXBNaJ06SOF20JjnkNsCyfDaR0+/FBka0pUEm4hzXol7USAlvRhtH/TDxpl5XHxyqTm eEycNgJ8J5KL5PIY+Bytm7xXrbQGGQRWGLLFmAUAcKfiKU0FExRH/DEiD8AGJh0hZcCiGuRlIpgI RcKAcubLOOPT+fbG0vbKJfrmMW7/Ogn7Iy16r4ceHYixK4Hmcgn1dgwn74GTbNh7vsg44m25pur7 p/8cvZMWdGzOyuQ8VYluTuzBIQOmLV46HtPFLO/P4BxY6Cw8pC2+cjVNVEWJxC2EqgVdfFUtxzXk EISBpw4PX7tEXgHlaZuY/4aMNTMgm6WEFScV8BnOyWtJohBFlVHkhYvdDbf8IeTl1tTi7w6DTe5q 0UaqnsODatlZNhvzAppC24oa/rT34ecvDL3T6upQT5/c599tPlmyqF0J9Cvz3NxqK2bqiP1McL8I t4usi5ayKWl9+MMTG8Vfo8jNiiLTJ7EQfKylmlyzZ6z9ZOTYZH9IBsYMaF1ZvNa/onsP/tDiyTkF E2FCMahtFWM3XQ8akRZy4EPwZLwxKtVVviVZhB+zR3O4ToZj3UxkZVchgpdm8z6iH+HNwMmn/vM0 HQ0qudxTXu8qGa0fBwHd8UVePPaDkOUH9qsTcRyxOueg7uyb1g593JJpgNTCLUvlyGyZyK1N3yk2 BA5YXnjJqCgcNArZxYswBl2OeVTHTEJtjg8eLYYM1UQsFcGJ2IXEHy7htmaniTfYu/E1TXkOSZwQ 1qHJpaGKfhUzX8l4Hp8JKzsORLV1RX3hykQ3ANsikMSdFt3SxEnw6q+8E+qY2acEcXByc5EmpB+8 vq9yIQvtdUFZ5WDlhZhIBesYnar1udJ6x2ivYKwIaAy4l5fkxyjEuAZdXAuPaALTUuaNz2avnL/+ hHZ+u/8QkxDj8gPgXtHdbT57xk0mhTYfTKXedx7sOokQIwh895h7VCwiXi8s9HNIMH1gUTxf9W2Q WBbQk+EBH8Jp3ouR87BdkwjOL+MusssPQTkltNVgByOE1eQvtGgQmD2hDuRW+Y6HmrJ4xC9srBj8 9FxNwnl0Xt2U/1/kEJNhzEUYPOOBGXxEHTRARQ2/MjalY0m1gOsUHuJJZjXahqzGk1lynniQpHTB +UAAZnH/Wyh/32Y/jKLAwKUP53QqZX9/Z6qNQy8bkfivUUA+YHteDyCDoqZz6vpzNKubn6xfioxh SStebEmfzm7lKPgfh7uMtSwRQNoaYxZ+ivBrs/kugf2gwawgfDyPsaWDvm80Pj6s82ABzcunGLLU fAst1OgMnl70zkJ6O9GsUxqoKcc6OmnsOx7EihSZuw+ByT2W1ItHlaW3DVVw82sUp0TThlPPFSBe i3Y+VU7lVWP2vtdj67v1pI7oqKSPk8bU6Daeo2StlFxxAzMwonIlwdR4+20caGTJYV+kXtcntCuD eANiCznxoU+jPBVum9jTVxo1hRAjhlcyOhoUxJsvtgg4a75pgqwnQWHzOgZVR2xvOh4siyzBjl9E VOzFA6H4ZQM0j8px4gwuHDtJuG4ai+/hNS3tDGHOGKEy0TaVd+BMUAAo33Z/Wt/Jc45tOjFGkyr2 S4EvjzoyUSc2RNbLCzC4oIX4d4VA98bewN7iDKu0qyjEKq383cysh5ErKVaP/ApnXVJZKUW9vv9H z82HW7oTiA40FThPyXA2gtI3RFPy97Ym78we7Q4zR79FlX5/aBCpUKlVrIji0iTNJ8ZZJ09WGX6p 8eOOhnYHpK2MyvtGJv/k9b3n2hZWJeeNRcBWsG3rUiXeB07oafmsc4kJnXKubbW/sxUie2JeSV+8 9SJrVFSB7vApKkVX+xHz/Xwj3SzYV9D+xIojIegvc7ULuxY3stKnZG+3o7CqARad5UATZ7VPYReR kqM9CvGz02TPmP8mrEtcCFLfwPTL8SCcwcfydfJMqZL6Ehbmct3HGMCtFeUW/kjpEcJrnHBwgSPT xYPx5U1epfLvKS20E2IM5BrItV7QZm+3GvC+4vbHqWezl3KrD4kIZO4tWW5I2qXtzKiJI40FGkNz WiSXXxL+duRzVP8GZh1s7PV8dKX81epapvwvSZRuQLA3FktgAF6gKjEUl2JukAHAgdAxI1Wmr/Jy TaPX8/RnDl0urLWpdlndTzkcsWHdG7Vev1fm+dVRHatlnVlZVZXKJuJDSBy6fhTW10T/S9gJow3r vKuKU1sRXY0IFAPtciqAkjxebIbioqX0oPN8fKuG+DYf8UV0zpNU3k0GKySH5JIFWN59vJUxHyYJ 4e7MGDhb4D6moI89POlE2k+WFAJtSUcFo+vg8foDEMYm/1M1NPb/NuTkdPPPTWcUmi4YjFD8F8k/ CwPhTGLQQ6D8Y49UzCquzM0doqtzqMl3MEEpslnMVqpXk4FJX3OUdZD3KUajsk0z00N3o4eYX6s5 o79PAoi4hEFnC9FKXSM14rJS6HeIWAEgiGZw4qn9H/oqb8Ow+rajtZDbgOWdm3ub+AFIu1pUFUOs vMcH/PPTmC20LcnX897omskkvdt9mSTeSjX2LfMlauIFHKkeVPKBltIFjzs6WUbZs9QBgjGtix7z UV5ZH44DbJJ+Vg3XPDKVE1VHIkOMh2IUAo+dAYlde4PHfMYbf0toxbFWiqMBO39Rmcc6P/xDWEY1 xiiXYhsaGnT8tYG6/6L3Knp0HdZKHx20rAyRQRlKL9IiniATesDT6OttSgrSwM9pM3ErDWaUw+9p 4F6JyQvFc9d1SCuGfvYTbEV1Hkehjlz8AZQeDueRA4gW2CD/y/yRdxioSnqsKQ65/j73KWiSe3BR FFBvSXMUCt1iu5HKUXtsjpUWKSGc5Y9B18FuM4GvW30HRMHOzsqNAbsmRQIDbrBZW+xIXF5Kbdfm wohukQz1QAiVRWiE/cvE8FD0+Tzp1RYtEykGR7b+PoweNFjHvVeTRjPdS9REE3B26lO1zFTIvsYW qxpSc71IAyfOW8f7wxj8Y/i9a59IqZiCMLQyrpVwrOlET4gm9RcYLl7pA8phB0h3wkC2H4pqMu4Y 6nSmV5byuC7eC5Caj8M3N0/1y9AAHhDNqOVxsaxG9MVUjqbmTN5lJySI4+81j3i+7Cy79/2OWO2F HSqX4desm097xaD9NqdPmgX4j7Jr2+vcLfT0zZMGkPGYRObHftm0kw4Bm9Pec1AYGcezWdhY1vl1 cfui77kGDqPycrVjNdnjcjC8c79/iOoxklYplSdoIF7q/HWe1+XnvE9ZSfy+unKlypDAg47urBay OPlTtz5RNfOnRsO19Ien2IWMo3IxXf3B+oSLNI+wUHhIQZ79kSLgzKDYD4Rlj3c6N+n87Z7sR1U3 z3qG8B0NTxPcftf9Yfm/6QBXbMarkEKRrg1BG790FUoKdXZ8VZ2Q8PxnnLgSelFEX9wLFYj0E2xf E2spXM/CpsKHXL32Kwr7I+urU2ZgtChVc/TjndpcUi/7XoqgcfQ3Pz6j39Pi6VpPRu/OHBAOuBFE 9szesB2QQdIVs+YtbdNBYIvzWOiPV9t4PwUZgsRQ33LqJAQo6OsyxCBNY75vLm9SSY6EVafAWSR1 4xvFkLupeFKj5ezX/7zExtJ7ZDqHabOFzBJAzADv/CQva9lA+P6hIgVoyIXpKr1AOKW5FB/aYGnU kUSlklIjAluhZHmgYzIOmBbbxhytI77P1MooA2TjbDDW8Gt2KfJy2NHk3yiXX+RGgxud1PTuHdWL OvTSozkMyVgsfQ0isocRWbwWIpiJFVNZ0Suo0vOaf0DGsUbkem1xGfnPICBH0RZg08XL9DioBL7c UI3vAeg2B5pL/tCKAFiePVHH2UuX/SikBe6okYZlPLXTIQfdeRN6Sk25JBvIEGkvsltdoPLxR9b9 q0mkd5Nr+5tiMuW8JOryMgmd7rDq4hSK96vpgXoyV7KpX6AS/OCgXx2GRwhWmBPQExiLegpiqcKz TMFN6JIQXyaMODDJiDhcuA/agxbZAGLg+zBW2Gq6xxdJjt2csaqa6sADvaZmiUW4nhvAxil2Q6vG uBsfnxCDdmQgxcNPe1wzkLwcwVj9b+cOHwP7+lSnFsuySWqUPh8uCK0+m5ti43RPTJogIASg4X9e x2UFR9++aH7XScuoMb148BwW/n+mw+UmtxP8K89NVSdkZbP+zsMYLPfYmVoYRr4sGEalut6JNypQ uuPe88+FYz41T2zfZ8VqaDEwyOV6WGe8Spd1IziH8LUIQ84LPg4ioGoel9HEkOWz6x7IOJ9PdCdm pt2kmy4ZsXPXLUKIAbexMclp/CrcXsCzMzVjUBaTIfU+lFlEPDOP0CECxt54RdKhaKyKBHq0mOh8 +B5NoZzuZJdHehsDjUh7m6xWfd5gvfldrUNes8QyXMVmP6lWJ/muTURzrPwG6FeT8lw60LpKF+ix ynETKAcpT++vNOdRB5l7zzJxzVX+JGGxt5t/TLeZgV9NIrS2ISUt4whAGi6xOzFj3B6Dqq/yR1uc fncttP6a6pxZbON38E8NN2LcgMxkd7aP/ccca37xysdapjlnrd0Yrl7bO8/5x2zpS/IpARW44sJ6 8Bcmje5+SM6wrkxf4dOftwx9lZFytw9AWZiLF+RDWwHM2nN06WprMO50UTpfJU7jI9v0O4KrGdFe AuJqp7RTHXocPF2m3N4RNRXB4wqe51tExtWr5RgGcHNdg+l+FtOOv2go/Cf6vM02/J2VDL1mqndh DwhuLCAy87CB7zh4LU6DOGqGpZCleabj11LZaBzuUQRXyYJT/Kb0RH6ytICTYcqWeq9WNx5mBapt 4g5+EQBr9TbwSoz5lKzUvv0faKdt49Upti/Gf5fcf2NZGwQ220TLKWnw6iy29tMvtt1P5bSYbTlL e0M2oPq1hT6xFvx96EWNnMBUjcFcau/GOWtstBDeqNqAtItKRuGgTJLi1Z7Up/io213Jhm4xkLdV Tffyjlz0PJVs1EckTg1/rIG1R+JBwM2aYyug/mb5fKmg5N50Fh4fai0SMZBwza5M0Cg1OS1cXnIf PM8SD1pJoJJLTK/MEx1EDkaHRBIYaw3dJTZ5JAfM3LihHu47lz0969+uYXkdLjcz6iJXuGXVxspt /gNHo9f/GdCOggua1cWGp06keLzs74MSA8qLKdMcH56V3E+e1fatSQ5HBQQKYhRgmJmuQZ1lY/Rs ZjOcsKHWlObjMkN3RP+V3q+vy4higGbt7unV0ZER0mio1oczNZaOEREgBum9MDXQH1HgNcwYH3t+ 4jaE2LjiwqXQehMKVFfcOqczMSBlg03iLBjKAEefR5D++L2Hni6fC4nnzVXTAfYiaQQy2Uy2uhIG 8pC4F1Vt8cwimoCNGjDz0rN6pqEJCmSyTGTD0V29DfhUDGebbC9G+7qqxAb5v9wt3OAV6lanGU+D UEzFSRkpqlhSswmxxW4pIYI6rBgweHipq0cL2IM0bThuOpdbEW8VR5QF8PWFGMGfATWdWFeiVcXN hm/ur1z4iyDukGSpGmJxyLRZTanxwB8sLSUJd993jJLPB5jOEneblgtuLiczAZGL/leD85iDReoX AN5PSR00iymZtvj0osR/BsiSKLIJyp2lF+IAYPFzAixwvSdj7XTBgCr/yHvorZCmedCTOTYP6X+w 0eGn8kmBRHZcEvAYmPQuAhqEeBaOVx+QYd/z+4S++NTZxQgQe7NY3yGi5/QWb6gcdgzo3jW4k1L3 xCncRVdeUbFo7enDzg25xIMORYVizg8doI7GQlmiAMzJZnQUYTfT9jbmalErpvO3Xiddm8L+2wlO MO5oSE8zqJTKf452AbCQS9/5X17t93a8xXrT8Ds0qITkIShvdHDfzPsabjhbg8Hom1+b5B+iSzxk oNm68n0XEJ6xhHtGfH4sI03fjnNXCmZRhivLHnsympdDcERfKDqxBpj899xFyyYobkqsOYkZ78J8 IL2vX6zPAhsOojvl3Tq2ZCAIGcZ6co8iKWEX3ufgiIBXw6C2PjAwGIJualuT77JGnpsOgkQIS1wR co6xgCVPe5L8QHYLlKjzXhrwNmTmnbQ0xWSi350E2r+wRFUFUCUcfmxLhZoDBBKKQ47Z8RZcPKGz Q/HxFhbSFY+U57D/dlLrWCKq/W/psynTo7JyfKRs+RM+xcMsHVHwKKnpZGDBUHpPsybknTEY8Gw7 DvBXrDGlkxUyQynG/UKQco1ztpNlxPdCnaqk2uxHrKF8KIllMp2kjDV5PB7p/AwlGGzorwK64jWm 8lYzme2nAJS7Mw8A7pfgts6oPBgWX8PqhQLvhGA1EzvEvu8tZ9orfAyTxLy0QWhZO8R9VkdntaaP T3EaWxjcozVMaMC1KURhvmBYu0AIJEMbQRM1vCTtGG5QNKUQ5FHKDYJo1+qJ4TP5Zql33gB/WUZw rR1lI7Gd0zFPv9qun1270BucTPn1fYyfd+i+u+6pzoOEm7lzbqqYOSZxaqJGDR7+r91aL/Fak41w gls9Efa7mKBkOJ122cjgH5w56mbcrKJaUD5XcXV+ZU9zLOaFvUVpxx58bSgkYmM6BAMCzxkCCB1/ uL2UC29Uia32fL+1Ynx2tJ+6P9wbzp0Zbq6rS2Jgx9yMZs/ZzDHkeSbswseQNdSsLRnMsBJsVBJl F2PTB4I9A57R3yor5mxgGnliRcIjMRaave1RkbyoL5qvrlA8FnD/pzPJgVy7Xah++UJuMuKdarO0 piqWkAP8cCncdo3MmQGY4THKNZtop6E6dD9CoOw44uKTvuvzAzhbrPOnSD9mXm4Sxo00yRRajuky jMhCCvR7btdg/JV2CRLdTkF1D9xbL8pRaqUDm6MmYcvkYR+gsK45a+L0JLZwGdkQM3aTeBw7ygsY Tb9ZBsSlUFdY/Us+8p00bXwYfiEEYTskLfCVdaZMNGkyn2e2ipHjYsn0VanixD6f1nmjz/8wkglN 2jtyhWL4wnMU0hwBVXcFd3hVVhmJT/sEslaUXtqObOGO7drmXFaXGs5Ukwjg2JTasvipzl7qvm3E H67/Jk/iyFC8H8bRRnbf8A3QwP40xT/kB6Pa1E4hwEx49/LpFNSz5Q3kcnPfD8rA1JfDmtfGGDCu zW+TDppa3URSZAT81YvLJ7g867hk/BkCrXNGchKt4jCm+mc4Cw+9nyyO6O3F2bqce2mzLlJSBKDM bz/8Y7hzmKQD4sU3v1zhNrmey16Y7lV9cpkkJM+UO5vjMpxaBNSoMquoPTfDU34yJfy7qUJvqGrp gOHgyTA/ZwbplgMIj3scFk5T01DLaDJCJgan9Y1OJuMvpeEgG8iEgGSHj3e1x5VKI4ahRsMJ/dgU Jx9ZEBrdQ2aalGP0HsCKvEH1g9E0vmO9ZOw++yW4E972GYE/DcC44FKRT3Akiej9jjikm3TG9PD/ b71KKcOrtnqC+0KYSCwQyavPXHxoTa59f3zCSzStX+Zlmv9b489aArNyI9KwUWfYxrk3hjOJtvHJ Qd3xUuJiRioMsW6bruSvDe4unbp8o2UdPgM+zAkk1sgdbAtzARlDIPvRNUwjduzx+Mz7lzGrsLa9 Sxp4BD0a2zwflTGfl4o64vEEx99qezLEkW8OxF4R+2OPWGOuJVwQnN+U2ekBhwVeOCBGjLBZPzso vkYOWF3ZkXvlbdsD5vCOHgaOQcRxH8ta+FR/J+q7/NWv+C/BfMlI0I40ch/8zMeC8VWUChKdw3R9 J25Vy687ns4Fge/YyTagErsU9vLs00+JCc6gOOtzG1SzwRrVijdLUzdex/Yl8MZbvryTPOQSht2C UGlH/bMoybnRb0SdlOuNSDfSXMqB5LsPz9jpagmslwBF2apeHhKS9T7fL7U+q9RS1ZDoJ8aG42H1 N2IAsbq/XGHXCbSj28UzlVY1o2sRlW6ETxXY0r1h8mT+yKWKD56iGxOkDQiAfBZ6EGA0RGYjkDjz Fb3cVUWYmnjHc1fcFsEhhPpbuNSyWXB1vWbEtEXaExDxzCeiYwiLf8/q4XEC0CzQG+KkUgM2FGyh Wxo76cQ8RTWRrFV+3FWfk0y/rRVROjM/FKZeB0WaiTDUOzvgNYkbtumNqgh/CxmkiWMZp7DG1Irq GI3e/x1mo0uPg2e5wMfDPhzhK4m1StuwiykUcWa5Obn8dmRvSL1ZBCfV9AvORWrb9Eqs81Es2eON IBfSTow4nPSkk2jJAhVtVizEnuwQdItDSQykR2DJJQuisGtEb47yvMqbjzY7ZXAJDF6wC2aH48oF RG7JoEMsAJ+fPACOOBgCfQ+fkTPkShrZfi/i4kPmha70YfLiEZ8tdhoSWy6APuUspevV2srbz7Zz l7ij5MzmCgRMgfys7ClU27xfTJv1NBvG48haMgWxgEDNO7a43bDktvTU2mYqgyOZB/SjNmB53zQv ZwssKdM52lQrncRhNgDPnvyitX9VZw9rfqTa6FlMXw2CLOtXKYSJJz3dNPirJmoIYvl2Z1BCtvIL C8K8A+5hKVuqadOSD7Cu0jxCix3UpN1oC9LOqlE3EKh1T4R3XYeLo+t4POobWYUa+ZzIzGREQkJ7 hZUchMevQogUjSM9V737UL0ddNBgkaejnfNPdnQjxGN0HErC8oG2a/ChGkERI/smfDIH8Tr/+mXS 4xmi83lbHTpl5VPtYPAxrC9oYzK7aBdNxcA//AiPQfHj4E1MZ/YB1lbX1dzE6hytCezCFF1H8tiF FiFGbrA56QTCZsDUBZ04tzbcnKQDh99jJqPmHdd7QMEH/NV1O49PArX0CAzivG2pAnO+YSqfhbW+ xsbf5aKbLfQNO2vupFb4wDZLraZanMwRfOWpIig9sehPqeZNr3q2b+4EX43nhhEkUXuUBUeYjpL7 uAOJz6xs0jAly3QZ8IwBJv/0j8Et1KhhWS5HxxDyEe09GiwpVM6DjTw7c74ZNdj1PUJCsx8aCNVx sqYpiEVikkKP8yc1B9EKnekihgIREGjK/peCZf1Zfuu1FpHm/lRIQyENo9CNUbQKMbnS1TDN4dwj 1+qwlY+D7WYZkGeBDzsVk7td2piGs63sDQEBuHA6uC9mVJpwpAsEwxJVLbnEwGbqrtQRkNY7L0L+ IzN43OGbmPlxUeklb3I1of59Vy8xgNw3GLUs9jucjL9UfxiVfm9ZKIui+cpdGkMi0EG9Z87T1RqJ A0pp6F0CWHgkqIX/xBBlQUcKnKnxa2aUUDF8OUTrt92eChe8MWYwLNgRqNM/INUB23UY3Y6xjuL0 EJpmEG3e90c5WGN+vvRKJB6qSPZccW90ZaGH987rxH1nRpxo/c8NbPT5iDerNnBzuxsVSYh9oF/d EzBL6a0fLbfJ5c2ZIsIkv5kjgh2qU+/mruDfQRQPgtedITACExPOpNby3wi0d0kIxjT1TXFWkDzD EXVvo2ZShixZRYVvYAUf+a4GC0zLa6m+c2z0glGV+eSq+G3YG9SlZmAo6XlJhIWRItlAy1X3oJFr SnckNbjkpewTBHDaZyOajgHRU43+fD0D+sCFkbN7jQ3OboxgAGLRLbnmGndGDBz9mJFq31qjITw9 QP55XnsYx3iNoM4+G5DJJ8atAD8oIlclvf+G0FWPwpZvZ0eUlfSEoWb3Cgiz5jJDGr5jeHhJhCTt RDQVQ65JJSU422/z5bOo1pI20NB+icIxPdFFL1mDvxrz6vPDtMRv/KmoIqANISjHibLcsz/tA61A mlVj6yPSKR92PeMrDx+D2UET9dYKJlcBm/KoQwOLMLTMxiHN/itvPZwSd47T9URsOku7IiuL+ZkD hl1Wbw+zpbBlKxeP9xeHZX43dXWYtkJamA1DB+M+FRbZkWhAexAPn+cqPssgDsziAyZTkuwfkmoc Jj+f1kuYnJe+T0hYUA9beTjyR9uahpi5Fv3Pr0o00/ECr4k6oDSAqVavidAYk19lJ9oQw+u7dpW9 j6uWgBQiiZerYRFvsht85cDbHWSKGfPoqst22iFhBRddLuqOBgeXSfeFkkeuYGO+aul3QdpVgHlT 1mRHs/SSRUhIAogYSAmIN9cFsaGVx3rI9TVvkudbHBoaLN17PcOXrR/hGPw1+uN2ZiawboSdzT30 /qOmQyo8FyNA/RBYUpnqx6Bt7wFBQ4eHdlvlz+ACWUvClKjJzGCIlJryqqOwH4tcBtTVs7qVq/T9 zcY5JkBG1HxVZu4Xeayn9kqhOPgndZCisgEmL4/Eb5Y7GRkZk51CSprOtDKxQkuV9SDxwWOS9LWO 6I9kvgQyZG1YrewmsaxfW/Eqv8eaZICRlxEspJr5QnWTDp+mCKpHyKc4NkjqL6t99L88lFlrt5wp VDerCk6ZsmBhk/fLaupm9jvE0B5ofeBb0+S3EBsz54cuEgs7qk831xWq43zuNLxxvG7FotmqqQuu WnXBaBiB9MQmfZrYsnUlJTT3VytqskymPWDUMaTaEN8Eee0Dp6J9qtgmcpkwOjJEy7+hyMpSMW17 dw1q8ih74Gl4PO+md4Akpy4tnLE/EL1IoorzQp/ORlrnSnocKkW8isqmHH9Wh0U3+cR9Bryq4N6J 3MaxbTmZRDFf32gMse5LpVl4i1ecv2cmdQfLL9CIzrJvwDvHE0T78sKQYu/XTH2oPsuqHJPcxmxb NkFYv33JG976qVqPqtm2PILLvMoN+ccndNRt1Rbmq2r1hdZX1eekvBXA5A6cUAtTmZxVUuJ1Ylg3 HKmkkD8nxuPydoajDjBIn5s6klBSG7fIPi9/QGIp+8uPU5dtLP2PD/Aqs9uISU+2wV5pHK6MXhkk ptJbJZkjSBdZ2dTxaU8Zj1fAOf2WnFBq63RHgyURljmr4jK6N45xr+PjgDKuoRYvXJmotEOuoYxJ Q4ifPRj95X07jS+53R4N/qv5UgyC3FolHgv9TKq6DSxuT9OuX/Ilo5CPzDm1spdfreglHtuz1std G30+FxndEQyFKBUfLorKWUDspT+7taLQXJaSI6iqMOllmYlreixEsi5tEmSx6a0mjNUBfGhC9ATd yw89AG7uwrP8fwgBFn0GsUwKI3TI1l3m+ndBZqU6bbvnF+So44ps0p1Muzo7s+GYSq+udFFeVyj1 btoYBuCe1Hfo+PHNWu2qTGxsfd3t8wuQS0sYveXvcNbSJwIXjgX0TtzfL/kGDn8ZiXD4y9mufKaY 4yQASOqUd+965hYu7x+h8ZB8FciP/rJuevLGaelExqMfOqbp7s7Z4cb596dYsGO4oYPqxYedxk8a 5Y5Rr+r6RzdWwlLy63DcGfwckLV+UJTHF/Huk+Jc2T7ColeAMU/p/wXgcGGAmW0aPh7lvSJ0SF4V ODqcyT5kTKRL/XJxZLDBjA9bcFwCa+4g6/ji2dg8vCYJNDHCZjXSjS5HoZP6lGyEInVOXZkl2644 oo9oTNspTYMhww7wu/OJe08GuBiwY25Kd8OV7Ap66LPFyZHnZsNvKmR4opTzchxxvOsIMRyjiOBu j1bU5zzMf0UcptzdVXXyqEr1GIRoAW53gZ85MhmilV2+aAtqiUIayjFNIzVUK6w+85fWFl6L335B RBoDAxeXxo8DtLqitz+CGhRbylcetVfxKzsq/EwxzG32LJ5Yfh01IgGbLrWT7zvhq/N8tfhFSKa/ 6w+SDD2wtUrJcEhrWnf/UDZ9NzUJ35IWfKVyROiul01qu334XBnc/5ZqmTQs5/g4QP08+9m5JeHe it6vxy+Kb7cUj8bfwRzBra+l5Qi3QeRqQhg7fAVCIoLHeQIvWkzCI/n70AUTfJO4MN5JwnFXiMe1 c+Ft7CgbdD7IwaGzqpgIwOUwwkA58RrObi7/bZPMBibLoWHE4EmUuDPnK/T8GGMmHbbk1aHjK5gl u7YNReUgI0jDenHWMTuzWbe7I9w077eYs+ldkEqEvwV2jBkYy8ayI6nGxaG01RRJGZzwR/x6MjEH h3lg+33SoTJr+4ktobHeB9I1FHgHa4z6t/rVvhZ23kR7CKQdzwguMFw4X1192DgiIqLvBit6LTQX z4HBj9ftMfuMdnEyQarbUGb+R/q64U6GWHYnR/o5RifeOqo73ByhvNkGOG9Jh6k6uo2R/8Z5uWrP ndo9+oUPv+jOD6WwyLBXos+mP5OtUZoMzm6hDBO8gnBgslxBRA5GWTo7VGs29wpvrt574ZeS7+Nr Nynf36VSZ5mAlMapOqIKmM9diWj7AFCGMHmSXiktG8sRLzJFnnEgC9hHoBOP0OD+aANzUMH8sai1 sHWCbCdcROMhLRXlPEpWGfPXHfoJOezsQpBf5G9h3GzAIDuUgBAm6gVNSmEwk2Xa+RYtjR/3k3SB +WE/0jo4lY4g02efWgdjIZVb3rBhyPZTXG/49gs2xcexbMRoA+tXZ1G8kW8slBu2dAfWMb633DF7 45TbfqUHYFe5HUE9hnNEp3Bnsev2BhIArAgMXZze+JzuRG9acgDchXTqdA/f6Mc1DLX4SklS5CXa lzyWekke1Rrmb5tDI7N+1xgCXFJy3BS2lkI8kxa4fjAAd0Ji/lh9N2aSE4c2oAG2pWfRQbEp9pEn 78xRNqOEpFRvd3KET44dICPqmQeXcvu5yHGwEN2tZATW4MqLo0Prf8CgLAmn8Zdpz/rrywlDG8/P zz48GqJz35MesdYr5cKs4m9hABg5gtqGphdrjNwdJhBSDDcvtPXiGI/wxkhZuNZOdjFLuG/1psV1 mO8FvKeXWfY8rwr4fFhLBkfo4caug+8mHV5Bc7MW5veuB8IwUmDQz+BUKiYvFUYwDXK1Nvm0tGsJ RzA/Ppa0a0Ys6KTG9j50ULOFp5Ax3PwybQNwO12Vosd5/oHIMe2QEDK65YQpE385aAh/dcQzoXXr rD/RrvV4t0ji48OckZYe8zoct5nVE1q22SRAbpIMXHGEnFr7727y3yF9VKsShfgqnG/OsMVZOy5E PevJRLdQiT4jzebY4Mxds2v73Wlh8Xf11pH+d1wBPyi5NjYk5lrSdArnQdnfpiyiSOUYMNM+84jg alL3hFoODPcw7i9fqlTKuKEQGfPuVpNLbiqzvdpboovKx8uLOE7ixKKAd9DIAraDpYaIgYo8GgzX OY/vRGrArz9BJjQS0Q3ul74mRrwmopCD2cBTe/a4zUz6T4BUGvS06FhlNWNydyq+lzJlazzx5Vm9 Xe2rQRtOLWA0Le1PqNKycHiQdPcIzPtypX0WxtTJfN1cCWSbUB3LTyw3xkaJYwT98e5tMslUbrW0 ovmiRcTAsLzSqTGabudMCYiaU0lTuSwTPSuq/tQKg3kfBsFECPqlV/5BvWzqEQWqZwDhOUzXqvj4 hcdB0KgSFnKxXys64Iaj/J/+n6zYX9bOwuydDPgEdvesc3BVPbmxLxUEMG+VrsCrGOB4gBGvE+pd bc9+xR+ujqPktegaIWkRSmuFuV5fkE4HR9Tuj93TP+zzTfAp2WaetmGucHTHqhDueFahCPsyWUz4 lmMNqT/r1mjIUXhNZM9cBa+suZ7FtH+KY1WxxZyQNoDIl/iRnuZVoRFQ08d6iMRX1sIkF8N2Uxv/ q2ZmGql0FHQzpFnhExSy2Szdkcdrk3szRJMahge/RsmP0r8u+boYhOiA/f3UVJDSPN+icwGnC9dv sFKgMgwxb4UpfA/d6wHA1f93ytAVuo1e2m0CKA32MV9IMXINfvpM5PqB3DQ9SvIXweHiuLLTPcXp zg4c2WGAXwVUIXYpvTBSQm10KU0qkgorZ2tS8oKpngwC7moxc5raXyn0ptUmd8h2QJAlyFw0qc8z D62LI5yAuO64ODyzGYH7WMIc5ENDfUwrsmP/v3e2sZ5iC2h1FNUw9+pVqNOEiWVWWXjRJ2HqaSkq 57BmJlcWyEp+h5FteGEPkwPn6P5opxyF0wWnc1dd1Z206uon60hkc9Aj1EeTP/q8Zp/+p6teRfyT pdM9bEl8cMZsnnZXWLOeEuCTJM6u60Ek8TmgwGGIKCzofqv3iYUAk8x9JpW8Fd8acf+Putcb6qOG eAYBS0BoZEIDXXgx/jvvK8Hd0ln7zzXOfu/rPPE69E25ULXAECj9QqV8tkqZNXXFraU2Pg62n9Ui 670lHsXuecejVO+7XLLTJILyndxjKoYsCHSp2PQciwh1rtKyGgz+C7ULe9mVYzx2sEVi151wQ1so Ize/w6wL37hxEpioWXMfs0bDSIUeo52duHId1h5kJeRFr7l4ASXaml55Zxo57vBvgcOYYFQdGBaq +iZtxLA90pF/6LjFc3Dqe9Kmj4q+qaJL7Go7HCBMl9Du5Qgan+GY56qyeuK94+P4nKV3HZFJ3AnM A7lUJCZx9KZI67h99UFzJ3/g6HqPst/gVVHIrRsUsiKbptZNNaDdsrSvBFwjr9UCfkkQQB090ueP NB5VK+h07RdYGeFD7nzMGqitVcftp05F8VXGTcnGQSei+NDXwjWkkG366OmvB01jGkwOBQ3z5ns8 U2waCfE8oRACUycvJnBq6AAJlI6+tYWr5Hv9c/CG/fNAXQ2TbRPMuGX4n5HvSqWgS79ZBCQZhNxB fkGUxDmWR6fKvp2z68cQDPJR5i/oxp0j9zmTRhCBzjYlxe+/23hU2vfkJCm4H6cKqWzWJxAD7uhE lknyaS1Fj+a8H2xWUs1xkB/yUVmTCojEumjLHPtfFbbtDZB9QMyfwuWkpA0Z2rRfFDHWKZB2Xlp6 ZYy0wH9weT0BaKVdo0hdHqtCJkWUgA9COvkoVBhCoRMx4CQFQLoKkFkVR+tc01jR5hngOuxDnCiv AYG8DVDxrshgHKhE1YnrBJ6ZnPckZBbXH/CdDxwpYZdvk+hW0cDx9hpYDx/eTokcSL3N6g5h+g/v gvtwXQaApTGCRIqLvObggQ+cfPLQhwq7LpwBClc0vV5+nHeRpSQRm4RHLJmdkgEH4NT3X8+Cnzpj BoW7UP4dEVQzv03nd+nI0OVkXyOkoKucnMHIKIQAHN8aiNEdYqdzJS1iguvIGKogmO+Ucg3KDi25 8iJmTAYHxTy+TTxwLHDrVdEmr8B5zNt318RV4i4RXj58qKrzK7muJ07yr4nY2Qa6WdyA3GOgHrWD Jy0OOeX3GQCJ9bSG1wLeTuIkNus/IhfMk3nTec1TueajXkrS7/i4i/BCHHdogGm/wAKpjK43J/6+ gf/h0s1GnZsHx7PNOl4zSvsAFY4BVstk8dRiSQwMneu2URKTsAjZgImnZVbglzetHc8n2fgb9XgH 8HGb/2gCuukims4KZsXtPdVwAbXYh4JCLeGV9yLam2hBLSiD5+dlBGoysjgn9GdkDCzofyRHYUXD pl034bmVjfrWhW8DGiYoROGvXxDBZde13I3axkaomM+P1/sjZt0wK+XSSy7n5S86Gg2AZhpR82qQ jTR+5NZS1QXo9hWExJSSyqZf2DenubgRYHawvYBcfJL24XHRS3PfqKGPMvbnehRQXjXMFrS71qI7 mI5lwc5fEj2Q7fB89WhdVdFQA3BYuh6vEmRrXaJGy9lS9XvkA5BIotKvliOs5/xNszJKzoDwL8aK MRbxPm7hoxhagbWBD7hroUeACXl/ENhTIQHorWVfj1bzsMME1rBICzIufB64TxhLo6PhsXkJFQUz Flvx5M+kLI+XuE07hRTbZOAZME6vKE4Fu7yoKAgPHnDd65C19X4zTflDqufLygNk4xsFuvYfpOKA fAos+hXFhZWkmo5X6mmracGMJvSKeOqdDkENYcfX14FG4i9ZRc42E1nSdKmckLBIq9shNSw8cYyG znBIDZFPAlJ67ChA064/f3xW94nFu9CAL8meBoQ/mso2uySoz9f7wAWUoFeoQPy5uWibTBQBjgkY 0H0BkWGmDvjSkElCfUt2ohgfoytU3NEQIAnuS2kOMV/dpfq8ZxXGmkNTAHf83ISwPrqNYEyqqznL a8y07tzLdV5Gq4zEBJZ0Nnwww0v/xFFzrMDNeagYn7DfoJG0vk+zaPpBqRYON2/LplD4pw/nN3O5 DDSZVZFnuhjLsV2Ofi4rDb9qMHBwBHFQsrYScKcuHauOknpO73f1CV7peOI6wS++hV3wsaWwo7CU 2Y7ApfR7M85wTH6h1BeJb1HAny8sLmtJbs9/ZoKATJzIA0kIk6XWfxSf+RGKJoGlQS85f+QyaQuX fqgOaBbyaH6vXpt+8OKreOHkqTo1CrbTnhpqUmgoL2Gv0UBHA0oaqy7aOgeYpgYbCGDwWTLwuTOr jyv08uMw9ZVYQlqTtiS61+fAnaR7SiG+KGhP53Lbgvn5cX6x3id2FYwis+xBIQJQl9iBL1WbZLAC hN2cWSK03UMIo6w/Z6OObQyIQFyO7sbqcZsuxyACXgfisYXzGDnmvhiPEVM3mL+W9Nvl+/VJvm5y 5U6JegDk72IikPPkwafNur82liEU1afwW0DSpYrpZsSWQCH8QfNTgmjdr9Mi8EL3gxEeYmmMjLu4 YwRWg281nEpr3QTACjEHQQjqWC+5riaWic6+Yr3jYPsnSW+K2OIHwnmVkgicEtlaIrKrZCvflbY+ NLmHpBrArQ7mXKEew8bj1O9BPMODYd3MpEO09i1iQPJ3txRLo+5rWUAVzCQXhfHehSg5YRAHkJIJ U0heRKYrc3PxM5Pborjq2JwsF2HzuiYaZS4dg2OZICAQhzdw+5Ea3gzjsD8u2lsdWDI0EO2oecch 6rgMQHPcyRV+xf5hHt39PaVVSTpzyb3Az0rNCa+RfhTvyyK9p5kaTeWUWHARCmUYMEThiE6ja1FD Gnt8YIpSKg2Y4XZL0OkMZCFaIkMLOlbQfqaH0MuDf4MF2Dx0YS5BK2nxJR+apdV4MYZUPVJK6rCV ioDdKps7FGyqoFA0Kil1NjvUBAIGp6FPIyyRBT7RLGdTcaCBR+e9sQUdGMdm6mME8Nc4mfOxpyGx ADvj1ll/ou6HBr//xncROSVVXJRvr4j+Q/8VApdVISvieDuiFW+mZevQhkMf08SmJnOlLSPcFYm+ /6QBfHqF1nM0YynryHGi+b+Tk97gXLxfNLvM/NKL315qu6QJ2EfoDJ/q1zwihfNTAeUf6K79IW/+ sat1l+rebOrpqN+8RAdirUNJAbhp4oYF7ySFzy/Ib0tuVxqc7gVQNnJcXzaUIKX0UBV95PhXxqSt i0OmYLfymd3EScsJo7d3MQO/z8uVtuHF0xSxKsWLpQCeNVa4LrakqC4j9W6uf1i4AnO/P1MImdaO S015xWwhEEYwi5xgBaKVo2CuD0TEF22BLY5xsl1E8gyxbls8tyJ6rtGHiGJpvpoUHNkaMBmz8n7G OitGQc2bvGBVqX/5T4bAkVs2IKD6R+aJbri8u60pFSKEa1aC4DwcC8p0sLVr/J2NJtwtI5i+GYAR 2SQu4541Fs8loUdoyt/19n/nuhcP2Yp6m5Pyg+Xw/EKB2pczzJfSD9mPU6eulJjUj1zZLAY5F4es mo0HZc4AoyPW4Wog5WE9b6zEcr0It909IR1lwjzBXJuxJfp4wOp5/9WUihemOnCsnfj1y1ELZoAf CAQ/DLs5IrRFBKG3v4uCyDHySpw+QnLeLi5xQxecjcUqwgJ0u6oW60UyPnIfSiC6QhI65X+V98lb 2WJuM8izbH5qg5tK2N7QQUVyVhfC/7njbn/+uB7xhpjzrO3f3whO7Eweb1JPm6rqk+gY3KOmHTlv PA1I37iYVX3Zt1PZIBdqCPft4/TUzsJKoczAhvAxyAy4Z/vweRS0BUaVcvKI1QGBYEeLU6Rz4QcF zaYpGBDY8GLqsbVxZOjhzadC7lFCcRhBP6Klsgwhm9KxdqI6LSioTp/gSNPm0NYw6aH1YWfA3x64 4KConNoPHaIBae+rYaWPYV1xJGTFHf1IzxOc+4KqnbhsUI9dxLmZV2XdOEs5A6iaTSGjChdBksFp CNhyUcJtpR084YV4Pb2yoW5BeFZKIOMuO59RlUixNxVVw3vyFU9iOdgxgG5/dCgrT7Jv0SAuDr8z U9Zd0wcZfoXMEQOjeukamOhxZ/ZwnBuNDuFTLdzq0IUrY6WNKhLiwcFQFBM3fucepgZNQESWqv9u FQA5j+ue1HL6h3srsyaHZSWDZQXvSvhJ8gUkc2K8A1D036lW+48WIOn59Fub8bUkzN2buhH1+CvL t2igctP8CSlQ/T5MuoNm/iyCeggu48drz1kc3IoeZcYfyEWZJQLubGFQ29NVsWM9fbIroBp7v6Cu hD1ezp2kwMpohPanUirjLhCN314y6+ExY3nsCbFvMauJwJsTcUkWFuUU3vQuN1Exx2UGOlRo6NlI Kv+oShyqD/rJOoVv0RIoLv5QuwsoopoeIBDTwLevkW9fSXKBFCrqtUXH1RNH1gNBQuKCfkau2sUV LXp+oBTmGfSwlEeOdj5yu9hqL++DzG31iYkOAq/SIViZWWtZ9jd5KD8xhdLObizxX4y58yEtzyiL biAHLLroxmyCfn8q/uMXkJ6K3PQQdeXhlUX63Hmy4v3dojbtAfaRn+f8rfzWqVXSJLKjjCg16giP wrSFNytWfWBTmZPNVlvAreNMwersPLwqv8TX6baQ2JJeJK3/+YJeK/xOizB1ZGu+ns0V1Yznxtsh V0OhA9SwkTpmYOVxpukc/+JMymWuFcOeCpFOnjEwskg3dl6iB2CILMEsiW+96+zxxcGIyvpvYQJQ FIseyRKw9731+bKXnYROBaj8XNPMdhuE7CABZoZFInqzvgN81oLoQeGgwAsxX/Pmsapqh5bauuV1 Bw2Db6E0Pm6xZj68vQVmxl4PBaOeOozL6c9OYVazHosWCh5rXOut1LYMOaaxzQHl5aZe7yafdnOS l4ng33YgkmOOW6rwvvZVvMC8UkXi/DMtNTeU4XrWvVn9oAQLCYIyRcBCP331ZxKmTxSPglAWEzSW eezmEJ0sVUTa1ICy8gQ0aR09JuMRs+NHCQVL8Z3a9HYWSY2rQUnLTiMrJ/niRXnD9C4FrXbu2IX/ WzjZ/7xsRUk9thtLH6d7xgHOi9CajpsukbGWE6dGVcYvXR5usQhUkbmvPhntum1jtMrLy/V59OhR z4AWpUQRtk8Uh+Tv9TKvZB5kAKGKYos3IzHKdqmglXiw8/DmN3DsaBi4gEBh3Ug4UGVAi88jqU9Q WilBXhw0OeMovXrCrjOzr2VBzZ/ZnPVyFo6U1HW5XdKNET6OwMVQFkU5UpVHzOpNhX7WLzGCjJ// 7A5AV+MC9DnfbL2BUjFKa7Srrl05F+br4PU+jpzs8v1iQAujgF7qSbd/8/xDVKgS/zP46j5unRSG k3dWPGVcGWiO3mHVX8V9pfjBAEiv5Cd4Rq53zPhNTKhvoTtYgEhGjjtQLk5pV4Li26TP/WQNrSrK m9K7FTVBYA0n5QfbBHewGsowd8mD9z/qPMn0FqQhWTnsRx1kdy4T/VYCfj1YqT4i3o64redC1G+6 Y6EL31vm8sSSNIv5hFUYd7Qf0FzSNvRFofjh+qoHENayiZmyeYDAAYUnZhsx8ZkUGed9UUXzyTpU qvKY4GlFJyWmHA0IrKfv9fHKN8Kp1wOjOXqrL5rZx6nucCqjdn906mEJmsHmv5wWw34NTg29bzcH +HQ83wOoSxkVpU3JP9YH82ueQ2KwOUo7aZW8uC5ywXq/7qSyUl/tfAn+fg1VlHV3ic7L8D9oAtYC 45nsX/hewXS/qjGWuAyKZMDcRsMn97yzaY6xa4UGpmuRvS6oX+Jf/80jmDi8LFIE+0lnJL/KrpxV K3ztg8vhPBk2QvhlQ5wFtzzqgl2IUXXLpOZeVOZvU3sgWPeFVIWyi5E5GjKStW4a15FTSRc3Gbhi 3VPtWdEK5pA6t9vuAm1UzRFx0hU+D3IT5utzXhqQCoCu1/jn1nPAGdZnPdJdcYgbcJnAgF6JqG77 6Z5r0c0a4cb35TXumPDuGFZsAGgN7sq52mwN8rzypRf/fZIQg9XjqT9KRrU5R+jbBhkgZzYkxOhi JUfUkxTLDMmKLDNrnIdLSIHlBLamEDtEpr8wBX8IC6nzOwy676BQTEm71tL+XWHgAskUNPjyLiVb 8DagSBt+lD3xbhUOz5tzt5b0nzx4bCCTt0duun/UQsvgJumW73hUeb5um345FgrBns5oeJuNK0ir XzGVvIGrU8JiScW8ATnDrv8kUXvfjjp3V1wDia4guxr0nu3S+Qmrv5yDiVjB73POhjhHavwy5hfQ L8N7VMBbqm469JMpFSELB3O7nAuQiABoeBkM1tTCnPBBkuT923pkbYSLdLyzmgpwZXbJkXP92Xv6 SfqsHuSZAMamZVQKpqhWJPsr4JNBoRk86iXbliUCw1Eup+ynb1imVsMfvwbi8eHwFcBZnSDdwEVw Z+4FdxWpNxn/DUHWYOESZwSbZBqjk7vRVFrr043iSHJjshWwame3fqegp1pGexOGJnfO2ZnPwxT6 41M6GV76Pkb+c4hXVT3XLdwbW39TACtkQEB8eBnBOZ0MCH/PNbJit5ON4fZSmyhqhl2LV8MPVtpX AkYTDept1fpQNM4E9wHkOzMNgxa1b20XINlPItlhM/uB7/vlIrS9BcC01NCrk0JbM/OYam90x9HX 75HgaqMRg0xMCCesSreJ1PgsSFiZrwxiLv/APPUpBdsf14BRxJoWf0+pSXrpAgWV89keM2TDV4Pw 7ChkYT1iVchJaWTfcCxztwlsdOshTu+hEIL750LK3PDpmTZwNPnd2Y7Vq6qMAfEakeV8/g5b8yCF SKmQ5IMecNoHuUoH35mmfVWrr3LKdnMPJVdywmE3jm3x7WjWmpEDwGG2yyojK3lFsdDT0VKFaWzs 5ecrUL2Ic5dQ7cu0W5hPSPLZqKu7ePGJTqeAOEdwTAc59F5Au/OdE2t91DIjeJIKPBi1Lpki+A0r v3h6ZxYwSCR82Ov8pMB1+Qv1xQP9BkL7d5jVK0k46N+FJKkopXQOZxqNh99i0bubvoLwVP0EU1Mz URQFCF1XBmlpsrdZfhFkhM66iPq+oJhvKL0AcUgMwlVD4kGyMeBP/tbTdB4suW9+J9JHlMNnebao P66l/wqVpVg2lGjOCrolJCeTRYYPBb0bknl3v2UmfM3WAbFhgs4qfcQmTRY0DT+Ve+XlE+XmQ8Ju TrsfkErNJd87ghK0Moso2Q5kbW2YM5MNsA+0P7ziGmIyqJgUgJcfdBTSREY93LUeFDeP9l3KA9OQ 0YCgowhFYVnqYMLdumNInREqKjigkW8S6veaVwkCMhIfWNAM4knRli5I3NiiViUqTqihr73TPLFe 9saGyP8esxfNZVWLxv3L/923N8KrBYwKRT723n3gjdj+yssnEdHfqpXCDcV4rltTAn2AUQdEVI3p PZnmwhhGU2kU9J8oPnZW6JKMy0h0EFCkXD9hZefFnuSLtafa9tNfPbVx0563HMZ2slaKY1kjTd6D 9hMmaaKSItg45C36rYDnSk5LwDO17F9ghex1GCNJ+EVba7tgOjyoeih/LkYjSqsUGiedZzEXthEU 7n+9u9ZhaR6XkSbiF03B0yMDh4buq9fMXgr5YmsklZSy68gmfb2YRdIrtaD8s8xFfhNtwJRICsrc aFavixqytAx+Nx66BrbDAP37Pn90/d4d0Xt7asQslGJlppEz/WlCF0oopXU3UXSHMfICPtBGCQ2C DjMXG8jO5BPNImpxAFw7WDq/cLfWgvAtNjBwMqVxyaPe/+FqY5/zPkqOMe5jpu3xTX2MslaAHB/J iRqjDs/cwHqLC2v0G+kS4iDaTuc6wid4jvmWGox263r2FbGulskDmGP/SXKRp9O59OC2FIkqcQNQ XAymU6sJalCokTpmQA5n6tfsipG7w1xl/O1C9GBr8poEDARUjHU9cz9b4gCC/KM+co6WUpjT3xXe ewkDeCKMPDRauYTTL1wCobq7vZ99N6IXg6wzL2HtE6u3E4E+OsdP7WsVwPml2Vi1phurT/iQHvZb RYVgxZnvvQj8aXgnGDdLHbEMe4N4xNRjb3/7g8XXZLftvFsYAnDBJJr2dsShu3NISIVmtvphonpU HGWTz4xZVc1LAgzl1KRE/jsEg4BO7OmwWbtTR8jbrqed6kUxY+iMW661CxclPfwmf9sfpvSTsxCQ o1D8dYvc8wlKooaZbbI6DAFFYKR3sa3fAeTmulwxQDtRryxU3B3VzIN1ByE+w5bKP2ZbIiHO7rBE ZT7XcUCam4mRe1X7XSlXq5xgNM4+tHhXBCiJiVyVJHD/n2pKO5dpIKLHFMQ5NaQrd/VxWcHRK5hK U9QXr6zV7A506wX7JXPbWTUtWvMrNSXNrNsAUGn8ne8SGJ58PtvgLlyx7OauGv/q5Ixwn5fkbKEs VllOMcKfXA38tv9SrKTlvFNWvLD8WncU96OpOl+R1RZTNX8cX0iglMwi6HuYvahFWcqRaxOCz4zI Bfn6YvrJQ2aeoWg5LNFNQeOosYInOqHebuohm1mvFrcQF2RJXONwyWdvuUHE6QSOjR9NYaJcWVlM fISCYUlrhSQ2JgQ3a+fFFtn/hWwk9FxZ3M5uTBUZT968mj/B9XTXDIpAWNyDRIJDM9KRJ052+LuB P4GzxewqBdooZ93gux+JlT60r5UHF/eQ9sIre9c8Bp3kBSwwWsvnHIa/IskS8X6veDw7DNVqsodm IYkcB5yG0ru0OeTsVb5GglM4ng+jXerqTDWOb7GeUJMXqC8ttJqLRmmfhEjtKyGo9vvgXl/92yDa IcztM31bwcRdrx4OrRi+SGzAtY2H5Ib1QJR0gYa7fdMOO0Pu6Y3nloy1/Kghazg2j3sbFcIDHPtL DHxiaMsamqRyMF4HIEGhivI0V6JmZkt7+S+05j/aP6ah0Nn4NLf9+Dr3lgpuS4RGyWbqDbHR36q7 RD8L+/wQuLmSYZ7u+T/gC9Ga7TWhyzYIHeQOyI809PQqu+N6XFXu8DP5qJdNVlIdAiVfEuLgc0Gh ZvF6Lp4n15bXH3odfCbiCER3ad7Hm2ooAiz6mMwiiy4f49I/2SaNFc8NP66hlRzt5K4dKAtYzIt5 CNCq4LvxMC9tnKP2XnWQKY2cgRDT9GU06MdQNmzsEnKHs6t4kJTLE5LG+ZwMdbanwqOeROT5hK29 lkq7E3JIGMPhUpk9Nc6w0dXAbr2+lsZIjR7TBZu1w0qm8PaEVGcGp3Fpc0Mbp0ZXIXDxgyC503md qcGI3Rqv104iO7AA6D22AgNxR4NUex7icbcA6/II8e3gjOFDxTuDlvrCw4Nij/4LfNVsKY7bAZbS FaZnfrNSBDjmsN5xknmLEqm8jwU4gIBXJ0frr3Erx0jTlvvzqFKXZwf2zYfv6rCtxtmyOCiPTZ8W QKnXAMIcd3UHKUinrefdB0Tr5ev+2T5i+YSSeKba3wsGUlz7Xh7nT+m9SyP5ls5vGhSU8tDJaqN7 ddgegWhsuBgtrh7MjVxr75nD7sUYGQklCp0oysTnAhORqIvo9qyhvlZ+EADMKHenu6sVn95GRAO+ VBN6V9euoixsge22bfGKPZIXvxKy4o01Xecu6sYUsZuQcH0svg96CAiYFFVUZKarGD3ATL41zotq 2BPwzu8zHtjpd+WAkqXQj5uHGXRnAj08kMXyXIOGyTZJrObfCYA1F73bA8TFjO53iXZVyPQuF2x5 Ekxou/lxfXLbfoMmzNVblSPS5WNfu/1hqHKFuQWrgjkExuRloQd1FZfnT4rRvsyrqRiubFGXeCPH pOpVSHaQnjii+pnLCRGYyqAlM2acilT0Viv8lptsDbGUwunsJJ8hu32aduBZVwCd814mfbwV1cJB 1ifOzjLUYbZwKuiidCuMwXnZRobFRuuj3CbQlOmv+8oL/oZcRHeFfCkaWVtu8yTxtbTOqPcdi5Q3 tuExl6nj90oOIt5Ap0/8z1azqC5btaS9tJ0GmSKksT5f2NXKBJ0X7kTvemdo6RqmOoq1wTDINUP5 PXcPO9DalFEdzW2ivTCWnrGNiNGC7sEGCaDi3KjhO18Q+SFrR3pfhGxQ9wrIYhawRrUJHzcWguiU 9YVvVR88tj5ipWCrdeBrnNMgpSi9aoQSBUvasZEn142V/EwhD7iX6qxrZ9Ni+Vb+bznAjFUeeuHp YP5N8cREnfgEkclJ/zpf9/6BEjEquU0hAuPN+/QYCowaGaUjGqutHhvlczDt3UMUf4Cj9kFWDAnw 0q2kdOZyQ6pkpmxS0XKQ3jP4/718l+zJ5dtOww91tZTGCRC4La1EyGWaFVlZwwgJqW6haBIgDFtZ Xt5hVdgRcvFY4vlUfhdovhXsEK/VQUQrGGI5AjgKqbaTYWJaMoMWiDDmgKvLD/mrmGoweq1ZNNMO M1R1e3YPk6Q4DENQudSp+0TwZ5fPD/jy3Dyn769N/zp+OWbyAIPYcKrQgViwMoQnViXOHX95Tnru vpkWQkSCRaq4e1nU2IvnTVvONVoDvWA6u6nZbDyiAVq3AwTMsb/hDJzaeq4C20zvY5ar2pPSwv1D z5tIluXuopAeml3OSORKj5xm2UVJcLXGmoRNqa0K25I6I+nsYjF9rbl7oQlrg2FVUSm7AGFW4pKF 5NI/QK7dy1+cpV0x2uHwVdm0TTLyDaejXsM0xnyxS1qw3266RO7uoe6Ux7RzstcXnUkomyj7yAEh 91S1zEGPdnaPhrgLW6RKT4CXYqDfx0fCevZOAYnkB5Li9s3xCAZpADQ/E+wUFT7+zXy+TWv3cTES 2UVp3hbtnc2GRVQuUekVTM8WvmogBCLOCB0qVoZPcQxQwVfGYa+8MZ3fXMpUDGvVaH9ZPmZ7H+Wn B1+yicbRdAldXdvSeMokP2POQ+t3wiDCy6/NKkSw5Qz18X9xH/Laq6GxQR2SZOBUwJInvViWo2Pt wiZ0Lqzg5foKvIKx6X+Rg8XGWRIYBUFRP0shbuRnqwjJmWZ5uRu1i+O00BnSCCu4i8CvOMqacfMr +hYH8VCMD8KFThMHa2wkwweDL0UT70yzsKKV+YyPndbFhn22AOynmVhGP4uFpxSxdeu9U/pxkB10 jZH7a9sI22jumOnNMyQj9GXgnOGk8t4BADJaematjE17pfFD/s/EkAR2GKGSd+ExSVS2eB5b723C X5FrdyUN6Kp6abrD5zxLrauUwWlJxk34qurQc4YrLwLHcolJGC/2ALN1thK0KQGBLqrq64pHpXXF TJq3UaI3UWhQ5R9KqRwaqVWXJgYyZWyYHKjJELiCCs4zmlxaOc6015LPj12KpId1wcHoIa7f2n6l QWyVlY/SbDcxrUFafeYLzU4UzNJ9cU0sM9H59GKIG4bfX2r/1qMYzugL2+1SWpannAy9v5E8Rgn0 B7EeGG2Kdwcv5ChveYiKQIUTcUdY8FRQ+qjpxUTiSkJMcRruS7ziFfqhzTKQWQEUMt0heYOuNa6K fvr2gbgLugfokJoPOOi7aX/ixPkIcB9PgajzykSqvvf8D7I2N2Y0NqQIzyYQOguzseom/sg5hpyW kzFasy+uRT6HZc09hbVHzpIP9hWM81/BAELOOsgFa8+0B/SwmfdpBefPMvHP+qIYjwKJO6CPDjdo OauhZeHodWVHpMhoCKDxG5bnlMAKsz7m5rDAqvEdUrs7Bzou0/+CM5sEAfWC5TkkwUxSkoiE4Nvz TTHyVP0Dcy138DZaDHsSNPW9rebmpp0hIsJLz0+uKcuPu2FpghwdcCrLM1HJ4cOg8LRNHtFuq07R yHIOWM5cEQsARqKqXiVnNwmFv2+wJFFQj6hOtE3dUu+IfvbvRhAW/57QTdJbf9NzWKxp0Ef8S2oE r7m72xT2IluxKCFcApeHOydprquJAY6ydHn82Nd1GHD2RCfsbVWyDnCEEt5DHtD3EuNcFx0QlZOg 8UlhOZyVdQoSnOe/wj+3lFh+FiuuCzo995IJqOOHSZ55RdaCQj2W3/wcV6h4gLqsHcOBWehbjhYQ yyttw0HfZ0J7t3IhTgeVdM4EZyZXCgaPRZPcKM1Wp21mZPOMkfEgcAY9omZoaKMioH8JQi+O+3G7 j7ffx6VUft1QZpMZHtkgWvDlW6vmgFGGiboP6JFm0oEpPxa6IFr1PLhsbn4eGFUCjiUV7mBHL/L+ 59T09mBkrmuxHwIr0hIsbCZupl/yGnFEYSTmJ0aybfLjktWUzLC/hGSH/GhmT268JmYIAOGTDWoF HXtGd8YMMUnGfI5T3XBFaajyWEOn2H5QQ5uoE4emJa2/q83w/fyrf6Bd/zwqCGlmenslbM+eD3D3 u3XxsRi0DO/JhH9imOqZ6jL3voT1Qz04vWoDejhM78nffhTDYsCrfqjgk65UwV2NulBO1tShW7KX 9FQZEFKS7YNZNI+v63VYnzwFYq6/oTO2hT1ZJUTohYjapxL4wSphddN+UOVetAWOzwcUNkSzLlFT bTfe05k+PUVPmJyfFaOYJEGJ1OLxE5+SYUTUgHUZ90MPwp+ygTmJ8ugMIWNTsYa9jOQ+u84PBMyP 8UqLf1VTBH/ldPlPqCsdAW+ywZKKQk0aW+zH7RN45tCi2OF4B7nUJnM7IRj9jCZm6lAXMeqgB4wY qKrdrXbghtemXR0HW+uBGuJ/lFiCB9wlnekXut9YQk9ERZBV7VbLj4uWnzJtVWuBBOZKHIRS1swr 6bNz4RYjyqtNbwc3h0vqlEHOWxBVZbAq7NLECEOwbrnLBfM0i2IdzMSpwDF+fPSmtyq0trOVM0/l UwSyRQ5q2IVfuFhq+qoMq1GZnHpVp45txQuoq7F1gBHToR71r2gykWdnK/1xd0snoQEQOwyvzPJ+ yFNcT+hqes8BpWODwzgYupn5c8yCqs3sd5Zq8z/rGISU87rNV/Lp2549ir4Cip1JyCpwmvK7m8T1 CLiH47W6D/+pQyRqLHFhytk+jThgj6Rz7mljYBEJvCtGFPyi74el7O5se5HSP0eh+sn+k3g01PnV WURs23JlmcSNDU+20+2Ib0t9kRGImHjTRmxmbAYR7OTdAsSCEFJcJaSxBMucSBaQzCKvN4ffP8qG BWlQ8EYnABAO6eYt4Ly4QFof5VgTbpCG6jS18MrmL+TJG29XjGA9Z6uzsMXQogkc0wMej8OTX0w9 PN61NcEtJHBDqG6zDew7b+0tWE4CCaIfdZZ9h7Iq4tq3MHDDktfQXQZdd5Cj00h/30accETqFbx1 +PviDC3XUUhrPI7QuktAyy0UaHTfP8n/qWSkZm16rQL54xhntCS1cA2ONm5Yp3F3GuMF2oXXNzMX T9deuY5vvQWDVCp6xPPLwrxwsoOK+ejhy/BxRqYyNZ1brmF5dnswTG5kl5sm722ZtiHINpb6EqqR AcPS+uK1X/avdN+kr/ZwG9KIfsz403POIAa118skGeVizpfq/agEEaMfFjEV2NkAhcJ0nyWdjban jMLw9YGjR9G2XhI3ctsrs0EkqJiGHWs/K5Z7JMmmQ1xWHD0TS1aKLLie1zwdhHiUo7mqplWbFJVw V90p6XKg/WGMj4ydV3RTM5J9uAM5uqL77/yuUQYFCUXATKmXBOqGT1bvToAXrjJcvKFU+jMyO9/3 mkJZLayf4E5PUBpPAJ0g3SLuFrRoPS6r7VVJYY97Z3gPLEPa7INYngqFWyWf+cBWliVD7w2++Hnd AXthVilu/G6BcmHb0APO8u0mbhoy8c6Kpaklr/mOi2AreawNDMmWc51ZP0BIq8PDVtSHx54yn8se WJ6Y2unOh0x9CCOLp94SajE6n2s67gcXE0NyYJcYCuyCgkGwTVteDtjl+IpR4L+CR139ARyJsqwf kwZuvtW8FTfiI1MuMP27Diwd+ZWQkoJGn9G4zVkd3xp8vi+NGuRge8gMx//Wa9BmZpZGeR+vE86A iw4eNk3Eg/IfD2R/Q2VofCe29YdEeaOxiqb2yZVuWkf8fp3ng7sXi6FUHBpGyaOFCctof9rcojsJ ack3bVS2ekOde8YHn7nCPxlkm6uGbhmXTpTVHTTPpSZyzhYUsqLMgdMuE9qRN9OOlhx07K/XgPJI HDCjiS1Ly1A2UqokClO0vwHeHR/bBbLwCBz5SSFn3xVQh7KE8CUReT+W7ScEwA0g4Pc+pv8bYK1A XwrXbsxK+tPG29kT0cznyUx4y/DAM15xKSXvyiAD92laX1vhIkBZ2zjPnfnZvXmX8zwgFrgHSaaV AVG4zvxgyMBtIoUJ6rijhiJ7gB4RnXUJDhsRszw6zrYy9LR1H873D7J6gu4tl8fZ4Fnx9RQQLOFh xYrJL7uuQds75MhaVA34OHtoapkZHbDJw5om/Q47tlvEfixx8B9wwqEfziNZGLxSCv98rx5JHHID p6naxS9gyLeNqYdjOg+33RH6YV+V8/e9K38qNverUKxG2DS5xY7N2BToEWux2sDBplhdjme8+XOB EfUiHOeAce/wbk1Ale7EUmVNzaNb1V06NMDt361GHjaxfEbQ9ng1Oa/8AC4UonLjSC1U56K00ID0 LvQt4hbXAD4E1iyqCIU61MXWDMWhAntMVx/pO9f+DHVo6glRB9DRQYvmdiCJMNJTY9rMrKIUnm5n AgF5uab5YhgsHlxPxyGr7yRde2Sa1OoMbcGVyxwyBH14bOKm9pVv9zkojKdYvuZZ7n2FjHUerX+W CYr5qiC4DHxnYaOldUff66z14zHL0UfX1OFuyCu/evSwFldw0deYU/KL0ZOWjPaBZVsUUXM6HT44 olPkR/fH5pRlzyy1EDJF8BLYcp4o0az9JDo5kz4LqxRsofSWqhPnTQfNksV93sw8skF28BsgLgDP F5jS9iyXpZf/p25j5e93vI9bgO2qMJMw2Hy0VYrBHgNkV7QZQHeckMdIoO1mu8JlHBEUtaCJvOKt 7bmX9+HuSkuSm662vewptNe1MRZ/T6u7tEFDWagHNo1BT6SH6O5R5jDlTVt/bTdziOWGZSzZbfiQ nOkyPIM/hZIasPwG+0gK6VGDho4Zs32tiFL5uCBh3IJKOJkk3Qvy2UIg4niNaAh8PALXYCNoQE5J igvbo1xhZdF6rELd2SOsb9Gcv/Ecu7J0+2qPxfe/VOfosdeKn+H0TX/id66Nf/r8mhqcaimRdary zSQNVyQGFfEdNccKzR3FUyRwO5djU4wbSE8Ni9yUHk/paUVbjgHjhPVZzPFsY5UzDjVGJdj+epm4 3l99Fulj19uFCDfWk4EYrTlJDgkypLWbSP7knuPbGOyOjzpzoAvv8XbwaKhwITvHtZSd9K4kwxHP xON5zRqMaljeFbzuEKO9H192ow4mw3XchdEF+PtTHVVubN6rsPK49VX33k08qIy4uFeX6gjyqjk9 RPt+XhrcRVfigbfqgvCCfWNUsg/fbCaQSuA2c7CbDPuR9yn1uSLmxPXgGU1T3W+0BFyGr1kK/fvD 9W16pow6m1i72yk0MiRXtqkk8d4B4ee623qqnS/UWcLaQ92P2rlBE6cl7gZO8qXKAiuZpNSZhMJ0 rdh9Hs2VT6U183jj8dW62qZTxpMffFK0Ic8qb3bReY6wX8lZtpyuDt0q67uMbx6hiUELdCPgKbbf zOIspofpxn2VNVfj1ZVl7L1JTG99KiSfKs0sZ7sm4xJVtJTOhKr5J9Xx+rugFA6i7KiJDMqHC1ab oO3XU3YLnYu5lVOy/8L7THh0UVLXq8VOIJhx19zCX9IbNPLKESwr/1pEz36DH3VJ3PHZiv85LWzf ja6jbw1ySAHCrdWcFOGhOiSlJvfKw78rJoNROP7HHUBxTLqnzuXZjSRmOTg6JQfoZ/rvNNsEXXtX fG2O00QdZ5X3eSMzyWihwI5TmSoeNiBn7+B30KG3V+zEs4bNDJcjM32eiPW3cmHRHAWKNzU2GtKs +ZbwImB6vGtIM4WMHOejiEf+7Iyq1pvbnGDsPpWj1AZM0GaInYQPD4RL7TNN1oZ7Qzco/Vq46nWh P4CKbsYbOHeC+4KLkibgyyho2wG5nO2uQDhi4UrXHpw6qB+Z7EFJ8A6XLREKFF/duqK/ZsqaQMII UNV3S1UGnLbaWNwBTC1s+J4Vf0iC22h6vAzQnVCdKCYpbwizQ357QOOztNM6OTAqogOQMHzr/Wur flHYn1MKfRcQCHL2cx53OKGVvyHUQX1F+5qrid4HetO4EinyEi2ael/hfVG/F6vLUQFrtAhyiJ8K lipQ2l7y4MCi5aQD5ci0PybG2C9GBtR6JFErIX5EWY4UdGBP2304SShn4043led2tfw0QqTV9r+w cca0fihQ0scjulzNTY4qwI95XJvu9i3yJjUtyokljpJy7ey09hk0hIKci3+YcnriYZGb2DcQRVxt l5aVhMgr+O6yieAw6N+XIXIo69P3IsAzq/ALX+l38JXvVnGLdm7smySJiLIognellPwege4tAU70 Mwi8Zvp5nMerAIfWmw1CP36H2RBu9pAS0z3ienrI+CPlBD0JMA+NFYyKvyykML+jriQB4OgB6Bww Xh7H7dkVFDF8dcTZR+zXP82ighpAqXL9tKV5JputFwIdhIlO2xRacHTPthjsH+NUwnd57+XXGQO3 h9LV1lvUKhQlcRLYqVNJy+FxispLErbE5Zl+/v5Tlva2vwJyAnqicIh5czdFLDJda5HBSesz9BE4 jJf4hKC/3rTdf5v495xdVvOFiSEz1boFWy1bBewyn4f1b3zOmUQ7T2E1kOMlvG6ahX3weoppUJvb A7yuJmTe6ZO4k0xR4SNsyuHgAYjlv/jAWpmqDQHNDhE8Zxd9hGoTjvJH2QNUmnOoHqMCWdoTAA59 q+M7iKCTePGXS8TtTF2KJIDRzbsfPEjgTVMFEcTuPvIWTAvOy45d9Fe2lwerrGI0FxQRjGaE+9fQ 0GXr6YC7w3Gebsg6QvwYG4TAe7GkSA0H8G6wFthH2oVcx/nebQyueGWnFS/iRIeFSOpP41VzkzzI a0xBQq7r85fgJoh3xNjyDt0/rN0g1g+heVuoCBW6Meu/r1QKrvnj9Fq6L8xbFdSxK7f3VrI1XsB2 KfFBxdAKlYHuyND9L27FHVKK/Ef1i6+wTy4yKpRc22zFVFXMan9P0FJ2z4qPQ73ghRX9pnLoy/s3 qB8S2jFKCgCu5iXtrag0f47GkiUI2gUdE76u+Qu/HOq5BtpO/51Mx8OQDwqAexZvXpZZWMfyMcJ2 SSqd16cCwPv9E/frPnBejJ9nekWzATkAamL15xam14WPyP4PD539DEKbGrvee+WTkpaFd2LM9831 pTqSEASi1/vnvMQq3vbFGjUyqK6cvO0ozFX2SNX4RbKPsD31M1inTIuj8SaKVkgcF2b9PnHBobw9 G9w4gXuFqOOmSIetm3V6Ij9ALbUepoOqM7gwWL4HrkkKey9Mx/sLNesrnmz9coft+fOBCFZ2nFBm 1b8KmXpG9Sx75J0KCSqIJKR62WbrU5x5/0EL0XkOjHsLIOg37S9G58n4a4Dz7o1yZuVjTCd/rk2S F3BUqroCT6xbsANUON4tIZDQ9syrttQ47X5Nqt4XohykeEQigIaXt6nbuB4yT58vrYYuZEoltU4o yy9QgYZGnX7YYx+8w9xgzJKnjDWZ8bYDtY36ewus0wyYQ2czJAAgJi7Xe8xlSxzIG5+v41UxALrO rqSAiTMPEMeZoDdIDJI5osOwmnBgc1Ejc3kyrSGyTWlPvTuPrhvm7JJpj6IDohgYzIDU6kbo9IBf x5u5lBSgVM1lR/6g22vrWDH2jREz9nUHbUZGN7VSLplPdRhwGfT3pzAX3/Orfsj/zFrvhmSWP3vC uZuYHU8EEYm8FAngbDUtdNTXrGf5QFV5hoknu5xZsFjqTfXK7+JeabaEjOpqMaxndtB1IJgq1sAD x19J5MXyJap4cvzvwEtzW/ORxH6OOheamaeNFuG/OqiHiUsTrsiIG1egGEOITZz/uJORqRiD2YCV N8oNlxPqvnyH5tB3Jqe2nZaOVmbf4ySvEy8+GWR3kIgk+Rx5A30pNKziGscl5GEbdnvnOvLDV/Dh R9OGCUunVO6PAw3RoAjAFib9kuLxDhOyT/V0AHJSzj2jhpM8TvQ/C8qwWgdt9Cn9MPlNLpY0W9xi uM6YO++2SOoehFWEFEXfxq02M+aogBqe7TJM2neROF4zhr6UPhnb7adKJlBMmNq5UBUqBZXI5c4G s3HuxbhxYn5lXS2yva7kZm5R/HVsc4mr2FpQX+URrZGX93h9kjfFjrc0LjqMItGX7JRgY1HDpjd8 9p2IKmfGUm0Gbocy/Z4Icspn7+dAs+pyRdVWPG8oFYuop+15MbScnx2EYoqCK+/N8+pUzhxdP5xj thKppOyLDGsYUngxtFLHze8iItVt7Aff6vFMEqBJbZeBBNIPzR6I25NTMnxwE67uIOqSEtGpi1vR yz+kASe2KpNK0Lc3/L/KeLNDq3tNNEQ3KibfwgeBI6/zw84sicMDIfAneSX5n6LaKrukoU3RgwVi MBZU3mULXpt8bEBBopVs2kKpjYtUgML+ZSHtkl8iUtQ7nYm+jxzzpOpI8H+WCmWb0dv0TRuxgo/l 12lvW1rZuaqD6imVlvu7sCCiZnyQhwZlFeiX+piLeu7uB4AuHccCxejX7uXImlQuvtw6HOqynAVE KmIaS1Olcy7SCxmpyeaBqdHzQnDR9q8ZsVejDFxzu5FvhOOBiJ1Ucybwy7zuX82GzLod0xCdHMw8 0RC1hwOOd5gIWmHYJbf5Um5/JHmuy6YPpuBNJ9kE/MzrlZBSn66/FJYhoWmR49Qn+gzNeQQf7i46 sBYlL1pO+JNR29OyIm/MLm3rdcRuH07A75wBsIaRJtwqktgr8RXiWHE85p2d4R78oDo8tLNnBGbl afdlPCiym3mQPh7ystboHJK6WU1VbSQPlzKsVaNyKy7eamXi1qINFoHd7/U6wxe1uy3UX4IBBJdU 30BJr1FksYOypcL5h/HkZnMQRLr5RV5O0rGTkolbnyqDsYXk3PQlIuixhLVcjyGZoBKG6cJgkNBH 3yzpxms05KxMXGK8YJBxuB2u3eYmYBEr7OR05IUBW2327UHsuVSOo8iR67zZ4JdFWk80v7YB7TUJ 2W7WI3lUR0ggEQp0zvj7wpJdHrejXPFDYRHNcLtfQZ4xuxJItf3aGLJKMgOjsY4NkfhJe7O8Ijym 1nSXxCRM7M+Mc9JyC1kDsgAZREyulgS3V6BDTyxb5QZuEbbOq/8WPTEP0YQEwISM4ZP3MhDyoPvR cQ+CyS4cuZMi5+5VVafXqetwapHriACQ57mWMOHnMAaPjX7JVgHWPripbkzcPTkqq4d8/4Zq9e7f Tv2oRhvDAVE++dni8TfLt5tknR6NopJpPRAInhPVcwa4HzxVdrBRJJ2GThmVeVkH9d4C5+AK5lQH ekEYpbpTT2HuVdRky0Bjg4W88yByh3YV1uFqTw2EqDbAep+upf448fUMyQbD7rajNg2K6aDrfbX0 DDYSEiqJ8/vSrbSDlFiZC1zQPIOEZ15uOO0FY59SZ27/MFimEZxNPQfzbsAdL3rbUvuYqgxGhLi1 VWff8O3ZjnjkVjS0TJo0w63y1MIpVcQkIAkXt49i/l8F6ROVrx8vNxpldnIajcJADsaqHLzWn37I 9wdVrtLvncq39KbdQVixfHO9H/FE5ePY9F9Z8Ibx32C7ksFJo7IVrwVCKpHmabKAXWyYyxOU8AxO M0SMthDIkhOAcNjDMhcmjbpAHezgKhmILBoSKVhT3DVJg75eVOnSURKfXIYsEHj5q5c0J0lYxuGJ /c4MVA0y3aP8gdsdovHTLlKlz+lBZD82OomgQ+Tr6t97Py1pymmXiyBrlvmYd8y1nRzzdrBfLCVS pCUs7kSJvjICfJB0NbZSsvhqCppwoft5vIP4AWlzJMlAG8XVgiwCsOqteCUyGZD/dJquKmTaOQ8m kx40SfkBBKfJ2jrSQ/MyQmm2FZWk0fJ9oMHwQh2QU5sfYbIzkYlwXp6JWgK3+b1iYHeGqGEIWKnZ ASLH0dQUgNWuIj7WB+vVdulaEKXYfaeCLJ9xfsXixRD9eWPlnMQd2/pfYpL++b3xtEfA3p2/90CF kz0E10H/NfpvcdXYyFr0l4Cb1Md53TiXz68iBfXL8XY1FmWFYlHzI7kWVzGR+uA+aOt6AVHZpS82 JHk3WGS3Yv22Rc3yJYGp1xx11PJ33Uj1RhwLC8Z3PrYyTyVoVC+r9Guz7onmjI7fQScHSHLqzRaC E81iuNpphRM5/SOpkXf7KFDZulRC6FHt6bJKVyqAwT8/nIq6yz5Fj7FsLDVIWnFMJFuQuJLwgD0N a9QlTdAeoP1i73PZ2c28XK/3nTiFNPnidQ1LOAjagr2Ooe4vB8Kd71aT3XSpXQy19QrzrhY43AJt hP1j8ljf7EmBD9CI5eblpr9igYef7JYmGt3kc9mgfi2+GBXCFwBW5PcnZQ8Z+kZchw4YbRRhcg7/ m6OK21mosWD+h+YbK7hfp22PUFwxNUOY0tJkVkL5S+eNShpyxNEF9sn2dkYclUuh2T8sNTGqm2+S k/Mr3ot1K6u1F4XJLWFFVyG6qzuudxQ7SMbwqfzfk8PpCvLWMWtXfBPwLy1/GNOvBzMPnpuyQ19B VyG+8nZNw3FVJOJPlIEclYB9CLtCJUbeLXBM5S3OJiNzDOkYR3T5v/VHMAyG9Fu6KcVSINyTZu+y pbR0zcbRZuAHUVR3/3HOBaUyATfWIWzGYK5vi+3+qK6xuN+WHntrv44UVbiiHtK0cKe+duLzrGp7 N6oeVpXRVhSJ6biczKWAe9GU3AsAwwh/d20Wb5q15R8KGLQ2xy9q+EjTHos+o0l4VTkQrsggrP36 Ojzh16qoKW0lSknVKb9Fz5zCMYMo5EIBKlqAlX35qrJOtLZ9qNc+J735mcIU4/RfPI+h+RoLqeki 3dU09/MYGPEBC1pjUFQYeIXe0jIePCBSxz/9r+wku/jIlRwrGuscODP4KGr2jazE3X5reJAbZOGH fKkNSBrw4wZ2NHse23h2+BUA2s2XYKB5QGFq5ScxmC/ylmUJTbPWbxmGMV1cda8kdK3VrY+nSMEJ MIYZ+1RdYPT07Y3ZAE7RPhenfGacYtKnQ+FoKaf3UNrE40eu/hbMtaPlkBtMDz+ivv7qPjMn4xC3 CENJZ1wLjGcxhaDCUsPo5w9DlrBHnZHhn+LbX5kBWTV5XgZPStYa5QFD4Fjrw0Oc8W1FAnwG8y2J bS6good0xGH+c2TvYM/srhIQ5Ose3EowRzVVezgcaDJeKsZi/a5+ahcpCp+l3TIgSUf/liagmLtE 9rUs+pPes316/D+8it4W1Lx5x+FbH+Q05gxwEHifadsXlXn20jFjSiBAPv7LCMQO5B5iXfj5cykS RoBi9t25U13TkM9eOc2iBC/Nn2iJGytLjoL+sE3gsYp6GMy2ZDF69+hvQaBQsFhzBoZHCkWClxXi EVPa9Tsyue2lt3wmk4Fg8FG1aRakQDO0wOZG0pfhNAVD2TXBgUbF3cBs+omUR87n23xJEaOP3P9w mqGfJo5lcgQ23JE9YbSMFuk64rIXPYoJFzPxyiGn6jiSySXaQpfYAIpXxv/5svjyXzlkL1Vct+iY ddjiUw32GtrGvSTDdfT+P/Y4NvnJy9g4S4C6c8z3d5wG+FjGeaIanX37eVRk0hagn6kB3dxdeYiJ klHfgre7r87ACF4VBeW5AM1azvEii0bUZ7S/mr4J9eFcto0PRvZ95gAZByoKFtNPWaFH/PxPTqGM hOI0fTn2/DNfZBotBqhw/lr4BZFNpFPVQFYmmvxTGugUQH5PnVAKCHxg3QEtPHI73lt4YLezCWkl BGCiHjB09uEHzrSaFNT5T5Zq82O9Dzqo8jlXLW565W/2oWHlmUXNBRGS1Gi098SUsAKW3Tng7FM/ qje3BVRT1fqmYF1ZZpCtYuO5OtGfHmqmaSD7cjTda8vbtj2oxRt1J7lXBrGECVxEgSvq08EXh6dP tnnL/ZZyfD4t6Iau9au7QmneLlq5wzHJH/BjF2YNwACfeETm4nRxcF6bqneCwTMFXTrEt24B3MoN 6bl52wHCzb/uqd60vLOQfPlH16uB5dltRdQ+dUxeuwis/lv5Yu5b7YqtZ9nTfXF2y0Mi0KhU6BoM fb5SuxnnJYl6VbKUe16qhcM0UX+bik0ie2/0+2L3by5s2yzcGqpCEfkIunUR2dne1hE8C8j0nGzT Xl+/EhaxhXiz6hdV1yC1HqtlTM8golB3AUPnFl3SvqyTlUgsAW22aCl5aLmyiYqUjA9NatU0Y985 6y1RsAEpx7K3zjIyyP/YPYC0XPpV+d/ZssONkUiOJYGY9Ztzaz1s9ewAzmmaYX+JBPNSa/TrXGkz K6XyB7tG/Mhde2y5ly+uYqNbyv343i+W90ewVGrGzists0SdTR5WJUHO4vMAP4iPibbvk2SZWx51 bN6aPANl6Q/xzJClz2IQcq34X6Nm0yUNK1nYwxGLvt1tds2SU6CdPxXHAkSkhbCrdtuuAUpE7S4T Wxf3h6ITBQ1zkK8h075YlUTJDsy6eFA3S6syEZr+HwkbyugQx7cJr11GqtxX2uhr123u7DqiCQsD //3wBXeMEBx6NHTfTsoLvh7md7hLRr7dmRNYimE9O13GOcGF5w9S7z2gLOF3mjDrMm0ptN9hoceV kuk+sMSANFJEkXKVSgedOhArLhT4oDol7i4ve1+czZ5VaGR+NTRKO/4TaVM4HLfuJ6cQEk81DVMJ RmXrN84yMmdDVHnT2cTnKnKYuCJcTpJ8nN3N5uq4pOxLetGw1N6B63r5031MsypFzocodKH8ayyt UrQ/KrWgM0T6x3zOiMmIU0eGYq/vOJYZKEWSIfB0ikCGyYImLmUqfgaw+9SjacgcJ/n2yw0LEchP aaJma8aPjc0GkKB9cNHyQc0RVBNJOcTKNwXgXsc9lfmmugjxjVT5HD7X/C27GrKmDs4CBZH57HtW m+st1xoKwOAnb5YRU9S3EWWjz1BuJITRumS2A4IFw4LlYuQi1qdqfh9afySAxZc2kAt5Axv9hh82 HXqbgYqHfBLKQFGG4KQtthFcCPHRmKV2wSR2DmAOUmLjIzmkbN00o+R5Mwxh6+YFRoamkzuJ19Sw jpTQ/A8u0mbzOaNbGsnW4KCyKaOCA2qnKbOYAwar/wZK6WoGagjzQHu346fwpjYYC+9Q5d31BIYl 7m1ezigxRlXVqDofPOoMC5dv9BFTfJahnmGrGLXitTsVXjT9A064R051NfyVOUvPK5/gt6oZDyx/ 77+7I45kgLfhhhq4cwVNPAUQy2APXxdaGP2zWlyHQJuykNM/5++MlzE38YFD4tmAiLUEh2kREiud a8Ff8ltCW9GHpmXS/3c0ibEey+8H/qkqT9r84SjnWNcYSmDyPHsrY1Y6ueRn1HDS5cvzRj53hcBW LaH/YFajoJOn2vmISV/EcDAXi3I2kfsvdHt1jU5c5/cDlyJsxF0gNaItCwxxRfslsmMAqB48ygCo Q86xH/uWhibsjWZYEVNlGYHLKGXtdc9oRiV0IkBz9tPkp8Ofib6AWlJtf3xvsO8wr1OARLPgiJVN C7kXo7HEs4eYKoo3ba6vGNEa7mjMwyF6q61uG6AXJS3mXW7mo1vLBTlEBmc3WXUPBHEVvo8Kejcx A3KMvoMIrDd+BZ8E97HNhJA76i2Zq809eyoXzF+431i0UjmnQhpCQJQtlMEFb/7C0oH2n6/3LJr/ 2m2/nLtGwaFrlVkiRRzkTtJqiFDCecOJcjQfWhMzAwIYODQ9b2rM+EjqdgvYmx/K1zideuXagEK2 Ffin3UT54GyC03YSMSTZ1Xg9VWWIeAlq/fx+Bbjchspq/QOrznAi/uyPZmDZuEDol4AVGiuLqMWB ZLguGiWgHqWytSJi58M3Hm5rYGtVg3rSihAb5V3qtQx6Rustn3hn4GZvJT/ds1b0+DTqKz/pQe1r x2pyx8RFyXkkWWpYpIWZ+uTU+MTJruWkqXHn1mHRh6Kkh+/da7aZyLS2R+I50Sdgc3L6Kx0SmCwX aVnk3DbOGu/yEDsvN8QOijrL4XrkXTp0JpCe1NTz1SDCp1fm2gchF4i3VWX7YlUaZnSA53SNp43/ 2DzrFPdqAeSOJuhS6yFjC/Q0Mt4DgzFAf90WtgS85TuusPdBeVYcxZ0mzkHKvpH0AUrLfZjZ5gWQ Q2QU3DkYNFDpER/QL+MVkP5nOoN6ajAbC/WCSwCkMyn2IwH8R35caWpqYrcBTZSADpNU65z5OY6/ 0M4ABbTyRUo12BGR8SDBXzmdXUfYs3HS4CjVqv3VjrS09YG6zH20mnCIXAElVccfGxoDF2R6od77 a4dsUXTQFe4Hde3G/mxVK1CCo3BwSWhX7oUdW3FdgHupFYnHSbInUErFvs+xHfrVLSHa2kY6jnf9 0uPVntSWAxBhz9sVgbqP9cDujPMbbievs5F0010C07Zn2uE3hfJsnGsofbe05I2r9DPrttRMpnmV JRMvl1Fjb1KyX74zurOGUkCztdek+gI7jA1C9ewQTXc5I6iaKm2iT/vW2j81xXJaJ+6pIsUIm5zd aT2QOE6gbXn68wpYZ3sWSc+2NiNGRn0SR13vj76tqP6c95omNv8Qtwa9MzevyLSg7k1ZqmYp0hcX u8s0lf4iDWSx27BO0O7aaTRtRcY8d8f+KoUhs63K5A/4/dw0pzgYyLqoRNomrzwBsLUgaziKt4a8 Rpy2X0HxhrbgGq4bDpVISUFoB/0X0aVCGHJmLXglxXiHB5jbcO3QTbHlxs5NoFbg+FvVNRp3MIca L5NyRgIEJ71Lc6w0xExxBka04ql4YJDWt+ZANo+nqa8IXlpdPVXb3O8kGr1ctQLhbDdjJ4H7Hl2z bZQhOtP2TqZod254S87PRkszifK5S1MihRuFjLCZKmr/v4EFiozxgw1AfyJX27m3X9a7/W+2vXTT w5KgUzCgAk9Ez+OjJT1PW02dkfi7j7HCz9Qk09Ys/sMzwBILJ9yD4sT0TJHRVzyDUQTvKyDVUFa9 o+DT/Jqr8jbMKnVqdlIa+N5r2/UDKz+72a/CHQroPOeV1NQw9tYOanGQOVWnMaZ8jem+Fxcv3RGJ MpxOTHTE1B/OZNZgQKj+RMyO+U+w30jVo/Qjv/34poq8kiLvQnsmgvYA2oS52Ibe3seEG267bOPZ AiSQYYhyzs+mQRxjQcsdBFKlQRdAAQ9vZyr2wpdlL47r6NvKXjdL5Ep4MdB0o48wdew2jhxkkK6P CiobD/nOJb9RHhWHj84CrId56SXaoYszC6mtxNwzl65XxZ+YIH5jlsYcqhiQAbDYw15IN4jPIY3O Gf39TLKD3q+DB8eQuuiIDv9aFQsgycmFB4ABg7BofbxHdlG8v+oRbv9gw6SKtNaVnh15E1uZVRwN zEWhJLHEQKP6pIdWtCHSrXSnrWbqPtuxNyC5dPmfsmuimnAtocbHgzRipFDobiePDgtdZxrH3jqO oWvJNWiyNK2mS5NnBgXnhD7+mE0rZsQkNYQG/Q5Zak0flDt+h4CwJtp+CeDOux+jD7F/CNV3JtFJ xFqiTcr7DxT7XmUcs29a+3Gp3O4TYx/cb7IQMULrK2QbNyfxfMc+M9odgY1zQt4VH5qu/HMVdPzL amQ7YvLEoWfTgUsnfyKFz0x6TY0n+hez7I3zLXZDZY7mEhTlNCv9MSvuf13WUmYKhvNb+DGAgLK3 a4tbba5VkH6mo9VIiwFptRe0AAbPIpdsROlPDBeOVMEDZmjriNJ65GMZbCRp0U+kDJ5Zlq5pkjxU KyyHXE/7DgUpwLVBv0C4rMhVa1MjFwTXqO7BLmWkUtHvIJUNWvgVPx1HSXVAAJGWWLCegVG1l+2/ eEb1ENrMjeS+/sTWBHmTGnGtTNK7GiBYbJU4Obyg1kkockO6kwILAqKehBz+UG3nCSewExCOxPUM IfLZlYbyotXtS+q0HvZMyZoUyL0zQwODVP8s5vxkFW/2omKAr7DhNY1KZVt/V/5UGsbwCkqfDgMQ KFilrxKNSirQe0tddz6U8X8xjdLzoCLDrdChbzoH/qqC+RKz4H2pbWQ/CcrRv+1cHK+sgEJdmXMt oijF2IVhyrgBCh7Gyzr8iid5YOdM6rcnIUJQv/yEzWf0iK6Ue5sH9sB/l+tZf8Y8dYO84x7oZo+B hE4MmphrBMK1gQp5KAphIfgtBhDIAlLs/9zqsbdABiCDTM0s4dCZC1tH+PoJe2TQTuzTf1vPI94e zJuTJmcN6cvhiAPIQ4WJLGENVXQSmBMUY8O6neL5u21OfzQaygpi0sAGHJ3/q17gXbIhI4sU5CaC rWsDHiF2+EYdg89Yd1G5T4JzQHg5DCKwNKV/ZGdLFr/nVF6OdE8tBMfokfufUedJLFUAjE5hUhom /qd1Igta6h+G7TtdoFQl3GeWEVE8a2mDBGZ4Bzhig6IXKLwfx2sAjjzJV96Xfh+JhrCd0aeTUSQA ZDkThYq+OEOT+nitv/QOndm7SqVZ/6Knqzypl7Xa8dPqJhAnme4WfKN00dKuo77rKchlmfWeexG2 jEIoZX+7L78MoOmxXmRE9q6iwBHGXVVqtWANuY/4AQTnpjIwkLmJ/eLTvHwySgqjbBRkTUhep10W LlS/plC8XBLRXHruzsYRFIAcoYDSRVAAeBPC2T6srHaYraIve6Z3B/5IiIqPBv6po4YDWrN+PPhe PI+boXMZzGFWRfwFnaNoAGRHTPbd6d/h+c1HZgiQkWsagTCClqMx8hEnYW7a5TDfwdaRsddZjK2I Vx0jWlioKSq+gp0Aj9JnecC3VTyItSIHcdhBkT25DgeIJ0FsekqONsumHIB8wfMFafqpHvnwbicS 4+M7u1Snn4BPhz4MClFCxf7X62gpU3I69Rc/rx8v/nBNWRUKXEeyQGiIRnIQgaQ2eIavjDhFi7AV chlG68NJ7/hRRWUsZt2ytkLjLvC7a21a/l0XGt8/3b+JVaColBYLsqgAQk0hRNqNs55+lPcMHFjv qdPt8a/5dv66fRla/VMGF7ilr2ABcQvgUnu3P85kDvv/OopVkxx6ANVUjdwd+Dg20Oj1iXUck5nv T0cmCzGT+twucvPnYeDMvqu+yvTFiAyRngfCQ/lamEUZ3Y1xh1fnoiJxXKQKM9la4cm6mpTGLyBL 1BVqDODo0SaFfeFPoZq+7zC2pfJaJC/IZBVXeL7yBu2QZPsrZzHuzxZknm6VX+OE9efIf8YTj0WD j9HMl2VMqvB0an886fpAAtq57DA1O/Wz7kO1DGCVq07c3T56odGMWUQABWn4BiDFcH03EOlGR18e UrLr10lOhWRBoPfDzHVtReEGSzG7gHSEJCu14TJah5mVYgf7iyf1XbS1/dIFp10teevq4tBmYp/J U3keXHmzhGsD2ghsfPrVMZhwqLc3ILrgNhouAUlRODvZUAwMZUFI/C9wSUu2Ws0pgnZ6u1AKREYq k40g0FAUn3hGc1sPKgzJWqU/K0Ax57TG4CGlnU4Nr1SNs9Rt51ig/iu6vL5w/3wXe+YN4LfXizBl Bi623azX/NjBNqI1WDj48xaRKtPWwmUe0TydceBFd4NGGNpC8o3P3sT/LtvaDFh+Jh1Xsi+Ksmi/ QigoGuL/ZqaVzZRGPF01nOlQ1DB+YxCgKsvgMZlzVNrjcHmXvR6M8IoqGTulVXAZukIajXx3Pa+5 EFolfVYZEcNzGXUyvaB+QFJe10ax0GHqtAtOuJonzQ6W7qLVCYZB8gtvEI8QG/opHQjnssPszNJc VNPkmbIZ2NGrS6XElUkx2RH3A2R9A3fz3eCAVPrNQgWUzj5CVsyYxcpzKBukMpZ6KL61NvRgHbpC s17P9OdFA8k4afXSnKibcGRuL5kqCXpWpuR+la0L56no9Fsfos0iunNSKgSRp7SEltvglvcbHBR0 TuCpYJdQhI64XJxncZlpK+e2XWJKbwDyPzzyck+sWwap8XQAWzv2+VqPqJV5n9BDWoXadEZ0r4Vt jSrrJD7E8nY9xN9Bk60oBVEZDGNp6yfpg5Fr536wwTnmMOvT/jilpqAzyXA/V4+x+leqF7hGtXdU eCUVpkrFbGvqZf4/wXlmqueUXUjr+TiXcBsvbaaZq6dA1gDIh4TPNvHFOJ12jAA5WyM5bU9/N68U qr/4Gq8W3MwVjbt1E1T8Ia7MDYDFk5fB4gc0lKb+/omlHYo1Gr0eByahcVwuYqiWL0QG17ykCqUL 7v1Kdsm3p0vqKON3CVs2utij9o+WH4XogL7unbDiPJL6o6tkd1ZkYxlr7vqF9Znw8AXYmbw4nLdq 6K5s8RejfVRl9VMfcxZXbz8cA6T4HCYrkjjMjUHt4Kf4DzNNmzSSRnLGkn0IrHxJY5YukodDTq/T qDdi+RcQ3jdpZNXNb+moZDXrnhrLwNcqcTL3ipI9vJZq0+4+Nj6RyxJUYMLP1E902yIaio81S9ym KcHvlov5EokXKBZwZpFyUgmND4dYrxvL4EUhI77bSoqWwJ+DTn3x8PU6LINnWyaEQgtem3jlxcaf o4R2np7TqM7feiaQm20+7tTxTVdWXIhRYRPsHuz9OJdVaIrCuJeOrTaLAbKXkrSQzez8t30Iku2Y KAOUYX4tB0Gk8EEinPAGA/LORcat+JKveEP0Aq9/zqtz5HauTc27A2K4Gn8MgFMLihBz07B89kTF rWVUYhIyPG+EKm6ho/tshzjGT+S/Uczf3PIHNMu/f0BmwBzWUvWaq7OM0f0ZBshhv0dPuZs/kiwo y/HZ9R0KUcGgjxWvRxdhGwJhQF/UCGgoOhxlgot6as8FkfqPhbWXDZe844r69nH3HUDqO0vcq40N P5ZIdLrmh9jSCFLX8wpxY1GT/YC0hUNazHQW9u/lrACjHoXq4SicrYxC3NKfZ/RVxMiry3M0J28S gHiT/WSqPi0AgTBsKBdLn7RI3bxUuvphytJpqFt/OP0vmK/obQQW7CNNb0ZqQv6N+gEFw7as+FLi F9oufc1lsxyw08ubjYFZnZGDOaTMccVsc2Y4XFPWG6Ksh5a2VTQKZD2pzDWnq+DuvkTMLS+rsDSM /P2ViCl8iDVx5+n5oafjG8makAs1F+An5J37Zi8BsV1Ua/o1wgqqDgxM23xJRy0aV1uyO3F5qHdv v8u/3r7TbKq2kg++XKX4q5AX6GbGXi3hVPo7Bd5fXtKukAGPyjHBpUjByLFjj/43eImWzkOi4hq0 S0YzEwXWonLrHz3mnkcsrmDcsvQBdMVPbntEpuwMGMK+vKm29uKO9lXrKJyuQGyk4oK+9xnHOkTR +q0GTjO3eg/EgeGVQfajxsWo7DcjUqldr4f0Iu9NQZWOfMU4eB+3jN0RQBETkXRqlcHbRs7hNt1m 7vl8JsRgSZRmT3zQW6S47ehvP7Lo6VOxpplIJuG8w0x7da0Ceygw4cPVZsYo4BGvF1GTTDFs0Sqj IwBlXNLH+xjjaNlxAB166ei7506s53DSaLoHYf+3CE8z9MMpXwH4B7n8lpeszVW2SGGVKqg6ppZX KCt26Z1iuoCSIe2FI9OtPhD+vuzpE4TF1WFE3AzxvglxurXPSS+wZzWNSWgj3nyuUMYTV31N0yJH /oVxXekyBThecwaoUek025mDyaRIfpqXR0cC7Y0xINsbOWSiIPIu0OYU/4mkheStPMPF9GjB4AkU 5IXnm/5I8c8RrJ5v+Y5Sj7EdZO8/h2PNYs+E5a4ARvUUEXgPE6gsu42SutPW9g74Evz0/N9aff9T dih+UeqSzqvz9tZL0JbAeX0a62Xtomyl01v7uF3QEf0LeupR7A9LON9F4VpmdJgOfIJlcRVRsOG7 oUj/e/eMRhomJA+Frq8DZJGJMVuthiqBEZ1vJCPL0XUi15chEdZI7jTNJt5vjULidTD62x0Pk9oi v+KVkNG2XLhb5+MEaxeTUc0dZDH9TrWVLVXa0K/c6kZf9B9zc9FikGUgnkVr+64tI2evbYxuU8sj VnvGkCJkVfDSZEgxblXTQ5CJbafpF3QOotlsWzOjCg3h4KCAXCl0fixxdbSHhPRBCQ9qV7fwd16a 32mNEQphyIwJSjG7KIn/+cCkQYJ+S4uk8Ccv4LE90PcTvKPreeRBgj/Ykc+KkZQdOBv5LrVXFltm Gc7fmO2TUTADfeL4vV7f3Xyo9z3d9NzhQEu7FB91X7LT064q0J6NDpHUechrAPcewYqhwVYWLStr /kuCceIS2NRPmFUSDiPp5JM5WoCTDtG+XuKOygdYyj2jj/QXUtK3ZrY7gaxUAedItJV+JRiF0AII Ym2PJ+vTq63QfZcAs4FOnhoH9Z336X+dwEvawooa8ubovqR5oIJ38mo2TPfuQ+ZLq2ZcbWf+H+j9 h6+B6NIxdP9VGXm5cWjc36oAsFHVFCEi7Iv7Uw8pB3IdNkYsTifoJ0s55A4/S/sIq15LhCluXMO6 Y6cNpd3XgEYYqUp9tKmgu8dadUi0bxAWHdTDemlishqKyBC8zd7UPgOBX0uf86D9iWYfyljeqpVl 2zwbhx+TbbyewSphkUzkF9rkPOq/6SdutQ4r/hl6A7unKMWpXmy4RmFMyoWpeT1YQHc6DBsMhXFx 8g080On9+PdPKVdjZI4bYOUtJu3Izp2CRFaI7WgJEhLSjqkoD9TBneqYx5AHiHThj/jNjUjuS9bJ iBZ8ykwKzJc28xPb4DRm+1r8NeSKbyq4BIvbk7odC6eUFRpHdjCx/4irvEU/yfPmIeC44UBxqg88 53JXwIi4FsxA02M1X0mIQ/z1zQfRpvgvMcjWYYxmKYQI/mConUYe5vNiwNBcxH3ioc6soczxcHna bfqyNXjD2FUcC32EpgwyDUQUZhbcdy91vq9RbES4jDFV5HQvwalSSTbVEfsJramCqdbnr9oXCLdh W2SxdneRQbEGlLXYWrO/wc3gjGYqt7oRe5v/37wJ4Mq9wJnolPYuAlMAd2UasEX4dQFsJZeZTNsI so5hW2o1d6emgq78u6QCceN2aS8Gt6dyydnQXEE6o+r8vVAmc2jqKzj4gak1gq5UBPBGbuXNP4sl g5eoRBQ3ITmevzEnuGnjXdny51FQiLy9JaASkDz9U2ThXjYNPUA/jsaoZUT9Hn8YlpvJ961I3z+4 hmE4ktNSlDnY2CEW2FNO3pYRK8/9cPeAIOp407G5PA7lCi/uBxCtc9LysO9VWa8lQC3xcdyUu2wv Ib7Q9V0L1BYNVz7JBiSWv0ESMuZdn66fUXsvjffnwj057w2Zy46M1MN7RcdR85hOS8svDKhQ8a3L GcIHzIoxB1pBXcgc0YO/Nu5bLmQXJmQO58L4ZIWUIA8hd82Tn9MJs9wqa0CUP1G+D7xaBc6l/C/K CGRd+vjKl2IEOWpVDHVO8SwUcdJWljyINvQK0XSr/jw++W4ZMbWKJ7s9iMrConQ3dgpbQ06lvaHx NGZuupzn+lBQ5no/QknOXNeBaTTqAodoXZ2Ryv8H/HTC/6ADwY7DcZAoMioRvL9EnRHC7GGwjivv em1A0cMPWWaG9pP2tsmCRixncEEzI4m9O6ToRjlZ1Z7NiIYZg+ThyK5eYh4rf09vV8rVAwRsV4M/ s+PuGFYTd6zc7huiiWOoRVte1IYRaqVkVJyxZfgMcVODRKm7taKKdSbyrdCtTUm+fg2pN2+3T3/O RkZRUT1l8WGYA56ZzUq6cAGmBbgh0rWC769lxvqtaxR5ytzcr1JJBbCvjIiHNijy7yncfmy+V7wU 2yZwfTFRuIgXPkyk66O8+WcQMb3nMogCKK+DdLjbS2tdWYX8iliNjfDhBwK5xVhR7N12FOAzSwMO VSI4+4BrmOe1lFt1HQq6NxRSvpIkfDfmP9K+FUnOLHiFdFh14ecsXzMBR2iPEX1OQHPJeSTIxF9Q 35kOZn60+kxsn1CETf5SzEc15P0hwEQLX/cUWjSrSrStMsFpSuz/roFueZHgVniEmLXJt3JQsUjZ pVQtcas8PDvof64aJkWVVb5vlwkJaPIoJUdNTXXRjmTF9gMmyZk21WO8QjrXbjFLWtysNuLi3j1G 9MZjwl1oofdjs2iUoJT/Tv5JjHMZupdSda5GsAaaIJqACqTc8Pua0W7IFyiZHQh3plVoLTK5jozn vqKoEjQYmWcomZc6AwIlyuAisur5b7nh9CXOVbnfwhK8mPZS3zn1Uin6WizxdFVYgxrg6m0NoPkN u5anF7vBYgxKSR9i5KX5VFsRahWKck6LI8Jbfxk2wlG3960LgyAC7adm+Iwkf7KQJ8wnwva75FPq W/w9mAt7/zdUujcf3vF2ANqdf+wNcH11pybXUtAnYxTPoFvgaERmXSmBHtPZm0uRtXSckwmBxw5q f2WwQiAsWMxIneeEpXAogmTevngZbAbMK8SCMoNmfao9KTCSoYLel9wkx+SuNFuTTpDdMkBhQVNy vKJA7QKBxwwYkw5HEUmOpt94FKz+0F42ZxJhKvIhv2zoi4+kXuXK5TDXMQuuexfoYIOpZ+BKfXyQ 7N/b8a5W7Tlsgmw9xPphm2yk36rQn+b9D6iAUs0vJzPKmk8AusXQ//Z21xV4SNmzTQ6P+vvGBGi5 05L8ZaSqgfL30hqcNbhKFi8OMf9UGrFPr25AQPfXYgOSwj4RJ8Mowi4J6JHTh9/K8Zpwu2vgY4nK 7L0MUkVR3aFwazDzhPAaXFGWFjjjWnIKxvi972zD7y7bLhS42yN80sbW2Eet5sFjSobR0OYnvffe AdHvTM7S3Ie7idl1hk0FsFN+TjapqiYRN/9ILjTa5z8xV+Gkiqr5UO9MT2pa0rG4r+SmOZJ/0f2X Ww4BeOKXBVNH3w5HqLz8Eyi5HxzKY+WSqXziCqvXyvvSMw3KNE0BA8ra7AYdXlfF0M24C/tJKiB2 apq0imaNvVsyk2nV/acb3GkxKVvHRjPmgoByNSfPT4YVWBuKPXFRfqOIdc8HQvHXg/+WZrpFkprX d24Z2wAXJkbyWzeLzs4U/k5gYquh4IyU4xdixCWxXKbLV5JLMwatBonrrKbHW8MdFHrKlAxSsIh3 JdOdCciGElsYwAIC8O4s8d4TUlSbJiSUzMFwzC/JUHzUSQRkkBmCtGYykCf9IN50gQvRXMPpKhfs iqJT9r8WC+AMRVZ+HLj1xpHYO9/gjQTt0PCXfcCuIe3q2fdH43TQXiWwNMU0P9obhq9RrHx/NXYO /jSVk38YO14Z7Mq0DGeorYWapYGqvqSwammo7o58qWuKVP0SCb3t6YNUvP0KQY1kBgMMQeryvL6m XsvucMMqclH3MFJaexg1/wFHixpr6OqcJpn1samLN2Oz8vYomiB81OB/LHp12AIP7g9asLJM5XN9 s854ToPdF4yILtLBOK0CT4hMzoOGrOc0KRvG1LJc+VO5LZh7/4NJsibgJcdahWYefhiuRJr2jmyJ l1ciEPznu4AxPFtWcuD2oqF4y1fw1KwR70iZeWGyoz3ODSTwHrds1LKU6W3Y2QdBVL3/w43wGORk lo+IOWaX9tdIWY3owDm848Q9xPAJGy9CTTg78pgxwt1hstTHk4kWTqTDC+PJB4DLfJ6OTr69xAUH iXaGHrcRpT4peaDNB3KmIKrwY5wu3sAhO4yZN09mSix/KE5vmh5OKYsDogUvgvDAJIlDuRHlhLzF QcKPu8i1UpEJojZ3zoNAdg0nO2IbkjdafWSlOSonAMngkKWdnPYYe5kNPpmEAsMu32h3pJZHXX+k TfEIhaPA9MOui54doeHONxeP6hKNx6tleTkmWXFEQqthuLGt/pUl/ZvDHPtir0Je4uRLhP+nI6lk uIrvZf12tRpdCAWt4RtUFj5w2OpzAH6BtzgbYQGFiUeywDhCSs28hS+bfX1kE9M8c7P7wgfp0jiN ekP+bu+rDlHKBOfCYZKIlSjR5EUglQzfDHUTkenlLPWeZNWebSKDyv6LoRMrz7VxFpY+wSNbqq4c AKSmjIicjHL53kHTTcyB5Cx/4O+4Yq7vJD0B42+WHMuggmfWm+bVfAm6x2CkbTzOfazT8vsf+5Z5 TQ/bh64d+we6n7gO6k/otVDnOa71g+GBkVYlJAjMsZCyb14DuSUa6miE8oCSfO3XWXKa12qONPmq jiYWaB4JBczRLEC2VKLJvmOcUEUx7ujVcEKLZK7wfk4+bfWtQtzwAWwOexLl8zFCz/of3/zev1Ug YzkjlvSz/AqvCF/DImTBfKe3HOU3Gw+ww0GpNCO5O6C5ReC5NIbWoNYQCDmW+FrrjKxnB7JgHro0 1D/xA+5l2NzUqrCvkjyBD93qezWoIWuK2PmQEqqKjddcPlIGUXjGvfUO3EhXOvfakt7zW8HYZTdE aajA9Tx0AuItwCQC0SeS7Zuoib+zAsah++i2XTQUobKxivdLgT4CJ6VJWWiwTCLROZQClcCPTv1W h+cPibVlWN6de9evK+Ol027xRWOHj9lDSj66+QzYov4hfKg1diprBPyLbAoip2Qf5Py3rrsL5Kzg SHg+E452XkSiVK9eywnH43iE3+2ST/SGWxrma+BWCeRNfD1bhSWtEmE3cXUk2YWfnFSlkEyvjnKb pYjQYweNensr2fuptDd0gr2wnVEo8/OnmpTzI/aSr4SHzbY5fZnDW8kfu9hfOgiSNP0cVmPojo+G vtca2inSN3K2hOk5vjN3PWxMyq+fXqekUE1mpEQeILDLGVscVeoJwiU6icrEjSEOheIOiC2G0Dqb N2KZWYFfNWaSKgBrkrpncqhAMxNmxOvE4E9zBgiLJZMb+mmjf1vIOKvY53UoizR0FG0JLQ8zamUf ro6nF21wn8QI3l8u3vIkYsmLzjjSIks3SpY4TiD2C39UkCw6rAlWZI7IsAEnyQoVcXfiCLfCHeQx SCJwNQ+bwv+p9JQsI8UTq7VJrbNVIqzl5ReStyBDScKjy8IWixPePaQi4D7L0LiZgw75sYpQ73oS SDE0a4QIfkPusikF7yzSo2g7tIjZwMiBJp37eoj9OCzkSayn0Y2Td3EPd5f3GizXV674ryKnZtX5 PqeGOenAGfUlOchjkHrO/sbAzeO7JBS3Fm0dL3gnSfsAoA2e3bmWZJwCcCIvSqf+vedMBGieioLy 07axBScnUcOSpNwRMTgq7qST+0RFrT0GOHGlIFrD1XHrEQRMdMd1ksBkmALyfOqPl4uAB1sdg2eJ Bc9w5h4+2PPQmoPRsMokSMQGmB9OdeIrwq+N2ldFOnlU5Hoc5mvme3vMwvJPu0UFGxesGNmqoQAR OShamp4AUEG6VBLVFfGma1Dma8hjIoMKP2PY4RAqqVRVG7jCcy+2KIXY95pC+w0aqOeSz3jn5GkP npDdw2auCGClbV1ES735K0iWcFB3wZ/K02WfzJUjnDSRC7gy1HHFJAXT2BwgtISa8VESCS+GY0OM JQ04+xEuWbocMVbt3b1Mjb4lGG16QUPMjKNfv+Y1rF6/qz0yZYhwTNqMKVtByAbZgN8bjkDz4lSD fnQ/j9tWPuz+HveVIbGKxCF2QQh25Ps0J4b+PJup/zCPm7lI7LBidV5vKLEkkdrNVdXFzpwYTG0E YzqEfZvOEP+8cJm6Da5WCztwFlD0O6XJqMXkOjy+qt0h55XxOhZ4o/E0ZDzunKf7Sa9uKZQPWyA0 +bhBl1gqN77cNwu76iv1+BR25oNW0mFIChx7lHK+xcjmAn/dPOU1D8d0iMGbJlvA8nib4PfNfhsl s4hIAzKX6pKBf2WDXGKhUKHGF2qJorf5WtNDRGre0TxIi/5dy7JpOSsIWuZZuGYT9ty414Uc0I8h R3j6uoa+PQkBGipDQ4QcKOWWz38dFKQwEUS938IxxE4LzeVthzFtYdbRmcv7K09Sf1USH1wcFSQ2 DggkgcK5hAq5tqn72wEfjtz0sq22R5nJPCSKSJy/wZw3opC228PXDwZ4pNAXnLiLCWr1BWVSJ9DS nE/T5lvHDzbWF/8UOIX08tv3d2e9tCd3J2sBl1V6VhcQUzrEqftnfzw+WlLabzW1WrwKAm83LwN5 HfNyqac6SnDvx3uOFTtFgHffoLALcRgFDKtCE3CZnQs3qlkSBHzHJYJfY6RViC0NkJBkHUmMBKi0 V2eVTwydExNJPb1+G2BuzuvQORXxnJ3ELayXvlTEoXF32Huy/bnmk3p9t52FtqkW8U3Z1E1uuEj6 hyD4d1l5ioO3rUWX7oeuONluL0JuCAbu2Zk2wEPW3jAVXcAfF3esPc0MGn2pOQb51gRkK+M4UFrp UjVWde4udVtlqidn/n+fCx9G7YChKYAK5EhKrKCOFKJoih0tfNOQGv+iA4fJhuLlEa8KlQ5bPuwC fVUZJqAfyNubRx2u7YfJM7QjvcZE1xKeQAH7czyjKRPzQcgo6qKrDpCqOE/AkaLLZV3gEYXeRWbt AWSOnM6d9Nu3MjCEUGE575UbUUpdG0wNBT0GiIIv87pOlvojvCTzPC1bHXfzd4xHNBWgdJjvSdPx xHSu1Gh/BS2IJIEBiBNuy7ms4CWUxJiuGr/OXhNjJQaAlCzQwKVaCyb6TawZgQYh0kUvIgnHWtkZ P/wXDHC5nDO0YjgD/R3p5T7tyF2WaYWHhwGDQPy4nxpVJUyL0wOUct/TVBODbWvsV9bg+X8puStK snchtpq5kqnuS4JyCSqk4FNlgpaGX0IH7dMe6M/efn9n24ygnmEqY5KJ87fXknTQpYFXAl+2jCV6 LdAlslegfwsp0XoqC/h38X8XLzDlebDBo+nGY/Dfw2Ev4VOxQYv3zKhHqE3WnkAXTeO0Sl/U4n9S vI7Jo8nYJ2HI9P6aA8OS9FKe7ZhXVzG7pOlrTVjELgAjUaz9K3ge9wFmW7l5Z2BydOVum3apbepY xoxP7XaqggOHrVJGnbpVyKoKi2gx6HhE9cPQOP2ATcfj2C1u1eaB8t9GqG3KSdUKuN6Hgk/Zxm7b bHuOnWjlPDDYPs91Bgc6LrNCANOSSwjpIz1LKWumx9EJxqJBWGpn1cLtU8ztHymVDZvu2GWJ6XkN zuiDcc95eksszBpIYqNyKetk9BD80sSWLlEX993iqvwlQZPlksqbZea/PbRtvCVdiRGXZ7vjZfzI 1hqX9pCHJL+/ps0BQuGpx+bJFgU+FlQ23+G+F8fY2qZxowUTGEmmPJ4rmK1FlVO+i50Umz4j2s6f Z/6xA+MuEoZNBuAJ+AtuSxongLQxVEU1A/xwGfrh9zwCI1hu9FxW04toAUDQrgheBRiOu6QIeZvS /5lRFwJf9DHT+vQEtkX6msPhukBu7LXxAK075n06NwKGpFH4Gzml1tYeIIlMQPeW42Jw/hJm7hpH +B5EMz9RR2VVm71EMsBPAIdcSpp9ZK1cLG2F4Zcf8UXhqdzez6SKltFUyUfImvRNJIc3TxrippNF 2+N3Wp3Di/TrAWGvuI/cFTvO6ySOKzhCJiDt2mGvfgGeyu9n/XrYJdqHRMTxxIpt1qeDlz9xz/Tz TB+QNECr+nOss2KpNFtsEzlm/P6uO2bw9KspJYB7eJNF9M1O8UNSsmIFE35VGjdHopDzJKaFtUYB 3SJ90O/wzNyyGe2GsnIN39gJYuys6x+TCkZ34ieSSoXri5KMX1RIoUa6/Hotc1b1jo7P1KmX/1FZ QYrdOkuDfbJqtxZu8dXvfTPrU9PZa/dfr8edY5uR0hImu2EGvqnjLJARV80h1HZ1+5Yrd5WdvskN Ch6MG7ZV/y4UCZOf/T8NpIDGz9eZiajj1/uAeRiDf186bIC3folGiTAYmjr+kde9sD+OFRqAmXBQ zRf1qDuLbBquXn+c3USGSHz66+Wj5sY6OKZWGLVrZlPoohmXEisfgQ78uI8Dx5XtoSe2RXNQ+vNC 2j1mgTROhbIIHUvPAJuGvzJdWVz9q2l0zzQbCc25mCGPcG95yvRhenhZ9RDxjJJJHAdJk+5/hLL0 ArClXadtWQBuMHazLCKYuXI4T/Zr+6hhzlVCuQRYBKWeZDJMzCHyB2XeEQL++wc6FlwOZ3RJ9j6T 5YeZStBCUWMGeq0Ie3TogjvU1n6Jw7q5XkmNXyfHe1FHyM3xB5A8+aTvHQWzBNvBlrDRT3GIdDIY 2f+N+C4N4HuWKt51qcQRp18DwhmXYS13MxhbAC72QfyMFBWEYHlw1YF2gqpv9UsguuY9RpplePee 3z6vs66Aqn8LOcWxaKKl7sh8S8TvHzlRRFXMfjedQMkgsFfNI5WJU0XYN7nRxHpLDq72pZCErsyW oVTm1xD9Aw8hn9GURsTLEN70bcKlox0q/IEak7Axjhk5szmt28U2GYgqORUyMOWuPy5/Esm6lQSk 4Y//bwcC2v0Y+bI9Uu6xvo5YUKSHjxspFyoloaHPavtfh91qgDPzzwy+7uDgTbvH7PDmzDBEVNwK wVSSNDpWsBiCmqu/9MWhkqg/7RGvMVovODpyCxINCCUeY5a3n60vc5GeWbixvMCND54yvf6oyng+ vviDHBlx2SnRF6VqYy71LjgFXJjQR4m2NWbXTU0jkgcYZvSVi98XH/oovQLFIpZ/pMsdRJ6B+b6o XaN9EYsWvwB6SKt9jw/mBTN0Gi0+UIkwf21tTLv0InTOU2+bP4uswbG3ISydQdltNh5p3XqHEJx5 bmOBp6dpJLVQbLqdMqaBzw7UmPayzC3zVWjudfHVeEz0izCZOTlZWehUfOTd4kBLb7u+kRA5Hfn+ nS1YHpGNV9/cH7MnxsMMzQOlgM1mi+ll1Jk8dGoZz1r/vlRzdzCjSDZueA7SqGtDXjrCDnzdohS8 pBRGWaG4MGiO0gny+j6eag2JKDqmsvzNuGPUVhksiu5pAd+j5habX0SZ2EPwQpKB6pInvXhcaUxu KMo+VprkoM5FxjxMQw57tsryFAMimp+quQeV97voZVBnGex83TctITGbvXLxtRXUcU3esrigPUEw PYxJ5qpi+GP8Kdmx7w1YSvs/W9pJI4Sx2SoGenHMcXhMZx2Z8dGw4nCGWlqX6bS2tC+caiuci758 nAf+RcXgwV/aAyjlz5RkMWS6RxIprJIS4O7xzb9UttWVd57vxozbfnEJeZ1W8U9Fl19/StZL603S oDUKp2CInaU7s2Pk+HLc4nMUYaq1VTk+R0X1YM4JehfPEzcrlSo/RLDbxRrSXn8xLhejVSyGbCoK CuJYSHP5fVY9tYPeLGpfLV4SgPNioQOyYbs6Hae9MhZ34GydJeG00fN4maFiAxGF66WCc9N8FbnC VgFjKMwdu774WnYKAauZEOxQ4z/OzvkxLmeJn0cGtg3lGjvvLJb1Z1CS8l0zOIr234CGKBR+3Oyi bDYyHdjtGYTIJAHOCpw3XeYwjAY1owEWWr0lGAtuadyaj9g+2096zLIbNDXcBexhnEehGoLx64Cs BaIoupOQbpyBxY3OxkHAP5jXRimj3RdErm8yyguHuz2GjqVVKW19bOv4Pc9En6984HVbhJTG0HdZ 0GU85lPoLkSXWSmYQ68tIRBcn+cnAwAlSInO7qPJwIasbDr5VpI/mHQfszGaaJLdyZhFqs+C9H5Y hn3UDqbMMeKNgNR6AMt2e/q5giSPJZFq9tuCPg2L/2rjQ5TAntDsAD6wrvIEt1B3c6iYHdypqEXq GJta1lM4dTTzMuh9PkWKxIbbU+UwaduLIYQYEd7voYB96vi+N3jBVL7hVcit1/KKILWHRPLMALPS c8agW12kYgEW9THo2phZHj5Lg7Kvo4kG4iTVJSaR5AFHmagsRFWsul5LsKQ4O1ay4GbJHXJpNoTh 5BWV7jI24ypJfdPkaVtFZr5uqE+d909g5dkXnPFoM4gxeqIt25VNPnG4C0oHWIlDdA0G+0lgdaBD HDZevF9GTxPtW7JTw8dm1gMeIs1OhBtDUDsp20vC32lPdfncbUWIQYisNmtIGbFty7/TolLXWbZa B7cMNCk6SST3rLX7DQG8FF+O0SGXVTUZqw9ofLXStH2a9wQ/6X2ThJCrBhPJZsb8370VrQYb8l+6 foNu6Zk3XAU+n6tpQEEb1AeXs/LEvyfsz8rx8gvafm/hlvlztxfBCGZmzyE5Eh7T/3Qc8OGX/jDD wez3TVk0mInTQEaUBorVclzHEWanJwONLij9M4SdNB+1prmkmLboKiHObQka3DMkeCfJJKOm076o D7oOQOlU/TD+3FxQts8CGtt7NoLLepEC38xSqBv+K1KiO2hrmlfbTGDF16mdQIlzaeSc67oi6uxr 65BBZ7FcPIK4T/qWShunLocHWLHOZfMsufy1NLYyaQXUf1kT3nvcdtv8pYOOpCXdhJLrOwPZ7uG8 sb/tYfRstDBnRMpAhTffFwO0BMCwwuX38gX/lFEES45d52qUVN2aU1uGXKikVS8aPyCBGf7QVriq Crfj61YbETO+6QG5Yn+LHRD0DxVb1ivcbAjtoTpFcaL/9VCN2BrNQurk9La48PHfB/22JObBBjCH cexh5V2ykKLi+PYQrHG1fq2ViJo0SCs9moPU1oS9ssapJe4CzvLSP7UWYLZUrAIcztcdXPXl6AjW 4yrnCie9pWfa04dx6WG2Lz3yAF9yDK6djHqPTGq7VNH8Iz0nD+zp40Ns1aT1F/kKZptOQ5PtL5Ex w0/cpqptndHN+6uP67DkcFvBdlZVPy+ZDUzWG3FfUkh0AvywSVCeOf0SQEyZoxUd+s1NIsOMWDD6 BbfuyQZPbwPvkQzovxVK3+nV3PrW8gq0oFC66Yl1y1VvE8svAkpBqn7ivkGFgdYihZWBN9X4YpG0 qDsyL4gBfPTRbP9CyL2q/jKpZ4uMhzQ/cX/UMDOpT51leezYy2zrTX5h0AtCvoyLrsMv42FDtpQY sBIWE4dUC+iyoHvrGFeJ3fnQzyPCSt0OY+/ApiTIy7CG3gdbJ6m8GqnfzTHWGVqZ9KBSi+YVEjRW G/esTZZxa2n7c+dsN8GbhoR6ty5LsKR6z+Xj0lZ8Jmd2e4pphsV/JalcwLHgTacYNupMLWIdlV6J PuxViYeQX7xUOJapOgnxPEm6VSqJmSzp6kBRiwORsNzZZif7I4hPF3+pRRBV8YrkbwV/1UPtAVnp IvLBsay/Wrcnk8GyXcNjMvyF6e2J/OffgqW2j68G0XW4mVEQafU33dkUREURcMK9dIT72IUYmpy9 teAxzckrrh8oIoNMbe1e6c1giby6AXhQIzNqll+c+Oiu2P/jEB0f4DajHFPPpVxJ0dAEp/TbJKgT LkfxK7fKT3fkTEcCj9SNNaz+ErRSwzc5ZCEcRl5xlWUM0p15aUv0yR0qSdOCBj/XsWD9yNfd4LCy J986H7hXcCg9CwNPbXwI+O6gkc8dBNflyGgN9iB9ZDpg/8j9egdYvxxrzP3RKkW6JoIxg3t+OQrf GQpy59lqdtkFlLMfF/R129tHlmn8+b03oWuFllRrZLzA/CQ2UsvUzQYO5ndOjD93agFA+7gPXQsI Q4Jysjm+o8H5e2PdlNQtLCwy6KqPJ34ihrH8PM9NeSn/6id8f8AMok66d8HOH0qV2MuCVNz2fPs7 0abpO3XH/Vk+B8qIPkfZHX114xoiSZ+BLIZqdpvzuhfoQHdTC/HNygxGb1GX0ZRK3jc4e8JP0fZX HDAZwJhXnztRKXFl33lvjoRJjaa7IghkSahl47Zb3JUgIVXiqIM/+6ehlrFqxICykQvoO2cSxHbQ z9lODjGQODGsEHnHur9XBSKRPbhiQgs5tCpZflOPfKGgDOJQ99PmBUddV/HzZoMs6llEIJLzp6uE nHHTasGj1IdED/hwB7vagtXwdVsRr64sfSujhU8tIgmTmoLYSbDJitcCLPcovEtDZ+GZTmYtDqGS iIT/i/oPk51Ck3JA2mV2i0qGEBTOr4w0nnVgKF/2s41P5AuyaNPVYJPxlNGlMoi0h5BLBRWfddad 5KK2gqCn5Xe+yCLycnxG5pqmubZ4wmgDoHeiYaBADTGiRzRM6zh1IIlzIRNcu8tIJKB17Im99QXc rvoyaPsMlqT5sdKysPDJG+vUgYEQSdt1Df26JEeMAPqo7/VNPlymuKEIHP4v8cqqEpL27+G1yG1q ZzTJHiZqw8zlAoyXkMqaO1Q2Ud/CWA0YqfN7/GZFTaTd4I9o6wa34iv3BCj7Gwhg0/4yB+pflzKC DUxr6C4aPUW6DpRJRwlzGq7qHEwx0DvhRClck8hmQCGLoTFTJ/cM+JqP7AlNTZnRL8ggQGFa8C2b plKkpUojT2kzPKnF2/YLnd2/G6+RsCqGTmeT1k96QjX2+kPjqALlqLPT5yfXeqCOj/7+HrEkck1w 5LqTXJpErdZlyyd3qfkdsi0aV4Ntawr9lJ85TcA3wITOpYBFlh1zS2DDZCtA4VSkwKixEnXvEFdC 0iTdwDgTho1cYn5Bd+OWHGc2/frLBw8sRkFMxefr4Cw4VWISQ+7f2c/jIyKNUC4Dq2f8e8RIuR9l tih2ukb9PsVwiYdxwqqtES0Rgghg4BpNJjn18FxGdG48/CeQkXKt0HRGP3Ta2KjHj4qXFHc/wXuk PrrDZaQPjnRRN7Dh1PkAHo/tbUqkL7J59i/yW+vPfJR4h9ZUDIUrv/iDY4/M0/zIDLdmoMyPGZiI gKKgamrxA+W5pbPFghkKiaL0QTzOg797GNjkttH4W7GuUeg4yXGFvqxZZ9pVYxvi6w7Heej/DYuI hJYfoPw88Odigmb32s0FNlgylhTNH8UO/wioZK45Zz87mU2MITfuwMFzOomNKnYgMZeaCRc+NU2m vA/WjVlPG/e66mgcGL4CFfU3M2QPWw4oDVWhdUDsdJpwSq79P0ksS4Aw2akxGu5z2fV4HQe1OYi9 /7fFKUlshHfJR5xDcr5Wa43r5A0uOStnPJKbCHjaDclamWdRa2ydvwwzDZYP8ICOOAHsKh2xO1UE szN5h1/Jqr1WuGOx1VoYCxP/C1y8Nt1szw9niaV5co9jtKShURsjh9uMlJcLbHh8kVAzsLGxzV69 pJ6gMcRtOlxszjfrVjZS0XUsp9OMnIohhYqBOulo5jK0ZHiQlqL7MHBsV9UcF4Q9eOZZEu2En5ln gPeIrNBFSQHsmxj496HWX2QZZExAJ6KEE/YrDTjlrVIdMJkq4VAcys9otGu+HIym0h9dRc85wIy8 XP1bGK2P1/pW5hPIDOW7EW7QeGBH6zfEZDH7HeqjsAfT6zJWABpJoR/A8/Liu3y5YZeU4p1dJDXg JL/5hnHG/hLpcbyP8U1yhdDTdz61aUndrQI1D4ax9ZPQmF/wrYI4zQnvo5Gll7jXdukiFt/tCW+O 039C25maq3oySEfFIiG6fK4l+OUkxERq8MiUnht5DeojoT9b7pxXXP/soWewWsl6mNHHZWwuRHzP fkbtPweYtB1XoINXQQQ+hR+0Utcvh4e4+/Ajxt/rEDh3T2AhNxqBwAWh46vcxWyT92mBa1CGYpsM TocmDb7bGpPWI9RrO/QLyzUp02fFpJjMd2CUJJ26U+pPES2ZMG+KigytHcsDoioz2pemyxXeacRp rxeoadfxAGiG6Nz88NJ294wY7qiVdR1HxBrcp8OhkPWUImTbwLvEPu7yc1m/aRsn9icuCVPLCDlP KbTb5KIfcxQ6kBCAbHliEoQ9aCTqQiuebTWD7QuWESm8hn/WMUFs98fP+A2twkzWJ85v6y0ozIUD qT0fFvdvNw8aFQvqsjmOUKYxRXuBtodZiYOOqW1ntAb6UrJBC1rwOTaVu750gsqw44IGiTPK0KmK UVad5/A2OUs2FaBaTM0X40qyoZ2426D4jVC4XtW4D/NWPkr8oLtyBtoXpTj/AyQ+p1IaZftsjauq of9H6yuBbCMxOtuPjE+WtclZXHJ64cBTVKr7BRMGC3UFAERowBw0/sxxTnEF6R0tbjzYnLoy8aZD OTEGzSOfbuSgxmLMQMJD7oOx3ElQ5Z6n951ms/+HEKAEMUYLQw7It+cF2GDtszUOQC2JQ/Y6x2w+ ya3kDz47UUYhhTGvgstptmKaEhDQPyN3D6t6zKdUDT+PfxiuNwuOgaeO7H2YSweYloccDYwddwnh CBL0UD+goBK+7NDYIrzDGLIYTRv6yXiw31N4OVI+tReG3ezz6SbPJKo9LRPIgdVjOQxDLBGiAvPx gUZzUZQBoOLr1dto5hqHVnHfKJ0JhMyUI65dxsC5tTCKjoC3h/MdGBUSNI+nXYSMLQtFlRKw43R9 mePxCaxEOSKv0ZYiJtSaiKDH6QVBSukImvgotnPVoOsjMY7rnDvyMaX1RMVpbxVXg/Nj3xG8PHs0 j7Qj20E2kmUpz31rQ/X/t0vN+o07xwJD/lpFhC7aJmCgwBYYZF8+cTFCYimVKc3Or8uJ8B8T/fum aDfdRDw6xhcTRuhB2cYhjkHoyP1wLfhuADv7l0wa+dUOTT6LOjwI9HSuf7k4NovMHGhvFJtjlf4U VsxRqkNxACj7mVZiyKvxA6rYcqnW0JGQVeoi7tLNbCsSrl1m/8O2kOYLRVDu7xXLPGG2zKTJLYnc qXTcFtDtzzxgXIgBOa6iKDQ5AVhp5q6LmWwD/X+13lRQfbtd5EJqUyAMv7+i7x8m2ez+lnvVNG0m qAYjCrdVgRl4+IRlZlAlPGszCGDE8Ro1EPLhyyQ8DWTmU4MRSR/crvvSZkc83Oil9WxF8nKS57Ua 6zWwaiftnXeHuaJC2zAJTAsmu6UCSollhbjdx0WfYcrfOQPimsOGybKWXoQo6nGUqjXMDOVifTn5 IgRX6F0v/po8vwurTj6gGh8ObYLkcW3NUrU2Zokt8BeGZUmimYEKwu4Q8ykHZxCYMVf/9IeiXsE2 HCkrzgEuI0yHuDtnfU5h9O2EOsT5LIvY6G85VXkjKB3NXtkqI1IMY4oZEmNh0ehg7kX5ZXGSsNrR I7Sju6wL8VJndMOPtbhxeq7QDEuDjYjDbDRcO+8PhkBfUMjTCWE6NaVM8gQvfycRmagR5GX0WoXW kW/jxIAbWBefRG4kTPP5uhIrOsz70oc2w9diJTe1aE+1JZWhFxxig43hKAoIjyxFSvZE0WIdK7Nc H3isI07TvXbIrwvwlENEBm7V2zXEU9koo57N9jhXO7qK25kG7d9CYKk4mxXNWJISmx6mwRyRJuih 7KvMoqR4F27psGpG3apThlR4TrCzWnlYn4y1IV+KCEfVuDObgUe1+C/URrxbpsrKObO/xVQTdceh OJnhimBA0W7t926PEdb17khhOD3W231PUxBkdq6+zDP/RePM/FsyXckVprJHoYOWdRM6Tt56v/2P Fxdoe+qVaMlzddN1NnhPwhrUbyseXdmDgFozesms9M0/7vR6WtWb6zkpkij6Tz3XgQSlMS///tfb FimeqCbK7fCLJo7YlBTgo8ZJMOQxLebpej14UuVmP8Ixqsj8nUjvrLf31lN1bkPYzLVeBc5OH/Ym kRLK1GDuRqp4IrDeMrcEgPkD1vLuWvykGk3h9c0T+wVwmifd9fjOBnbYSWLKKJrTfEXc63JcdlXk DNBRo5yknj9uVtdlEUcJ0IC3QxqzGPr3W8leEAvXt4OFnIjJsV1CV67DSOoMYQrJtI5Igg0PSEub ScqDvKLkm9yZ1T+O+DC885u5NZE2p6z3eiIe9VQBBVh4R5TM5cw+Ti3/EmvX7iWmAB4JpcNmaYm1 4piYpv71RmhPkZzK3h4UJmnImU6BR5d2nCCn0WlACXTnNaPGce1qZAthPln2cY8A3o/QSXRVwpsy kHPI5I4Y4v3xeO/7fcGuNDsJcVHvi7ikMFU+4xZWrNLU4iBk17jYhgKnSHWYZEma2W9xT9l9MBiZ dGXrb89ku47AeX1QiYYffnofWJqvk/6jlUKR8bnIbgV14z5b89P1DRiqyslRgsQYNlCaeFXiTgih M5lFUcIo2VUZy49BGnKRSBM16HAOKnAxtliGrhjyA7aBVUhMhpEmd9Z/WcFX9GskEWrpset4x+lA +RRWKjxwP6AtqzSanLCAmy+outUJl6IqQrcHqr/0MnsZXSwK/23y9UHS/jUpMfkXWx0O+vbadi9o EYhueObG755XkLQJwqkcwrt1jtSs9csNXXi36rbov1yaR1HoWRYvWzsOPB6+AmorCb31SoU+R8AS qOno2z2B2xvzHPTS2HKIQ8tMAGSlJiMgkqYfgtAKJapfh7lUlp7UeIRr+/DZ6noSPsnn8VOuLGky txQx7HrWGWMKMiGeFHWqeEzt6YuR2pcUXIn/M3NHR3ubPuxxMLkbAj7rBl9lsfI8voiuLBXzd7Mh wtaO5l4wU9/LjuWITLXvU53kDMFJsdMGvw4K4P62WMdUUlTKcyeXKgK+V5EbfgqjaCqW8vI8sZon 5N/LOPBbamZnVsyIYgCBUS4zgVcLessnjgZuVSnDtj1pdI7oT2rpSuq7fHyNmLALT/JlLijTLVGQ Rn3NcoC43ZrLV8TIKrlrHP8LKCPSmAVj8HR6kJrNiS0+JK8aFuiiKESpHuPeISaoGEJHXeC8o9qk 7zbPrhvleUJhtjELFtn4JS5GGbjcM1IfUvsHdfFee+BAtwOh3aTslcs77H97ZhhC9OvichZZPdOb K/4os5UDjX/yNTNsmXcTD0TJR+USSMyc0d3/zbtrisSGPzWe+G4AcDnQzR3c92rbYpJEfAt5+GW2 6OZ1smkv54YUdbIv7Mp6FgZvTumrw+T842d+VuXXw5Uji5EHK0oX/fBNfCBhPAVROcWl1WXLE/s3 RmT+PzoTBsGcpzzgUiBNOokaPjK9/JVwnQDXBhgiKTCgo/CgsShnlCkjXlllbYMatV7V98EDwbq6 G5mCJ0FCmqmu3S+xh488VPUPYuycUma5eQxnBW6Oev1fY2SYv8T8dypySfPo+tFiUet74S4705CC e8q5xpUfHnWbtBSh+Y/Be2Ysy9zCTZeaKhsazrhGy2B0rXl7WLXWUsOBVLnBrlGsMGNFsVw70taO tkZOo9TMqktE38cyXLvN5BLddcwjXIGwq9k0kmgdKVhK1zO4fEEQsKHNWrQzXxnw04xM6DBM9VBN LNre4LCi9sDcHY0c878OQe9wPq9p37TwewAW9epIQDhfILVGrXUCQR+ZBdqIDVnbA4KEG8Dg3Lhx LWYB4rkUqlbmVJl2ru0Q6pyimchsBQdsfZL6OvuYN8h5HQ8zo+y+oSky3LMI1Zym51k0laI4e1H4 ri9lHSxhHltPpQJBxGXZTTFZ3jrzN8FkDBJjUggOnIlOu5wj5Kt7d1EQbCWlT7p51MyugLn7jmrW AZ7NkDBP3vMIAJFXC2j/WAxxpcqlZmluBzZixFVmFQB5FJZOTHsuD6j6nktr2cqE+V6vAaOpgGjY 0P13ODCjnDL7XXNe5D5UejDf4Qsos//MYZ5+fsg4U69ps6lJWr5IJGRwdleJt0bPTbx0KZYod2o+ Ph4LtuyleTA5j0wcvxVzuuzz59/QSM01FchfhsMlHkEBDw4YPgo3QgkNAGGDv/ioBx/NF4QH4afL N2+wiDEIEJpmNgxacMxpQuz1KMQsJSuaHXoPUq1+h5WBSZezUIrYdUrUkGW+Hk3YCCDy02WPyr/u d8ykrvUyybTtcPGF1t12VjMi+nNA32NZu1PAlTSWhAagZ/cEuYneUS/nO83MeEAtJ9asBv/zb3ui G96r0iOQ4JZV4WBfECMDDDsrQUFcnkPYWHfc9L492EzzXzpdoGs21nSHxFIFJgKCVxWTwjGuCdfp ITJxLrg5DSNiYwDN/HgQUrVnLysazab+bTcq8Ix3dhEx826EwfzlTB+zUwahfA2PtSgtplrezFiD V9t7LOHXBDcsd1fk+6tOXp/eAc07MA2QKs6PD0czwT+CQPqoyVWiU14TVrDjhT3yFFy4MDFSoG+S lIqZr84gitghjGeSai7upV0q8p4xaJnDApF0wbs7x6/nh3533h3cuxsGSier/S0O/HXEilKfUcl3 bfI6agBUggfXmsV661eo5KkKrQGK/fIM/nvLt3VkkA0ZRNZxqq4/oze/dl9DxtRiR3ET5hYG5cIA idRGPavZcTrvUhYOHi/fUKaMrV4dncmXTuMRjSdhd457VAyPr4OBSjp2O1tn5mtxrhivgFRvc2sU RJ7Sa/MTpNGtvrvL/1+yb2VutXHhBphRv04j3o8Td82pmh2mjebFwQfEIdFRoJSTsOhrQNKHVwd/ DwDKgMOYX4Qdd7uANxHSpqa3MzOR8fqbuo/E7VKy/VbuxXJVgtxkhp1iRcKRqlP+Q+OOeduMsLYn QlPDP0rXup64QOL+U2FiuYuOYeluuGae3aicD93c+7aFMkp5f3hWJEIcJmYbnoESyJiWNS1tyHuH m4eNEQs/0tdf1zbooNtSpRbcFu1U79O/0ju8LVE/cYCxFI95L9hWFr/CmltVnkRnf4SA2jB8ZjRg uZKSPRkjoMqYyxKs/xRKOPeYhbLixPQK9c02Fhg+O24J2mfQtzs7oAOoeW5mUvTvyR4NadXJ6KHw DspUVQFOx6snqxkd0YhUxgYVjooWRHXbgGp/Y8mhEtSIZogXwHFdC71+PQUojtBCDNgz/LUvMyZX Mu47E8Y3D/bXy45QSflRYKs3chG+10Z2B/ZNp5UPc2dECr+bzHHmHdSy5FLc9iqZzRcDweO3n44X MZHTt6w3e7hxhWIPrz2+Es7Y8Q3whiTHqdiCFXbed9hRWy+5HgzfRzYwABl7zw4Dh2R5p9N4fVOK YKxW/LKZy4dl0fWLnoqfcSn1MdXVSp+fQkJL1vo64hojKa3hfh9DTLyGYlrsocKoNNewesB/l7Yd co6yHukDDHYkD0+HgvDpC8z/7ldLwjWiFetayQbK8rZ8tyRVOLLzhFEDvLl1YWk7tGdpKDEx+Mx1 RguYqEN3sQNGGE30DDvEW6/12DhRqIYYtSIUvQoFYUC2HgaVVBM4qMc5DMoEa5OO96Rlyr4VLyNs aE+Im47PR+qY1EgjEW41xNvR81PyYERImCnPAU+wwkUWH3ZPi3DogIiMpIq1l0d4UXR1jscNUzjW DGSwqU2PXcCizc/cG9PPRk1lg0R8iMsup8PaaA5ddoS5rRhCeNRBaMT5R0W5WjWSEtOBuViuF0++ k8qLRlEL0uh8TFRHS6GHsNVV7Nb6l6ygrKzFiUc4RFD5pdv06XA1k8rGWc5twj6LKA1IHCwY/86b fSbtC5ZVrJ49Fov0aW7OtKxIN+J59sjDdrJ36dQ/gqMGs1zjRgy4IIrVUbbuUG9OZAEI2GTh/hFq MTTuKPDSkLNeTDTI7SFw7jIWhKc8kMtCsDL7NcAzIttK053XMx3Mmz2oPiw1ech49fb2U/tzlrnv tShsCWwSOk8a/5PSka4A+zBCIy+OpArZ2GBWGKuuGKcqCmnd79gRuaYHFSsvS8LhNjSeuFk1KSbc zhnVjV9wPwCKE4n6vGJix1H617TvWzKRgkRw/1brIGJ/XpUUy+q7k0nI+PICO4sgTEgAK3mLZIsU kCTycF1PJssXDaRUmKU4w4iOtFJQoGF+Eemj61cr4Cf4KebenvUrJhl65b9GRrRw7epukNXDLr1n CPM+ufXZX5R4D7do9eZyQOaG8uZCj3VCx8W2SDJEHUrWc1bdAwgXqjaL+bbvhCi3DpsXB9aDuAgk /JrGvO907J4nI8I796+risjB+NRgDpgd6K/ZYFvofYUtckS8tlTsJkkqEgsxFZrzh35zSg5KJIlW Ka1OKNHbpp44sleoY63DX83eWnDx862f1KCel4vOl89EcuPtI4TePLMX3UEE/UER9Ymr3/qvKM59 3zYG9KWDnDcmTvdPw3VUZqCfbPzikEyv5tR4VaEnQUBEN49bXc5oDXO+GVGLYa1l2fi2lvGua7rd fdGkKuyIM8hBYY5C52Ctpg1xhuk4++q2pFr73tMaF5wjzr54m6f3xynktqo1AJgcs3aTytiWzYAU L9DxuIiR9vIHoM4QhGNocR8yeG+PnX7X3AcLmCwHKeOsGJrboIqi6R4IIkX95LdfxSmMwIkEpREd LhM5AAuMClJ07LUl5NOH+H+hU7DIqkr2gyMM2PdKyskqFqmWesdk8xOF6Xt5XS3i1TJWe9cJHktM jrSs3o2MJ5EA3r2Ngh08Hrjk7o8Av5foWtsO+0/bw475y3hZ5e4jYXJ5jAogGb9qIeUQFfKzGNjd uTLU6nmKQ1J55k7jK9dFeSQDswG6GMU7aRaunzVLqXd/3DGo3AFBYZbZBPdap8HEc8Unzw6mQQba H7LVZmEs8TkdEvXbjtx/P0xJshqH87p2ursVah2Lk0d1IPd+A/UPQDRtm2ilBiDb6LVI5CVI7Rc5 mUJsF29eOHyyXiRtimzk66etgkKyzV+ziE5VY19jCfdnNyTD/W94a0DvnfejEkLnNiT8Nfz1Ghmg RUGstoWIQLbCgDWFSRk0rJBtdo4i5s1T8iWvII/GKvz1hS8QS9UUVG+ThBahWonBWTsH6dQnpWZN epDmOAxCwSWZ4Ad3c5VFfh8vhzpWHwGAxqMqphZzFzPz1rFI6wNwykSa9zPxxGRd65jeXYuVbFUk iusAec8hJlYsIxS9kS3i/sDSkipDfVcNEK8lJRmenlySKx4wbcQ9l2ZfvQBMFprMgafRVX0FPz4m n1SQuhG+gOi4eSPXR21GJ/RNLyI48lMGNT+xb4IlDeqdDwgNLfR/ldGk8bt3zIg9QL01jqaQ9I1/ BogfnUcBou6C7wHeE0YoJy+b8V890ZoFC0giXS1sPWOkxRxLEVL3PaVjKvL1uby2gD8Tj4jELgms QtbLi0ITTIN6MFs3pa0lkPkbnCl/ktLCSo7cMmRkcTk0YUWZPuwM8k9Vs+5F3W3A3L+jDPksvyRw FHRE0zeMoq6WnVo0FzPxYN5XT2C2FEj125o2uPdIGsykEXXPFcD6tdR9i1vR1nyGWXw9I2fjXmfy Ksk5GOO0OUXv7qgbuNECZGBLwPewJmFAlKzMmdDPx8Ha1uH0UPYe8rUsKVLBZVB5vJaYFShjnt4c FL9I2mMAEKxiwN1S44lww7cwTIMdAJ4h9YJ155fmtrfEe/C93pzk2g7aNq0jkxVNO+oQlyXaGe6/ veQYudeIR0srPx0PORnoxBJVjNqTwscxvLZyanvqy/cWPUkzz6MvOdrmaWpVD/AP2Sl2jnl8sEwI ZFn9rqgS2unc5oBJOscc9g+YQ7wr4aUGUR21AXGXMhjBt4HU5qkexIHwDA8ahMuRCl+V0N/PC1qX WgEJLZH7cc2gToe4/y1uo1Sw9C+07KWS80ZTJI/UWfFnQvbhEmcSN2ImAjtUoVU7jDY0ZZFCru9K jOTgOUT4CkEpKkVtpLvCwGSKMfdLzQT8k7uoDmLvHfQX6nCnf6kb5cWeCRgEcw+kO8LWJ4CMvN2O TeWB/2h78BAmRZ0SpcSU/UuEy5yA08FaiGi1RpH7gbj1ehc6mmKZVdqELHDFXu1yHnKU1L8W7/8Z ihk4/qNGV2iLAE9j0LmZMaNYEPTTZPERXCSmPGI5EiQ7dmRrAdTYkif7q59/cg3XwjUDnPawX98P kQM3gDfF6JUTwSITzF3HEFa8dIZSYiFhYXve0PvhTZ0ohikFxM1zj5tUgBM1vYa4dvis0e23vawd mVVoNGAwQ1K8W0ovpfxcArzAFW9PbqDWUclDQLL4tXMRyLBLkf+DdQcFncdCx1nGiMb+gxemhSWX qm+qZO0efVOaUNCDi+49aeznyoLJtF7ETCmfn1y0p45AhkZwrMc/Exw2W2my9AWDPuW5YtMJGlag qkzqtIqCEceaqfJic7ZyItG3iG2ZmzRC2pmxTqQumklvBuwdVFEcaKlotu5gNC5RAL0H3Pfdij+/ Pwu5qodmnm5x68QpOyC8BHCimSCK0te3fTLzyZ3tWLtc34pw91k4gjLd5ZrTcLfvdnm0aKwQiA6h ihUeNXJytMPlo4SGBVuVeP5HH1Dg34z9l7aavAPrusBckPp9KpJP7pXOki9rHt/TwO0qe0niLy5m XMCI6hT+28ox+cYKfxo+drOD81DXx1LieP0/Uy0nizu7rzk6yJ/M2Y03L5MkD4ky8FLW2okgLcn9 +Iju2/FlbC2r1ee9jeoBeYifG2jaGwPmvCrZ3Ak1XY5MY1avAB/VjKDMvtYQsGB4hMxDvC276e8H 8LqikNV+Kh+iWuwDsHnmBVD71vhZrPyfSlt6325XexLfUjQ2Vt1pdpq+gFIFXE2Dn/29EXhtU00g BWR/UJP2TQmWn5MwGmGIqLb8ADAWAZIjwaZ8Ozw3ka8pEiXuzidYTEPsJRCOvdfIrm4sfLJ1m6HQ SttAU57CMaZbl7vyakfkvQ6gKx9TaAbPTo6tbUzLKVW2nWLKo9VFkPiZNqIiKTGrAHD2DChsR9cX XpbHtcamCphULMhnilUkPyTSzh5oNhweUsjvqPSd6rAToPl19gwy5MzF057CZ8oBi7yxaVu2h3Bp QYbKmUZnFO1TtTPLKFx84U1afV1Ujtd+eqkRzFRBW+Y14+/kItYG17hdJBP4c6XSm5ib2dkZel/6 K9ieEYTUfAmiw4BczFhVxBo+6Zv4XonfnhZ8EYnyKGsuOey4rB4x5dmyZoKBsRJJBa1ITl8uJOcs DrkuW1tce95DVPqpgpXCT9AXgRhnNGdGxw5yKo06YVi1URewlR19rRHB/7qOJIBoUbHq1RZM9vfH P53vaYUztufxti6IKd+0uCUs6jOvPGUU9Am4pnNMTVxT0wf8M2dGJKhQl8plMluynhzfF27I40N9 2mL0X6WC8s/0h7XLScEPmvUZYEpTEThQVlJ5IV9fPXy08qbsVhjca4bmgi9/FFDwUJ87ZCeRlJB9 vEjgSWpUoSG77abS5Ssdx+aKHUf3BwbZ2zs10mT2n0a+4e2e2SIlm4HG2ihjW/rwyalRqewidSG6 NbtDURvdZqDBLN1TuZqeTJaS0/CoAsimcSOCWOLTMajKVS1pkfumkQBPVZ/0aYapB07xaCiGmYpE IoKJ9KvocQku8GR07qhwdtpkr9Dgi3gR1gljFSFzURVqMxwtHa+GzndyYyI3OpqpPdCmhfUoipGk TgWORn8xFeMBrIzOx0U5ONeatyuTHJZNcTv0vujAzPWiPtShNVF3UZ4N1ZxFEcweqJBUYQwpc9/J hMmH58WPGLaxIpPEw73O8Mo+I2X05sYTEc/7E/xp9rts1xJiJIgzpVhnSsTjRv7mxIGE8tYtFCzK mOZtsK0fxWc7RTEqYIAd92E/WNGxo0GHfYivL0saxrQYivOxCiL1FoB0g02G4Lj4piYpIwZOVlHk Rm0ekhRo7YRhCRwwo0CNLlFCzzUsiFVubiKmGyqxdn3qocQqYl5zCMWhixqWjLGNrXCphB0V8JiH nV6Z/WiAxHRUdKMXPSpUa+ny+qilfVLUqsCiXbTXbecJ/98XW/HSr/QYHQbIrk5rWDBcKQy+wyaz b8i1lN1aTOZSH3jA9d+8c9uLm7BqWmdd3s3jjO79dW2tOuAkg05z0tAEFX3tjJwVmvp0Qye+87K8 KJZgs1wiBV+RAN7QZ/m0D4BmU+D6rU4VGPZHGzrLxnh2kiJC7rAuC0PXhJ+Cluh95ZgCYc/RYwvr MmSnlmpW8okoe2kJ877LZYM0Kt+q7RYdScWqWSeICGcQDVHjQXEZRvWit461btk6jyffDAB1Uq9U mcSt5sg7NmXftMustLsUrRcIpFGcWOW/+YnXWmnHieFuHI3rUe3kvS0KsXEWZVb9/V0bhVVQINz6 1won1xEFuSV4ZgZdtYl0pwKz9l995TmCWkAG32iZWd07SJB6TiusbZrFkwhVzvmBIWqowzqqoTyX Hno6ctKP0xeMvtt3UwOkzDpCVioo8Naz/BVZZEPJ2MF/3MoZ1HZZWSW4JpyIzLOOV9A1NEsgDwCb mHklZGVxheNhccSsj0lEaxXp59iU8bjyjm0LM+4qhF+mptsViHkGcUTQmtzzp05/KzklonWJ9gZp +9cGThxzekUz3t2E8wNn0c/ALOY+6aqaZxDy8EZjQcFvTRR+JRMm81P9g1QhEPQDqklw4ZwFOE02 vFQ1yxry4X31TwSs7z1vANWUYApY66qEnnZUtOflXUS1Izg/X3zd0P7nfAcEPxnPI6arSAjwk5Wb BE5Z1T0S+GZBJ0i3EAE4/Kre5vax5Ed4LpMmCQ7/TZXv+hWk0ZW1Py/Rp9WHIWfrbQ9FNGLAsnWX qeUMrjl8mjdBMqvccmYjF07XUamNp+3dP0gri9Zw79n4gvtIuIJvU0kBRu3wwRP5sex5s35J38OQ w1+a88n2bQkAEK8Iwo2yJ4arGqa5BqkY/BrY7tDPxMCHDvswJTX33NiOymZS5lWgH75cGF/8oQNF 4ZaL0RKkNpoqetBRt2iCvz0TF4VUiNcu0GcjkAPD16RsXsE6qYZPnypIfa1lK8RHu5A53Vd9TmlP nCTQLE/4TRo+3vDI4QJmmYI6ooupyc/vkd/EjbUm0XK1IAZWbD9oDXhfS+DiPgNyHQk7s2DXe5+y 5zKGdSQe4NbbHOTKkcEK72H5o3c6O5zt0xr7X5rXHa0Ml5yVsgpsbsCl5I4pvCDWFPPqWnef6dwR +LDh89i25Oxbng7OSkUU3VGMUI1Yh7enQC9hkR1UK86FXQRvd2RQIzrkB/y4N5Isor5+aNxAgz5u dimotg89Xt5jZC7sBldeN+az9f7298lN68EAY+K18IcDBq8wV+jn7FkGB9HPTclVmNTciZOT9qk+ uGwMBKSo/HB3oiATj6wHA87sQdfqvlLiF53sbs+CY/hECJllnV7EE+cvz8DMWJYpJRlnYQU+59km 4x1DwyuQ948GB6LItR9B/Z9PyhdVDWx7nTkE1sRKbwAy71/y470kncsHB/Stuoz/GOjX0o54BqKv URKKz93sgmaV3QS46e4xoHWwjJOfdCrOaZEvR+6bw3YELpFYj74RMovgE3Xon67cRx9mwhJ0fx/P DhWskOeXbH30EmRrDRml0Dv4ycE81EJg7jtjkvQ2i5V+Kme683l/4oz7bt2FX60CS8taSudCOY50 TxIKeHXJsiBHfo1fshnp1lVG5wxgA0BdHpIyE4dKtebos4ZVtxrOhCDRzt3lHFK7xf5qhBVqL5Vt i0PdiOlfNlEoZERoaP3zj6RjczDNPO8U6UHdQ3s3kkrt3wgCiDRs5GjDvVx4BLBoN52R5GZ6Ndys fucfP/xqgKSTiMywbqTR1OEoA/WJ200iCMsoRzgGSHwZnlQL+6PmEcPyEw5meX1PldSPsF03gpwS frLAqSrcL6FcWUTGz4rd2prw098AIPATewaF/JRfVTGZYJkD5gRo1Wp6GStog6f8ipzjr15oIgju X2w5Q3YZW47XWXmJs4MPojl4uWJTm2PY50iqqowzMSh2VPLykS6wQbeClFmJMvcW9F6vemsoiXb0 DAKxLBtFcaH13g88z39e5k7ztVjQr95MhUfQSuqTQmO76LGx5nDJvV7U40Iuwhjb7Z3+dHWvglYt +649LEnKfPaL8h3qPUDpy42QNJl6Ib16HSIwSd3kRoaQmNf0I5fRjBhgZ830WGSQp7cRjeYTB7Xv gqQdJp2cOGVSOjqmc4WVaB/GuRosd+xb7X/Nn72eHpDp22QHOUEhwMdFNDAPLCqxbTLkiQqBihrQ C9wqL/Y3Ed0rOMG/bUe/05CEykdaTAxC1jxdLS6wVtwAMqXFcoFV48JoOFOMUuKn2F8kNsDPKRfA hNOkdC1BBlAemC0u2eYCIDRE1gb5/iXu/5JLW6jQGPIlgBsZS61YjFdnLSiWVC+JlFcBezy3jabm GJX11F6+rRwWTpbj6nBGYKN6Naaqw0uuo3oNw8V5XezjGqdIcKkbS4UnhRZuMRb3JAd7V/yHnjlD 1AQ3X/STHeHr0PggbA3S4QQZ50YKmpITM91532uMGVFrVI7gsXfAl4a9uO4k3+rzaMhbLDcStwgv e5FHF8QprXNT1SECqc7divpNfA3A0tbil+Zb2TOkaDKTJBygWis2GldAWe53r3Tu948yONlC6gFc m9Jfei9zQnZvO4tLq5O5OJPAre6vlXZBLwQZl6hi63sfPjY836PyYdPHkSywwJdXZ6opH+5JLRNi CD5AYOSgb9yCsJ9iHwIHkEOzz8E8w7H5Ctp/cZ6r+4XLF9ZYb2WZWfZzCRNgFdFNJAi5Kkv2lx8v KUCky8wZhsTngrC4E0PIN4BtwBLdXbTN0VyrIKGXSXhDgIysW7fopmapV4nBTI95k2ubkoevnR4W aV8SYTtHCHqt0oa0RT0s0YwjxMZZf/XTF9ck/Sb9oN8i1jbQdfBI7DoVXsRyU2WLYc73jx//hDLS eJfV527zC1/is9a+I68SEP09aM8sJ3WWbqCSpDXOmgBhg265tGVZYMB7z+x8NVi/zHrT7VgZ8G6V U4+axnQFpLn6XqbyI64Cc73XubiI9DbHq8RuMPipG32Wr72cqA5cQX6NZInQcOxyr1irEw8xinIZ 2Z4ywcQ7EQZjKtAvODuKfX05qQ2TbWmLEYT3KoqRx5rg7D7IF6/KtUZvGO8lCaEf3j+LxQKfElnx qjVdz/QCe90pqpGSYICH8bdsttwDmjOCIOWwOOkxx4g7HTIPNkpo7+y3Qu4bZuaEhWQCfzrdTtJS iqXwPczVVJOsUlZLhGt8oJfTjp27F7Q2xYkcfvjEKhpjcDAWUeH6skQqGEanCRY5DuY8GV3DYegv q5hUp4UK4jD5LgyVWSbhY5BgGB6rLz/sCiIie+Vk3ursg9pWrZNPCCr1nAJzDiaLVzLf0xfYZd4B 1HpqhycERX3C2BaCFmpivsOnZTPvfJkUL2fmiOnN6YkN5rKG9uk9sDJMV+68+opJh1GgmodanijL nsHEfJ5WM0/tG8TU50K/pbUBNciU5tYBkR7bI0CcYTU/Kn6IZ4zPeKnSWP/L9jzjY8pBQZoAqYqY /VWapvEjG2bHcGosfsrjnY/7dykjrZtoxdMjsKLBfrvFm+ghiurU0PDvyBEjTq5AL0o3Egn1itL+ QbJJuS3yb7gYuYs5+YG2WRgvzrCHYTVBm1FG1avVu1xl3/HjNRBSaG8vCuKyTzpZc4whbnbB9QJW Pj5GPLJ+Wb9jQmtwfoJNODxWwkI/QSq8Bwc9Yho7fcRX/ptTfvO9aS5XjY/wisanV8ppYKTLOXZ9 PWMRRgrk+89w1myqwBb556YroYZgxApRMLjpXF+b3zwolO10ggFKzdfDiJHmQIHsznmYdeJ70DUA 4vr67E0p103DgQZHgl9k5cabJfwEymMDfeJHIsOA4noWLIVYWah3r/B5HSr/md5J+X+wzoTiBumg mQYCXPWhhDlR1gVHIft6Cv2NNkJ5xLBeFbDhp6CLwhh32jEDuly/JHsIFRZKwgYPvMBcp/6anz8f XOamratv81f34/bLxCyc+jrR2qvxJYuqTYsr5koNKtFkBzDfPIe1BEAZixXZXiZxge20nYCtvFfl 6LqIKpEx5zLIqms6E2bYHOlJOW+IeB2LLhV8EVrOhY8IH8GqI6D9vXU7nL7uc7OElcuqCf3TzIHF YIwnlj/tLOsXxi4mDHGrSmGBAzZBFyVFn9hUCZwrV1VwIvYAK8JjNhHRQqZXXQLOJX+pRW0ShTbx Es6ItKMfStgWgf6f8L56Mst/yly1hhNFvv/bMEAANnfI5pcgST3z2tXaiCFf3ZHH2snZSV2ZPnJd regDzENdnLa/L4mNDKPfSoGG43ZS2ScJCFcLsROHf7JLh4Wm0jvgq978cU1QQGHBTfNQePJonSZo gsm4dokob8errV9KvxtDJ2uBeNVS9nscIclQgdkZqDB8qf7sLVxUGu2S8ZNrWeZQXVKxjwsSRNvk OrTJje9nVfx6EElV5axFMYtY6V4t1dLNYed9KrKLgf62Jy5YLfPD3VieNj5pq/hF9BxatScaPhsy ENnKFGK+OlriGkPo3769JKIe5EgTLLiuLVh0CeAo5henlwtLWoI3GYVjpmnTCq3ZG4INSlQOo/jN KM/ENYxqdCdwD60X5IAXfISSY5LmhskqxrT4hctCMay6dtRyMsLyE4JILy+YMAkF5azyBYgAM/GG GFBy8qx/wAJaFLXlw+ZphDh8JR+wITm9v5n3ZlAGwdHUK21f/TUc4w4pEBdmsQ3XUZzrxZS8r7OU wxKf5+jvQh9/asQJLPV7wtXoZxqT2Y0syZv5qV8GjXW47mY5VUKOxF9SpiEjaEhmhIN8Y45RuocR ujsPgYkIGdQFUcvP1EQYnWvynHtMW9EG2RFwJpvob17U23eVIgVHHZz9y5QlNTU4km1626PcfwDP upsONaR3LoivMLt3gHvRwjPT7ADSyN0ekbTzEMTyzOclEgFtfvThQq20nwZKIoUiNY19OEJDfsWO DZuqkWfHJMhWf16ttT8ZCMLoQE0AWEnseUaVqYUhzyTzywVl+zLYCyExkf3YVSbYHKr8LU80+dfN Q1LJZHCFgcAgU05TiP0lhI+BSjkCbAcyDtD7lSAYHyNLDlgU5IjOmZguernmaDJEXq61faFJZ3Dd XukQmpfEswu/Feq+mHTCbWP6EgtsfoU81F2Vtu1SWJH5apIySJBWOVaFZeYz2bTkL2R1c9OpOnNB ZQU6Ql7kse4Vznxs2y1UAga4ufWq+q1vkXqaP80aY8XGvCoq350BadWOgQW2U5aBbnrGDwQXbmHQ f5zMo9tNE1IevBDqlfXTCTA4CWzo6M+FF397PcCdsnOmqPOT73Q6pNj/e/LyMI60dufvyiTAdJMS CZKXnCDrHFv8++Fdg45ZSUgcFBxTMfOq2arOY/IfpgJibTfrg+A4yBirhfVoXfENFfWb+w5Y+ydX gNRQpQsY6zn5RJWO9NvISR9NDnc0LvK4tyiHLjL01aoUa1GPuDYY1c282nABO6Hm2vqcY0Dyu1LU U1s+7kjxESz1720glVvKgyAIYHZ6DmFWFiRRO7h6fpW5p2LxiUFx7pBramoLoJf1s3d8tkFwinB4 57jM3EIv3Lqv1mmphKa4KHmnexlTLAQRdNIgAE51NDxQDJyJY9L9tF849i836k1bbKWE7w4LN3vb k3zvJv0YxldPNFLlKPZQitwLMeAuKXFNgmu8WYyO1OmRZOHIS+hIOLyvS+cIoY9kpbOGCnwHl/ll b+Pu8aJyAAnKWlnD4m1YoryLB4J2Rdftl5lNW/4dX4C8F4Fj6YHn0JuIXDZvTrLuXtGPX/lITV6G gv1ngI9T9W0hpBpAKp7/DJufXBvD4jNSF9mzZ4B/o4mSBVPscj44JldIejflgoBOVdUSGiJPt8lC x8ABhDlfvU3jfzar6p5uOdCxO96Ja4B1wq+7gowkZBfILvHF6cE+seiwezS3PhGFsZdtuMkKRe9Q 3KoJ7GGpsSXIc3fKAhtZ3V2TSQFwmi0H4rIPn2Ebqh7frtyRdPDP/f/DRL1B9NC5tYReu1XwyxOu 6QM3XgGWWcIzyqe3fS9Y5PoKeikokjsTuW9iKxoPGFWnLvxFxHI9LHpHTHDGmCVrjKNrLl13RibI /OFyc1MxTXeowmw7zqj9wPy6G4MdEBmwOtiSPnpN+8ejl3Ak+ImqSDQBznkXB11YV5NYDU5S9dtB d9EiqCqgrOBkJS4sy2CpmQXGAQnO1fCizfNQZM8Wot4ZJQDQILQ4PMHBT+4JM4JWgRRG8WjrI2z/ j4OYeSqgriW8599iZ08u7xOpAAQkrtJMBiZtSmHT23uHL/vCKteiVWKZ9bYdCUKG8y6sa2/31t8C Iy93zBFypbYMrbedGCUT5Wrw+JFBqihlTopLXl6QCi3pH9RnE75KDIImndsikyru1nReKFVNdEz4 SGGEAcKbACjjDga2hPMMmeGzzylVpOr2WpbIqpHeHeo57d/azN8r9r7NZBZhg5yf2pyv8keQmb2S 9iOFTb55Uc+glL03jfyA8vv1kQXUocH72NpJEvg2M8hHrD9iNTfH+BYwHFBh66UnmWYe4lraR2KT SsJxXIg1nr0Hj/48VQikKwzjc3enwm4TDdYfe9rDIojejtmPyU7v05rYXAu7TySD/K8AAbj8c/u6 OO9qoypBJztEoLqATjYTnm6wdj87m1NSv5ERKhT2TOLCNhy9JuSQdNSQiIBbNsPi5Sq/Wv2RjfGS p8GBjMvIPzw5u5qr/4+ey7SlP5vwhmkTAqvAapi4LMCgZ9/sIKKldJOM99cTDjmLvnguC5Nv+1o8 wXEyRhBql/0GqBTLTnnhmfSaz1PTMJdmLBN1KIEpuHLKPCzUUUZ0Bbp36sk57+iRHyPIMW2AWjDx AAveNtZ+/4NKsvrI8R8fXpFp6mLzI6jiQ2T8sFTjt5w7ERdm12xBUbBoalQkHi1FH7sK94pcsh+n QBAw2LRunhNHOaI6nu8cCfI60KEGUCHHWD22U1UmrOi7yhlANIIzIeQdvPgJAw6USzMQlA84VLZj L3CWe7wzrbgEuNm6guDvm2YOb6c8huqGU0Rn5nUBX3b8WLVhBouDrT2/4YUQmQBhrXQxl8Z+RWjN hWFX/4MuWiLQTeAKI7Zcrm83c2jsopMHJNBrYdFdvnI9MNZI8hybHTH39VgduvjjGiiZmNFxD3c2 nPOAdQhE1R8mHYTSA/KQrp9+XoXcwRShoaqRESy4DmjJ9UYJdJl/Dx2c8T193H7V8/6riNaoAhqB fw+vfDqsM+gM+iZpAryYc+PviBG0lUt8IJcQdHrMT7qgfjTeClZFf73VFPdcUWEDkVHpwpE0dJ+3 naDD2iC+/S65eP8xMYID1uFiwqThG13TcrSbjx5aYa33buNoJ6C3ZDzYGuRWGjccvFL5vyiaa88H bCku4QM4YYwOvvSbF0gdnFK7jpW0npw4yvuK+3qrxLUxWgIm9kPYl75qzPln4Fxqr/vwYHXYAF7x b3VuxiukbxmY+p8kdQf9dNnccGEFM2FnC4uh6sp57vM5QUEEF5D99CrVG18l5edlAei/yHzF9568 /XmnZ+wEkk69BtCxnQt/ydCxMFjcwNTcqhOJJvU+cF1+I/VTehkXlrYhta9xNHLxgD6E/EdJSQDN pSz6bkwkf6iD691efN226vxpMWBYOCBRVTZ/Dzwi8MwYdoQSbUH0vw0QV2RTF4FVfset7L/mOBYd 7ot5nvdyTGrRX8wL1AYZapRR5KWud1Na/79yidSmnI8mW2fqGV8tyvGodOYS3svDjJINq1B2kmdp AoIm4eB/DoHIYsnQg5n8ui4HznXRl96UxeailKPuK/y8EmBf3el12VTKPttKGBs6PkGpsX1Crkrv MFLCfAtwX19RPSZhV7VntFtvwexDirAY/36NP2FFW2iMXeAzc3Ikx4vQJ9GLF6CQOWVB2CbSRKv5 TbotMMBkMpPuyY+le6K/VcRdUSKPEVwIR/kzloLPNGbNoccyd/eF8nEo+4ax+TTQWJl0mL6UklsW sRbiBHM4turmm4+tuKXvjn6Qo7T9wlcoCfn81C8lQk9fsK3yYjX1IzFFP2JubekdG4aKYSs1VmE+ jDMujmoI74gzrXQw9g3M7edAmeIUxW6BOEsGUyW1I8NH09wxNeuaUmMADMCunU+0Xq63u8lETs6a VHNSlWYzG4mnwp8E0RKlJxIp5Uoi1DgTjGIWNanDitf7+QBFaDt7IZ57RLbuzZnT2pHE+XOlh263 yLk4x1E1iF3I9QqEmBBRzXgT7UEhwDlsP1Z2GUr49q1umyBDstOP6H0nuTauDGVqeEAMq/7ZqRxZ DFDXfFp/tU0yukjtvVa6j26qqC/pHKLCLan/ZeYJB5BtUoCZVtvoSSYTEyp6omeetw5i1Rr01vSe KYGO/ykT7HXC9DB8EcEyUmZps1FFCwafgtqyQfqF6m469DCyS3MMI6lzuxxvbl60pTEL+S83QkoH OfQGnDMeI8a5ZnxYFZPuLavJdLWEZcBZW03i418zi/4nezHRvLP4d9nW6Vcehfd+jV0LEXN9jTlv +p5nXF4MlZiRT/iiLXYTuuNVsn6GDN3srpCBZCHCfMuWGOFCDLAGcWGFznSzkgJZKk8eRgskC9tR hOxKVqR1emK0G4QiECdw2xnG89wk54zcy3oGqhiCyVimJ10C2uW9rkwZBaiIXDSwq/gihuowxqv8 xI84zXsUpeV6/25qSGXiMzHhsmNlA6buF/LbsO/2WkZnd+pHbNFG4YpUvE5t2yOZxeYiI9Cj4txx 9UiLK7DxONI9eMA0ZfzaQvPnyD+dsAzUl0hLWW8cJhoongUDdMlFQRnR5lJcJoBk8B5eLmWGXEhL 1ZNJ5E3ETz0YZTKt8hxfMJjOMYuksaVc94PYF5sr3FA6uCmfZwCGpHlSXIHzUEND6BuH5R/Nkihy z0weYgXI726sqR9o442i1peLgpOCaSN6xoRINKUcdnwPTTxGZ3YB8Yny0Jpqmf0W0g9tElMXSCPA BRXsAjOcOPJhRLQuK0wk33ZTUSEJS39NUo+1UGNFF0HOmyyVyvgMIyujl0/WlygGn4ilEG7GNDMY lOXK8SqnyZ8IpsghYiVqmqJQ+bKXjNYiSe/D2XFc2FRgWvYXTxsO+YJ/rc7BUlaGE89ivfGf0O1L QouEunM6+iJpCp2aDSaeaAuTfn2HIW8wbaW0CVVCsrtc6GrzI/dEsVAFFZOh15sP8rsadIyGpq+Q Vv35PFcXOa9abZs0I6li1Xg0nsi9OWyEo9PZA2wPtaHFrrifiYxwbJL4aGLMbPLj6mB2K9k5qwzg 1BVj2iS9puHp1jqLAiumbYWXLBOUzBuuncyzEBDUKPb6OqEjUEpa9wtmvcxYWlu8l2jvu6IFNpIN qKWhR8T2nQK2OMejc8EcuFyCwJNJIV2KybL3l40+PNt9+whySX/NPsRrEkJ4DRYnzL9fYkXtJYBi /tXi0SMeJ8AM0VJ2jg6now2JCo9xXTuLCrM1gnZGTdXGmtLfQZqMoWwj4SgaaUAZRAahgQ+v+fT5 Mc4EEZn10OJR+Uqlvu0m2diW4FwinBel7gWr4HOC2yv/v8cNQRkvqeWa28FtycNwL+26LKbNUQR/ kUrhASYfJKLdpJkmf06KNxoFMseKusb3KCCseh3kyTkC3UZiiRfgmt2iWuTnTn83qjfRcrntxhYM gcc9hMG9sAbBl6v//r7GOMQaw/liRRna1T9iqZB5QCazEBNehUcKSDw8YuDGTeFdEspk+Wcp1s2h RqZjD8bhnM2sggEE4xgrbknwoE8l0bvlnNd5vJX01fBw6wqDGr923v73Jt6dVDj+zuXaeDKRTTbv uQgUvWnaD54DAPpALdweeJRmSi7YkQxRL2dwabzsze3veLuF0Rws6LdwjMGVIWuRGWfYiJLDQjAA 96RPMXKXBtJnHcnCULQrFv3PBT2FA8diyJoGSIWVTS4tW+FX8nU1PVvlPzF4nmAdrLCBn5IkVxVe C+Kt/jzwXhyPpbq8fbXyhERKdAx52UaXQvns4Ke0epLs/RrpOW50sbyI+4MWJsuEYmCioPx6QEVk eRTit5BaULn8gHFC/mlcypUf+iPrjzvK6rrldxh76vkqN2v0gsz8WI0XnQWbiJG/mI/epzrgVupA ZvZ/LILRwfVjR+NzUyaQPG+dFy6nnZF/8cRijuGbHzOP22FVUjUutVtxOxI6XOQbeM/wDiUDOwYf LosQFIXss4585Ytufd+3Q1rIxKAoI8Mn9rZYZWgcCuKh5qrzz3rdg3wuWM5jjLX3ljsjecpWngIy FPVlSIS7gUzBOPWso1hA/Aix4EL9mu+yyhhNA72Q+pJeDzxJX2kukSIBpRRpAyzLGqeKNt6hERRG 9m9Q7HrlDiXlyzZwtCB0A99r+1lRcIgvDOkiL72kJ/xrHS5Rpi3OWWcASjp5qCMJf7z7ne/3jL1c OPcXRlarYE05ku82pGvwadzOfWK0y16G2CZaLRGoMBbqWPEjk13u/leBzkrViDo61Ig16FzlNxrk lp5TAAWaWTfEyWA2zzt3hekCtPhQ5DW0+J9+UWKa4cbsUFOyEiUdy57XhDBuXVAP6PISfG2Mm4w+ AQibvvEYPnsxJTMilczlVp4PnRMVeKfKW6JOZlga1jY/MpXBDqEsmm8wedFKk/qjvdLyB02ZOlNv vairpFub4RLlVm2ioFm7pXLAAmIUH0j5ozDhxuy0cbKs7Zrcxs4FyiPO1uRytQHjculLvW+4dOY1 veRCLYMne2SBKz3DTii7J8Z1BZ7Xh1Itcl8IVkiAofnvMMJE6U4MpWaEoxBshGcJLdKwI9xCxQhS WvpkwIQBACgjigRxYoDgeY902kB2mvJKioz+ffsYS0p+tgOKZnBfBm1UO0KOuvHIpygEds//iisj VwGQB6+9VgC2dF3ii6mEWC3zrcfNk6rO75Vpc1NDSrnZKG65rhGUvEPRAi2xBvxflX3Bul8OasFD XJaLsVpCs7BAWhczBPGY9espZIlVxp4c5rYfh/HyjGmu4Pc6GBC0bOomVKDKG9uEyJTCTEupOVrb FsiHdHmXVxwtBDyzxL18OGO+Sa82I93+8nOEbZM3eOz/0S0QNcUJqrAcbMiiJupBPEPUk5QS+vP6 IxKHnWkrGhEBbg3mAjwHegpDLMIkLspeb89hPi/OwVfQL93PuYbNRr8ZRS5dN6nA+qIfjEaYdBoP 8ezhMsNvtEWTLxJuonN1cmoeHrT48cwXI7AsrOps4Vu4I4A92A19C2d36s5NxViotntbe+E6bhhq xRwNt85+cGpcSF1ygR4pFU8Z2lAbdFV1Efs6VEX37oTAixEws+m/IFbRBjrpLnxFQ6lRYziLnpWC jLkO3ZxOj0HocOhx8qtb/dd6JYmr4dOKosV1m6L7NtcVTW4NQNQBDoIC8GiaO3HwguBCFkXZBTlg XxxSwRYiZaZOJL1sMdE83mi2GTtRgYjMCOzbwoLUIxlP6ZOygPTvBHa4vnkVWQamjK7kTwyZi0bz cRgOa4uxC5+Anj/Dlt0wzEwpn0Q62tY9envy39w5dJE7y2zEd004DsDmj+PacIo/AUhgoyQQO1iO bp0NamxeudFwuV7P2O+/u5KxbnLDUH4Pv0dOG0EoZH2UYySwOmXmrjR567kH+wtNPMdRTnJ+GBLN BbdAtPa7bzfOWxT+OanDw1gknu9Mjc0o0to3YRLVjKavwsOD4WGjWv/JWcLPdhsaeI7YnjZH76Ds pz6zKb6vKYDZXvZ/DEcXN01D7EV+1EhmZzs69qIUKRrzbw8qOm3+a0T+w5gW+tk8hp+0rAd93X2+ xFJ8prsOdxSAWG4FER0waqMOf3cvhmspLmPOjSCGxm2/loPjmDEL2Sl/lDpk6npCskAKHoV8PxJ7 W/Hjxi/wcoZcn1qd3bJ2C2eE1D8A0gSYO34LrsFaGLv8JOLpjZxLv+u/J/2/XfkonbSkt3+2ZCck fD/qpIuWDNfOgccaw7c+a09wgIO9At/EG8jYnRFMnpCz96rnGe7fvFuLZ/RGhoLoD6Au2M/uxsFD ucRaOVo43b0uz0/QzEl6FNaWpQYvt7ng2ch+GoVj+tzUdRGhQj3JIMwecd2YcXMVdSk04gdd0ROW vDZbuRcxbuAJdsnEDEh4hbTztos3xFPiVmVZRO1KojvH70QIrRLQICUQQLTsJuvkT5mZbkx2yHdy p1uXJDI2EHvP/8mVfNp/cK5cMGtAEnbx7BAbqMBAiLBU5dYQeeHg913S2cs30H3ELaoV4BVJ/nRd o3HPCTZCmSODOB/7BaQD+zcOxlu5+ryd9RkTvhdSXoDfGiLoHRA7ZnCld5BwKgJjLKbtV6q56HaD eVgDGATUgadP93hhYP1qnRVJ6OhUe/TFZ56LYxfdtFr8uUwQP9YDn0ZKFP32H4XrQq4Rk3ChIFv2 hP9C5CdTmvWtLe9zQUahPJ9WJFSiF6DlMevtIiIjFXOKNuV7XUDrl+cr+C7WrhMOlZ+CQODv5P1J 93qddcHDxiYOnvaJP3jA8BtabhcTZpFWJul9qKROLWvQ9d+7JddxAlK/u6nSuL0169M+KVQO9KwL eKqnVo1X5xZG2PmWMBLaSwDfGxD4qiMBKeIW/KZsovwUMw9F0+mQndj1owNkZb1LtZvzU4Yni0lf V1W6RhWvXJn0TKUx2OLcW5hLOm8QYDjZfIkDvYQB5olZ5N0Zqzvw+CeSSGzte1+rKan7C3wt9CWU ePB3I4bNYgc620dkXNWko2bW8JqPd4ccyDuvFeUcX2N1r2ujnQpw9gWT5kSSs4qaS31jcOfrMTyh sJ+ox0bQF0j+m++BbUvNz0l8ivAMdH07a2aJ/1mDyJ5Wn/MHUHwiYHFGwEtesfX9+zt+iKgKA4Xn 16XBT+gV8bUxs/vUrTfO+OyN/hSbVn8q28VS32WSsHagxS9zvAdjmcQItb6dr5jXnl1OoSdswQjX MOE9F/Ffm5Wf23Y8aAjQPZQaZlTHC0m2Dxnslyk+UNd1cUidRV765w6+xVxD2hoVK6gCRwLAskdn es5TBYPCzRr93p+pxMY+THyYgI4YzNCbIMbQpV8p/Pkya/tK281ILlm2UE3LdYX57VklTq9JYAAM DqghwtDo4dvRgKhuIqVZJl8Css+Ss5gaZz5nTYnyuXjbo98+8CT3vYvSKikxu+o3j2vuInUyhJ9U K0iiK+eAxdD5aIdx9yXqR98XRQ72XxE9/8IUa1eUp8lSqiVfdaeYZ2tolIx1LP8yODKMA5O9XtHQ x3uvHKnm4001Oh4jfc3DH9blqbDKUNo9ItPn6J8ZPWrG/IbaIRuZeiCldj7JVKOa8w18mxKuuzyj xzlBJw22kidJHk48gBSpVgWRQwHc/qf+LVmOyAZCtHsCzuDixmy3xNlaD0aRs4FSwR7jZXU3B3lB UJyLqSda0raTvI+K44tAjHWvEzn2vJkcUdKEjSK4s2KQhXaOejafoFkfVG/DkZRTBqJ3kSgBlh3Y 45VDcMm/AwfIK02skXpuu9xvCcAVi5zN+T9lEIb5sRb1oSUQxAnlw7ZJuJPcTP7LhSX16CXQeULi fUVFDBP6SL1mCjBKYBC8SlwAkm5hInOxont74LmTn10pxFmu0cbz9aB3wX3CqK+fmdvJUnriS2bg UQqhq3nVB13gm49WFwFqly9gN4cvy790gW1isAdFh+wxp8e3y5W9ZnC6V/bxnPr/Rs/YoNNIl2Mc dUL9sCAbjQw67p/ZFZR0jrW2iPAympKGCh4CvUXI0Ldg99CZZQoevK91rnbyIt/mRXuJxS300ufM JIlA9h6jPXlnY8Al3a8sMQsbLvXKKJk/KNSvHyDAGCod5UdSt7MDFizTbCk2SwYnw4Y8q4/+KRXv fShCterJc6InQhxzyAKbqnr5mIap6CAbd3QG9M/B2S2Zsqlo2Z4e62ntrl0pj3aZ4RIVBOu9djM+ qz85dPPNVeT+APEGDvxV1abAzsgOXAVz3vQhFDwsblWDSy7hvZwXODhm2w1AoRiVJMldvtragiC/ qUFsQazJyXAeLj25+zFGSyAP+qwEV1FIO2yrk8MFJ2y+h/svpajAdpmr269QSCpku6LJZIV+YMUe BUa4Dgq2NzLt63hVLs3rDMTJVnjW6JUHhzJTI2Bekigl7qEL9AayiLT2eJywONvL5F5XLLPr1oU7 7l+gucJAO3gMlZiQVN9aaene089b0c7dxVgBOWeyDxD9ZF1wDtVqySKGSH+40xOCftYGO9aZx+Yx TByIvin4//HKsbJ+4LtNWYtbaK+2NjX5fxpun3DrfHU7BD4G3q/xu+UPiC8HRWQS30/si9YAvxxI qDUS6XyBg6mbRRyp24nMuOqKM+ClHRjuLFFHw/LTbQCWvoUeUTmOQhkOnGPiXaTy1yO75B5RAHad uqf7ZaabM1uIdiWuHn25tNEN7CS/kn4XI5oBz277274GMDCn/agMG0aqGVdNc+UxZpvxJLXHTf4Q 9QoZwFxbu4qTDGQwjP/kH2JdEyQwOXcoaH8vAJiftqosx530tBvcdG7kpHMk0TCvIDFmbfMSETsQ dapXjh8ZBlCvtJcB7jOvHiElguSLydmLl/M+SXu5AQS1G2qyJWjUHsEVMOQU4SQCCTI6eQIEgq/P x2PiUp1kSnyE2KoYCE+vEUAhkBbcMpYLhNXY3hOswCGkM6UqlHTW2gGrHYEjEU8KiUj6Vqt1v97H PCJdJla2Fy3aBUUWLTqVJtR2Q16V2mLvkfu1QwcPqMma54MYdLYnSBIC1U50npGEVHDp9lc2VXHU egjoYkVIl58XPhB1UCrqX9BQUUOUTvCyZR1EVPLFEuyTzs6VsjYceBKIvFftiJQdqSP0PejqE7ar buO4HRn/6wpNcpKtkuFx7+NNDAoKj+B+FYQk+qhCqjr1rWtEk5ttaEOWUs2RfTvjreVcVSnBMOfF jExj7MRz/pUtEzkA3/qqM2EufmC+qXvgarDKLhOpuufstNTm8+FlDIVXRQ7i11K9iA0JbXMVD7E+ Irn1aSkvh5bPSg0ZUcX/Bj4a5grKdziH5F2MiWY1L9kLkVRfEGP07K5Oa0r8zwUx34REIMQSzzu+ BI7DJUGEcRUBOuzBlbsCyEDkTo0RBY0hbNB9zOHAzfJa5dPvy1ZkE6WzlNqF0TpobbKJixGpRaxp WsTpNzI5a89DLzxpxYe6QuJash21M/lgFBR6+lQTJssmmR3kG/LO6bK+NSFL19ne8K+uEFG+d0mQ VNl+82tU3iFWiEQSHQhzrIg7WTb6IfRfZ0Jy9ZNzcWwy7HRWWN+Xzmboug0nwtLFi5h2ZpWyy4Mz DcLpKQKefB8SAXyOKYM1g06QYoYQact4Pd+7sC54/IFW9FCK06qTRXlOB4gVoSNJlXO3JXLf+SpV ZwzDy9Ai8m0Hha/ygEpVoPUcJ+aZh4mdBkyXJI/Art9A9kXvxA8AQtEYWlEi3QIa+GjQydwEGb64 PMXRLsoAhsdclzQr6I0cjAPUYa5OWIQEqF2bpVQxw96vk58zZkUJQuFTlag4dztBig+ZgQFOkXf0 rcoQ3mM3W5EpnLE100Ci3+R12+2oPnoZv9+n0Btdk1VG+c9gkZm6qcYeGKYUhKpJ86523gF9cQVW 9kCwFYFhOLIOamMyKCFeG7htznO+ynQCMnLLYBKFgM4G0iZAPtGGEBCKp1hW4pGzrNmpO6dY/icW 4m7POdngJlVpfdMgBu05dYxCIUwXsXVg4pgMwvgvTaCst8YFWco9VDIxCUQhze3giZ3nh/A7+P33 P36k8I6NeV1JXgdA5GBziO+CnX7UYM63Cb+T+Dzvt92fS45M2dv5Q3w9IOh1wdYjy5cSuexf9H+n x3BgDwU1IZ/xssFBW3AJnLus+LLfiqcsQxtYAm4MoWLS6jUkbGqg0drore5Xy7HGH8uGWMdEqbpo D20I/rIa9VlUfsWduPHgQOs2SF2/Rj+RNcxJqYC7b8a40d8Op5VYyKWhZbmXJYRYfzNbQlXYVuEL SVoI89v993ny4QELuTMZOcKF425ebMP5LOBdhQBOHZKT2UpVVoEtNX994D74DqTDD4jF4oadacdB BAIePsazP9ivh7NZTptH94RnXRlRivZvI18h+ZbgDb18ye4WiEYgPVMpQ74oR0ZIkoC0Xw7psygE tcW6/QpHnpPXppd9Jder013ZI4nRXIwUdYSHu1ZufZb4EtUerxhHYqncB+64BqgQ8/p8QzOeE4i6 aTSY1eDqkOstmI6EkF/97mOoDyLejuqaP4zKFeBBUtAoXaWHgBH8GFFBqU3Wz1GjyzQqGXpseVT5 Grid5MTsZc0hSY8Iayz/QQr0pg6LLBwznUWZX6zBqMTojhRL/PT2t/Bp3DOklYrDdXqbrtc6gLQ6 Y3JagqloafZ2yUyvAYjungz8Cwe1oEAotADjjIX9OhykQi1N0Gh0Mb93TB0gR9KNOrf134Mlw5Rs vjMIwiu0JyTQM8iAspX3nMAfrsURfy/6JLuLbBVPKT4kCtcxRPnN2L1AMfGN7C5QLxhqrYgkRwfk I93WVP7ZgzeETgs+4mE+qZ7eMeEiQhDiKhHmv6HxW+Y10lf6FVEvBN0SBM4yzPR0pKpwQne16eQO /a2SRfOVcouBrQJm5JRBM0Nq+5ASdv//NMjIB2XZC0cQuf2q/OQQrw2bylwMoPSAC4ynXQ6BabuT FFZ5bmJsSEWU4oxySB1hAsIs1EE1DLhMSmsQiBnNkOsC47xz9e30O60cLrfHY3qMsbA6Fxb8rQl5 GmWGXT7OqmXPU25qojhKDYNaPClCeYP+ZT3EzRxmgAV+zmYQG487jdJ6RAUb3SqVWeiIGNqzdcSJ RtXVCZdPLlrOh+nS1UFCdgTjcrM4lzb2BPOASuUWO/46+JgtQvT13W7GBBfTdw378bb9cPPkFFpZ QFoD/OP/MiTS//xiZnK79nYuLVqLsmE3Op70wU0nzuJGHiuhO+2SEqbqT4H6tlf7S7SVdRM2uloo o5Y+b7HL48JXcAyHr1AKowDWP+byM4eh+kSO2E9e7+TZ7+iqdsz7L2cpZdX5erYY3nm2ffFBHKKj QPnGgz92YiGUksEqEfILVWd06aYS3LIUAnS3fRFBC5oWfPh2lXAix8cD8u/RTwrJl+0t8NQ81fFi S4fVM1HIntMvbROptI8yBYTOOXzxYbW4eyLqEl/N72Q3ML3Jt9pn37y4JCgZ2G8LR2Njtx1lKJ2m /kQJooxfTiwsFRzdPLcK2KPWfVerNKKdNqHi7rXsqGe1wsa0NefkqDFnXxU7kZfDRYavNnlM1p5d coUPJ3chKOIhiCvEeMXKqscPRJ2gkmIxK5M+HOiK0YvDZlqjVgsW4bBH2Ai7rfDf+d3gZn65swN5 NBfpNqPVnAPdSuNELqnbpvbT7aICiSVFbPK2dtn0oF3GXWjCtukxq565MMprNBbH7ivqh0+m8s+4 f90NSt8dIVhAO7+FLoQNDLnCptcHXgg4dbCF4izl2M4oQpmGOf2PEBBJfFawJEPH9j01hIMmjDUL n7YWzRiU/yUPh8hbSw6zqGBbn8tu6v00M9SLyqiLNj/7s7naclCsClKsjoiz+Z/i2st/ifu/m/h8 ATLS5ZgHZEZ1VDWbNKi3Ks15OEYHVUY4bQXfc8Y+lV8Mr5/9DpE1SMurBHSsDOV6PsOWYcnsBNLG CwUJmXb9g/NFWXwTDzptYmUTpW6RfgMgzyXpkEj717U/GNBIqI8QfHwihPl958/0lQ2MS+Q5ZJ/e h4K6V5oqLlqq2PFNnzDSMVxfxELwDZhfuBdvp/07AGfUkq0n5hMpxDS1KmHkOQf6yNFOKPZCg6DO nb2qPDl6t0ZON46OJ48cqy3wqvd4wKbwz1ABzU68PbXXFHytAS/7NtNHTD/vLTmvodxqH+4m6Fwy 1/RADftjLK4f+29HEKZA9r1lsuGtnN/mh7eDOKDzUWhi8CQQsl3HqHDpE8rggh9jpkurKb3Exr8y fQnQX+f2GanCPU0YT6kasfOkgvymYvEHv71C3gXX1z/koaAE1sWbB1xlR7wlEz77uTbMoIMxVNwC 3BCnC2aDEOF8rfbTkh3fPsziZdjCc7Z4r5WfpdU6w9o2sQHwfzzT5z4rbbcKVd/NJ2Ne+jIBedDm n6pr+cHBemv0LI2JFYaFmFIZxq6d+oC69NiSO3rcKOQqz1FBvzOH9kSwKHpisEMztFrRgWY+wYAP gVTuuQjGJWaKvBgb1u+upBLohJGirq9BGz7B7/YV5BJCjvTD1U2lGiuT+sPQd/sxuk0t0ld49UZo hSt6c1BQcZex3AietxO4hYb9qjXdUTvWLPMG6TVRs3wS8aqJxAE/T7VsQJ61sDCCkiXePICxMAub bX4Jk0604VTOkkziPBMO+ZeZQAUkm8R26P9luwg7NSDaA5Iwo3W3O1nOd6+bdcoqyL4jPxqXoyqs mpO5kjPXQ0cd5o5XB+WwMTjcK1PvEE8pkUOIOxuiQKPfCzXfVuUMr1oIq/veVNzdpO6h4X2CnFzB LMu8MV2Go0Wh6UYqNCjc3WGvseK4l9fHE7c2K2KQz3cArkgzwopoGMS1u3Pn96TWZDy8ENHlO7NT ipMAP/ogOqVEUA597lCweCFhdKJJ58QN3DyOUF8zByn5Wx/LWZpZ47ammr4HTkzC11OH0MDw67l/ 4libigvQBVKhqS3Xs8Cux9XCeQ7lSjcYjf/Fy3pXmhIGuRsIOJ3diWfVcJYMp9H9vLFuf6IuqWam SeRKhzntem6/0zBthC80RYit7mRDenLrM6E6vRWF5VPUsuWGKvVR4s9SG8PbbcL0douqvSfkF6kE aSCPsZuNgLgPY61BorsPb2EVGdQfucW0aUTqDfwfEpRt4AtCKnOP972lbNoysmeiDAP+7Ej7f3V8 k0JALP9wiRwjwck8tdAdzVH0uQ9iKZ48Mq6ZFOp4Xd2ATlF0f9NJYvh9t23t0TUH/n+oSR+lb8+7 8NjbOfR+1X3D8OY5Xo2aTel7kEge0KeSTHNFtmWa9dttu3DdDl5vxcK54g7DUEkj3KEVVL915HoM 3b1OlZwKenmUfS9RMt5LvSHWdC5yAOWEomtsXXpltNLkbs4Db7/IaC5WT1qt3ObG0qF8NG4JtTcf PEtY8Pt1crEWxia0hvSldO5Pwna2uLqkKjcqG1NFgUbQX4HOzMosmNDNviq0WIA8tlvQaJkNhK4R 7I5EFoEaJ5Aq0r68KrQtt2TOUtSRsp2KeSdCtSrUqNTifegc+7jcUhW3X24TsNqmtoHWW32GVnU9 krKLCGTH2X2W+Fsjy4WK86i7wM2T+6RNhgdqZ4DVPBfaArZiHHLssZ930iQlArpPsmPeDyzBOs3l nyoer23BnT4ONIr/7AhAwBr0hTHnsCEH8QRciQuigOcVO8mta1Ph7lofVi3WJa43Y4Oxez3PWT6k 5YlYvV5iZb6We8eblHfQSY72tAD/AzWAOFdX8CI8d8gOeIRE9p/F/Sm+QU2KNIMgFY4hmwLlTjVG UL5iEF+P/fAzFvIaqcMS/BSPHCIxy2q2X7XTA9Cr4Bh4p7HQDJhlYkBmXQz2n0p+v2eWP0Q2HNoY GVnlTp7Cl0bVmUrkC1DMHkzD8SHZ7RwUcjTd+G7PnginmRcOBp+zSWateu9anObdXVBXYTibSjNw pf+SZJt9ZO7FLAf7iEcNxxU8hjvb9UY94qJ+h8eJtVu0svS2SoXIJ7Hxiq/VjuLtV6hFOYessjhG cWl2OPMnaGix4BRtGMQ+ECCGjednXXTuMbdEXmDtXELVPy3mahWoXOLgleoTQb9wlRLHuCBfpihW qZhVvI5y2Spg8LD1qfOX5omKZrYAzBsmal1Pu0fgvDjnbSbfaOncFn/WTyGw9rjnWlYE5ECeO2hV HKFZR7NzJt3DnXd3/+2xxnqYo+ibo1cAJKHWrWgBRquKss4XpcOSruuLqjXgjCzJ8QHnHplEBDpO /Lsct4+uqQzKCRydJuvInWMZ5bO1BmQ/Hh7urufEeFH35Kdp/rFsJiswtRu/PEluJ6Qjk66lwU7O idn5RxKQJELBuXz25UKbFQBmxc45fPqRLL3mx3ZPONV4mESIx/IFnU4ddHk1MysPB/Y6khx9vtwx hjtgNiGC4sER6NCu/KRsY5bCaoJ3yBlv82ZBGeh5rJHaP4G8ShJTP7SdGqB5BDOiBO1i1Z7J3hwb uFa1QUx6LDjR8KWtr84oqAaC7YHKS4S3kXbe9dIB9sEDjk13cY73YsZGIFA/qQ/0n7ch0qphDL/q Oh1ZaIcO7AjNOeJpC+61fQw/qaAGs8vXkcP8HW+cjiLmevzhX28BvwpeZRfauX49nJEDzvN0iKnK QgbYym8mbMzylC36YEROSw5qR4huQCWpHwaQM4p7DG3OSQVabhcsaEfkGJTSugvRiHCCorxjnQYu MNhqiIsdk/hgtV+qSuDrDoWG61d8kO7mIWk4znyduZLd0elA6IzVDcYqJ7Y3CZMcssTETN2MnDfv 7GHKXCPrN4X14wGDuxUN/LR81W5l7SpgOAX7400DUZBUnLo9qRZKjyM+eIg7vjTUCtsJxihcygle Jb4T91/8uAgsrZRVTV2pjNQlkL4XcxGhHleaJm7Wva+ykXCtr8KTXLqWJWxBtj64D88CO8UVAFVz cSbitt0DSfsWApdmxgqkXRHCxiSr1/ubrfC6/RMauRuJzf47Z/Gd+Zr1KNTwxlRahd1V8dpoH3Xx p3jMp7juPEXY1RJ+wC519BfVl2NiX2a1bY9DBbYHkc9efWhjWg61sK3JN/NAT6m/nTx7v1+I7tGw uAGt19Dko5OUsdNmbakfxkRZ9SA7zUHYbj0hueihbVzgB+WHM9/JpnyH7lp71TF7YE2JpvIZ+Hf7 uOXCdvY8nGq2tSyDZx07pTNzyk1U/Umir3pPsbxIUcehTGCibn4dRdrKPiIusbJejqHJQiC9GVJY A5CLV4B+W/fYrv5jGVoimD0lcG1TMyVmHNUgCkz0RPov2v14dI9JE4yGHNa+H6COwzvizInMWtaD myA883Dxwch3GAdKZaw1tiuCtpEB9hWRbTBJz3q97kmEo/f1626i8dVQWkSNHkd+WlsYZqgL9eor 6TRM6tr1hwlhPkspDdNeB5k7A4mMj2qGx/mkpaoF/xzsgZA9Ab1c+v9lOQcKDR4gsFUN0U32SOEI p5M7pKJzE0nSZwQOImUWy35RB8g9dYcyawuWMAhFxpecvYVCBkowfBdAh9QqVCdeIbJUmP/NkMMj uIxvXlXjyDKPZTSWorZP5GWiGoSaQ48OfmlYtnwTI+cuHzgQ4GiLKJOxulRGFO+f7mxR7+U+Z5et M3BTMc2X8FLurFqkAH7W47lPT8TKoWIObyC0UtIItXlxedv1tOQo/NNrp5jcSJeMbP0mdl3Y+FBC rBZVolZgZDVuxm58WRWwnuIvvhCfYpm/HS7alOZnLGzhobbnMhkUKrRmYWyq/4uCpqFY5GK+xAUa KDGLMBLmzYeyn85Jv8014vM5PgGpsIhC9Yu+WLBObYC3HRcNWFTqlxD7x7BAE4wwAxDdbhcWgFY6 4Fi4g32tgKIrEFe8HDgALjAF6DgbRWaf7QzHHcSwY0piTSpJofDf82ZGQljR+SqmPRGF4zZzH3Tz JFAImftZP0m2Yt7fzvusy+V3rpKUedYwKaHKfi7mK+hxg29GM0c3UWXys6Nx0U8uAlBCozpwlxb0 zpz1bWziONmJh1copOlTvc9QKU+TSvllDiwOZZkyJ34wEtBbg+I0BqVuykrgBE64pUmSvxuIDdfi SdNj2drl3YiszpSWDpPUQmC522G4JXUee/eW9xIkoFPCXjp1+s/L0cgSxLXVB8XG+9WI6QvWKKut HjSmCvy1qH89CJPYIgVZWWdZWNQslFOS0VzFQ5aDz/Fw1/YBPuPbqzH2NOB6JjRM9C5z9c3tmvP4 mGxwPgMi/ihPLmO7VMtGojrMqNV6G/GX5o2wFnithB60MikGqe9lLZ9c1PECL2+gqkJRdYNJViDO rC+KtnMEOuPod3QTzFWIdbIc4KfhylSvqo7xD0JqpeGEV/cZK0UHhNcJ2Rr4ABquQXl5zDXsMueh vrnT+qCRbKGQYleELH/DFRoAGRDC/wfSxCj6iM/jSPgoY2ErQ3GoMS11HguT7q3nfCVswwNfBd2X E+ya5kQXzzu0P2/zsYcjBAB27VTs6P9eyWlatpybUkBJNSi3/ILz9LjT9ZQnbhjWXKUjlaKtaJXF LOpRbLj3HF+w5JxA/f/a5VigVVeVaRfvJelU+1sxG+xRVjKbSyvGGVR0N+E2c7btlhppF6Pb+HLU 7RYY2GZX7Txfndk+mZELSTNRKCvDi86AVvxWCwaHm/GT5+FuwNJnxvu/80j2QNw2ybQShpawZU6l aQXO4kz8oBhTV9AWlcqjKMXmn5eeUBDUbUlOPl7eQQ8F3MFRrJMOgeELvtO4gRxPGJ4cse5qqK3S 64Jd0xFnokr/3RUvZByanV9+AlVxYyPgio9xd+8dyayTncNGq9udnqsIVq9nACRnGjoNHG93xezO n+NRnXuzuyvjJKCah5XID4Wi5+nO9qH69t2K4wddeT3QldmSp99Ykkj+jKPZwtdcf5QXAmTgLanv MDHr51g+gMxEe67CI+fh0zKuYsWlrxKsFD1VQDLk2VoWuXBe7wwiy1C0zmiOmgNqH6zQKZvLHvEl m7OriGcJK3nMvRjYFqLeMmCQPeLwqMyPH+4VWjbWconScpeVNnxOMfA+JAZTWEFA2nHbOJ4ja/yv XonvQX5PcEFpHEQ9xCdi0fMfIfjF5ddwRDlpT6+ztYO+f0/NxVwuEjIGiJmAR3OT+5RsjflS8dD5 /Ui9sUDvHCAyQpGHqDnpZAwYDLxMo6uL1zFWAp+SNl9+Mv2sUMKThR6cQg3qiha+0A3l1tdl6cUq qtPMm/8nI8MK9eMOb8d5CZXwHI3wwlo0R0qU+gLnREcbd7cDwrVjjEmAHvNq+ZY7Dtq3ipTeiu2B xis/BzwKYHGzDuK3UomSCjOfwjMxQ76n4KX1UBss41px6/4kqm1NI8p4qNQ0tFV95/eIT9sgN8Uj iaeAX9sJgXV5EjDSzDFSTNE79yqP1RUazD6ISNjj9dGBzvCH1pYi9BPbDX/4ye5vV1cNoVe6wWJt J2gff6zjes86DqehCCk1U23aDxHa25vnn4AAUSlUepMRFRwHa9NBS978qe29LYhj2D4Yk6do80Y4 ZABmhS6mO983z8e1enfEIKYNVILIYUljOWUjI9A5fqLq8oqsuyy0hzldtglhJSBZyRaTCFWKK41P 6xAGX0sc5eeletGPfxtxAKQSGfHr2ygm/wzCKj26XFp7RWCuVjyvwZmmT0H+eCVGl2FS3OJ5CMAF cRFZnUADSAop6TXn6Oho012vds0QF6s00cvaZzu8JlJN8gTq3a2Na+2GxrodwtxEDKZv6GmVyWcU 9L8OEU3dUvO1hycMCndWQ43bZBVMDtYSExkkA2lo3A3hZBf6LUcFgW8WuvSHj+MSfC3nXqrTXfMd a9NL9zT1X+1523wWZNiQQ5vQA5mnApnnIiTfJTP1HWUF5JD87jS9eMZBlFussqoNofLU8aWGij5+ ER2hMv2G2ei/M7edOw9uV4CYy/xWJuz0esQGSVElH9ecmY/6LiCVSR//C2bjHBJvyRwW7t0tmm30 NehXVy9gGjv1Qe0NUiZEY6Wu8QDg71zvDN6o5GjGic3NKSELQGu31LDC92s5GOsw8zbPEdrDHwJ4 +1GExi9v3/17AVM2CILQifVJhLNmXvowgTYGcxtSvgnYeLVwoVmFcyNzcUVsdUKfnP6CXlp0kli3 LNFQXVvtPtmiDE5dv2UUlnmARmirkMj/eg5JTEiRVIvCMZRlWE8SFBz8Nwkb0z1ex0tou4VZ6S4A H0K6nfidmWlfsvc00CZ4qWpBBwQj4blG6w/diFva4/11Wx3Nq7y+qpBvFqPl/OLJkyWM6bDLdBBM DgYI6qRcxLdIvsf5lz1VgrmSCYyCUOE+e996EuMUmu8f8AkvBH3K/98c5XMERDpcFC8Dt/gW73bc jq4wG5wmdsatgSBTqij4Tw73NT5xh7rMTT+J+AijPa2CFAH+TUsb6v5sbU8GjFFZcukXi1JqzQxi CJT1n+PthGDwcdQ9HfXEG92tyAkHUv2NisMX4X3wzYvoH+Nrsf1ysvIdMjAnlsL+bEdwA89TUwyE cCA39ou1yBNQWhYKrkwaDfPA7LfKqE/nnWgH3ORfHQp9pDx1D2FR+IuV66pz8R9jThtWVPEbqFwi 7TGFn+dA7Iuanuyh1Oz+7EZOpf4MW8UddgHLjOUt9t5byxTiXFdpqSbwTkdk88pASJyRybeop4tF zIBi6bqm425hJhaNefXBED1e05L7UHZaBSrTpnJiaFoHZCIQsX8jt55B3lCCLqKP9kWKAies/8lP ez6+NJDP3f+DXHKYpuovG8twplmno1xnsp8dsoaizLcvpchkv3TagRtMOl5wnvd2mjvxsmKwIjWY 3IhPl6Lzq/l1QceWr/vhBMet592Oufc0TdJpcT+/QcgyVyi6VOW9OT9cjglZXS1RzpV/qxU+dMYb XIPypzT6de6xcPfO0o5ig9RhV4gf0ZHYuXtt+XYfMrGmoMvX157ds+NaeGkbcMMM3SJcr5igUD46 JUQTwO7BYKI2aiPAViccdVBHGCaJY5rUifNpChS+bPFgbA4RWwjHL92MhGpXxo7ZoWTPkOy6Pc5+ 5AW1WHY8MVoIiusvbfznrT1/4JUZmO5rtZBddL8xb43Ab2NsMzOhKZvdJ7fM0YIEWXkAXdTgwL2T 6mVbWEdISI59UJ0M6HpkJ2iDh9YgsIK1spNDQ1xkojMTKxmxcEJkQ4mLr9ufWfib3bdbcX75eRMF Eio6bc+I09Qx5QnGdplYeF9P1gnbd2F9G6HcorpVvddoSTfCGVHAqyTc4vdBPfJlxclTuwITu7qt VFky0+h3zhIRPMcCCwXWnjiBq89PrmXwTMmraH+jsVxZDVNXCA8Yep0SppuaLajNtSfEV2aDV5mE 8ZMUxqYDEJtkIHAeRkRR2REqvK1Z9QHekBVVr6+l9V1++0/e/ryv9bIEiHB46dDB5MX2dj/k7NxV CN+L+UibPWXzqwTmP8/EIVxH/xuqAjasgzL8+TUoR8bGjwFP9ARtvzSlBFYQ/Lx9Ei/NeXMjyt2G BYD6ht9qzOsezXFR+//O4Etq0QyLAMBUWoXwdf1hwnWT3eu2+L3LQx15LDEf92tsVVD0X9m39rN/ NhRha3YglsOTBtQWd087ylryPyU6z9gmk/XMqqMPIWQRkh3dD8FeM7Jr//QDWhmD/C5eSNEf4T0c vqxWdRR1ScRVX8uL0WJAbAvAPDCFTzINPdkNV4YyKrPYhfVgz/A/NJ2+KtmBW8vErJgG2aEs2aam twUYDO+iOgAP+RsazedxL+1hzNi38nCMNwJi8LR088t6tqulwDtCocGwZ5Z1fSMj8auN5dxADF6P n8V7tXXnnArCvRpc+SWxqTOk/wKcICJNVr7lnFDd2jRvZ3U+8w1EXwjUb6AIdcJuY4wars4eeVB0 rF0QtIgFZHU2z5hIelD52eCeZyAdrcl0uk79j0AnWBjJNB3UJZpJzw9845D0Q6cJ/Ek20IZYSEyh /FQxH0uxv2JNPXALvWaKANkjuOv1IEHOon0MGzY8PWugGJYyz7sCtSyCOPgxb/w1bGansfpqjD64 /fRdVLKeYwI3+GojVr/zNSOF8b+IsbNIOkomIBF0/6dzjXFkAoqoHaT2Dr1U2BRK0+UsbW7uW0fp RAXYEMqcCzA/AyDZ8GGQVH1lBJ8sU/225imVnHg3WwSZqIboiFq1qyl7zhngFM9WpHKfKCdAHAhu U7a9Dia2jc4N8fm+AMf5D39cJrlhtgcHSJJ7fOuaHuNSdHjIObbZIPu9izCurZxPrCg7ktsemloA qfjyfw4pIy9zYAmGM67PCnfuzXJIMmUFsb7RqHoR864SteTk9W90zrurpLwZYzsNRVynFneOxAaJ czmgd/bz4aJ5FpRrK250PYZEXGS+PsDpVSLNTYhvTRmCA8szGQKrLhNToGDLcmnJ2tEi/v7nRc6d DhoioC5ma5jwPfXjLf95UddBI5/QKdRfb8JoD+C28eJ6xWcKNHRafFtyEAuBFaJtE1NnfCE0A8d5 Sq0RyFZ3do5C4a9Au8POeyAPb2I+QBtzyoDfh08VPvuz2359iadMfKpDJsVVV5zEOowj8xmrykuF KUW4L4C+B1U/01xlUY+JwgaK5JK7vxqWxWf9FBqnb1FIR16L6oHzQVpsr/yIEeYgtSEyFzUuUb+y SPVkqGwmWiSSUBJ/QHwCyWTMltZkEVfErCRsFY+W9hJ35OnOHvIlFRca4Lhx3EWA+EQ6O2Uaq0eF aQ4TOD1+tgn8HiHN8GsIzoQcCYCWbrJuGEnaN3BM9LsRKQozFiPHFI0/JesxWF7XyS6nP1jAm3RR QN7GsQQ2NB7h/wHGExpIYGgxlvM8s5rMO0h4FMPwxxJyBcdqxMvv2WKmtid/6mLM2J52NLpZ99JZ 2tKcAsd9BD+p3h8r0k3h4eQbbNkscRzdGBZKZZQt6jnQVDkzMeie3z1NmeJzGGcBVH9aHL7E9eIu V88hNAqNLm0GhsG6inQcupnLL3crmgNw9WsZnPxZ5dneZrkujqs55Cerd2+/ciPuAxPLoyT+ZjYC AkHZh+1M9iVFOJKTl39+KRKyXfbPQZNY2NX6/MxWuQTmXmMR4Jmf4JgyoT2d7l3cxsSiC8/zx2ne yDtZUk1fJCiCHvJFYyIv6dfXayrKNwWAtA/lUGK+G1jHrz5Rk7Fyvv6ZiwqW+duAHp7Aw360G1v3 QJ+G1vgZivY3V0C7Yv61I4y6TNFu26jOGo3uTemFvusWWW+YrqxGiNHPjFZqtQ4E/jO3OdulLnOx FoSiG8Mu+cxLC8m0238nIw57kpE+QvFtguxrULHgk2BKla0mu2T123PNHUzjEvpBx6pGLtxAIcQz CPNn9Ggrx50mblLmN8gy7Yv82I3XH57+vwd8oYdaLHAwvPtLaiToWIg3tZyCG1Ch+8pt/cSMZwyc SMl1gYdaprLePa0u/p4RJgxbQbNtSUpa3R/g2qImyJs9kSdovgMHvHmxnnWQbIxhz979eWacRCFr jhi/0+SK3GRJb7KuPiI34C9YwCvL6d/KmhxdEpOV8gk9UJGu14WVujIBSj31/u97GQlsiobp4wm+ w1gEuaMbd771wUvRZq3OXTjlwCZFtJwl4darUK0HftYjX7t1eyDASgZeczSTy5sAZ72UNDHUW7PH vFpxJ+mxfaryL8pYouCFJm+0q3kVepBMy3gw50iOlahUaYUjnkHrY4fIFVOyyX7EsamL2ZL9qzlp exTB5zeNqUDrRavsmSumEj5SrrARpyRPGmWN2DLsGilt9sTZxbfcwvis+mmSnI+5f/isWahvqWuV i3+l0lX51VusHs99HwDsglPN+mRLrgyHryFYJxcY4CUIGww75ImLSbmGcnz4o9w1e4+t2OZHYe+u nREbdTQoYDWuQIbsvL4UiArzbtZo5IpEvf9cuKCMwTw3FfDgTscRf2yj9xqNzOg7DfB+PC51f/aA B/8kiITUsmatE4pOHy13BTBXkLKOKwpcbCB4ZULpGOcisS+lS6X/4wfOh7QTV/hYJFp3BLjhBdsW zWPf2U7T9DX66tFAm2HNuzYd1R2yWyGZ/1EsGXsJvMkX60PP9MDau7MMDCWToXJQn91iwj2YUkDP Pky2Oj8l6HOt0G9UNduhEfBhi/dHGnijLXXqVI6gCQ8sgQ/rC0nDhdIQSeiSJgl2ezWOqy4meH6L I/I6lgiCprrP9EY3TzU3ARQXytbR1CvstHyXleey1tOGvjQc8+JRHdh7YavVFgmxst2xYQNirnrx YaE6qtXy9aGdimwloVVC63OuY9VDt2rIqQpNB6qHK6RpI4x0nckiImOWeiyCTl3ldNUtypnvFuL5 QufvlV4hdlRX+QjvZhI8MKhn6meDJ+4av+YDBb3wyWJtchEGeYOnAuT7wBzn1ff3c45ySp7u6e8m DlKpK06TAouZkAbpENUGjQ0gj0nAUxSuNnJlGoFyDUZOGTeb7k1B3WpUH6m/RNl1bjMS8ddwFm7u h4JSRpb1bi5YnYvx17EtYWZv7vZeEwzWaaL649q0gap12WSfHiFYyouFS8IBLrWad+9+O+5S0ITU jO84hxTr3z+rDSDLKWwoNcSVgMX2jPMR3TA1bJ6cX1wQaNtZZaPrVwfCNuxmGon4f9GVPqhbMgU8 pgnqlJBvDF+HfJzVrZ+/DQvwa0x0W9erXs9w1TCVrTQeAUoNdJsCjtSGskRzLSiKrd5i1kCTB8gG Dl/e1vM2j5zH2mUYlZ1PsO/+6UhSXKMYPvukQrWF35/lEhGj7Uwf1a2+Xt9wCwlcDuNxShHDUHfW JBvqOB8gcKqC3sivySb85zpuhyTGn0jG6E1bDadIs3eMAKcTeDCUVH65934T+ree7kJ1ApTYOCTH umrVouPt4e63HtZV3Y0I4aKRBduNz0jVtDQhqA6OTJcYo6mnPFh73AUL4s+gHLJS2e5pebYVNaCF lq0sovDAZJJn4fX2DyBdINCwGmraQi9f8HQhh0EvDeqFzzUmAIFxmzBUrmYm/fZ+lh5fF9V6U4UW lAKrsFvfTJCLUVP6C+ImFLBvCWUuT2eu3rbtvuRgUzJB+Oa25ltBsDF0HuoV3dZqJ85Gm3rxLOH9 +CTSKvEfmV8aPnAo7qlr0F4LWoqL+lli+pyUCqzIjC2aT1ITfiPO/Tq4CrYInMtTuaqRIoozpdye VrOWEZmptKQZxR5ssdAQH1rRcFUGwyhgm3I2fHtbFpDExyW6ZLVZwSjjhcRI5FHc5ilzEVuImaph sdr8GRHDCRagfi3lo96BXgzDbtLEfVKjeRPpijNu5ZpIMVSc0dmNS6k7DcOzFMVxgjdfp0OQpcL+ dS4daNJ5BdMvE1fOH5jkyYgHumQjnNm3+HRVgTPwPh15jxVtKPE/EZkkSw64vEqJnglB `protect end_protected
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc949.vhd,v 1.2 2001-10-26 16:30:28 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c06s01b00x00p10n01i00949ent IS END c06s01b00x00p10n01i00949ent; ARCHITECTURE c06s01b00x00p10n01i00949arch OF c06s01b00x00p10n01i00949ent IS BEGIN TESTING: PROCESS type A1 is array (1 to 2) of BOOLEAN; type R3 is record RE3: A1; end record; variable V1: BOOLEAN; BEGIN V1 := (RE3=>(1=>TRUE,2=>TRUE)).RE3(1); -- SYNTAX ERROR: PREFIX OF SELECTED NAME CANNOT BE AN AGGREGATE assert FALSE report "***FAILED TEST: c06s01b00x00p10n01i00949 - Prefix of a selected name cannot be an aggregate." severity ERROR; wait; END PROCESS TESTING; END c06s01b00x00p10n01i00949arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc949.vhd,v 1.2 2001-10-26 16:30:28 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c06s01b00x00p10n01i00949ent IS END c06s01b00x00p10n01i00949ent; ARCHITECTURE c06s01b00x00p10n01i00949arch OF c06s01b00x00p10n01i00949ent IS BEGIN TESTING: PROCESS type A1 is array (1 to 2) of BOOLEAN; type R3 is record RE3: A1; end record; variable V1: BOOLEAN; BEGIN V1 := (RE3=>(1=>TRUE,2=>TRUE)).RE3(1); -- SYNTAX ERROR: PREFIX OF SELECTED NAME CANNOT BE AN AGGREGATE assert FALSE report "***FAILED TEST: c06s01b00x00p10n01i00949 - Prefix of a selected name cannot be an aggregate." severity ERROR; wait; END PROCESS TESTING; END c06s01b00x00p10n01i00949arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc949.vhd,v 1.2 2001-10-26 16:30:28 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c06s01b00x00p10n01i00949ent IS END c06s01b00x00p10n01i00949ent; ARCHITECTURE c06s01b00x00p10n01i00949arch OF c06s01b00x00p10n01i00949ent IS BEGIN TESTING: PROCESS type A1 is array (1 to 2) of BOOLEAN; type R3 is record RE3: A1; end record; variable V1: BOOLEAN; BEGIN V1 := (RE3=>(1=>TRUE,2=>TRUE)).RE3(1); -- SYNTAX ERROR: PREFIX OF SELECTED NAME CANNOT BE AN AGGREGATE assert FALSE report "***FAILED TEST: c06s01b00x00p10n01i00949 - Prefix of a selected name cannot be an aggregate." severity ERROR; wait; END PROCESS TESTING; END c06s01b00x00p10n01i00949arch;
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.numeric_std.all; package plasoc_cpu_1_crossbar_wrap_pack is function clogb2(bit_depth : in integer ) return integer; component plasoc_cpu_1_crossbar_wrap is generic ( axi_address_width : integer := 32; axi_data_width : integer := 32; axi_slave_id_width : integer := 0; axi_master_amount : integer := 5; axi_slave_amount : integer := 1; axi_master_base_address : std_logic_vector := X"f0030000f0020000f0010000f000000000000000"; axi_master_high_address : std_logic_vector := X"f003fffff002fffff001fffff000ffffefffffff" ); port ( cpu_s_axi_awid : in std_logic_vector(axi_slave_id_width-1 downto 0); cpu_s_axi_awaddr : in std_logic_vector(axi_address_width-1 downto 0); cpu_s_axi_awlen : in std_logic_vector(7 downto 0); cpu_s_axi_awsize : in std_logic_vector(2 downto 0); cpu_s_axi_awburst : in std_logic_vector(1 downto 0); cpu_s_axi_awlock : in std_logic; cpu_s_axi_awcache : in std_logic_vector(3 downto 0); cpu_s_axi_awprot : in std_logic_vector(2 downto 0); cpu_s_axi_awqos : in std_logic_vector(3 downto 0); cpu_s_axi_awregion : in std_logic_vector(3 downto 0); cpu_s_axi_awvalid : in std_logic; cpu_s_axi_awready : out std_logic; cpu_s_axi_wdata : in std_logic_vector(axi_data_width-1 downto 0); cpu_s_axi_wstrb : in std_logic_vector(axi_data_width/8-1 downto 0); cpu_s_axi_wlast : in std_logic; cpu_s_axi_wvalid : in std_logic; cpu_s_axi_wready : out std_logic; cpu_s_axi_bid : out std_logic_vector(axi_slave_id_width-1 downto 0); cpu_s_axi_bresp : out std_logic_vector(1 downto 0); cpu_s_axi_bvalid : out std_logic; cpu_s_axi_bready : in std_logic; cpu_s_axi_arid : in std_logic_vector(axi_slave_id_width-1 downto 0); cpu_s_axi_araddr : in std_logic_vector(axi_address_width-1 downto 0); cpu_s_axi_arlen : in std_logic_vector(7 downto 0); cpu_s_axi_arsize : in std_logic_vector(2 downto 0); cpu_s_axi_arburst : in std_logic_vector(1 downto 0); cpu_s_axi_arlock : in std_logic; cpu_s_axi_arcache : in std_logic_vector(3 downto 0); cpu_s_axi_arprot : in std_logic_vector(2 downto 0); cpu_s_axi_arqos : in std_logic_vector(3 downto 0); cpu_s_axi_arregion : in std_logic_vector(3 downto 0); cpu_s_axi_arvalid : in std_logic; cpu_s_axi_arready : out std_logic; cpu_s_axi_rid : out std_logic_vector(axi_slave_id_width-1 downto 0); cpu_s_axi_rdata : out std_logic_vector(axi_data_width-1 downto 0); cpu_s_axi_rresp : out std_logic_vector(1 downto 0); cpu_s_axi_rlast : out std_logic; cpu_s_axi_rvalid : out std_logic; cpu_s_axi_rready : in std_logic; ip_m_axi_awid : out std_logic_vector((clogb2(axi_slave_amount)+axi_slave_id_width)-1 downto 0); ip_m_axi_awaddr : out std_logic_vector(axi_address_width-1 downto 0); ip_m_axi_awlen : out std_logic_vector(7 downto 0); ip_m_axi_awsize : out std_logic_vector(2 downto 0); ip_m_axi_awburst : out std_logic_vector(1 downto 0); ip_m_axi_awlock : out std_logic; ip_m_axi_awcache : out std_logic_vector(3 downto 0); ip_m_axi_awprot : out std_logic_vector(2 downto 0); ip_m_axi_awqos : out std_logic_vector(3 downto 0); ip_m_axi_awregion : out std_logic_vector(3 downto 0); ip_m_axi_awvalid : out std_logic; ip_m_axi_awready : in std_logic; ip_m_axi_wdata : out std_logic_vector(axi_data_width-1 downto 0); ip_m_axi_wstrb : out std_logic_vector(axi_data_width/8-1 downto 0); ip_m_axi_wlast : out std_logic; ip_m_axi_wvalid : out std_logic; ip_m_axi_wready : in std_logic; ip_m_axi_bid : in std_logic_vector((clogb2(axi_slave_amount)+axi_slave_id_width)-1 downto 0); ip_m_axi_bresp : in std_logic_vector(1 downto 0); ip_m_axi_bvalid : in std_logic; ip_m_axi_bready : out std_logic; ip_m_axi_arid : out std_logic_vector((clogb2(axi_slave_amount)+axi_slave_id_width)-1 downto 0); ip_m_axi_araddr : out std_logic_vector(axi_address_width-1 downto 0); ip_m_axi_arlen : out std_logic_vector(7 downto 0); ip_m_axi_arsize : out std_logic_vector(2 downto 0); ip_m_axi_arburst : out std_logic_vector(1 downto 0); ip_m_axi_arlock : out std_logic; ip_m_axi_arcache : out std_logic_vector(3 downto 0); ip_m_axi_arprot : out std_logic_vector(2 downto 0); ip_m_axi_arqos : out std_logic_vector(3 downto 0); ip_m_axi_arregion : out std_logic_vector(3 downto 0); ip_m_axi_arvalid : out std_logic; ip_m_axi_arready : in std_logic; ip_m_axi_rid : in std_logic_vector((clogb2(axi_slave_amount)+axi_slave_id_width)-1 downto 0); ip_m_axi_rdata : in std_logic_vector(axi_data_width-1 downto 0); ip_m_axi_rresp : in std_logic_vector(1 downto 0); ip_m_axi_rlast : in std_logic; ip_m_axi_rvalid : in std_logic; ip_m_axi_rready : out std_logic; cpuid_gpio_m_axi_awid : out std_logic_vector((clogb2(axi_slave_amount)+axi_slave_id_width)-1 downto 0); cpuid_gpio_m_axi_awaddr : out std_logic_vector(axi_address_width-1 downto 0); cpuid_gpio_m_axi_awlen : out std_logic_vector(7 downto 0); cpuid_gpio_m_axi_awsize : out std_logic_vector(2 downto 0); cpuid_gpio_m_axi_awburst : out std_logic_vector(1 downto 0); cpuid_gpio_m_axi_awlock : out std_logic; cpuid_gpio_m_axi_awcache : out std_logic_vector(3 downto 0); cpuid_gpio_m_axi_awprot : out std_logic_vector(2 downto 0); cpuid_gpio_m_axi_awqos : out std_logic_vector(3 downto 0); cpuid_gpio_m_axi_awregion : out std_logic_vector(3 downto 0); cpuid_gpio_m_axi_awvalid : out std_logic; cpuid_gpio_m_axi_awready : in std_logic; cpuid_gpio_m_axi_wdata : out std_logic_vector(axi_data_width-1 downto 0); cpuid_gpio_m_axi_wstrb : out std_logic_vector(axi_data_width/8-1 downto 0); cpuid_gpio_m_axi_wlast : out std_logic; cpuid_gpio_m_axi_wvalid : out std_logic; cpuid_gpio_m_axi_wready : in std_logic; cpuid_gpio_m_axi_bid : in std_logic_vector((clogb2(axi_slave_amount)+axi_slave_id_width)-1 downto 0); cpuid_gpio_m_axi_bresp : in std_logic_vector(1 downto 0); cpuid_gpio_m_axi_bvalid : in std_logic; cpuid_gpio_m_axi_bready : out std_logic; cpuid_gpio_m_axi_arid : out std_logic_vector((clogb2(axi_slave_amount)+axi_slave_id_width)-1 downto 0); cpuid_gpio_m_axi_araddr : out std_logic_vector(axi_address_width-1 downto 0); cpuid_gpio_m_axi_arlen : out std_logic_vector(7 downto 0); cpuid_gpio_m_axi_arsize : out std_logic_vector(2 downto 0); cpuid_gpio_m_axi_arburst : out std_logic_vector(1 downto 0); cpuid_gpio_m_axi_arlock : out std_logic; cpuid_gpio_m_axi_arcache : out std_logic_vector(3 downto 0); cpuid_gpio_m_axi_arprot : out std_logic_vector(2 downto 0); cpuid_gpio_m_axi_arqos : out std_logic_vector(3 downto 0); cpuid_gpio_m_axi_arregion : out std_logic_vector(3 downto 0); cpuid_gpio_m_axi_arvalid : out std_logic; cpuid_gpio_m_axi_arready : in std_logic; cpuid_gpio_m_axi_rid : in std_logic_vector((clogb2(axi_slave_amount)+axi_slave_id_width)-1 downto 0); cpuid_gpio_m_axi_rdata : in std_logic_vector(axi_data_width-1 downto 0); cpuid_gpio_m_axi_rresp : in std_logic_vector(1 downto 0); cpuid_gpio_m_axi_rlast : in std_logic; cpuid_gpio_m_axi_rvalid : in std_logic; cpuid_gpio_m_axi_rready : out std_logic; int_m_axi_awid : out std_logic_vector((clogb2(axi_slave_amount)+axi_slave_id_width)-1 downto 0); int_m_axi_awaddr : out std_logic_vector(axi_address_width-1 downto 0); int_m_axi_awlen : out std_logic_vector(7 downto 0); int_m_axi_awsize : out std_logic_vector(2 downto 0); int_m_axi_awburst : out std_logic_vector(1 downto 0); int_m_axi_awlock : out std_logic; int_m_axi_awcache : out std_logic_vector(3 downto 0); int_m_axi_awprot : out std_logic_vector(2 downto 0); int_m_axi_awqos : out std_logic_vector(3 downto 0); int_m_axi_awregion : out std_logic_vector(3 downto 0); int_m_axi_awvalid : out std_logic; int_m_axi_awready : in std_logic; int_m_axi_wdata : out std_logic_vector(axi_data_width-1 downto 0); int_m_axi_wstrb : out std_logic_vector(axi_data_width/8-1 downto 0); int_m_axi_wlast : out std_logic; int_m_axi_wvalid : out std_logic; int_m_axi_wready : in std_logic; int_m_axi_bid : in std_logic_vector((clogb2(axi_slave_amount)+axi_slave_id_width)-1 downto 0); int_m_axi_bresp : in std_logic_vector(1 downto 0); int_m_axi_bvalid : in std_logic; int_m_axi_bready : out std_logic; int_m_axi_arid : out std_logic_vector((clogb2(axi_slave_amount)+axi_slave_id_width)-1 downto 0); int_m_axi_araddr : out std_logic_vector(axi_address_width-1 downto 0); int_m_axi_arlen : out std_logic_vector(7 downto 0); int_m_axi_arsize : out std_logic_vector(2 downto 0); int_m_axi_arburst : out std_logic_vector(1 downto 0); int_m_axi_arlock : out std_logic; int_m_axi_arcache : out std_logic_vector(3 downto 0); int_m_axi_arprot : out std_logic_vector(2 downto 0); int_m_axi_arqos : out std_logic_vector(3 downto 0); int_m_axi_arregion : out std_logic_vector(3 downto 0); int_m_axi_arvalid : out std_logic; int_m_axi_arready : in std_logic; int_m_axi_rid : in std_logic_vector((clogb2(axi_slave_amount)+axi_slave_id_width)-1 downto 0); int_m_axi_rdata : in std_logic_vector(axi_data_width-1 downto 0); int_m_axi_rresp : in std_logic_vector(1 downto 0); int_m_axi_rlast : in std_logic; int_m_axi_rvalid : in std_logic; int_m_axi_rready : out std_logic; signal_m_axi_awid : out std_logic_vector((clogb2(axi_slave_amount)+axi_slave_id_width)-1 downto 0); signal_m_axi_awaddr : out std_logic_vector(axi_address_width-1 downto 0); signal_m_axi_awlen : out std_logic_vector(7 downto 0); signal_m_axi_awsize : out std_logic_vector(2 downto 0); signal_m_axi_awburst : out std_logic_vector(1 downto 0); signal_m_axi_awlock : out std_logic; signal_m_axi_awcache : out std_logic_vector(3 downto 0); signal_m_axi_awprot : out std_logic_vector(2 downto 0); signal_m_axi_awqos : out std_logic_vector(3 downto 0); signal_m_axi_awregion : out std_logic_vector(3 downto 0); signal_m_axi_awvalid : out std_logic; signal_m_axi_awready : in std_logic; signal_m_axi_wdata : out std_logic_vector(axi_data_width-1 downto 0); signal_m_axi_wstrb : out std_logic_vector(axi_data_width/8-1 downto 0); signal_m_axi_wlast : out std_logic; signal_m_axi_wvalid : out std_logic; signal_m_axi_wready : in std_logic; signal_m_axi_bid : in std_logic_vector((clogb2(axi_slave_amount)+axi_slave_id_width)-1 downto 0); signal_m_axi_bresp : in std_logic_vector(1 downto 0); signal_m_axi_bvalid : in std_logic; signal_m_axi_bready : out std_logic; signal_m_axi_arid : out std_logic_vector((clogb2(axi_slave_amount)+axi_slave_id_width)-1 downto 0); signal_m_axi_araddr : out std_logic_vector(axi_address_width-1 downto 0); signal_m_axi_arlen : out std_logic_vector(7 downto 0); signal_m_axi_arsize : out std_logic_vector(2 downto 0); signal_m_axi_arburst : out std_logic_vector(1 downto 0); signal_m_axi_arlock : out std_logic; signal_m_axi_arcache : out std_logic_vector(3 downto 0); signal_m_axi_arprot : out std_logic_vector(2 downto 0); signal_m_axi_arqos : out std_logic_vector(3 downto 0); signal_m_axi_arregion : out std_logic_vector(3 downto 0); signal_m_axi_arvalid : out std_logic; signal_m_axi_arready : in std_logic; signal_m_axi_rid : in std_logic_vector((clogb2(axi_slave_amount)+axi_slave_id_width)-1 downto 0); signal_m_axi_rdata : in std_logic_vector(axi_data_width-1 downto 0); signal_m_axi_rresp : in std_logic_vector(1 downto 0); signal_m_axi_rlast : in std_logic; signal_m_axi_rvalid : in std_logic; signal_m_axi_rready : out std_logic; timer_m_axi_awid : out std_logic_vector((clogb2(axi_slave_amount)+axi_slave_id_width)-1 downto 0); timer_m_axi_awaddr : out std_logic_vector(axi_address_width-1 downto 0); timer_m_axi_awlen : out std_logic_vector(7 downto 0); timer_m_axi_awsize : out std_logic_vector(2 downto 0); timer_m_axi_awburst : out std_logic_vector(1 downto 0); timer_m_axi_awlock : out std_logic; timer_m_axi_awcache : out std_logic_vector(3 downto 0); timer_m_axi_awprot : out std_logic_vector(2 downto 0); timer_m_axi_awqos : out std_logic_vector(3 downto 0); timer_m_axi_awregion : out std_logic_vector(3 downto 0); timer_m_axi_awvalid : out std_logic; timer_m_axi_awready : in std_logic; timer_m_axi_wdata : out std_logic_vector(axi_data_width-1 downto 0); timer_m_axi_wstrb : out std_logic_vector(axi_data_width/8-1 downto 0); timer_m_axi_wlast : out std_logic; timer_m_axi_wvalid : out std_logic; timer_m_axi_wready : in std_logic; timer_m_axi_bid : in std_logic_vector((clogb2(axi_slave_amount)+axi_slave_id_width)-1 downto 0); timer_m_axi_bresp : in std_logic_vector(1 downto 0); timer_m_axi_bvalid : in std_logic; timer_m_axi_bready : out std_logic; timer_m_axi_arid : out std_logic_vector((clogb2(axi_slave_amount)+axi_slave_id_width)-1 downto 0); timer_m_axi_araddr : out std_logic_vector(axi_address_width-1 downto 0); timer_m_axi_arlen : out std_logic_vector(7 downto 0); timer_m_axi_arsize : out std_logic_vector(2 downto 0); timer_m_axi_arburst : out std_logic_vector(1 downto 0); timer_m_axi_arlock : out std_logic; timer_m_axi_arcache : out std_logic_vector(3 downto 0); timer_m_axi_arprot : out std_logic_vector(2 downto 0); timer_m_axi_arqos : out std_logic_vector(3 downto 0); timer_m_axi_arregion : out std_logic_vector(3 downto 0); timer_m_axi_arvalid : out std_logic; timer_m_axi_arready : in std_logic; timer_m_axi_rid : in std_logic_vector((clogb2(axi_slave_amount)+axi_slave_id_width)-1 downto 0); timer_m_axi_rdata : in std_logic_vector(axi_data_width-1 downto 0); timer_m_axi_rresp : in std_logic_vector(1 downto 0); timer_m_axi_rlast : in std_logic; timer_m_axi_rvalid : in std_logic; timer_m_axi_rready : out std_logic; aclk : in std_logic; aresetn : in std_logic ); end component; end; package body plasoc_cpu_1_crossbar_wrap_pack is function flogb2(bit_depth : in natural ) return integer is variable result : integer := 0; variable bit_depth_buff : integer := bit_depth; begin while bit_depth_buff>1 loop bit_depth_buff := bit_depth_buff/2; result := result+1; end loop; return result; end function flogb2; function clogb2 (bit_depth : in natural ) return natural is variable result : integer := 0; begin result := flogb2(bit_depth); if (bit_depth > (2**result)) then return(result + 1); else return result; end if; end function clogb2; end;
entity test is package a is new b generic map(c => foo'subtype range bar'baz); end;
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- Copyright (C) 2015 - 2016, Cobham Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Entity: grdmac_1p -- File: grdmac_1p.vhd -- Author: Andrea Gianarro - Aeroflex Gaisler AB -- Description: AMBA DMA controller with single master interface -- Supports scatter gather on unaligned data through internal -- re-alignment buffer and conditional descriptors ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library techmap; use techmap.gencomp.all; library grlib; use grlib.config_types.all; use grlib.config.all; use grlib.amba.all; use grlib.stdlib.all; library gaisler; use gaisler.grdmac_pkg.all; use grlib.devices.all; -- pragma translate_off use std.textio.all; -- pragma translate_on entity grdmac_1p is generic ( hmindex : integer := 0; -- AHB master index hirq : integer := 0; pindex : integer := 0; -- APB configuration slave index paddr : integer := 1; pmask : integer := 16#FFF#; ndmach : integer range 1 to 16 := 1; -- number of DMA channels --TODO: implement ndmach = 0 bufsize : integer range 4*AHBDW/8 to 64*1024:= 256; -- number of bytes in buffer (must be a multiple of 4*WORD_SIZE) burstbound : integer range 4 to 1024 := 512; memtech : integer := 0; testen : integer := 0; ft : integer range 0 to 2 := 0; wbmask : integer := 0; busw : integer := 64 ); port ( rst : in std_ulogic; clk : in std_ulogic; ahbmi : in ahb_mst_in_type; ahbmo : out ahb_mst_out_type; apbi : in apb_slv_in_type; apbo : out apb_slv_out_type; irq_trig : in std_logic_vector(63 downto 0) ); end; architecture rtl of grdmac_1p is begin dma: grdmac generic map( hmindex => hmindex, hirq => hirq, pindex => pindex, paddr => paddr, pmask => pmask, en_ahbm1 => 0, hmindex1 => 0, ndmach => ndmach, bufsize => bufsize, burstbound => burstbound, memtech => memtech, testen => testen, ft => ft, wbmask => wbmask, busw => busw ) port map ( rst => rst, clk => clk, ahbmi => ahbmi, ahbmo => ahbmo, ahbmi1 => ahbm_in_none, ahbmo1 => open, apbi => apbi, apbo => apbo, irq_trig => irq_trig ); end architecture;
-- NetUP Universal Dual DVB-CI FPGA firmware -- http://www.netup.tv -- -- Copyright (c) 2014 NetUP Inc, AVB Labs -- License: GPLv3 library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity fifo_out_8b_sync is generic ( FIFO_DEPTH : natural range 8 to 64 := 16; BUS_WIDTH : natural range 16 to 64 := 32 ); port ( rst : in std_logic; clk : in std_logic; irq : out std_logic; -- Avalon-MM 32-bits slave addr : in std_logic_vector(1 downto 0); byte_en : in std_logic_vector(3 downto 0) := (others => '1'); in_data : in std_logic_vector(31 downto 0); wr_en : in std_logic; out_data : out std_logic_vector(31 downto 0); wait_req : out std_logic; -- output stream st_data : out std_logic_vector(7 downto 0); st_valid : out std_logic; st_ready : in std_logic ); end entity; architecture RTL of fifo_out_8b_sync is function log2ceil (arg: natural) return natural is begin if arg < 2 then return 1; else return log2ceil(arg / 2) + 1; end if; end function; constant FIFO_ADDR_WIDTH : natural := log2ceil(FIFO_DEPTH - 1); constant REG_DATA : std_logic_vector(1 downto 0) := "00"; constant REG_CTRL_STAT : std_logic_vector(1 downto 0) := "10"; signal read_ptr : unsigned(FIFO_ADDR_WIDTH downto 0); signal write_ptr : unsigned(FIFO_ADDR_WIDTH downto 0); signal not_empty : std_logic; signal data_wren : std_logic; signal ctrl_wren : std_logic; signal write_phase : unsigned(1 downto 0); signal end_phase : std_logic_vector(2 downto 0); signal write_not_compl : std_logic; signal fifo_reset : std_logic; signal imask : std_logic; signal ipend : std_logic; signal fill : unsigned(FIFO_ADDR_WIDTH downto 0); signal threshold : unsigned(FIFO_ADDR_WIDTH - 1 downto 0); signal st_valid_i : std_logic; type mem_t is array(0 to FIFO_DEPTH - 1) of std_logic_vector(7 downto 0); signal fifo_ram : mem_t; signal fifo_ram_we : std_logic; signal fifo_ram_re : std_logic; signal fifo_ram_d : std_logic_vector(7 downto 0); signal fifo_ram_q : std_logic_vector(7 downto 0); attribute ramstyle : string; attribute ramstyle of fifo_ram : signal is "logic"; begin -- RAM block logic process (clk) begin if rising_edge(clk) then if fifo_ram_we = '1' then fifo_ram(to_integer(write_ptr(FIFO_ADDR_WIDTH - 1 downto 0))) <= fifo_ram_d; end if; if fifo_ram_re = '1' then fifo_ram_q <= fifo_ram(to_integer(read_ptr(FIFO_ADDR_WIDTH - 1 downto 0))); end if; end if; end process; -- FIFO pointers logic process (rst, clk) begin if rising_edge(clk) then if fifo_ram_we = '1' then write_ptr <= write_ptr + 1; end if; if fifo_ram_re = '1' then read_ptr <= read_ptr + 1; end if; st_valid_i <= not_empty or (st_valid_i and not st_ready); if fifo_reset = '1' then read_ptr <= (others => '0'); write_ptr <= (others => '0'); st_valid_i <= '0'; end if; end if; if rst = '1' then read_ptr <= (others => '0'); write_ptr <= (others => '0'); st_valid_i <= '0'; end if; end process; not_empty <= '0' when read_ptr = write_ptr else '1'; fill <= write_ptr - read_ptr; -- bus interface logic ipend <= '0' when fill > unsigned('0' & threshold) else '1'; with addr select out_data <= (BUS_WIDTH - 1 downto 16 => '0') & imask & (14 downto FIFO_ADDR_WIDTH + 8 => '0') & std_logic_vector(threshold) & ipend & (6 downto FIFO_ADDR_WIDTH + 1 => '0') & std_logic_vector(fill) when REG_CTRL_STAT, (others => '0') when others; data_wren <= wr_en and not fill(FIFO_ADDR_WIDTH) when addr = REG_DATA else '0'; ctrl_wren <= (byte_en(1) and wr_en) when addr = REG_CTRL_STAT else '0'; fifo_reset <= ctrl_wren and in_data(14); with byte_en select end_phase <= data_wren & "00" when "0001", data_wren & "01" when "0011", data_wren & "11" when "1111", "000" when others; write_not_compl <= end_phase(2) when write_phase /= unsigned(end_phase(1 downto 0)) else '0'; process (rst, clk) begin if rising_edge(clk) then if ctrl_wren = '1' then imask <= in_data(15); threshold <= unsigned(in_data(FIFO_ADDR_WIDTH + 7 downto 8)); end if; if write_not_compl = '0' then write_phase <= (others => '0'); else write_phase <= write_phase + 1; end if; end if; if rst = '1' then imask <= '0'; threshold <= (others => '0'); write_phase <= (others => '0'); end if; end process; wait_req <= write_not_compl; fifo_ram_we <= end_phase(2); fifo_ram_re <= not_empty and (st_ready or not st_valid_i); with write_phase select fifo_ram_d <= in_data(31 downto 24) when "11", in_data(23 downto 16) when "10", in_data(15 downto 8) when "01", in_data(7 downto 0) when others; st_data <= fifo_ram_q; st_valid <= st_valid_i; irq <= ipend and imask; end architecture;
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2013, Aeroflex Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Entity: misc -- File: mul_dware.vhd -- Author: Jiri Gaisler - Gaisler Research -- Description: Dware multipliers ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library Dware; use DWARE.DWpackages.all; use DWARE.DW_Foundation_comp_arith.all; entity mul_dw is generic ( a_width : positive := 2; -- multiplier word width b_width : positive := 2; -- multiplicand word width num_stages : positive := 2; -- number of pipeline stages stall_mode : natural range 0 to 1 := 1 -- '0': non-stallable; '1': stallable ); port(a : in std_logic_vector(a_width-1 downto 0); b : in std_logic_vector(b_width-1 downto 0); clk : in std_logic; en : in std_logic; sign : in std_logic; product : out std_logic_vector(a_width+b_width-1 downto 0)); end; architecture rtl of mul_dw is component DW02_mult generic( A_width: NATURAL; -- multiplier wordlength B_width: NATURAL); -- multiplicand wordlength port(A : in std_logic_vector(A_width-1 downto 0); B : in std_logic_vector(B_width-1 downto 0); TC : in std_logic; -- signed -> '1', unsigned -> '0' PRODUCT : out std_logic_vector(A_width+B_width-1 downto 0)); end component; signal gnd : std_ulogic; begin gnd <= '0'; np : if num_stages = 1 generate u0 : DW02_mult generic map ( a_width => a_width, b_width => b_width) port map (a => a, b => b, TC => sign, product => product); end generate; pipe : if num_stages > 1 generate u0 : DW_mult_pipe generic map ( a_width => a_width, b_width => b_width, num_stages => num_stages, stall_mode => stall_mode, rst_mode => 0) port map (a => a, b => b, TC => sign, clk => clk, product => product, rst_n => gnd, en => en); end generate; end; library ieee; use ieee.std_logic_1164.all; library Dware; use DWARE.DWpackages.all; use DWARE.DW_Foundation_comp_arith.all; entity dw_mul_61x61 is port(A : in std_logic_vector(60 downto 0); B : in std_logic_vector(60 downto 0); CLK : in std_logic; PRODUCT : out std_logic_vector(121 downto 0)); end; architecture rtl of dw_mul_61x61 is signal gnd : std_ulogic; signal pin, p : std_logic_vector(121 downto 0); begin gnd <= '0'; -- u0 : DW02_mult_2_stage -- generic map ( A_width => A'length, B_width => B'length ) -- port map ( A => A, B => B, TC => gnd, CLK => CLK, PRODUCT => pin ); u0 : DW_mult_pipe generic map ( a_width => 61, b_width => 61, num_stages => 2, stall_mode => 0, rst_mode => 0) port map (a => a, b => b, TC => gnd, clk => clk, product => pin, rst_n => gnd, en => gnd); reg0 : process(CLK) begin if rising_edge(CLK) then p <= pin; end if; end process; PRODUCT <= p; end;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc1235.vhd,v 1.2 2001-10-26 16:30:07 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c08s02b00x00p03n01i01235ent IS END c08s02b00x00p03n01i01235ent; ARCHITECTURE c08s02b00x00p03n01i01235arch OF c08s02b00x00p03n01i01235ent IS BEGIN TESTING: PROCESS BEGIN erlab : assert FALSE; severity NOTE; assert FALSE report "***FAILED TEST: c08s02b00x00p03n01i01235 - Labels are not permitted on sequential assertion statements." severity ERROR; wait; END PROCESS TESTING; END c08s02b00x00p03n01i01235arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc1235.vhd,v 1.2 2001-10-26 16:30:07 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c08s02b00x00p03n01i01235ent IS END c08s02b00x00p03n01i01235ent; ARCHITECTURE c08s02b00x00p03n01i01235arch OF c08s02b00x00p03n01i01235ent IS BEGIN TESTING: PROCESS BEGIN erlab : assert FALSE; severity NOTE; assert FALSE report "***FAILED TEST: c08s02b00x00p03n01i01235 - Labels are not permitted on sequential assertion statements." severity ERROR; wait; END PROCESS TESTING; END c08s02b00x00p03n01i01235arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc1235.vhd,v 1.2 2001-10-26 16:30:07 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c08s02b00x00p03n01i01235ent IS END c08s02b00x00p03n01i01235ent; ARCHITECTURE c08s02b00x00p03n01i01235arch OF c08s02b00x00p03n01i01235ent IS BEGIN TESTING: PROCESS BEGIN erlab : assert FALSE; severity NOTE; assert FALSE report "***FAILED TEST: c08s02b00x00p03n01i01235 - Labels are not permitted on sequential assertion statements." severity ERROR; wait; END PROCESS TESTING; END c08s02b00x00p03n01i01235arch;
---------------------------------------------------------------------------------- -- Company: LARC - Escola Politecnica - University of Sao Paulo -- Engineer: Pedro Maat C. Massolino -- -- Create Date: 05/12/2012 -- Design Name: Syndrome_Calculator_N_Pipe_v3_Slave -- Module Name: Syndrome_Calculator_N_Pipe_v3_Slave -- Project Name: McEliece Goppa Decoder -- Target Devices: Any -- Tool versions: Xilinx ISE 13.3 WebPack -- -- Description: -- -- The 1st step in Goppa Code Decoding. -- -- This circuit computes the syndrome from the ciphertext, support elements and -- inverted evaluation of support elements into polynomial g, aka g(L)^(-1). -- This circuit works by computing the syndrome of only the positions where the ciphertext -- has value 1. -- -- This is circuit version with a variable number of computation units, pipeline and -- a variable number of pipelines. This is the slave pipeline circuit controlled by the -- master circuit, where it is repeated on the master circuit. -- -- The circuits parameters -- -- initial_address : -- -- The initial address for this circuit to begin computing the syndrome. -- This is necessary so different syndrome calculator, do not compute the same ciphertext. -- -- increment_address : -- -- How much is incremented in the initial_addres, in each step. -- This is necessary so different syndrome calculator, do not compute the same ciphertext. -- -- syndrome_calculator_size : -- -- The number of units that compute each syndrome at the same time. -- This number must be bigger than 1. -- -- gf_2_m : -- -- The size of the field used in this circuit. This parameter depends of the -- Goppa code used. -- -- length_codeword : -- -- The length of the codeword or in this case the ciphertext. Both the codeword -- and ciphertext has the same size. -- -- size_codeword : -- -- The number of bits necessary to hold the ciphertext/codeword. -- This is ceil(log2(length_codeword)). -- -- length_syndrome : -- -- The size of the syndrome array. This parameter depends of the -- Goppa code used. -- -- size_syndrome : -- -- The number of bits necessary to hold the array syndrome. -- This is ceil(log2(length_syndrome)). -- -- Dependencies: -- VHDL-93 -- IEEE.NUMERIC_STD_ALL; -- -- syndrome_calculator_n_pipe_v3_slave Rev 1.0 -- controller_syndrome_calculator_2_pipe_v3_master Rev 1.0 -- register_nbits Rev 1.0 -- register_rst_nbits Rev 1.0 -- counter_rst_nbits Rev 1.0 -- counter_decrement_rst_nbits Rev 1.0 -- shift_register_rst_nbits Rev 1.0 -- mult_gf_2_m Rev 1.0 -- adder_gf_2_m Rev 1.0 -- and_reduce Rev 1.0 -- -- Revision: -- Revision 1.0 -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; entity syndrome_calculator_n_pipe_v3_slave is Generic( initial_address : integer; increment_address : integer; syndrome_calculator_size : integer; gf_2_m : integer range 1 to 20; length_codeword : integer; size_codeword : integer; length_syndrome : integer; size_syndrome : integer ); Port( clk : in STD_LOGIC; rst : in STD_LOGIC; value_h : in STD_LOGIC_VECTOR((gf_2_m - 1) downto 0); value_L : in STD_LOGIC_VECTOR((gf_2_m - 1) downto 0); value_codeword : in STD_LOGIC_VECTOR(0 downto 0); start_calculation : in STD_LOGIC; last_syndrome : in STD_LOGIC; ready_calculation : out STD_LOGIC; finished_calculation : out STD_LOGIC; new_value_syndrome : out STD_LOGIC_VECTOR((gf_2_m - 1) downto 0); address_h : out STD_LOGIC_VECTOR((size_codeword - 1) downto 0); address_L : out STD_LOGIC_VECTOR((size_codeword - 1) downto 0); address_codeword : out STD_LOGIC_VECTOR((size_codeword - 1) downto 0) ); end syndrome_calculator_n_pipe_v3_slave; architecture Behavioral of syndrome_calculator_n_pipe_v3_slave is component controller_syndrome_calculator_2_pipe_v3_slave Port ( clk : in STD_LOGIC; rst : in STD_LOGIC; almost_units_ready : in STD_LOGIC; empty_units : in STD_LOGIC; limit_ctr_codeword_q : in STD_LOGIC; reg_codeword_q : in STD_LOGIC_VECTOR(0 downto 0); start_calculation : in STD_LOGIC; last_syndrome : in STD_LOGIC; ready_calculation : out STD_LOGIC; finished_calculation : out STD_LOGIC; control_units_ce : out STD_LOGIC; control_units_rst : out STD_LOGIC; int_reg_L_ce : out STD_LOGIC; square_h : out STD_LOGIC; int_reg_h_ce : out STD_LOGIC; int_reg_h_rst : out STD_LOGIC; int_sel_reg_h : out STD_LOGIC; reg_load_L_ce : out STD_LOGIC; reg_load_h_ce : out STD_LOGIC; reg_load_h_rst : out STD_LOGIC; reg_new_value_syndrome_ce : out STD_LOGIC; reg_new_value_syndrome_rst : out STD_LOGIC; reg_codeword_ce : out STD_LOGIC; ctr_load_address_codeword_ce : out STD_LOGIC; ctr_load_address_codeword_rst : out STD_LOGIC; reg_load_limit_codeword_rst : out STD_LOGIC; reg_load_limit_codeword_ce : out STD_LOGIC; reg_calc_limit_codeword_rst : out STD_LOGIC; reg_calc_limit_codeword_ce : out STD_LOGIC ); end component; component register_nbits Generic (size : integer); Port ( d : in STD_LOGIC_VECTOR ((size - 1) downto 0); clk : in STD_LOGIC; ce : in STD_LOGIC; q : out STD_LOGIC_VECTOR ((size - 1) downto 0) ); end component; component register_rst_nbits Generic (size : integer); Port ( d : in STD_LOGIC_VECTOR ((size - 1) downto 0); clk : in STD_LOGIC; ce : in STD_LOGIC; rst : in STD_LOGIC; rst_value : in STD_LOGIC_VECTOR ((size - 1) downto 0); q : out STD_LOGIC_VECTOR ((size - 1) downto 0) ); end component; component counter_rst_nbits Generic ( size : integer; increment_value : integer ); Port ( clk : in STD_LOGIC; ce : in STD_LOGIC; rst : in STD_LOGIC; rst_value : in STD_LOGIC_VECTOR ((size - 1) downto 0); q : out STD_LOGIC_VECTOR ((size - 1) downto 0) ); end component; component counter_decrement_rst_nbits Generic ( size : integer; decrement_value : integer ); Port ( clk : in STD_LOGIC; ce : in STD_LOGIC; rst : in STD_LOGIC; rst_value : in STD_LOGIC_VECTOR ((size - 1) downto 0); q : out STD_LOGIC_VECTOR((size - 1) downto 0) ); end component; component shift_register_rst_nbits Generic (size : integer); Port ( data_in : in STD_LOGIC; clk : in STD_LOGIC; ce : in STD_LOGIC; rst : in STD_LOGIC; rst_value : in STD_LOGIC_VECTOR((size - 1) downto 0); q : out STD_LOGIC_VECTOR((size - 1) downto 0); data_out : out STD_LOGIC ); end component; component mult_gf_2_m Generic (gf_2_m : integer range 1 to 20 := 11); Port( a : in STD_LOGIC_VECTOR((gf_2_m - 1) downto 0); b: in STD_LOGIC_VECTOR((gf_2_m - 1) downto 0); o : out STD_LOGIC_VECTOR((gf_2_m - 1) downto 0) ); end component; component adder_gf_2_m Generic( gf_2_m : integer := 1; number_of_elements : integer range 2 to integer'high := 2 ); Port( a : in STD_LOGIC_VECTOR(((gf_2_m)*(number_of_elements) - 1) downto 0); o : out STD_LOGIC_VECTOR((gf_2_m - 1) downto 0) ); end component; signal reg_L_d : STD_LOGIC_VECTOR(((syndrome_calculator_size)*gf_2_m - 1) downto 0); signal reg_L_ce : STD_LOGIC_VECTOR((syndrome_calculator_size - 1) downto 0); signal reg_L_q : STD_LOGIC_VECTOR(((syndrome_calculator_size)*gf_2_m - 1) downto 0); signal reg_h_d :STD_LOGIC_VECTOR(((syndrome_calculator_size)*gf_2_m - 1) downto 0); signal reg_h_ce : STD_LOGIC_VECTOR((syndrome_calculator_size - 1) downto 0); signal reg_h_rst : STD_LOGIC_VECTOR((syndrome_calculator_size - 1) downto 0); constant reg_h_rst_value : STD_LOGIC_VECTOR((gf_2_m - 1) downto 0) := (others => '0'); signal reg_h_q : STD_LOGIC_VECTOR(((syndrome_calculator_size)*gf_2_m - 1) downto 0); signal sel_reg_h : STD_LOGIC_VECTOR((syndrome_calculator_size - 1) downto 0); signal square_h : STD_LOGIC; signal reg_load_L_d : STD_LOGIC_VECTOR((gf_2_m - 1) downto 0); signal reg_load_L_ce : STD_LOGIC; signal reg_load_L_q : STD_LOGIC_VECTOR((gf_2_m - 1) downto 0); signal reg_load_h_d : STD_LOGIC_VECTOR((gf_2_m - 1) downto 0); signal reg_load_h_ce : STD_LOGIC; signal reg_load_h_rst : STD_LOGIC; constant reg_load_h_rst_value : STD_LOGIC_VECTOR((gf_2_m - 1) downto 0) := std_logic_vector(to_unsigned(0, gf_2_m)); signal reg_load_h_q : STD_LOGIC_VECTOR((gf_2_m - 1) downto 0); signal reg_new_value_syndrome_d : STD_LOGIC_VECTOR((gf_2_m - 1) downto 0); signal reg_new_value_syndrome_ce : STD_LOGIC; signal reg_new_value_syndrome_rst : STD_LOGIC; constant reg_new_value_syndrome_rst_value : STD_LOGIC_VECTOR((gf_2_m - 1) downto 0) := std_logic_vector(to_unsigned(0, gf_2_m)); signal reg_new_value_syndrome_q : STD_LOGIC_VECTOR((gf_2_m - 1) downto 0); signal reg_codeword_d : STD_LOGIC_VECTOR(0 downto 0); signal reg_codeword_ce : STD_LOGIC; signal reg_codeword_q : STD_LOGIC_VECTOR(0 downto 0); signal mult_a : STD_LOGIC_VECTOR(((syndrome_calculator_size)*gf_2_m - 1) downto 0); signal mult_b : STD_LOGIC_VECTOR(((syndrome_calculator_size)*gf_2_m - 1) downto 0); signal mult_o : STD_LOGIC_VECTOR(((syndrome_calculator_size)*gf_2_m - 1) downto 0); signal adder_a : STD_LOGIC_VECTOR(((syndrome_calculator_size)*gf_2_m - 1) downto 0); signal adder_o : STD_LOGIC_VECTOR((gf_2_m - 1) downto 0); signal ctr_load_address_codeword_ce : STD_LOGIC; signal ctr_load_address_codeword_rst : STD_LOGIC; constant ctr_load_address_codeword_rst_value : STD_LOGIC_VECTOR((size_codeword - 1) downto 0) := std_logic_vector(to_unsigned(initial_address, size_codeword)); signal ctr_load_address_codeword_q : STD_LOGIC_VECTOR((size_codeword - 1) downto 0); signal reg_load_limit_codeword_d : STD_LOGIC_VECTOR(0 downto 0); signal reg_load_limit_codeword_ce : STD_LOGIC; signal reg_load_limit_codeword_rst : STD_LOGIC; constant reg_load_limit_codeword_rst_value : STD_LOGIC_VECTOR(0 downto 0) := "0"; signal reg_load_limit_codeword_q : STD_LOGIC_VECTOR(0 downto 0); signal reg_calc_limit_codeword_d : STD_LOGIC_VECTOR(0 downto 0); signal reg_calc_limit_codeword_ce : STD_LOGIC; signal reg_calc_limit_codeword_rst : STD_LOGIC; signal reg_calc_limit_codeword_rst_value : STD_LOGIC_VECTOR(0 downto 0) := "0"; signal reg_calc_limit_codeword_q : STD_LOGIC_VECTOR(0 downto 0); signal control_units_ce : STD_LOGIC; signal control_units_rst : STD_LOGIC; constant control_units_rst_value0 : STD_LOGIC_VECTOR((syndrome_calculator_size - 1) downto 0) := (others => '0'); constant control_units_rst_value1 : STD_LOGIC_VECTOR((syndrome_calculator_size) downto (syndrome_calculator_size)) := "1"; constant control_units_rst_value : STD_LOGIC_VECTOR((syndrome_calculator_size) downto 0) := control_units_rst_value1 & control_units_rst_value0; signal control_units_q : STD_LOGIC_VECTOR((syndrome_calculator_size) downto 0); signal control_units_data_out : STD_LOGIC; signal int_reg_L_ce : STD_LOGIC; signal int_reg_h_ce : STD_LOGIC; signal int_reg_h_rst: STD_LOGIC; signal int_sel_reg_h : STD_LOGIC; signal almost_units_ready : STD_LOGIC; signal empty_units : STD_LOGIC; signal limit_ctr_codeword_q : STD_LOGIC; begin controller : controller_syndrome_calculator_2_pipe_v3_slave Port Map( clk => clk, rst => rst, almost_units_ready => almost_units_ready, empty_units => empty_units, limit_ctr_codeword_q => reg_calc_limit_codeword_q(0), reg_codeword_q => reg_codeword_q, start_calculation => start_calculation, last_syndrome => last_syndrome, ready_calculation => ready_calculation, finished_calculation => finished_calculation, control_units_ce => control_units_ce, control_units_rst => control_units_rst, int_reg_L_ce => int_reg_L_ce, square_h => square_h, int_reg_h_ce => int_reg_h_ce, int_reg_h_rst => int_reg_h_rst, int_sel_reg_h => int_sel_reg_h, reg_load_L_ce => reg_load_L_ce, reg_load_h_ce => reg_load_h_ce, reg_load_h_rst => reg_load_h_rst, reg_new_value_syndrome_ce => reg_new_value_syndrome_ce, reg_new_value_syndrome_rst => reg_new_value_syndrome_rst, reg_codeword_ce => reg_codeword_ce, ctr_load_address_codeword_ce => ctr_load_address_codeword_ce, ctr_load_address_codeword_rst => ctr_load_address_codeword_rst, reg_load_limit_codeword_ce => reg_load_limit_codeword_ce, reg_load_limit_codeword_rst => reg_load_limit_codeword_rst, reg_calc_limit_codeword_ce => reg_calc_limit_codeword_ce, reg_calc_limit_codeword_rst => reg_calc_limit_codeword_rst ); calculator_units : for I in 0 to (syndrome_calculator_size - 1) generate reg_L_I : register_nbits Generic Map( size => gf_2_m ) Port Map( d => reg_L_d(((I + 1)*gf_2_m - 1) downto I*gf_2_m), clk => clk, ce => reg_L_ce(I), q => reg_L_q(((I + 1)*gf_2_m - 1) downto I*gf_2_m) ); reg_h_I : register_rst_nbits Generic Map( size => gf_2_m ) Port Map( d => reg_h_d(((I + 1)*gf_2_m - 1) downto I*gf_2_m), clk => clk, ce => reg_h_ce(I), rst => reg_h_rst(I), rst_value => reg_h_rst_value, q => reg_h_q(((I + 1)*gf_2_m - 1) downto I*gf_2_m) ); mult_I : mult_gf_2_m Generic Map( gf_2_m => gf_2_m ) Port Map( a => mult_a(((I + 1)*gf_2_m - 1) downto I*gf_2_m), b => mult_b(((I + 1)*gf_2_m - 1) downto I*gf_2_m), o => mult_o(((I + 1)*gf_2_m - 1) downto I*gf_2_m) ); reg_L_d(((I + 1)*gf_2_m - 1) downto I*gf_2_m) <= reg_load_L_q; reg_h_d(((I + 1)*gf_2_m - 1) downto I*gf_2_m) <= mult_o(((I + 1)*gf_2_m - 1) downto I*gf_2_m) when sel_reg_h(I) = '1' else reg_load_h_q; mult_a(((I + 1)*gf_2_m - 1) downto I*gf_2_m) <= reg_h_q(((I + 1)*gf_2_m - 1) downto I*gf_2_m) when square_h = '1' else reg_L_q(((I + 1)*gf_2_m - 1) downto I*gf_2_m); mult_b(((I + 1)*gf_2_m - 1) downto I*gf_2_m) <= reg_h_q(((I + 1)*gf_2_m - 1) downto I*gf_2_m); reg_L_ce(I) <= int_reg_L_ce and control_units_q(I); reg_h_ce(I) <= int_reg_h_ce and (control_units_q(I) or int_sel_reg_h); reg_h_rst(I) <= int_reg_h_rst and control_units_q(I); sel_reg_h(I) <= int_sel_reg_h; end generate; control_units : shift_register_rst_nbits Generic Map( size => syndrome_calculator_size+1 ) Port Map( data_in => control_units_data_out, clk => clk, ce => control_units_ce, rst => control_units_rst, rst_value => control_units_rst_value, q => control_units_q, data_out => control_units_data_out ); reg_load_L : register_nbits Generic Map( size => gf_2_m ) Port Map( d => reg_load_L_d, clk => clk, ce => reg_load_L_ce, q => reg_load_L_q ); reg_load_h : register_rst_nbits Generic Map( size => gf_2_m ) Port Map( d => reg_load_h_d, clk => clk, ce => reg_load_h_ce, rst => reg_load_h_rst, rst_value => reg_load_h_rst_value, q => reg_load_h_q ); reg_new_value_syndrome : register_rst_nbits Generic Map( size => gf_2_m ) Port Map( d => reg_new_value_syndrome_d, clk => clk, ce => reg_new_value_syndrome_ce, rst => reg_new_value_syndrome_rst, rst_value => reg_new_value_syndrome_rst_value, q => reg_new_value_syndrome_q ); reg_codeword : register_nbits Generic Map( size => 1 ) Port Map( d => reg_codeword_d, clk => clk, ce => reg_codeword_ce, q => reg_codeword_q ); ctr_load_address_codeword : counter_rst_nbits Generic Map( size => size_codeword, increment_value => increment_address ) Port Map( clk => clk, ce => ctr_load_address_codeword_ce, rst => ctr_load_address_codeword_rst, rst_value => ctr_load_address_codeword_rst_value, q => ctr_load_address_codeword_q ); reg_load_limit_codeword : register_rst_nbits Generic Map( size => 1 ) Port Map( d => reg_load_limit_codeword_d, clk => clk, ce => reg_load_limit_codeword_ce, rst => reg_load_limit_codeword_rst, rst_value => reg_load_limit_codeword_rst_value, q => reg_load_limit_codeword_q ); reg_calc_limit_codeword : register_rst_nbits Generic Map( size => 1 ) Port Map( d => reg_calc_limit_codeword_d, clk => clk, ce => reg_calc_limit_codeword_ce, rst => reg_calc_limit_codeword_rst, rst_value => reg_calc_limit_codeword_rst_value, q => reg_calc_limit_codeword_q ); calculator_size_1 : if (syndrome_calculator_size = 1) generate reg_new_value_syndrome_d <= reg_h_q; end generate; calculator_size_more_than_1 : if (syndrome_calculator_size > 1) generate adder : adder_gf_2_m Generic Map( gf_2_m => gf_2_m, number_of_elements => syndrome_calculator_size ) Port Map( a => adder_a, o => adder_o ); adder_a <= reg_h_q; reg_new_value_syndrome_d <= adder_o; end generate; reg_load_limit_codeword_d(0) <= limit_ctr_codeword_q; reg_calc_limit_codeword_d <= reg_load_limit_codeword_q; reg_load_L_d <= value_L; reg_load_h_d <= value_h; reg_codeword_d <= value_codeword; new_value_syndrome <= reg_new_value_syndrome_q; address_h <= ctr_load_address_codeword_q; address_L <= ctr_load_address_codeword_q; address_codeword <= ctr_load_address_codeword_q; almost_units_ready <= control_units_q(syndrome_calculator_size - 1); empty_units <= control_units_q(0); limit_ctr_codeword_q <= '1' when (unsigned(ctr_load_address_codeword_q) >= (to_unsigned(length_codeword - increment_address, ctr_load_address_codeword_q'length))) else '0'; end Behavioral;
use std.textio.all; entity ISSUE351 is end ISSUE351; architecture RTL of ISSUE351 is type WORD_TYPE is record KEY : integer; VALUE : integer; end record; constant WORD_NULL : WORD_TYPE := (KEY => 0, VALUE => 0); type WORD_VECTOR is array (INTEGER range <>) of WORD_TYPE; procedure dump_words(WORDS: in WORD_VECTOR) is variable text_line : LINE; begin for i in WORDS'range loop WRITE(text_line, string'(" | ")); WRITE(text_line, i, LEFT, 5); WRITE(text_line, string'(" | ")); WRITE(text_line, WORDS(i).KEY , LEFT, 10); WRITE(text_line, string'(" | ")); WRITE(text_line, WORDS(i).VALUE, LEFT, 10); WRITELINE(OUTPUT, text_line); end loop; end procedure; begin process variable curr_queue : WORD_VECTOR(0 to 3); variable text_line : LINE; begin for i in curr_queue'range loop curr_queue(i).KEY := i; curr_queue(i).VALUE := i; end loop; for i in curr_queue'range loop WRITE(text_line, i); WRITE(text_line, string'(" to ")); WRITE(text_line, curr_queue'length-1); WRITELINE(OUTPUT, text_line); dump_words(curr_queue(i to curr_queue'length-1)); -- Bug? end loop; wait; end process; end RTL;
use std.textio.all; entity ISSUE351 is end ISSUE351; architecture RTL of ISSUE351 is type WORD_TYPE is record KEY : integer; VALUE : integer; end record; constant WORD_NULL : WORD_TYPE := (KEY => 0, VALUE => 0); type WORD_VECTOR is array (INTEGER range <>) of WORD_TYPE; procedure dump_words(WORDS: in WORD_VECTOR) is variable text_line : LINE; begin for i in WORDS'range loop WRITE(text_line, string'(" | ")); WRITE(text_line, i, LEFT, 5); WRITE(text_line, string'(" | ")); WRITE(text_line, WORDS(i).KEY , LEFT, 10); WRITE(text_line, string'(" | ")); WRITE(text_line, WORDS(i).VALUE, LEFT, 10); WRITELINE(OUTPUT, text_line); end loop; end procedure; begin process variable curr_queue : WORD_VECTOR(0 to 3); variable text_line : LINE; begin for i in curr_queue'range loop curr_queue(i).KEY := i; curr_queue(i).VALUE := i; end loop; for i in curr_queue'range loop WRITE(text_line, i); WRITE(text_line, string'(" to ")); WRITE(text_line, curr_queue'length-1); WRITELINE(OUTPUT, text_line); dump_words(curr_queue(i to curr_queue'length-1)); -- Bug? end loop; wait; end process; end RTL;
------------------------------------------------------------------------------- -- ____ _____ __ __ ________ _______ -- | | \ \ | \ | | |__ __| | __ \ -- |____| \____\ | \| | | | | |__> ) -- ____ ____ | |\ \ | | | | __ < -- | | | | | | \ | | | | |__> ) -- |____| |____| |__| \__| |__| |_______/ -- -- NTB University of Applied Sciences in Technology -- -- Campus Buchs - Werdenbergstrasse 4 - 9471 Buchs - Switzerland -- Campus Waldau - Schoenauweg 4 - 9013 St. Gallen - Switzerland -- -- Web http://www.ntb.ch Tel. +41 81 755 33 11 -- ------------------------------------------------------------------------------- -- Copyright 2013 NTB University of Applied Sciences in Technology ------------------------------------------------------------------------------- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. ------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.numeric_std.ALL; ------------------------------------------------------------------------------- -- PACKAGE DEFINITION ------------------------------------------------------------------------------- PACKAGE dacad5668_pkg IS CONSTANT NUMBER_OF_CHANNELS : INTEGER := 8; CONSTANT RESOLUTION : INTEGER := 16; TYPE t_value_regs IS ARRAY(NUMBER_OF_CHANNELS -1 DOWNTO 0) OF STD_LOGIC_VECTOR(RESOLUTION-1 DOWNTO 0); COMPONENT dacad5668 IS GENERIC( BASE_CLK : INTEGER := 33000000; SCLK_FREQUENCY : INTEGER := 8000000; --Max 50MHz INTERNAL_REFERENCE : STD_LOGIC := '0' -- '0' = external reference, '1' internal reference ); PORT( isl_clk : IN STD_LOGIC; isl_reset_n : IN STD_LOGIC; it_set_values : IN t_value_regs; osl_LDAC_n : OUT STD_LOGIC; osl_CLR_n : OUT STD_LOGIC; osl_sclk : OUT STD_LOGIC; oslv_Ss : OUT STD_LOGIC; osl_mosi : OUT STD_LOGIC ); END COMPONENT dacad5668; END PACKAGE dacad5668_pkg; LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.numeric_std.ALL; USE IEEE.math_real.ALL; USE work.dacad5668_pkg.ALL; USE work.spi_master_pkg.ALL; ------------------------------------------------------------------------------- -- ENTITIY ------------------------------------------------------------------------------- ENTITY dacad5668 IS GENERIC( BASE_CLK : INTEGER := 33000000; SCLK_FREQUENCY : INTEGER := 10000000; --Max 50MHz INTERNAL_REFERENCE : STD_LOGIC := '0' -- '0' = external reference, '1' internal reference ); PORT( isl_clk : IN STD_LOGIC; isl_reset_n : IN STD_LOGIC; it_set_values : IN t_value_regs; osl_LDAC_n : OUT STD_LOGIC; osl_CLR_n : OUT STD_LOGIC; osl_sclk : OUT STD_LOGIC; oslv_Ss : OUT STD_LOGIC; osl_mosi : OUT STD_LOGIC ); END ENTITY dacad5668; ------------------------------------------------------------------------------- -- ARCHITECTURE ------------------------------------------------------------------------------- ARCHITECTURE rtl OF dacad5668 IS CONSTANT SS_HOLD_CYCLES : INTEGER := 40; -- minimum 15ns see datasheet CONSTANT CHANNEL_COUNT_WIDTH : INTEGER := 4; CONSTANT TRANSFER_WIDTH : INTEGER := 32; --COMMAND CODES CONSTANT WRITE_AND_UPDATE_CHANNEL_N : STD_LOGIC_VECTOR(3 DOWNTO 0) := "0011"; CONSTANT SETUP_INTERNAL_REF : STD_LOGIC_VECTOR(3 DOWNTO 0) := "1000"; TYPE t_states IS (idle,wait_for_transfer_to_finish,wait_for_next_transfer,set_internal_reference,keep_clear_low,wait_after_reset); TYPE t_internal_register IS RECORD state :t_states; tx_data : STD_LOGIC_VECTOR(TRANSFER_WIDTH -1 DOWNTO 0); tx_start : STD_LOGIC; channel_count : UNSIGNED(CHANNEL_COUNT_WIDTH-1 DOWNTO 0); cycle_count : UNSIGNED(6 DOWNTO 0); LDAC_n : STD_LOGIC; CLR_n : STD_LOGIC; END RECORD; SIGNAL slv_rx_data : STD_LOGIC_VECTOR(TRANSFER_WIDTH -1 DOWNTO 0); SIGNAL sl_rx_done : STD_LOGIC; SIGNAL ri, ri_next : t_internal_register; BEGIN my_spi_master : spi_master GENERIC MAP( BASE_CLK => BASE_CLK, SCLK_FREQUENCY => SCLK_FREQUENCY, CS_SETUP_CYLES => SS_HOLD_CYCLES, TRANSFER_WIDTH => TRANSFER_WIDTH, -- 32 bit per transfer see data sheet NR_OF_SS => 1, -- only one ss is needed CPOL => '0', -- sckl inactive high CPHA => '1', -- data is captured on the tailing edge see data sheet MSBFIRST => '1', -- MSB first SSPOL => '0' -- zero active see data sheet ) PORT MAP( isl_clk => isl_clk, isl_reset_n => isl_reset_n, islv_tx_data => ri.tx_data, isl_tx_start => ri.tx_start, oslv_rx_data => slv_rx_data, osl_rx_done => sl_rx_done, islv_ss_activ(0) => '1', osl_sclk => osl_sclk, oslv_Ss(0) => oslv_Ss, osl_mosi => osl_mosi, isl_miso => '0' ); -------------------------------------------- -- combinatorial process -------------------------------------------- comb_process: PROCESS(ri, isl_reset_n,sl_rx_done,slv_rx_data,it_set_values) VARIABLE vi: t_internal_register; BEGIN -- keep variables stable vi:=ri; --standard values vi.tx_start := '0'; vi.LDAC_n := '0'; vi.CLR_n := '1'; CASE vi.state IS WHEN keep_clear_low => vi.CLR_n := '0'; IF vi.cycle_count = 10 THEN vi.state := wait_after_reset; vi.cycle_count := (OTHERS => '0'); ELSE vi.cycle_count := vi.cycle_count + 1; END IF; WHEN wait_after_reset => IF vi.cycle_count = 100 THEN vi.state := set_internal_reference; vi.cycle_count := (OTHERS => '0'); ELSE vi.cycle_count := vi.cycle_count + 1; END IF; WHEN set_internal_reference => vi.tx_data := (OTHERS => '0'); vi.tx_data(TRANSFER_WIDTH -5 DOWNTO TRANSFER_WIDTH-8) := SETUP_INTERNAL_REF; vi.tx_data(0) := INTERNAL_REFERENCE; vi.tx_start := '1'; vi.state := wait_for_transfer_to_finish; WHEN idle => vi.tx_data := (OTHERS => '0'); vi.tx_data(TRANSFER_WIDTH -5 DOWNTO TRANSFER_WIDTH-8) := WRITE_AND_UPDATE_CHANNEL_N; vi.tx_data(TRANSFER_WIDTH -9 DOWNTO TRANSFER_WIDTH-12) := STD_LOGIC_VECTOR(vi.channel_count); vi.tx_data(TRANSFER_WIDTH -13 DOWNTO TRANSFER_WIDTH-28) := it_set_values(to_integer(vi.channel_count)); vi.tx_start := '1'; vi.state := wait_for_transfer_to_finish; WHEN wait_for_transfer_to_finish => IF sl_rx_done = '1' THEN vi.state := wait_for_next_transfer; vi.cycle_count := (OTHERS => '0'); END IF; WHEN wait_for_next_transfer => IF vi.cycle_count = 100 THEN vi.cycle_count := to_unsigned(0,7); IF vi.channel_count = NUMBER_OF_CHANNELS -1 THEN vi.channel_count := to_unsigned(0,CHANNEL_COUNT_WIDTH); ELSE vi.channel_count := vi.channel_count + 1; END IF; vi.state := idle; ELSE vi.cycle_count := vi.cycle_count + 1; END IF; WHEN OTHERS => vi.state := idle; END CASE; --reset IF isl_reset_n = '0' THEN vi.state := keep_clear_low; vi.tx_data := (OTHERS => '0'); vi.tx_start := '0'; vi.channel_count := to_unsigned(0,CHANNEL_COUNT_WIDTH); vi.cycle_count := (OTHERS => '0'); vi.CLR_n := '0'; END IF; -- setting outputs ri_next <= vi; END PROCESS comb_process; -------------------------------------------- -- registered process -------------------------------------------- reg_process: PROCESS (isl_clk) BEGIN IF rising_edge(isl_clk) THEN ri <= ri_next; END IF; END PROCESS reg_process; osl_LDAC_n <= ri.LDAC_n; osl_CLR_N <= ri.CLR_n; END ARCHITECTURE rtl;
------------------------------------------------------------------------------- -- ____ _____ __ __ ________ _______ -- | | \ \ | \ | | |__ __| | __ \ -- |____| \____\ | \| | | | | |__> ) -- ____ ____ | |\ \ | | | | __ < -- | | | | | | \ | | | | |__> ) -- |____| |____| |__| \__| |__| |_______/ -- -- NTB University of Applied Sciences in Technology -- -- Campus Buchs - Werdenbergstrasse 4 - 9471 Buchs - Switzerland -- Campus Waldau - Schoenauweg 4 - 9013 St. Gallen - Switzerland -- -- Web http://www.ntb.ch Tel. +41 81 755 33 11 -- ------------------------------------------------------------------------------- -- Copyright 2013 NTB University of Applied Sciences in Technology ------------------------------------------------------------------------------- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. ------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.numeric_std.ALL; ------------------------------------------------------------------------------- -- PACKAGE DEFINITION ------------------------------------------------------------------------------- PACKAGE dacad5668_pkg IS CONSTANT NUMBER_OF_CHANNELS : INTEGER := 8; CONSTANT RESOLUTION : INTEGER := 16; TYPE t_value_regs IS ARRAY(NUMBER_OF_CHANNELS -1 DOWNTO 0) OF STD_LOGIC_VECTOR(RESOLUTION-1 DOWNTO 0); COMPONENT dacad5668 IS GENERIC( BASE_CLK : INTEGER := 33000000; SCLK_FREQUENCY : INTEGER := 8000000; --Max 50MHz INTERNAL_REFERENCE : STD_LOGIC := '0' -- '0' = external reference, '1' internal reference ); PORT( isl_clk : IN STD_LOGIC; isl_reset_n : IN STD_LOGIC; it_set_values : IN t_value_regs; osl_LDAC_n : OUT STD_LOGIC; osl_CLR_n : OUT STD_LOGIC; osl_sclk : OUT STD_LOGIC; oslv_Ss : OUT STD_LOGIC; osl_mosi : OUT STD_LOGIC ); END COMPONENT dacad5668; END PACKAGE dacad5668_pkg; LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.numeric_std.ALL; USE IEEE.math_real.ALL; USE work.dacad5668_pkg.ALL; USE work.spi_master_pkg.ALL; ------------------------------------------------------------------------------- -- ENTITIY ------------------------------------------------------------------------------- ENTITY dacad5668 IS GENERIC( BASE_CLK : INTEGER := 33000000; SCLK_FREQUENCY : INTEGER := 10000000; --Max 50MHz INTERNAL_REFERENCE : STD_LOGIC := '0' -- '0' = external reference, '1' internal reference ); PORT( isl_clk : IN STD_LOGIC; isl_reset_n : IN STD_LOGIC; it_set_values : IN t_value_regs; osl_LDAC_n : OUT STD_LOGIC; osl_CLR_n : OUT STD_LOGIC; osl_sclk : OUT STD_LOGIC; oslv_Ss : OUT STD_LOGIC; osl_mosi : OUT STD_LOGIC ); END ENTITY dacad5668; ------------------------------------------------------------------------------- -- ARCHITECTURE ------------------------------------------------------------------------------- ARCHITECTURE rtl OF dacad5668 IS CONSTANT SS_HOLD_CYCLES : INTEGER := 40; -- minimum 15ns see datasheet CONSTANT CHANNEL_COUNT_WIDTH : INTEGER := 4; CONSTANT TRANSFER_WIDTH : INTEGER := 32; --COMMAND CODES CONSTANT WRITE_AND_UPDATE_CHANNEL_N : STD_LOGIC_VECTOR(3 DOWNTO 0) := "0011"; CONSTANT SETUP_INTERNAL_REF : STD_LOGIC_VECTOR(3 DOWNTO 0) := "1000"; TYPE t_states IS (idle,wait_for_transfer_to_finish,wait_for_next_transfer,set_internal_reference,keep_clear_low,wait_after_reset); TYPE t_internal_register IS RECORD state :t_states; tx_data : STD_LOGIC_VECTOR(TRANSFER_WIDTH -1 DOWNTO 0); tx_start : STD_LOGIC; channel_count : UNSIGNED(CHANNEL_COUNT_WIDTH-1 DOWNTO 0); cycle_count : UNSIGNED(6 DOWNTO 0); LDAC_n : STD_LOGIC; CLR_n : STD_LOGIC; END RECORD; SIGNAL slv_rx_data : STD_LOGIC_VECTOR(TRANSFER_WIDTH -1 DOWNTO 0); SIGNAL sl_rx_done : STD_LOGIC; SIGNAL ri, ri_next : t_internal_register; BEGIN my_spi_master : spi_master GENERIC MAP( BASE_CLK => BASE_CLK, SCLK_FREQUENCY => SCLK_FREQUENCY, CS_SETUP_CYLES => SS_HOLD_CYCLES, TRANSFER_WIDTH => TRANSFER_WIDTH, -- 32 bit per transfer see data sheet NR_OF_SS => 1, -- only one ss is needed CPOL => '0', -- sckl inactive high CPHA => '1', -- data is captured on the tailing edge see data sheet MSBFIRST => '1', -- MSB first SSPOL => '0' -- zero active see data sheet ) PORT MAP( isl_clk => isl_clk, isl_reset_n => isl_reset_n, islv_tx_data => ri.tx_data, isl_tx_start => ri.tx_start, oslv_rx_data => slv_rx_data, osl_rx_done => sl_rx_done, islv_ss_activ(0) => '1', osl_sclk => osl_sclk, oslv_Ss(0) => oslv_Ss, osl_mosi => osl_mosi, isl_miso => '0' ); -------------------------------------------- -- combinatorial process -------------------------------------------- comb_process: PROCESS(ri, isl_reset_n,sl_rx_done,slv_rx_data,it_set_values) VARIABLE vi: t_internal_register; BEGIN -- keep variables stable vi:=ri; --standard values vi.tx_start := '0'; vi.LDAC_n := '0'; vi.CLR_n := '1'; CASE vi.state IS WHEN keep_clear_low => vi.CLR_n := '0'; IF vi.cycle_count = 10 THEN vi.state := wait_after_reset; vi.cycle_count := (OTHERS => '0'); ELSE vi.cycle_count := vi.cycle_count + 1; END IF; WHEN wait_after_reset => IF vi.cycle_count = 100 THEN vi.state := set_internal_reference; vi.cycle_count := (OTHERS => '0'); ELSE vi.cycle_count := vi.cycle_count + 1; END IF; WHEN set_internal_reference => vi.tx_data := (OTHERS => '0'); vi.tx_data(TRANSFER_WIDTH -5 DOWNTO TRANSFER_WIDTH-8) := SETUP_INTERNAL_REF; vi.tx_data(0) := INTERNAL_REFERENCE; vi.tx_start := '1'; vi.state := wait_for_transfer_to_finish; WHEN idle => vi.tx_data := (OTHERS => '0'); vi.tx_data(TRANSFER_WIDTH -5 DOWNTO TRANSFER_WIDTH-8) := WRITE_AND_UPDATE_CHANNEL_N; vi.tx_data(TRANSFER_WIDTH -9 DOWNTO TRANSFER_WIDTH-12) := STD_LOGIC_VECTOR(vi.channel_count); vi.tx_data(TRANSFER_WIDTH -13 DOWNTO TRANSFER_WIDTH-28) := it_set_values(to_integer(vi.channel_count)); vi.tx_start := '1'; vi.state := wait_for_transfer_to_finish; WHEN wait_for_transfer_to_finish => IF sl_rx_done = '1' THEN vi.state := wait_for_next_transfer; vi.cycle_count := (OTHERS => '0'); END IF; WHEN wait_for_next_transfer => IF vi.cycle_count = 100 THEN vi.cycle_count := to_unsigned(0,7); IF vi.channel_count = NUMBER_OF_CHANNELS -1 THEN vi.channel_count := to_unsigned(0,CHANNEL_COUNT_WIDTH); ELSE vi.channel_count := vi.channel_count + 1; END IF; vi.state := idle; ELSE vi.cycle_count := vi.cycle_count + 1; END IF; WHEN OTHERS => vi.state := idle; END CASE; --reset IF isl_reset_n = '0' THEN vi.state := keep_clear_low; vi.tx_data := (OTHERS => '0'); vi.tx_start := '0'; vi.channel_count := to_unsigned(0,CHANNEL_COUNT_WIDTH); vi.cycle_count := (OTHERS => '0'); vi.CLR_n := '0'; END IF; -- setting outputs ri_next <= vi; END PROCESS comb_process; -------------------------------------------- -- registered process -------------------------------------------- reg_process: PROCESS (isl_clk) BEGIN IF rising_edge(isl_clk) THEN ri <= ri_next; END IF; END PROCESS reg_process; osl_LDAC_n <= ri.LDAC_n; osl_CLR_N <= ri.CLR_n; END ARCHITECTURE rtl;
------------------------------------------------------------------------------- -- ____ _____ __ __ ________ _______ -- | | \ \ | \ | | |__ __| | __ \ -- |____| \____\ | \| | | | | |__> ) -- ____ ____ | |\ \ | | | | __ < -- | | | | | | \ | | | | |__> ) -- |____| |____| |__| \__| |__| |_______/ -- -- NTB University of Applied Sciences in Technology -- -- Campus Buchs - Werdenbergstrasse 4 - 9471 Buchs - Switzerland -- Campus Waldau - Schoenauweg 4 - 9013 St. Gallen - Switzerland -- -- Web http://www.ntb.ch Tel. +41 81 755 33 11 -- ------------------------------------------------------------------------------- -- Copyright 2013 NTB University of Applied Sciences in Technology ------------------------------------------------------------------------------- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. ------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.numeric_std.ALL; ------------------------------------------------------------------------------- -- PACKAGE DEFINITION ------------------------------------------------------------------------------- PACKAGE dacad5668_pkg IS CONSTANT NUMBER_OF_CHANNELS : INTEGER := 8; CONSTANT RESOLUTION : INTEGER := 16; TYPE t_value_regs IS ARRAY(NUMBER_OF_CHANNELS -1 DOWNTO 0) OF STD_LOGIC_VECTOR(RESOLUTION-1 DOWNTO 0); COMPONENT dacad5668 IS GENERIC( BASE_CLK : INTEGER := 33000000; SCLK_FREQUENCY : INTEGER := 8000000; --Max 50MHz INTERNAL_REFERENCE : STD_LOGIC := '0' -- '0' = external reference, '1' internal reference ); PORT( isl_clk : IN STD_LOGIC; isl_reset_n : IN STD_LOGIC; it_set_values : IN t_value_regs; osl_LDAC_n : OUT STD_LOGIC; osl_CLR_n : OUT STD_LOGIC; osl_sclk : OUT STD_LOGIC; oslv_Ss : OUT STD_LOGIC; osl_mosi : OUT STD_LOGIC ); END COMPONENT dacad5668; END PACKAGE dacad5668_pkg; LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.numeric_std.ALL; USE IEEE.math_real.ALL; USE work.dacad5668_pkg.ALL; USE work.spi_master_pkg.ALL; ------------------------------------------------------------------------------- -- ENTITIY ------------------------------------------------------------------------------- ENTITY dacad5668 IS GENERIC( BASE_CLK : INTEGER := 33000000; SCLK_FREQUENCY : INTEGER := 10000000; --Max 50MHz INTERNAL_REFERENCE : STD_LOGIC := '0' -- '0' = external reference, '1' internal reference ); PORT( isl_clk : IN STD_LOGIC; isl_reset_n : IN STD_LOGIC; it_set_values : IN t_value_regs; osl_LDAC_n : OUT STD_LOGIC; osl_CLR_n : OUT STD_LOGIC; osl_sclk : OUT STD_LOGIC; oslv_Ss : OUT STD_LOGIC; osl_mosi : OUT STD_LOGIC ); END ENTITY dacad5668; ------------------------------------------------------------------------------- -- ARCHITECTURE ------------------------------------------------------------------------------- ARCHITECTURE rtl OF dacad5668 IS CONSTANT SS_HOLD_CYCLES : INTEGER := 40; -- minimum 15ns see datasheet CONSTANT CHANNEL_COUNT_WIDTH : INTEGER := 4; CONSTANT TRANSFER_WIDTH : INTEGER := 32; --COMMAND CODES CONSTANT WRITE_AND_UPDATE_CHANNEL_N : STD_LOGIC_VECTOR(3 DOWNTO 0) := "0011"; CONSTANT SETUP_INTERNAL_REF : STD_LOGIC_VECTOR(3 DOWNTO 0) := "1000"; TYPE t_states IS (idle,wait_for_transfer_to_finish,wait_for_next_transfer,set_internal_reference,keep_clear_low,wait_after_reset); TYPE t_internal_register IS RECORD state :t_states; tx_data : STD_LOGIC_VECTOR(TRANSFER_WIDTH -1 DOWNTO 0); tx_start : STD_LOGIC; channel_count : UNSIGNED(CHANNEL_COUNT_WIDTH-1 DOWNTO 0); cycle_count : UNSIGNED(6 DOWNTO 0); LDAC_n : STD_LOGIC; CLR_n : STD_LOGIC; END RECORD; SIGNAL slv_rx_data : STD_LOGIC_VECTOR(TRANSFER_WIDTH -1 DOWNTO 0); SIGNAL sl_rx_done : STD_LOGIC; SIGNAL ri, ri_next : t_internal_register; BEGIN my_spi_master : spi_master GENERIC MAP( BASE_CLK => BASE_CLK, SCLK_FREQUENCY => SCLK_FREQUENCY, CS_SETUP_CYLES => SS_HOLD_CYCLES, TRANSFER_WIDTH => TRANSFER_WIDTH, -- 32 bit per transfer see data sheet NR_OF_SS => 1, -- only one ss is needed CPOL => '0', -- sckl inactive high CPHA => '1', -- data is captured on the tailing edge see data sheet MSBFIRST => '1', -- MSB first SSPOL => '0' -- zero active see data sheet ) PORT MAP( isl_clk => isl_clk, isl_reset_n => isl_reset_n, islv_tx_data => ri.tx_data, isl_tx_start => ri.tx_start, oslv_rx_data => slv_rx_data, osl_rx_done => sl_rx_done, islv_ss_activ(0) => '1', osl_sclk => osl_sclk, oslv_Ss(0) => oslv_Ss, osl_mosi => osl_mosi, isl_miso => '0' ); -------------------------------------------- -- combinatorial process -------------------------------------------- comb_process: PROCESS(ri, isl_reset_n,sl_rx_done,slv_rx_data,it_set_values) VARIABLE vi: t_internal_register; BEGIN -- keep variables stable vi:=ri; --standard values vi.tx_start := '0'; vi.LDAC_n := '0'; vi.CLR_n := '1'; CASE vi.state IS WHEN keep_clear_low => vi.CLR_n := '0'; IF vi.cycle_count = 10 THEN vi.state := wait_after_reset; vi.cycle_count := (OTHERS => '0'); ELSE vi.cycle_count := vi.cycle_count + 1; END IF; WHEN wait_after_reset => IF vi.cycle_count = 100 THEN vi.state := set_internal_reference; vi.cycle_count := (OTHERS => '0'); ELSE vi.cycle_count := vi.cycle_count + 1; END IF; WHEN set_internal_reference => vi.tx_data := (OTHERS => '0'); vi.tx_data(TRANSFER_WIDTH -5 DOWNTO TRANSFER_WIDTH-8) := SETUP_INTERNAL_REF; vi.tx_data(0) := INTERNAL_REFERENCE; vi.tx_start := '1'; vi.state := wait_for_transfer_to_finish; WHEN idle => vi.tx_data := (OTHERS => '0'); vi.tx_data(TRANSFER_WIDTH -5 DOWNTO TRANSFER_WIDTH-8) := WRITE_AND_UPDATE_CHANNEL_N; vi.tx_data(TRANSFER_WIDTH -9 DOWNTO TRANSFER_WIDTH-12) := STD_LOGIC_VECTOR(vi.channel_count); vi.tx_data(TRANSFER_WIDTH -13 DOWNTO TRANSFER_WIDTH-28) := it_set_values(to_integer(vi.channel_count)); vi.tx_start := '1'; vi.state := wait_for_transfer_to_finish; WHEN wait_for_transfer_to_finish => IF sl_rx_done = '1' THEN vi.state := wait_for_next_transfer; vi.cycle_count := (OTHERS => '0'); END IF; WHEN wait_for_next_transfer => IF vi.cycle_count = 100 THEN vi.cycle_count := to_unsigned(0,7); IF vi.channel_count = NUMBER_OF_CHANNELS -1 THEN vi.channel_count := to_unsigned(0,CHANNEL_COUNT_WIDTH); ELSE vi.channel_count := vi.channel_count + 1; END IF; vi.state := idle; ELSE vi.cycle_count := vi.cycle_count + 1; END IF; WHEN OTHERS => vi.state := idle; END CASE; --reset IF isl_reset_n = '0' THEN vi.state := keep_clear_low; vi.tx_data := (OTHERS => '0'); vi.tx_start := '0'; vi.channel_count := to_unsigned(0,CHANNEL_COUNT_WIDTH); vi.cycle_count := (OTHERS => '0'); vi.CLR_n := '0'; END IF; -- setting outputs ri_next <= vi; END PROCESS comb_process; -------------------------------------------- -- registered process -------------------------------------------- reg_process: PROCESS (isl_clk) BEGIN IF rising_edge(isl_clk) THEN ri <= ri_next; END IF; END PROCESS reg_process; osl_LDAC_n <= ri.LDAC_n; osl_CLR_N <= ri.CLR_n; END ARCHITECTURE rtl;
------------------------------------------------------------------------------- -- ____ _____ __ __ ________ _______ -- | | \ \ | \ | | |__ __| | __ \ -- |____| \____\ | \| | | | | |__> ) -- ____ ____ | |\ \ | | | | __ < -- | | | | | | \ | | | | |__> ) -- |____| |____| |__| \__| |__| |_______/ -- -- NTB University of Applied Sciences in Technology -- -- Campus Buchs - Werdenbergstrasse 4 - 9471 Buchs - Switzerland -- Campus Waldau - Schoenauweg 4 - 9013 St. Gallen - Switzerland -- -- Web http://www.ntb.ch Tel. +41 81 755 33 11 -- ------------------------------------------------------------------------------- -- Copyright 2013 NTB University of Applied Sciences in Technology ------------------------------------------------------------------------------- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. ------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.numeric_std.ALL; ------------------------------------------------------------------------------- -- PACKAGE DEFINITION ------------------------------------------------------------------------------- PACKAGE dacad5668_pkg IS CONSTANT NUMBER_OF_CHANNELS : INTEGER := 8; CONSTANT RESOLUTION : INTEGER := 16; TYPE t_value_regs IS ARRAY(NUMBER_OF_CHANNELS -1 DOWNTO 0) OF STD_LOGIC_VECTOR(RESOLUTION-1 DOWNTO 0); COMPONENT dacad5668 IS GENERIC( BASE_CLK : INTEGER := 33000000; SCLK_FREQUENCY : INTEGER := 8000000; --Max 50MHz INTERNAL_REFERENCE : STD_LOGIC := '0' -- '0' = external reference, '1' internal reference ); PORT( isl_clk : IN STD_LOGIC; isl_reset_n : IN STD_LOGIC; it_set_values : IN t_value_regs; osl_LDAC_n : OUT STD_LOGIC; osl_CLR_n : OUT STD_LOGIC; osl_sclk : OUT STD_LOGIC; oslv_Ss : OUT STD_LOGIC; osl_mosi : OUT STD_LOGIC ); END COMPONENT dacad5668; END PACKAGE dacad5668_pkg; LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.numeric_std.ALL; USE IEEE.math_real.ALL; USE work.dacad5668_pkg.ALL; USE work.spi_master_pkg.ALL; ------------------------------------------------------------------------------- -- ENTITIY ------------------------------------------------------------------------------- ENTITY dacad5668 IS GENERIC( BASE_CLK : INTEGER := 33000000; SCLK_FREQUENCY : INTEGER := 10000000; --Max 50MHz INTERNAL_REFERENCE : STD_LOGIC := '0' -- '0' = external reference, '1' internal reference ); PORT( isl_clk : IN STD_LOGIC; isl_reset_n : IN STD_LOGIC; it_set_values : IN t_value_regs; osl_LDAC_n : OUT STD_LOGIC; osl_CLR_n : OUT STD_LOGIC; osl_sclk : OUT STD_LOGIC; oslv_Ss : OUT STD_LOGIC; osl_mosi : OUT STD_LOGIC ); END ENTITY dacad5668; ------------------------------------------------------------------------------- -- ARCHITECTURE ------------------------------------------------------------------------------- ARCHITECTURE rtl OF dacad5668 IS CONSTANT SS_HOLD_CYCLES : INTEGER := 40; -- minimum 15ns see datasheet CONSTANT CHANNEL_COUNT_WIDTH : INTEGER := 4; CONSTANT TRANSFER_WIDTH : INTEGER := 32; --COMMAND CODES CONSTANT WRITE_AND_UPDATE_CHANNEL_N : STD_LOGIC_VECTOR(3 DOWNTO 0) := "0011"; CONSTANT SETUP_INTERNAL_REF : STD_LOGIC_VECTOR(3 DOWNTO 0) := "1000"; TYPE t_states IS (idle,wait_for_transfer_to_finish,wait_for_next_transfer,set_internal_reference,keep_clear_low,wait_after_reset); TYPE t_internal_register IS RECORD state :t_states; tx_data : STD_LOGIC_VECTOR(TRANSFER_WIDTH -1 DOWNTO 0); tx_start : STD_LOGIC; channel_count : UNSIGNED(CHANNEL_COUNT_WIDTH-1 DOWNTO 0); cycle_count : UNSIGNED(6 DOWNTO 0); LDAC_n : STD_LOGIC; CLR_n : STD_LOGIC; END RECORD; SIGNAL slv_rx_data : STD_LOGIC_VECTOR(TRANSFER_WIDTH -1 DOWNTO 0); SIGNAL sl_rx_done : STD_LOGIC; SIGNAL ri, ri_next : t_internal_register; BEGIN my_spi_master : spi_master GENERIC MAP( BASE_CLK => BASE_CLK, SCLK_FREQUENCY => SCLK_FREQUENCY, CS_SETUP_CYLES => SS_HOLD_CYCLES, TRANSFER_WIDTH => TRANSFER_WIDTH, -- 32 bit per transfer see data sheet NR_OF_SS => 1, -- only one ss is needed CPOL => '0', -- sckl inactive high CPHA => '1', -- data is captured on the tailing edge see data sheet MSBFIRST => '1', -- MSB first SSPOL => '0' -- zero active see data sheet ) PORT MAP( isl_clk => isl_clk, isl_reset_n => isl_reset_n, islv_tx_data => ri.tx_data, isl_tx_start => ri.tx_start, oslv_rx_data => slv_rx_data, osl_rx_done => sl_rx_done, islv_ss_activ(0) => '1', osl_sclk => osl_sclk, oslv_Ss(0) => oslv_Ss, osl_mosi => osl_mosi, isl_miso => '0' ); -------------------------------------------- -- combinatorial process -------------------------------------------- comb_process: PROCESS(ri, isl_reset_n,sl_rx_done,slv_rx_data,it_set_values) VARIABLE vi: t_internal_register; BEGIN -- keep variables stable vi:=ri; --standard values vi.tx_start := '0'; vi.LDAC_n := '0'; vi.CLR_n := '1'; CASE vi.state IS WHEN keep_clear_low => vi.CLR_n := '0'; IF vi.cycle_count = 10 THEN vi.state := wait_after_reset; vi.cycle_count := (OTHERS => '0'); ELSE vi.cycle_count := vi.cycle_count + 1; END IF; WHEN wait_after_reset => IF vi.cycle_count = 100 THEN vi.state := set_internal_reference; vi.cycle_count := (OTHERS => '0'); ELSE vi.cycle_count := vi.cycle_count + 1; END IF; WHEN set_internal_reference => vi.tx_data := (OTHERS => '0'); vi.tx_data(TRANSFER_WIDTH -5 DOWNTO TRANSFER_WIDTH-8) := SETUP_INTERNAL_REF; vi.tx_data(0) := INTERNAL_REFERENCE; vi.tx_start := '1'; vi.state := wait_for_transfer_to_finish; WHEN idle => vi.tx_data := (OTHERS => '0'); vi.tx_data(TRANSFER_WIDTH -5 DOWNTO TRANSFER_WIDTH-8) := WRITE_AND_UPDATE_CHANNEL_N; vi.tx_data(TRANSFER_WIDTH -9 DOWNTO TRANSFER_WIDTH-12) := STD_LOGIC_VECTOR(vi.channel_count); vi.tx_data(TRANSFER_WIDTH -13 DOWNTO TRANSFER_WIDTH-28) := it_set_values(to_integer(vi.channel_count)); vi.tx_start := '1'; vi.state := wait_for_transfer_to_finish; WHEN wait_for_transfer_to_finish => IF sl_rx_done = '1' THEN vi.state := wait_for_next_transfer; vi.cycle_count := (OTHERS => '0'); END IF; WHEN wait_for_next_transfer => IF vi.cycle_count = 100 THEN vi.cycle_count := to_unsigned(0,7); IF vi.channel_count = NUMBER_OF_CHANNELS -1 THEN vi.channel_count := to_unsigned(0,CHANNEL_COUNT_WIDTH); ELSE vi.channel_count := vi.channel_count + 1; END IF; vi.state := idle; ELSE vi.cycle_count := vi.cycle_count + 1; END IF; WHEN OTHERS => vi.state := idle; END CASE; --reset IF isl_reset_n = '0' THEN vi.state := keep_clear_low; vi.tx_data := (OTHERS => '0'); vi.tx_start := '0'; vi.channel_count := to_unsigned(0,CHANNEL_COUNT_WIDTH); vi.cycle_count := (OTHERS => '0'); vi.CLR_n := '0'; END IF; -- setting outputs ri_next <= vi; END PROCESS comb_process; -------------------------------------------- -- registered process -------------------------------------------- reg_process: PROCESS (isl_clk) BEGIN IF rising_edge(isl_clk) THEN ri <= ri_next; END IF; END PROCESS reg_process; osl_LDAC_n <= ri.LDAC_n; osl_CLR_N <= ri.CLR_n; END ARCHITECTURE rtl;
-- SIMON 64/128 -- Simon core component (encryption and decryption presume pre-expansion of key to RAM) -- -- @Author: Jos Wetzels -- @Author: Wouter Bokslag -- -- Parameters: -- clk: clock -- rst: reset state -- enc: encrypt/decrypt mode -- key: key -- block_in: plaintext block -- block_out: ciphertext block -- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; entity simon is port(clk : in std_logic; rst : in std_logic_vector(1 downto 0); -- state indicator (11 = init, 01 = pre-expand, 00 = run) enc : in std_logic; -- (0 = enc, 1 = dec) key : in std_logic_vector(127 downto 0); block_in : in std_logic_vector(63 downto 0); block_out : out std_logic_vector(63 downto 0)); end simon; architecture Behavioral of simon is component round_f is port(v_in : in std_logic_vector(63 downto 0); v_k : in std_logic_vector(31 downto 0); v_out : out std_logic_vector(63 downto 0) ); end component; component key_schedule is port (r : in std_logic_vector(7 downto 0); k_0 : in std_logic_vector(31 downto 0); k_1 : in std_logic_vector(31 downto 0); k_3 : in std_logic_vector(31 downto 0); subkey_out : out std_logic_vector(31 downto 0)); end component; component ram is port (data_in : in std_logic_vector(31 downto 0); rw : in std_logic; clk : in std_logic; address : in std_logic_vector(7 downto 0); data_out : out std_logic_vector(31 downto 0)); end component; type key_t is array (0 to 3) of std_logic_vector(31 downto 0); signal r_s : std_logic_vector(7 downto 0); -- round index signal key_s : key_t; -- intermediate key (in words) signal subkey_out_s : std_logic_vector(31 downto 0); -- round key signal v_in_s : std_logic_vector(63 downto 0); -- intermediate 'plaintext' signal v_out_s : std_logic_vector(63 downto 0); -- intermediate 'ciphertext' signal ram_rw : std_logic; signal ram_data_out : std_logic_vector(31 downto 0); begin KEY_SCHEDULE_0 : key_schedule port map (r_s, key_s(0), key_s(1), key_s(3), subkey_out_s); ROUND_F_0 : round_f port map (v_in_s, ram_data_out, v_out_s); -- round index is used as ram address, round key is ram input RAM_0 : ram port map (subkey_out_s, ram_rw, clk, r_s, ram_data_out); pr_r : process(clk, rst) begin if rising_edge(clk) then -- initialization clock if rst = "11" then r_s <= (others => '0'); -- pre-expansion clock elsif rst = "01" then if (r_s = X"2B") then if (enc = '0') then r_s <= (others => '0'); else r_s <= X"2A"; end if; else r_s <= std_logic_vector(unsigned(r_s) + 1); end if; -- running clock else if enc = '0' then if r_s /= X"2B" then r_s <= std_logic_vector(unsigned(r_s) + 1); end if; else if r_s /= X"00" then r_s <= std_logic_vector(unsigned(r_s) - 1); end if; end if; end if; end if; end process; -- SIMON Core -- Take 2 clock cycle for initialization (since we route key through RAM) + 1 clock cycle per round for encryption/decryption pr_smn : process(clk, rst, enc, r_s, key, key_s, subkey_out_s, block_in, v_in_s, v_out_s, ram_rw, ram_data_out) begin if rising_edge(clk) then -- initalize if rst = "11" then if enc = '0' then v_in_s <= block_in; else v_in_s <= block_in(31 downto 0) & block_in(63 downto 32); end if; -- initialize intermediate key from master key key_s(0) <= key(31 downto 0); key_s(1) <= key(63 downto 32); key_s(2) <= key(95 downto 64); key_s(3) <= key(127 downto 96); -- write pre-expansion to RAM ram_rw <= '1'; -- pre-expansion (run 0x2C times, 0x2B to expand + 1 to put correct value on ram_data_out) elsif rst = "01" then -- intermediate key rotates for initial 4 key words, beyond that gets gradually filled in by expansion key_s(0) <= key_s(1); key_s(1) <= key_s(2); key_s(2) <= key_s(3); key_s(3) <= subkey_out_s; if r_s = X"2B" then ram_rw <= '0'; end if; -- run else v_in_s <= v_out_s; end if; end if; end process; block_out <= v_out_s when (enc = '0') else v_out_s(31 downto 0) & v_out_s(63 downto 32); end Behavioral;
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; use work.tl_string_util_pkg.all; library std; use std.textio.all; entity mb_model_tb is end entity; architecture test of mb_model_tb is signal clock : std_logic := '0'; signal reset : std_logic; signal io_addr : unsigned(31 downto 0); signal io_write : std_logic; signal io_read : std_logic; signal io_byte_en : std_logic_vector(3 downto 0); signal io_wdata : std_logic_vector(31 downto 0); signal io_rdata : std_logic_vector(31 downto 0) := (others => 'Z'); signal io_ack : std_logic := '0'; begin clock <= not clock after 10 ns; reset <= '1', '0' after 100 ns; model: entity work.mb_model port map ( clock => clock, reset => reset, io_addr => io_addr, io_byte_en=> io_byte_en, io_write => io_write, io_read => io_read, io_wdata => io_wdata, io_rdata => io_rdata, io_ack => io_ack ); -- memory and IO process(clock) variable s : line; variable char : character; variable byte : std_logic_vector(7 downto 0); begin if rising_edge(clock) then io_ack <= '0'; if io_write = '1' then io_ack <= '1'; case io_addr(19 downto 0) is when X"00000" => -- interrupt null; when X"00010" => -- UART_DATA byte := io_wdata(31 downto 24); char := character'val(to_integer(unsigned(byte))); if byte = X"0D" then -- Ignore character 13 elsif byte = X"0A" then -- Writeline on character 10 (newline) writeline(output, s); else -- Write to buffer write(s, char); end if; when others => report "I/O write to " & hstr(io_addr) & " dropped"; end case; elsif io_read = '1' then io_ack <= '1'; case io_addr(19 downto 0) is when X"0000C" => -- Capabilities io_rdata <= X"00000002"; when X"00012" => -- UART_FLAGS io_rdata <= X"40404040"; when X"2000A" => -- 1541_A memmap io_rdata <= X"3F3F3F3F"; when X"2000B" => -- 1541_A audiomap io_rdata <= X"3E3E3E3E"; when others => report "I/O read to " & hstr(io_addr) & " dropped"; io_rdata <= X"00000000"; end case; end if; end if; end process; end architecture;
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; use work.tl_string_util_pkg.all; library std; use std.textio.all; entity mb_model_tb is end entity; architecture test of mb_model_tb is signal clock : std_logic := '0'; signal reset : std_logic; signal io_addr : unsigned(31 downto 0); signal io_write : std_logic; signal io_read : std_logic; signal io_byte_en : std_logic_vector(3 downto 0); signal io_wdata : std_logic_vector(31 downto 0); signal io_rdata : std_logic_vector(31 downto 0) := (others => 'Z'); signal io_ack : std_logic := '0'; begin clock <= not clock after 10 ns; reset <= '1', '0' after 100 ns; model: entity work.mb_model port map ( clock => clock, reset => reset, io_addr => io_addr, io_byte_en=> io_byte_en, io_write => io_write, io_read => io_read, io_wdata => io_wdata, io_rdata => io_rdata, io_ack => io_ack ); -- memory and IO process(clock) variable s : line; variable char : character; variable byte : std_logic_vector(7 downto 0); begin if rising_edge(clock) then io_ack <= '0'; if io_write = '1' then io_ack <= '1'; case io_addr(19 downto 0) is when X"00000" => -- interrupt null; when X"00010" => -- UART_DATA byte := io_wdata(31 downto 24); char := character'val(to_integer(unsigned(byte))); if byte = X"0D" then -- Ignore character 13 elsif byte = X"0A" then -- Writeline on character 10 (newline) writeline(output, s); else -- Write to buffer write(s, char); end if; when others => report "I/O write to " & hstr(io_addr) & " dropped"; end case; elsif io_read = '1' then io_ack <= '1'; case io_addr(19 downto 0) is when X"0000C" => -- Capabilities io_rdata <= X"00000002"; when X"00012" => -- UART_FLAGS io_rdata <= X"40404040"; when X"2000A" => -- 1541_A memmap io_rdata <= X"3F3F3F3F"; when X"2000B" => -- 1541_A audiomap io_rdata <= X"3E3E3E3E"; when others => report "I/O read to " & hstr(io_addr) & " dropped"; io_rdata <= X"00000000"; end case; end if; end if; end process; end architecture;
-- ------------------------------------------------------------- -- -- Generated Configuration for inst_6_e -- -- Generated -- by: wig -- on: Fri Jul 15 13:54:30 2005 -- cmd: h:/work/eclipse/mix/mix_0.pl -nodelta ../macro.xls -- -- !!! Do not edit this file! Autogenerated by MIX !!! -- $Author: wig $ -- $Id: inst_6_e-rtl-conf-c.vhd,v 1.2 2005/07/15 16:20:01 wig Exp $ -- $Date: 2005/07/15 16:20:01 $ -- $Log: inst_6_e-rtl-conf-c.vhd,v $ -- Revision 1.2 2005/07/15 16:20:01 wig -- Update all testcases; still problems though -- -- -- Based on Mix Entity Template built into RCSfile: MixWriter.pm,v -- Id: MixWriter.pm,v 1.55 2005/07/13 15:38:34 wig Exp -- -- Generator: mix_0.pl Version: Revision: 1.36 , [email protected] -- (C) 2003 Micronas GmbH -- -- -------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; -- No project specific VHDL libraries/conf -- -- Start of Generated Configuration inst_6_e_rtl_conf / inst_6_e -- configuration inst_6_e_rtl_conf of inst_6_e is for rtl -- Generated Configuration end for; end inst_6_e_rtl_conf; -- -- End of Generated Configuration inst_6_e_rtl_conf -- -- --!End of Configuration/ies -- --------------------------------------------------------------
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity top is port ( clk_i: in std_logic; fx2_wr_full_i : in STD_LOGIC; fx2_rd_empty_i : in STD_LOGIC; fx2_data_io : inout STD_LOGIC_VECTOR (7 downto 0); fx2_fifo_addr_o : out STD_LOGIC_VECTOR (1 downto 0); fx2_slwr_o : out STD_LOGIC; fx2_slrd_o : out std_logic; fx2_sloe_o : out std_logic; fx2_slcs_o : out std_logic; fx2_pktend_o : out STD_LOGIC; sw_i: in std_logic_vector(7 downto 0); btn_i: in std_logic_vector(3 downto 0); led_o: out std_logic_vector(7 downto 0) ); end top; architecture Behavioral of top is component fx2async is Port( fx2_wr_full_i : in STD_LOGIC; fx2_rd_empty_i : in STD_LOGIC; fx2_data_io : inout STD_LOGIC_VECTOR (7 downto 0); fx2_fifo_addr_o : out STD_LOGIC_VECTOR (1 downto 0); fx2_slwr_o : out STD_LOGIC; fx2_slrd_o : out std_logic; fx2_sloe_o : out std_logic; fx2_slcs_o : out std_logic; fx2_pktend_o : out STD_LOGIC; usb_data_i : in std_logic_vector(7 downto 0); usb_data_o : out std_logic_vector(7 downto 0); usb_wr_en_i : in std_logic; usb_rd_en_i : in std_logic; usb_wr_strobe_o : out std_logic; usb_rd_strobe_o : out std_logic; usb_pktend_i : in std_logic; usb_pktstart_o : out std_logic; clk_i : in std_logic; rst_i : in std_logic ); end component; signal usb_txd : std_logic_vector(7 downto 0); signal usb_rxd : std_logic_vector(7 downto 0); signal usb_wr : std_logic; signal usb_rd : std_logic; signal usb_wr_strobe : std_logic; signal usb_rd_strobe : std_logic; signal usb_pktend : std_logic; signal usb_pktstart: std_logic; signal rst : std_logic := '1'; signal delay_cnt : std_logic_vector(15 downto 0) := (others => '0'); signal sw_r1 : std_logic_vector(7 downto 0); signal sw_r2 : std_logic_vector(7 downto 0); begin fx2async_inst : fx2async port map ( -- einfach durchreichen... fx2_wr_full_i => fx2_wr_full_i, fx2_rd_empty_i => fx2_rd_empty_i, fx2_data_io => fx2_data_io, fx2_fifo_addr_o => fx2_fifo_addr_o, fx2_slwr_o => fx2_slwr_o, fx2_slrd_o => fx2_slrd_o, fx2_sloe_o => fx2_sloe_o, fx2_slcs_o => fx2_slcs_o, fx2_pktend_o => fx2_pktend_o, -- user interface zum USB interface usb_data_i => usb_txd, usb_data_o => usb_rxd, usb_wr_en_i => usb_wr, usb_rd_en_i => usb_rd, usb_wr_strobe_o => usb_wr_strobe, usb_rd_strobe_o => usb_rd_strobe, usb_pktend_i => usb_pktend, usb_pktstart_o => usb_pktstart, clk_i => clk_i, rst_i => rst ); process begin wait until rising_edge(clk_i); -- reset generator for usb if if delay_cnt /= x"ffff" then delay_cnt <= delay_cnt + 1; rst <= '1'; else rst <= '0'; end if; -- we like well defined init states! if rst = '1' then usb_wr <= '0'; usb_rd <= '0'; usb_pktend <= '0'; else -- always receive usb_rd <= '1'; -- got a byte if usb_rd_strobe = '1' then -- output 1st byte on LEDs if usb_pktstart = '1' then led_o <= usb_rxd; end if; end if; -- always send usb_wr <= '1'; -- sync switches sw_r1 <= sw_i; sw_r2 <= sw_r1; -- send switches usb_txd <= sw_r2; end if; end process; end Behavioral;
LIBRARY IEEE; USE IEEE.std_logic_1164.ALL; USE IEEE.numeric_std.ALL; -- -- Something not assigned by index at the end and then whole signal assigned. -- ENTITY AssignToASliceOfReg3a IS PORT( clk : IN STD_LOGIC; data_in_addr : IN STD_LOGIC_VECTOR(1 DOWNTO 0); data_in_data : IN STD_LOGIC_VECTOR(7 DOWNTO 0); data_in_mask : IN STD_LOGIC_VECTOR(7 DOWNTO 0); data_in_rd : OUT STD_LOGIC; data_in_vld : IN STD_LOGIC; data_out : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); rst_n : IN STD_LOGIC ); END ENTITY; ARCHITECTURE rtl OF AssignToASliceOfReg3a IS SIGNAL r : STD_LOGIC_VECTOR(31 DOWNTO 0) := X"00000000"; SIGNAL r_next : STD_LOGIC_VECTOR(31 DOWNTO 0); SIGNAL r_next_15downto8 : STD_LOGIC_VECTOR(7 DOWNTO 0); SIGNAL r_next_23downto16 : STD_LOGIC_VECTOR(7 DOWNTO 0); SIGNAL r_next_31downto24 : STD_LOGIC_VECTOR(7 DOWNTO 0); SIGNAL r_next_7downto0 : STD_LOGIC_VECTOR(7 DOWNTO 0); BEGIN data_in_rd <= '1'; data_out <= r; assig_process_r: PROCESS(clk) BEGIN IF RISING_EDGE(clk) THEN IF rst_n = '0' THEN r <= X"00000000"; ELSE r <= r_next; END IF; END IF; END PROCESS; r_next <= r_next_31downto24 & r_next_23downto16 & r_next_15downto8 & r_next_7downto0; assig_process_r_next_15downto8: PROCESS(data_in_addr, data_in_data, r) BEGIN CASE data_in_addr IS WHEN "00" => r_next_7downto0 <= data_in_data; r_next_15downto8 <= r(15 DOWNTO 8); r_next_23downto16 <= r(23 DOWNTO 16); r_next_31downto24 <= r(31 DOWNTO 24); WHEN "01" => r_next_15downto8 <= data_in_data; r_next_23downto16 <= r(23 DOWNTO 16); r_next_31downto24 <= r(31 DOWNTO 24); r_next_7downto0 <= r(7 DOWNTO 0); WHEN "10" => r_next_23downto16 <= data_in_data; r_next_15downto8 <= r(15 DOWNTO 8); r_next_31downto24 <= r(31 DOWNTO 24); r_next_7downto0 <= r(7 DOWNTO 0); WHEN OTHERS => r_next_7downto0 <= X"7B"; r_next_15downto8 <= X"00"; r_next_23downto16 <= X"00"; r_next_31downto24 <= X"00"; END CASE; END PROCESS; END ARCHITECTURE;
-------------------------------------------------------------------------------- -- Title : Data unit of DMA controller -- Project : 16z002-01 -------------------------------------------------------------------------------- -- File : vme_dma_du.vhd -- Author : [email protected] -- Organization : MEN Mikro Elektronik GmbH -- Created : 17/09/03 -------------------------------------------------------------------------------- -- Simulator : Modelsim PE 6.6 -- Synthesis : Quartus 15.1 -------------------------------------------------------------------------------- -- Description : -- -- This module consists of the data switching for the dma. -------------------------------------------------------------------------------- -- Hierarchy: -- -- wbb2vme -- vme_dma -- vme_dma_du -------------------------------------------------------------------------------- -- Copyright (c) 2016, MEN Mikro Elektronik GmbH -- -- This program is free software: you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation, either version 3 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program. If not, see <http://www.gnu.org/licenses/>. -------------------------------------------------------------------------------- -- History: -------------------------------------------------------------------------------- -- $Revision: 1.2 $ -- -- $Log: vme_dma_du.vhd,v $ -- Revision 1.2 2013/09/12 08:45:25 mmiehling -- added bit 8 of tga for address modifier extension (supervisory, non-privileged data/program) -- -- Revision 1.1 2012/03/29 10:14:44 MMiehling -- Initial Revision -- -- Revision 1.4 2006/05/18 14:02:22 MMiehling -- changed comment -- -- Revision 1.1 2005/10/28 17:52:24 mmiehling -- Initial Revision -- -- Revision 1.3 2004/08/13 15:41:12 mmiehling -- removed dma-slave and improved timing -- -- Revision 1.2 2004/07/27 17:23:22 mmiehling -- removed slave port -- -- Revision 1.1 2004/07/15 09:28:50 MMiehling -- Initial Revision -- -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; ENTITY vme_dma_du IS PORT ( rst : IN std_logic; clk : IN std_logic; dma_sta : IN std_logic_vector(9 DOWNTO 0); irq_o : OUT std_logic; -- irq for cpu; asserted when done or error (if enabled) arbit_slv : IN std_logic; -- if set, dma_slv has access and vica verse slv_ack : IN std_logic; -- if set, write from slave side will be done mstr_ack : IN std_logic; -- if set, write from master side will be done -- slave signals adr_i : IN std_logic_vector(6 DOWNTO 2); sel_i : IN std_logic_vector(3 DOWNTO 0); slv_dat_i : IN std_logic_vector(31 DOWNTO 0); slv_dat_o : OUT std_logic_vector(31 DOWNTO 0); we_i : IN std_logic; ack_o : IN std_logic; -- wb_master singals adr_o : IN std_logic_vector(6 DOWNTO 2); mstr_dat_i : IN std_logic_vector(31 DOWNTO 0); -- vme_dma_au dma_act_bd : IN std_logic_vector(7 DOWNTO 4); -- active bd number dma_dest_adr : OUT std_logic_vector(31 DOWNTO 2); -- active bd destination adress dma_sour_adr : OUT std_logic_vector(31 DOWNTO 2); -- active bd source adress dma_sour_device : OUT std_logic_vector(2 DOWNTO 0); -- selects the source device dma_dest_device : OUT std_logic_vector(2 DOWNTO 0); -- selects the destination device dma_vme_am : OUT std_logic_vector(4 DOWNTO 0); -- type of dma transmission blk_sgl : OUT std_logic; -- indicates if DMA transfer should be done as block or single accesses inc_sour : OUT std_logic; -- indicates if source adress should be incremented inc_dest : OUT std_logic; -- indicates if destination adress should be incremented dma_size : OUT std_logic_vector(15 DOWNTO 0); -- size of data package clr_dma_act_bd : OUT std_logic; -- clears dma_act_bd if dma_mstr has done without error or -- when dma_err will be cleared -- dma_mstr set_dma_err : IN std_logic; -- sets dma error bit if vme error clr_dma_en : IN std_logic; -- clears dma en bit if dma_mstr has done dma_en : OUT std_logic; -- starts dma_mstr, if 0 => clears dma_act_bd counter dma_null : OUT std_logic; -- indicates the last bd en_mstr_dat_i_reg : IN std_logic -- enable for data in ); END vme_dma_du; ARCHITECTURE vme_dma_du_arch OF vme_dma_du IS SIGNAL dma_sta_int : std_logic_vector(7 DOWNTO 0); SIGNAL act_bd_conf_int : std_logic_vector(31 DOWNTO 0); SIGNAL int_data : std_logic_vector(31 DOWNTO 0); SIGNAL int_adr : std_logic_vector(6 DOWNTO 2); SIGNAL dma_err : std_logic; SIGNAL dma_irq : std_logic; SIGNAL dma_ien : std_logic; SIGNAL dma_en_int : std_logic; SIGNAL write_flag_mstr : std_logic; SIGNAL mstr_dat_i_reg : std_logic_vector(31 DOWNTO 0); SIGNAL dma_dest_adr_int : std_logic_vector(31 DOWNTO 0); SIGNAL dma_sour_adr_int : std_logic_vector(31 DOWNTO 0); SIGNAL dma_size_reg : std_logic_vector(15 DOWNTO 0); BEGIN clr_dma_act_bd <= '1' WHEN (clr_dma_en = '1' AND set_dma_err = '0') OR dma_sta(9) = '1' ELSE '0'; dma_en <= dma_sta(0); irq_o <= dma_sta(2); dma_dest_adr <= dma_dest_adr_int(31 DOWNTO 2); dma_sour_adr <= dma_sour_adr_int(31 DOWNTO 2); dma_size <= dma_size_reg; dma_sour_device <= act_bd_conf_int(18 DOWNTO 16); dma_dest_device <= act_bd_conf_int(14 DOWNTO 12); dma_vme_am <= act_bd_conf_int(8 DOWNTO 4); blk_sgl <= '1' WHEN act_bd_conf_int(7 DOWNTO 4) = "0001" or act_bd_conf_int(7 DOWNTO 4) = "0101" ELSE -- A16 does not provide block mode => always single will be selected '0' WHEN act_bd_conf_int(7 DOWNTO 4) = "1100" ELSE -- A24D64 does not provide single mode => always block will be selected '0' WHEN act_bd_conf_int(7 DOWNTO 4) = "1110" ELSE -- A32D64 does not provide single mode => always block will be selected act_bd_conf_int(3); inc_sour <= act_bd_conf_int(2); inc_dest <= act_bd_conf_int(1); dma_null <= act_bd_conf_int(0); int_data <= mstr_dat_i_reg; int_adr <= adr_o; write_flag_mstr <= '1' WHEN mstr_ack = '1' ELSE '0'; slv_dat_o <= (OTHERS => '0'); outdec : PROCESS(clk, rst) BEGIN IF rst = '1' THEN mstr_dat_i_reg <= (OTHERS => '0'); ELSIF clk'EVENT AND clk = '1' THEN IF en_mstr_dat_i_reg = '1' THEN mstr_dat_i_reg <= mstr_dat_i; END IF; END IF; END PROCESS outdec; -- dma_dest_adr_int 0x48 dest : PROCESS(clk, rst) BEGIN IF rst = '1' THEN dma_dest_adr_int <= (OTHERS => '0'); ELSIF clk'EVENT AND clk = '1' THEN IF (write_flag_mstr = '1' AND int_adr(3 DOWNTO 2) = "00") THEN dma_dest_adr_int(31 DOWNTO 0) <= int_data(31 DOWNTO 0); END IF; END IF; END PROCESS dest; -- dma_sour_adr_int 0x4c sour: PROCESS(clk, rst) BEGIN IF rst = '1' THEN dma_sour_adr_int <= (OTHERS => '0'); ELSIF clk'EVENT AND clk = '1' THEN IF (write_flag_mstr = '1' AND int_adr(3 DOWNTO 2) = "01") THEN dma_sour_adr_int(31 DOWNTO 0) <= int_data(31 DOWNTO 0); END IF; END IF; END PROCESS sour; -- dma_size 0x50 siz : PROCESS(clk, rst) BEGIN IF rst = '1' THEN dma_size_reg <= (OTHERS => '0'); ELSIF clk'EVENT AND clk = '1' THEN IF (write_flag_mstr = '1' AND int_adr(3 DOWNTO 2) = "10") THEN dma_size_reg(15 DOWNTO 0) <= int_data(15 DOWNTO 0); END IF; END IF; END PROCESS siz; -- act_bd_conf_int 0x54 conf: PROCESS(clk, rst) BEGIN IF rst = '1' THEN act_bd_conf_int <= (OTHERS => '0'); ELSIF clk'EVENT AND clk = '1' THEN IF (write_flag_mstr = '1' AND int_adr(3 DOWNTO 2) = "11") THEN act_bd_conf_int(31 DOWNTO 0) <= int_data(31 DOWNTO 0); END IF; END IF; END PROCESS conf; END vme_dma_du_arch;
-- This file is automatically generated by a matlab script -- -- Do not modify directly! -- library ieee; use ieee.std_logic_1164.all; use IEEE.STD_LOGIC_arith.all; use IEEE.STD_LOGIC_signed.all; package sine_lut_pkg is constant PHASE_WIDTH : integer := 12; constant AMPL_WIDTH : integer := 10; type lut_type is array(0 to 2**(PHASE_WIDTH-2)-1) of std_logic_vector(AMPL_WIDTH-1 downto 0); constant sine_lut : lut_type := ( conv_std_logic_vector(0,AMPL_WIDTH), conv_std_logic_vector(1,AMPL_WIDTH), conv_std_logic_vector(2,AMPL_WIDTH), conv_std_logic_vector(2,AMPL_WIDTH), conv_std_logic_vector(3,AMPL_WIDTH), conv_std_logic_vector(4,AMPL_WIDTH), conv_std_logic_vector(5,AMPL_WIDTH), conv_std_logic_vector(5,AMPL_WIDTH), conv_std_logic_vector(6,AMPL_WIDTH), conv_std_logic_vector(7,AMPL_WIDTH), conv_std_logic_vector(8,AMPL_WIDTH), conv_std_logic_vector(9,AMPL_WIDTH), conv_std_logic_vector(9,AMPL_WIDTH), conv_std_logic_vector(10,AMPL_WIDTH), conv_std_logic_vector(11,AMPL_WIDTH), conv_std_logic_vector(12,AMPL_WIDTH), conv_std_logic_vector(13,AMPL_WIDTH), conv_std_logic_vector(13,AMPL_WIDTH), conv_std_logic_vector(14,AMPL_WIDTH), conv_std_logic_vector(15,AMPL_WIDTH), conv_std_logic_vector(16,AMPL_WIDTH), conv_std_logic_vector(16,AMPL_WIDTH), conv_std_logic_vector(17,AMPL_WIDTH), conv_std_logic_vector(18,AMPL_WIDTH), conv_std_logic_vector(19,AMPL_WIDTH), conv_std_logic_vector(20,AMPL_WIDTH), conv_std_logic_vector(20,AMPL_WIDTH), conv_std_logic_vector(21,AMPL_WIDTH), conv_std_logic_vector(22,AMPL_WIDTH), conv_std_logic_vector(23,AMPL_WIDTH), conv_std_logic_vector(24,AMPL_WIDTH), conv_std_logic_vector(24,AMPL_WIDTH), conv_std_logic_vector(25,AMPL_WIDTH), conv_std_logic_vector(26,AMPL_WIDTH), conv_std_logic_vector(27,AMPL_WIDTH), conv_std_logic_vector(27,AMPL_WIDTH), conv_std_logic_vector(28,AMPL_WIDTH), conv_std_logic_vector(29,AMPL_WIDTH), conv_std_logic_vector(30,AMPL_WIDTH), conv_std_logic_vector(31,AMPL_WIDTH), conv_std_logic_vector(31,AMPL_WIDTH), conv_std_logic_vector(32,AMPL_WIDTH), conv_std_logic_vector(33,AMPL_WIDTH), conv_std_logic_vector(34,AMPL_WIDTH), conv_std_logic_vector(34,AMPL_WIDTH), conv_std_logic_vector(35,AMPL_WIDTH), conv_std_logic_vector(36,AMPL_WIDTH), conv_std_logic_vector(37,AMPL_WIDTH), conv_std_logic_vector(38,AMPL_WIDTH), conv_std_logic_vector(38,AMPL_WIDTH), conv_std_logic_vector(39,AMPL_WIDTH), conv_std_logic_vector(40,AMPL_WIDTH), conv_std_logic_vector(41,AMPL_WIDTH), conv_std_logic_vector(41,AMPL_WIDTH), conv_std_logic_vector(42,AMPL_WIDTH), conv_std_logic_vector(43,AMPL_WIDTH), conv_std_logic_vector(44,AMPL_WIDTH), conv_std_logic_vector(45,AMPL_WIDTH), conv_std_logic_vector(45,AMPL_WIDTH), conv_std_logic_vector(46,AMPL_WIDTH), conv_std_logic_vector(47,AMPL_WIDTH), conv_std_logic_vector(48,AMPL_WIDTH), conv_std_logic_vector(49,AMPL_WIDTH), conv_std_logic_vector(49,AMPL_WIDTH), conv_std_logic_vector(50,AMPL_WIDTH), conv_std_logic_vector(51,AMPL_WIDTH), conv_std_logic_vector(52,AMPL_WIDTH), conv_std_logic_vector(52,AMPL_WIDTH), conv_std_logic_vector(53,AMPL_WIDTH), conv_std_logic_vector(54,AMPL_WIDTH), conv_std_logic_vector(55,AMPL_WIDTH), conv_std_logic_vector(56,AMPL_WIDTH), conv_std_logic_vector(56,AMPL_WIDTH), conv_std_logic_vector(57,AMPL_WIDTH), conv_std_logic_vector(58,AMPL_WIDTH), conv_std_logic_vector(59,AMPL_WIDTH), conv_std_logic_vector(59,AMPL_WIDTH), conv_std_logic_vector(60,AMPL_WIDTH), conv_std_logic_vector(61,AMPL_WIDTH), conv_std_logic_vector(62,AMPL_WIDTH), conv_std_logic_vector(63,AMPL_WIDTH), conv_std_logic_vector(63,AMPL_WIDTH), conv_std_logic_vector(64,AMPL_WIDTH), conv_std_logic_vector(65,AMPL_WIDTH), conv_std_logic_vector(66,AMPL_WIDTH), conv_std_logic_vector(66,AMPL_WIDTH), conv_std_logic_vector(67,AMPL_WIDTH), conv_std_logic_vector(68,AMPL_WIDTH), conv_std_logic_vector(69,AMPL_WIDTH), conv_std_logic_vector(70,AMPL_WIDTH), conv_std_logic_vector(70,AMPL_WIDTH), conv_std_logic_vector(71,AMPL_WIDTH), conv_std_logic_vector(72,AMPL_WIDTH), conv_std_logic_vector(73,AMPL_WIDTH), conv_std_logic_vector(73,AMPL_WIDTH), conv_std_logic_vector(74,AMPL_WIDTH), conv_std_logic_vector(75,AMPL_WIDTH), conv_std_logic_vector(76,AMPL_WIDTH), conv_std_logic_vector(77,AMPL_WIDTH), conv_std_logic_vector(77,AMPL_WIDTH), conv_std_logic_vector(78,AMPL_WIDTH), conv_std_logic_vector(79,AMPL_WIDTH), conv_std_logic_vector(80,AMPL_WIDTH), conv_std_logic_vector(80,AMPL_WIDTH), conv_std_logic_vector(81,AMPL_WIDTH), conv_std_logic_vector(82,AMPL_WIDTH), conv_std_logic_vector(83,AMPL_WIDTH), conv_std_logic_vector(83,AMPL_WIDTH), conv_std_logic_vector(84,AMPL_WIDTH), conv_std_logic_vector(85,AMPL_WIDTH), conv_std_logic_vector(86,AMPL_WIDTH), conv_std_logic_vector(87,AMPL_WIDTH), conv_std_logic_vector(87,AMPL_WIDTH), conv_std_logic_vector(88,AMPL_WIDTH), conv_std_logic_vector(89,AMPL_WIDTH), conv_std_logic_vector(90,AMPL_WIDTH), conv_std_logic_vector(90,AMPL_WIDTH), conv_std_logic_vector(91,AMPL_WIDTH), conv_std_logic_vector(92,AMPL_WIDTH), conv_std_logic_vector(93,AMPL_WIDTH), conv_std_logic_vector(94,AMPL_WIDTH), conv_std_logic_vector(94,AMPL_WIDTH), conv_std_logic_vector(95,AMPL_WIDTH), conv_std_logic_vector(96,AMPL_WIDTH), conv_std_logic_vector(97,AMPL_WIDTH), conv_std_logic_vector(97,AMPL_WIDTH), conv_std_logic_vector(98,AMPL_WIDTH), conv_std_logic_vector(99,AMPL_WIDTH), conv_std_logic_vector(100,AMPL_WIDTH), conv_std_logic_vector(100,AMPL_WIDTH), conv_std_logic_vector(101,AMPL_WIDTH), conv_std_logic_vector(102,AMPL_WIDTH), conv_std_logic_vector(103,AMPL_WIDTH), conv_std_logic_vector(104,AMPL_WIDTH), conv_std_logic_vector(104,AMPL_WIDTH), conv_std_logic_vector(105,AMPL_WIDTH), conv_std_logic_vector(106,AMPL_WIDTH), conv_std_logic_vector(107,AMPL_WIDTH), conv_std_logic_vector(107,AMPL_WIDTH), conv_std_logic_vector(108,AMPL_WIDTH), conv_std_logic_vector(109,AMPL_WIDTH), conv_std_logic_vector(110,AMPL_WIDTH), conv_std_logic_vector(110,AMPL_WIDTH), conv_std_logic_vector(111,AMPL_WIDTH), conv_std_logic_vector(112,AMPL_WIDTH), conv_std_logic_vector(113,AMPL_WIDTH), conv_std_logic_vector(113,AMPL_WIDTH), conv_std_logic_vector(114,AMPL_WIDTH), conv_std_logic_vector(115,AMPL_WIDTH), conv_std_logic_vector(116,AMPL_WIDTH), conv_std_logic_vector(117,AMPL_WIDTH), conv_std_logic_vector(117,AMPL_WIDTH), conv_std_logic_vector(118,AMPL_WIDTH), conv_std_logic_vector(119,AMPL_WIDTH), conv_std_logic_vector(120,AMPL_WIDTH), conv_std_logic_vector(120,AMPL_WIDTH), conv_std_logic_vector(121,AMPL_WIDTH), conv_std_logic_vector(122,AMPL_WIDTH), conv_std_logic_vector(123,AMPL_WIDTH), conv_std_logic_vector(123,AMPL_WIDTH), conv_std_logic_vector(124,AMPL_WIDTH), conv_std_logic_vector(125,AMPL_WIDTH), conv_std_logic_vector(126,AMPL_WIDTH), conv_std_logic_vector(126,AMPL_WIDTH), conv_std_logic_vector(127,AMPL_WIDTH), conv_std_logic_vector(128,AMPL_WIDTH), conv_std_logic_vector(129,AMPL_WIDTH), conv_std_logic_vector(129,AMPL_WIDTH), conv_std_logic_vector(130,AMPL_WIDTH), conv_std_logic_vector(131,AMPL_WIDTH), conv_std_logic_vector(132,AMPL_WIDTH), conv_std_logic_vector(133,AMPL_WIDTH), conv_std_logic_vector(133,AMPL_WIDTH), conv_std_logic_vector(134,AMPL_WIDTH), conv_std_logic_vector(135,AMPL_WIDTH), conv_std_logic_vector(136,AMPL_WIDTH), conv_std_logic_vector(136,AMPL_WIDTH), conv_std_logic_vector(137,AMPL_WIDTH), conv_std_logic_vector(138,AMPL_WIDTH), conv_std_logic_vector(139,AMPL_WIDTH), conv_std_logic_vector(139,AMPL_WIDTH), conv_std_logic_vector(140,AMPL_WIDTH), conv_std_logic_vector(141,AMPL_WIDTH), conv_std_logic_vector(142,AMPL_WIDTH), conv_std_logic_vector(142,AMPL_WIDTH), conv_std_logic_vector(143,AMPL_WIDTH), conv_std_logic_vector(144,AMPL_WIDTH), conv_std_logic_vector(145,AMPL_WIDTH), conv_std_logic_vector(145,AMPL_WIDTH), conv_std_logic_vector(146,AMPL_WIDTH), conv_std_logic_vector(147,AMPL_WIDTH), conv_std_logic_vector(148,AMPL_WIDTH), conv_std_logic_vector(148,AMPL_WIDTH), conv_std_logic_vector(149,AMPL_WIDTH), conv_std_logic_vector(150,AMPL_WIDTH), conv_std_logic_vector(151,AMPL_WIDTH), conv_std_logic_vector(151,AMPL_WIDTH), conv_std_logic_vector(152,AMPL_WIDTH), conv_std_logic_vector(153,AMPL_WIDTH), conv_std_logic_vector(154,AMPL_WIDTH), conv_std_logic_vector(154,AMPL_WIDTH), conv_std_logic_vector(155,AMPL_WIDTH), conv_std_logic_vector(156,AMPL_WIDTH), conv_std_logic_vector(157,AMPL_WIDTH), conv_std_logic_vector(157,AMPL_WIDTH), conv_std_logic_vector(158,AMPL_WIDTH), conv_std_logic_vector(159,AMPL_WIDTH), conv_std_logic_vector(160,AMPL_WIDTH), conv_std_logic_vector(160,AMPL_WIDTH), conv_std_logic_vector(161,AMPL_WIDTH), conv_std_logic_vector(162,AMPL_WIDTH), conv_std_logic_vector(163,AMPL_WIDTH), conv_std_logic_vector(163,AMPL_WIDTH), conv_std_logic_vector(164,AMPL_WIDTH), conv_std_logic_vector(165,AMPL_WIDTH), conv_std_logic_vector(165,AMPL_WIDTH), conv_std_logic_vector(166,AMPL_WIDTH), conv_std_logic_vector(167,AMPL_WIDTH), conv_std_logic_vector(168,AMPL_WIDTH), conv_std_logic_vector(168,AMPL_WIDTH), conv_std_logic_vector(169,AMPL_WIDTH), conv_std_logic_vector(170,AMPL_WIDTH), conv_std_logic_vector(171,AMPL_WIDTH), conv_std_logic_vector(171,AMPL_WIDTH), conv_std_logic_vector(172,AMPL_WIDTH), conv_std_logic_vector(173,AMPL_WIDTH), conv_std_logic_vector(174,AMPL_WIDTH), conv_std_logic_vector(174,AMPL_WIDTH), conv_std_logic_vector(175,AMPL_WIDTH), conv_std_logic_vector(176,AMPL_WIDTH), conv_std_logic_vector(177,AMPL_WIDTH), conv_std_logic_vector(177,AMPL_WIDTH), conv_std_logic_vector(178,AMPL_WIDTH), conv_std_logic_vector(179,AMPL_WIDTH), conv_std_logic_vector(180,AMPL_WIDTH), conv_std_logic_vector(180,AMPL_WIDTH), conv_std_logic_vector(181,AMPL_WIDTH), conv_std_logic_vector(182,AMPL_WIDTH), conv_std_logic_vector(182,AMPL_WIDTH), conv_std_logic_vector(183,AMPL_WIDTH), conv_std_logic_vector(184,AMPL_WIDTH), conv_std_logic_vector(185,AMPL_WIDTH), conv_std_logic_vector(185,AMPL_WIDTH), conv_std_logic_vector(186,AMPL_WIDTH), conv_std_logic_vector(187,AMPL_WIDTH), conv_std_logic_vector(188,AMPL_WIDTH), conv_std_logic_vector(188,AMPL_WIDTH), conv_std_logic_vector(189,AMPL_WIDTH), conv_std_logic_vector(190,AMPL_WIDTH), conv_std_logic_vector(190,AMPL_WIDTH), conv_std_logic_vector(191,AMPL_WIDTH), conv_std_logic_vector(192,AMPL_WIDTH), conv_std_logic_vector(193,AMPL_WIDTH), conv_std_logic_vector(193,AMPL_WIDTH), conv_std_logic_vector(194,AMPL_WIDTH), conv_std_logic_vector(195,AMPL_WIDTH), conv_std_logic_vector(196,AMPL_WIDTH), conv_std_logic_vector(196,AMPL_WIDTH), conv_std_logic_vector(197,AMPL_WIDTH), conv_std_logic_vector(198,AMPL_WIDTH), conv_std_logic_vector(198,AMPL_WIDTH), conv_std_logic_vector(199,AMPL_WIDTH), conv_std_logic_vector(200,AMPL_WIDTH), conv_std_logic_vector(201,AMPL_WIDTH), conv_std_logic_vector(201,AMPL_WIDTH), conv_std_logic_vector(202,AMPL_WIDTH), conv_std_logic_vector(203,AMPL_WIDTH), conv_std_logic_vector(203,AMPL_WIDTH), conv_std_logic_vector(204,AMPL_WIDTH), conv_std_logic_vector(205,AMPL_WIDTH), conv_std_logic_vector(206,AMPL_WIDTH), conv_std_logic_vector(206,AMPL_WIDTH), conv_std_logic_vector(207,AMPL_WIDTH), conv_std_logic_vector(208,AMPL_WIDTH), conv_std_logic_vector(209,AMPL_WIDTH), conv_std_logic_vector(209,AMPL_WIDTH), conv_std_logic_vector(210,AMPL_WIDTH), conv_std_logic_vector(211,AMPL_WIDTH), conv_std_logic_vector(211,AMPL_WIDTH), conv_std_logic_vector(212,AMPL_WIDTH), conv_std_logic_vector(213,AMPL_WIDTH), conv_std_logic_vector(214,AMPL_WIDTH), conv_std_logic_vector(214,AMPL_WIDTH), conv_std_logic_vector(215,AMPL_WIDTH), conv_std_logic_vector(216,AMPL_WIDTH), conv_std_logic_vector(216,AMPL_WIDTH), conv_std_logic_vector(217,AMPL_WIDTH), conv_std_logic_vector(218,AMPL_WIDTH), conv_std_logic_vector(218,AMPL_WIDTH), conv_std_logic_vector(219,AMPL_WIDTH), conv_std_logic_vector(220,AMPL_WIDTH), conv_std_logic_vector(221,AMPL_WIDTH), conv_std_logic_vector(221,AMPL_WIDTH), conv_std_logic_vector(222,AMPL_WIDTH), conv_std_logic_vector(223,AMPL_WIDTH), conv_std_logic_vector(223,AMPL_WIDTH), conv_std_logic_vector(224,AMPL_WIDTH), conv_std_logic_vector(225,AMPL_WIDTH), conv_std_logic_vector(226,AMPL_WIDTH), conv_std_logic_vector(226,AMPL_WIDTH), conv_std_logic_vector(227,AMPL_WIDTH), conv_std_logic_vector(228,AMPL_WIDTH), conv_std_logic_vector(228,AMPL_WIDTH), conv_std_logic_vector(229,AMPL_WIDTH), conv_std_logic_vector(230,AMPL_WIDTH), conv_std_logic_vector(230,AMPL_WIDTH), conv_std_logic_vector(231,AMPL_WIDTH), conv_std_logic_vector(232,AMPL_WIDTH), conv_std_logic_vector(233,AMPL_WIDTH), conv_std_logic_vector(233,AMPL_WIDTH), conv_std_logic_vector(234,AMPL_WIDTH), conv_std_logic_vector(235,AMPL_WIDTH), conv_std_logic_vector(235,AMPL_WIDTH), conv_std_logic_vector(236,AMPL_WIDTH), conv_std_logic_vector(237,AMPL_WIDTH), conv_std_logic_vector(237,AMPL_WIDTH), conv_std_logic_vector(238,AMPL_WIDTH), conv_std_logic_vector(239,AMPL_WIDTH), conv_std_logic_vector(239,AMPL_WIDTH), conv_std_logic_vector(240,AMPL_WIDTH), conv_std_logic_vector(241,AMPL_WIDTH), conv_std_logic_vector(242,AMPL_WIDTH), conv_std_logic_vector(242,AMPL_WIDTH), conv_std_logic_vector(243,AMPL_WIDTH), conv_std_logic_vector(244,AMPL_WIDTH), conv_std_logic_vector(244,AMPL_WIDTH), conv_std_logic_vector(245,AMPL_WIDTH), conv_std_logic_vector(246,AMPL_WIDTH), conv_std_logic_vector(246,AMPL_WIDTH), conv_std_logic_vector(247,AMPL_WIDTH), conv_std_logic_vector(248,AMPL_WIDTH), conv_std_logic_vector(248,AMPL_WIDTH), conv_std_logic_vector(249,AMPL_WIDTH), conv_std_logic_vector(250,AMPL_WIDTH), conv_std_logic_vector(251,AMPL_WIDTH), conv_std_logic_vector(251,AMPL_WIDTH), conv_std_logic_vector(252,AMPL_WIDTH), conv_std_logic_vector(253,AMPL_WIDTH), conv_std_logic_vector(253,AMPL_WIDTH), conv_std_logic_vector(254,AMPL_WIDTH), conv_std_logic_vector(255,AMPL_WIDTH), conv_std_logic_vector(255,AMPL_WIDTH), conv_std_logic_vector(256,AMPL_WIDTH), conv_std_logic_vector(257,AMPL_WIDTH), conv_std_logic_vector(257,AMPL_WIDTH), conv_std_logic_vector(258,AMPL_WIDTH), conv_std_logic_vector(259,AMPL_WIDTH), conv_std_logic_vector(259,AMPL_WIDTH), conv_std_logic_vector(260,AMPL_WIDTH), conv_std_logic_vector(261,AMPL_WIDTH), conv_std_logic_vector(261,AMPL_WIDTH), conv_std_logic_vector(262,AMPL_WIDTH), conv_std_logic_vector(263,AMPL_WIDTH), conv_std_logic_vector(263,AMPL_WIDTH), conv_std_logic_vector(264,AMPL_WIDTH), conv_std_logic_vector(265,AMPL_WIDTH), conv_std_logic_vector(265,AMPL_WIDTH), conv_std_logic_vector(266,AMPL_WIDTH), conv_std_logic_vector(267,AMPL_WIDTH), conv_std_logic_vector(267,AMPL_WIDTH), conv_std_logic_vector(268,AMPL_WIDTH), conv_std_logic_vector(269,AMPL_WIDTH), conv_std_logic_vector(269,AMPL_WIDTH), conv_std_logic_vector(270,AMPL_WIDTH), conv_std_logic_vector(271,AMPL_WIDTH), conv_std_logic_vector(271,AMPL_WIDTH), conv_std_logic_vector(272,AMPL_WIDTH), conv_std_logic_vector(273,AMPL_WIDTH), conv_std_logic_vector(273,AMPL_WIDTH), conv_std_logic_vector(274,AMPL_WIDTH), conv_std_logic_vector(275,AMPL_WIDTH), conv_std_logic_vector(275,AMPL_WIDTH), conv_std_logic_vector(276,AMPL_WIDTH), conv_std_logic_vector(277,AMPL_WIDTH), conv_std_logic_vector(277,AMPL_WIDTH), conv_std_logic_vector(278,AMPL_WIDTH), conv_std_logic_vector(279,AMPL_WIDTH), conv_std_logic_vector(279,AMPL_WIDTH), conv_std_logic_vector(280,AMPL_WIDTH), conv_std_logic_vector(281,AMPL_WIDTH), conv_std_logic_vector(281,AMPL_WIDTH), conv_std_logic_vector(282,AMPL_WIDTH), conv_std_logic_vector(283,AMPL_WIDTH), conv_std_logic_vector(283,AMPL_WIDTH), conv_std_logic_vector(284,AMPL_WIDTH), conv_std_logic_vector(285,AMPL_WIDTH), conv_std_logic_vector(285,AMPL_WIDTH), conv_std_logic_vector(286,AMPL_WIDTH), conv_std_logic_vector(286,AMPL_WIDTH), conv_std_logic_vector(287,AMPL_WIDTH), conv_std_logic_vector(288,AMPL_WIDTH), conv_std_logic_vector(288,AMPL_WIDTH), conv_std_logic_vector(289,AMPL_WIDTH), conv_std_logic_vector(290,AMPL_WIDTH), conv_std_logic_vector(290,AMPL_WIDTH), conv_std_logic_vector(291,AMPL_WIDTH), conv_std_logic_vector(292,AMPL_WIDTH), conv_std_logic_vector(292,AMPL_WIDTH), conv_std_logic_vector(293,AMPL_WIDTH), conv_std_logic_vector(294,AMPL_WIDTH), conv_std_logic_vector(294,AMPL_WIDTH), conv_std_logic_vector(295,AMPL_WIDTH), conv_std_logic_vector(296,AMPL_WIDTH), conv_std_logic_vector(296,AMPL_WIDTH), conv_std_logic_vector(297,AMPL_WIDTH), conv_std_logic_vector(297,AMPL_WIDTH), conv_std_logic_vector(298,AMPL_WIDTH), conv_std_logic_vector(299,AMPL_WIDTH), conv_std_logic_vector(299,AMPL_WIDTH), conv_std_logic_vector(300,AMPL_WIDTH), conv_std_logic_vector(301,AMPL_WIDTH), conv_std_logic_vector(301,AMPL_WIDTH), conv_std_logic_vector(302,AMPL_WIDTH), conv_std_logic_vector(303,AMPL_WIDTH), conv_std_logic_vector(303,AMPL_WIDTH), conv_std_logic_vector(304,AMPL_WIDTH), conv_std_logic_vector(304,AMPL_WIDTH), conv_std_logic_vector(305,AMPL_WIDTH), conv_std_logic_vector(306,AMPL_WIDTH), conv_std_logic_vector(306,AMPL_WIDTH), conv_std_logic_vector(307,AMPL_WIDTH), conv_std_logic_vector(308,AMPL_WIDTH), conv_std_logic_vector(308,AMPL_WIDTH), conv_std_logic_vector(309,AMPL_WIDTH), conv_std_logic_vector(309,AMPL_WIDTH), conv_std_logic_vector(310,AMPL_WIDTH), conv_std_logic_vector(311,AMPL_WIDTH), conv_std_logic_vector(311,AMPL_WIDTH), conv_std_logic_vector(312,AMPL_WIDTH), conv_std_logic_vector(313,AMPL_WIDTH), conv_std_logic_vector(313,AMPL_WIDTH), conv_std_logic_vector(314,AMPL_WIDTH), conv_std_logic_vector(314,AMPL_WIDTH), conv_std_logic_vector(315,AMPL_WIDTH), conv_std_logic_vector(316,AMPL_WIDTH), conv_std_logic_vector(316,AMPL_WIDTH), conv_std_logic_vector(317,AMPL_WIDTH), conv_std_logic_vector(317,AMPL_WIDTH), conv_std_logic_vector(318,AMPL_WIDTH), conv_std_logic_vector(319,AMPL_WIDTH), conv_std_logic_vector(319,AMPL_WIDTH), conv_std_logic_vector(320,AMPL_WIDTH), conv_std_logic_vector(321,AMPL_WIDTH), conv_std_logic_vector(321,AMPL_WIDTH), conv_std_logic_vector(322,AMPL_WIDTH), conv_std_logic_vector(322,AMPL_WIDTH), conv_std_logic_vector(323,AMPL_WIDTH), conv_std_logic_vector(324,AMPL_WIDTH), conv_std_logic_vector(324,AMPL_WIDTH), conv_std_logic_vector(325,AMPL_WIDTH), conv_std_logic_vector(325,AMPL_WIDTH), conv_std_logic_vector(326,AMPL_WIDTH), conv_std_logic_vector(327,AMPL_WIDTH), conv_std_logic_vector(327,AMPL_WIDTH), conv_std_logic_vector(328,AMPL_WIDTH), conv_std_logic_vector(328,AMPL_WIDTH), conv_std_logic_vector(329,AMPL_WIDTH), conv_std_logic_vector(330,AMPL_WIDTH), conv_std_logic_vector(330,AMPL_WIDTH), conv_std_logic_vector(331,AMPL_WIDTH), conv_std_logic_vector(331,AMPL_WIDTH), conv_std_logic_vector(332,AMPL_WIDTH), conv_std_logic_vector(333,AMPL_WIDTH), conv_std_logic_vector(333,AMPL_WIDTH), conv_std_logic_vector(334,AMPL_WIDTH), conv_std_logic_vector(334,AMPL_WIDTH), conv_std_logic_vector(335,AMPL_WIDTH), conv_std_logic_vector(336,AMPL_WIDTH), conv_std_logic_vector(336,AMPL_WIDTH), conv_std_logic_vector(337,AMPL_WIDTH), conv_std_logic_vector(337,AMPL_WIDTH), conv_std_logic_vector(338,AMPL_WIDTH), conv_std_logic_vector(338,AMPL_WIDTH), conv_std_logic_vector(339,AMPL_WIDTH), conv_std_logic_vector(340,AMPL_WIDTH), conv_std_logic_vector(340,AMPL_WIDTH), conv_std_logic_vector(341,AMPL_WIDTH), conv_std_logic_vector(341,AMPL_WIDTH), conv_std_logic_vector(342,AMPL_WIDTH), conv_std_logic_vector(343,AMPL_WIDTH), conv_std_logic_vector(343,AMPL_WIDTH), conv_std_logic_vector(344,AMPL_WIDTH), conv_std_logic_vector(344,AMPL_WIDTH), conv_std_logic_vector(345,AMPL_WIDTH), conv_std_logic_vector(345,AMPL_WIDTH), conv_std_logic_vector(346,AMPL_WIDTH), conv_std_logic_vector(347,AMPL_WIDTH), conv_std_logic_vector(347,AMPL_WIDTH), conv_std_logic_vector(348,AMPL_WIDTH), conv_std_logic_vector(348,AMPL_WIDTH), conv_std_logic_vector(349,AMPL_WIDTH), conv_std_logic_vector(350,AMPL_WIDTH), conv_std_logic_vector(350,AMPL_WIDTH), conv_std_logic_vector(351,AMPL_WIDTH), conv_std_logic_vector(351,AMPL_WIDTH), conv_std_logic_vector(352,AMPL_WIDTH), conv_std_logic_vector(352,AMPL_WIDTH), conv_std_logic_vector(353,AMPL_WIDTH), conv_std_logic_vector(353,AMPL_WIDTH), conv_std_logic_vector(354,AMPL_WIDTH), conv_std_logic_vector(355,AMPL_WIDTH), conv_std_logic_vector(355,AMPL_WIDTH), conv_std_logic_vector(356,AMPL_WIDTH), conv_std_logic_vector(356,AMPL_WIDTH), conv_std_logic_vector(357,AMPL_WIDTH), conv_std_logic_vector(357,AMPL_WIDTH), conv_std_logic_vector(358,AMPL_WIDTH), conv_std_logic_vector(359,AMPL_WIDTH), conv_std_logic_vector(359,AMPL_WIDTH), conv_std_logic_vector(360,AMPL_WIDTH), conv_std_logic_vector(360,AMPL_WIDTH), conv_std_logic_vector(361,AMPL_WIDTH), conv_std_logic_vector(361,AMPL_WIDTH), conv_std_logic_vector(362,AMPL_WIDTH), conv_std_logic_vector(362,AMPL_WIDTH), conv_std_logic_vector(363,AMPL_WIDTH), conv_std_logic_vector(364,AMPL_WIDTH), conv_std_logic_vector(364,AMPL_WIDTH), conv_std_logic_vector(365,AMPL_WIDTH), conv_std_logic_vector(365,AMPL_WIDTH), conv_std_logic_vector(366,AMPL_WIDTH), conv_std_logic_vector(366,AMPL_WIDTH), conv_std_logic_vector(367,AMPL_WIDTH), conv_std_logic_vector(367,AMPL_WIDTH), conv_std_logic_vector(368,AMPL_WIDTH), conv_std_logic_vector(368,AMPL_WIDTH), conv_std_logic_vector(369,AMPL_WIDTH), conv_std_logic_vector(370,AMPL_WIDTH), conv_std_logic_vector(370,AMPL_WIDTH), conv_std_logic_vector(371,AMPL_WIDTH), conv_std_logic_vector(371,AMPL_WIDTH), conv_std_logic_vector(372,AMPL_WIDTH), conv_std_logic_vector(372,AMPL_WIDTH), conv_std_logic_vector(373,AMPL_WIDTH), conv_std_logic_vector(373,AMPL_WIDTH), conv_std_logic_vector(374,AMPL_WIDTH), conv_std_logic_vector(374,AMPL_WIDTH), conv_std_logic_vector(375,AMPL_WIDTH), conv_std_logic_vector(375,AMPL_WIDTH), conv_std_logic_vector(376,AMPL_WIDTH), conv_std_logic_vector(377,AMPL_WIDTH), conv_std_logic_vector(377,AMPL_WIDTH), conv_std_logic_vector(378,AMPL_WIDTH), conv_std_logic_vector(378,AMPL_WIDTH), conv_std_logic_vector(379,AMPL_WIDTH), conv_std_logic_vector(379,AMPL_WIDTH), conv_std_logic_vector(380,AMPL_WIDTH), conv_std_logic_vector(380,AMPL_WIDTH), conv_std_logic_vector(381,AMPL_WIDTH), conv_std_logic_vector(381,AMPL_WIDTH), conv_std_logic_vector(382,AMPL_WIDTH), conv_std_logic_vector(382,AMPL_WIDTH), conv_std_logic_vector(383,AMPL_WIDTH), conv_std_logic_vector(383,AMPL_WIDTH), conv_std_logic_vector(384,AMPL_WIDTH), conv_std_logic_vector(384,AMPL_WIDTH), conv_std_logic_vector(385,AMPL_WIDTH), conv_std_logic_vector(385,AMPL_WIDTH), conv_std_logic_vector(386,AMPL_WIDTH), conv_std_logic_vector(386,AMPL_WIDTH), conv_std_logic_vector(387,AMPL_WIDTH), conv_std_logic_vector(387,AMPL_WIDTH), conv_std_logic_vector(388,AMPL_WIDTH), conv_std_logic_vector(388,AMPL_WIDTH), conv_std_logic_vector(389,AMPL_WIDTH), conv_std_logic_vector(389,AMPL_WIDTH), conv_std_logic_vector(390,AMPL_WIDTH), conv_std_logic_vector(390,AMPL_WIDTH), conv_std_logic_vector(391,AMPL_WIDTH), conv_std_logic_vector(392,AMPL_WIDTH), conv_std_logic_vector(392,AMPL_WIDTH), conv_std_logic_vector(393,AMPL_WIDTH), conv_std_logic_vector(393,AMPL_WIDTH), conv_std_logic_vector(394,AMPL_WIDTH), conv_std_logic_vector(394,AMPL_WIDTH), conv_std_logic_vector(395,AMPL_WIDTH), conv_std_logic_vector(395,AMPL_WIDTH), conv_std_logic_vector(396,AMPL_WIDTH), conv_std_logic_vector(396,AMPL_WIDTH), conv_std_logic_vector(396,AMPL_WIDTH), conv_std_logic_vector(397,AMPL_WIDTH), conv_std_logic_vector(397,AMPL_WIDTH), conv_std_logic_vector(398,AMPL_WIDTH), conv_std_logic_vector(398,AMPL_WIDTH), conv_std_logic_vector(399,AMPL_WIDTH), conv_std_logic_vector(399,AMPL_WIDTH), conv_std_logic_vector(400,AMPL_WIDTH), conv_std_logic_vector(400,AMPL_WIDTH), conv_std_logic_vector(401,AMPL_WIDTH), conv_std_logic_vector(401,AMPL_WIDTH), conv_std_logic_vector(402,AMPL_WIDTH), conv_std_logic_vector(402,AMPL_WIDTH), conv_std_logic_vector(403,AMPL_WIDTH), conv_std_logic_vector(403,AMPL_WIDTH), conv_std_logic_vector(404,AMPL_WIDTH), conv_std_logic_vector(404,AMPL_WIDTH), conv_std_logic_vector(405,AMPL_WIDTH), conv_std_logic_vector(405,AMPL_WIDTH), conv_std_logic_vector(406,AMPL_WIDTH), conv_std_logic_vector(406,AMPL_WIDTH), conv_std_logic_vector(407,AMPL_WIDTH), conv_std_logic_vector(407,AMPL_WIDTH), conv_std_logic_vector(408,AMPL_WIDTH), conv_std_logic_vector(408,AMPL_WIDTH), conv_std_logic_vector(409,AMPL_WIDTH), conv_std_logic_vector(409,AMPL_WIDTH), conv_std_logic_vector(410,AMPL_WIDTH), conv_std_logic_vector(410,AMPL_WIDTH), conv_std_logic_vector(410,AMPL_WIDTH), conv_std_logic_vector(411,AMPL_WIDTH), conv_std_logic_vector(411,AMPL_WIDTH), conv_std_logic_vector(412,AMPL_WIDTH), conv_std_logic_vector(412,AMPL_WIDTH), conv_std_logic_vector(413,AMPL_WIDTH), conv_std_logic_vector(413,AMPL_WIDTH), conv_std_logic_vector(414,AMPL_WIDTH), conv_std_logic_vector(414,AMPL_WIDTH), conv_std_logic_vector(415,AMPL_WIDTH), conv_std_logic_vector(415,AMPL_WIDTH), conv_std_logic_vector(416,AMPL_WIDTH), conv_std_logic_vector(416,AMPL_WIDTH), conv_std_logic_vector(416,AMPL_WIDTH), conv_std_logic_vector(417,AMPL_WIDTH), conv_std_logic_vector(417,AMPL_WIDTH), conv_std_logic_vector(418,AMPL_WIDTH), conv_std_logic_vector(418,AMPL_WIDTH), conv_std_logic_vector(419,AMPL_WIDTH), conv_std_logic_vector(419,AMPL_WIDTH), conv_std_logic_vector(420,AMPL_WIDTH), conv_std_logic_vector(420,AMPL_WIDTH), conv_std_logic_vector(420,AMPL_WIDTH), conv_std_logic_vector(421,AMPL_WIDTH), conv_std_logic_vector(421,AMPL_WIDTH), conv_std_logic_vector(422,AMPL_WIDTH), conv_std_logic_vector(422,AMPL_WIDTH), conv_std_logic_vector(423,AMPL_WIDTH), conv_std_logic_vector(423,AMPL_WIDTH), conv_std_logic_vector(424,AMPL_WIDTH), conv_std_logic_vector(424,AMPL_WIDTH), conv_std_logic_vector(424,AMPL_WIDTH), conv_std_logic_vector(425,AMPL_WIDTH), conv_std_logic_vector(425,AMPL_WIDTH), conv_std_logic_vector(426,AMPL_WIDTH), conv_std_logic_vector(426,AMPL_WIDTH), conv_std_logic_vector(427,AMPL_WIDTH), conv_std_logic_vector(427,AMPL_WIDTH), conv_std_logic_vector(427,AMPL_WIDTH), conv_std_logic_vector(428,AMPL_WIDTH), conv_std_logic_vector(428,AMPL_WIDTH), conv_std_logic_vector(429,AMPL_WIDTH), conv_std_logic_vector(429,AMPL_WIDTH), conv_std_logic_vector(430,AMPL_WIDTH), conv_std_logic_vector(430,AMPL_WIDTH), conv_std_logic_vector(430,AMPL_WIDTH), conv_std_logic_vector(431,AMPL_WIDTH), conv_std_logic_vector(431,AMPL_WIDTH), conv_std_logic_vector(432,AMPL_WIDTH), conv_std_logic_vector(432,AMPL_WIDTH), conv_std_logic_vector(433,AMPL_WIDTH), conv_std_logic_vector(433,AMPL_WIDTH), conv_std_logic_vector(433,AMPL_WIDTH), conv_std_logic_vector(434,AMPL_WIDTH), conv_std_logic_vector(434,AMPL_WIDTH), conv_std_logic_vector(435,AMPL_WIDTH), conv_std_logic_vector(435,AMPL_WIDTH), conv_std_logic_vector(435,AMPL_WIDTH), conv_std_logic_vector(436,AMPL_WIDTH), conv_std_logic_vector(436,AMPL_WIDTH), conv_std_logic_vector(437,AMPL_WIDTH), conv_std_logic_vector(437,AMPL_WIDTH), conv_std_logic_vector(437,AMPL_WIDTH), conv_std_logic_vector(438,AMPL_WIDTH), conv_std_logic_vector(438,AMPL_WIDTH), conv_std_logic_vector(439,AMPL_WIDTH), conv_std_logic_vector(439,AMPL_WIDTH), conv_std_logic_vector(440,AMPL_WIDTH), conv_std_logic_vector(440,AMPL_WIDTH), conv_std_logic_vector(440,AMPL_WIDTH), conv_std_logic_vector(441,AMPL_WIDTH), conv_std_logic_vector(441,AMPL_WIDTH), conv_std_logic_vector(441,AMPL_WIDTH), conv_std_logic_vector(442,AMPL_WIDTH), conv_std_logic_vector(442,AMPL_WIDTH), conv_std_logic_vector(443,AMPL_WIDTH), conv_std_logic_vector(443,AMPL_WIDTH), conv_std_logic_vector(443,AMPL_WIDTH), conv_std_logic_vector(444,AMPL_WIDTH), conv_std_logic_vector(444,AMPL_WIDTH), conv_std_logic_vector(445,AMPL_WIDTH), conv_std_logic_vector(445,AMPL_WIDTH), conv_std_logic_vector(445,AMPL_WIDTH), conv_std_logic_vector(446,AMPL_WIDTH), conv_std_logic_vector(446,AMPL_WIDTH), conv_std_logic_vector(447,AMPL_WIDTH), conv_std_logic_vector(447,AMPL_WIDTH), conv_std_logic_vector(447,AMPL_WIDTH), conv_std_logic_vector(448,AMPL_WIDTH), conv_std_logic_vector(448,AMPL_WIDTH), conv_std_logic_vector(448,AMPL_WIDTH), conv_std_logic_vector(449,AMPL_WIDTH), conv_std_logic_vector(449,AMPL_WIDTH), conv_std_logic_vector(450,AMPL_WIDTH), conv_std_logic_vector(450,AMPL_WIDTH), conv_std_logic_vector(450,AMPL_WIDTH), conv_std_logic_vector(451,AMPL_WIDTH), conv_std_logic_vector(451,AMPL_WIDTH), conv_std_logic_vector(451,AMPL_WIDTH), conv_std_logic_vector(452,AMPL_WIDTH), conv_std_logic_vector(452,AMPL_WIDTH), conv_std_logic_vector(452,AMPL_WIDTH), conv_std_logic_vector(453,AMPL_WIDTH), conv_std_logic_vector(453,AMPL_WIDTH), conv_std_logic_vector(454,AMPL_WIDTH), conv_std_logic_vector(454,AMPL_WIDTH), conv_std_logic_vector(454,AMPL_WIDTH), conv_std_logic_vector(455,AMPL_WIDTH), conv_std_logic_vector(455,AMPL_WIDTH), conv_std_logic_vector(455,AMPL_WIDTH), conv_std_logic_vector(456,AMPL_WIDTH), conv_std_logic_vector(456,AMPL_WIDTH), conv_std_logic_vector(456,AMPL_WIDTH), conv_std_logic_vector(457,AMPL_WIDTH), conv_std_logic_vector(457,AMPL_WIDTH), conv_std_logic_vector(457,AMPL_WIDTH), conv_std_logic_vector(458,AMPL_WIDTH), conv_std_logic_vector(458,AMPL_WIDTH), conv_std_logic_vector(459,AMPL_WIDTH), conv_std_logic_vector(459,AMPL_WIDTH), conv_std_logic_vector(459,AMPL_WIDTH), conv_std_logic_vector(460,AMPL_WIDTH), conv_std_logic_vector(460,AMPL_WIDTH), conv_std_logic_vector(460,AMPL_WIDTH), conv_std_logic_vector(461,AMPL_WIDTH), conv_std_logic_vector(461,AMPL_WIDTH), conv_std_logic_vector(461,AMPL_WIDTH), conv_std_logic_vector(462,AMPL_WIDTH), conv_std_logic_vector(462,AMPL_WIDTH), conv_std_logic_vector(462,AMPL_WIDTH), conv_std_logic_vector(463,AMPL_WIDTH), conv_std_logic_vector(463,AMPL_WIDTH), conv_std_logic_vector(463,AMPL_WIDTH), conv_std_logic_vector(464,AMPL_WIDTH), conv_std_logic_vector(464,AMPL_WIDTH), conv_std_logic_vector(464,AMPL_WIDTH), conv_std_logic_vector(465,AMPL_WIDTH), conv_std_logic_vector(465,AMPL_WIDTH), conv_std_logic_vector(465,AMPL_WIDTH), conv_std_logic_vector(466,AMPL_WIDTH), conv_std_logic_vector(466,AMPL_WIDTH), conv_std_logic_vector(466,AMPL_WIDTH), conv_std_logic_vector(467,AMPL_WIDTH), conv_std_logic_vector(467,AMPL_WIDTH), conv_std_logic_vector(467,AMPL_WIDTH), conv_std_logic_vector(467,AMPL_WIDTH), conv_std_logic_vector(468,AMPL_WIDTH), conv_std_logic_vector(468,AMPL_WIDTH), conv_std_logic_vector(468,AMPL_WIDTH), conv_std_logic_vector(469,AMPL_WIDTH), conv_std_logic_vector(469,AMPL_WIDTH), conv_std_logic_vector(469,AMPL_WIDTH), conv_std_logic_vector(470,AMPL_WIDTH), conv_std_logic_vector(470,AMPL_WIDTH), conv_std_logic_vector(470,AMPL_WIDTH), conv_std_logic_vector(471,AMPL_WIDTH), conv_std_logic_vector(471,AMPL_WIDTH), conv_std_logic_vector(471,AMPL_WIDTH), conv_std_logic_vector(472,AMPL_WIDTH), conv_std_logic_vector(472,AMPL_WIDTH), conv_std_logic_vector(472,AMPL_WIDTH), conv_std_logic_vector(472,AMPL_WIDTH), conv_std_logic_vector(473,AMPL_WIDTH), conv_std_logic_vector(473,AMPL_WIDTH), conv_std_logic_vector(473,AMPL_WIDTH), conv_std_logic_vector(474,AMPL_WIDTH), conv_std_logic_vector(474,AMPL_WIDTH), conv_std_logic_vector(474,AMPL_WIDTH), conv_std_logic_vector(474,AMPL_WIDTH), conv_std_logic_vector(475,AMPL_WIDTH), conv_std_logic_vector(475,AMPL_WIDTH), conv_std_logic_vector(475,AMPL_WIDTH), conv_std_logic_vector(476,AMPL_WIDTH), conv_std_logic_vector(476,AMPL_WIDTH), conv_std_logic_vector(476,AMPL_WIDTH), conv_std_logic_vector(476,AMPL_WIDTH), conv_std_logic_vector(477,AMPL_WIDTH), conv_std_logic_vector(477,AMPL_WIDTH), conv_std_logic_vector(477,AMPL_WIDTH), conv_std_logic_vector(478,AMPL_WIDTH), conv_std_logic_vector(478,AMPL_WIDTH), conv_std_logic_vector(478,AMPL_WIDTH), conv_std_logic_vector(478,AMPL_WIDTH), conv_std_logic_vector(479,AMPL_WIDTH), conv_std_logic_vector(479,AMPL_WIDTH), conv_std_logic_vector(479,AMPL_WIDTH), conv_std_logic_vector(480,AMPL_WIDTH), conv_std_logic_vector(480,AMPL_WIDTH), conv_std_logic_vector(480,AMPL_WIDTH), conv_std_logic_vector(480,AMPL_WIDTH), conv_std_logic_vector(481,AMPL_WIDTH), conv_std_logic_vector(481,AMPL_WIDTH), conv_std_logic_vector(481,AMPL_WIDTH), conv_std_logic_vector(481,AMPL_WIDTH), conv_std_logic_vector(482,AMPL_WIDTH), conv_std_logic_vector(482,AMPL_WIDTH), conv_std_logic_vector(482,AMPL_WIDTH), conv_std_logic_vector(482,AMPL_WIDTH), conv_std_logic_vector(483,AMPL_WIDTH), conv_std_logic_vector(483,AMPL_WIDTH), conv_std_logic_vector(483,AMPL_WIDTH), conv_std_logic_vector(483,AMPL_WIDTH), conv_std_logic_vector(484,AMPL_WIDTH), conv_std_logic_vector(484,AMPL_WIDTH), conv_std_logic_vector(484,AMPL_WIDTH), conv_std_logic_vector(484,AMPL_WIDTH), conv_std_logic_vector(485,AMPL_WIDTH), conv_std_logic_vector(485,AMPL_WIDTH), conv_std_logic_vector(485,AMPL_WIDTH), conv_std_logic_vector(485,AMPL_WIDTH), conv_std_logic_vector(486,AMPL_WIDTH), conv_std_logic_vector(486,AMPL_WIDTH), conv_std_logic_vector(486,AMPL_WIDTH), conv_std_logic_vector(486,AMPL_WIDTH), conv_std_logic_vector(487,AMPL_WIDTH), conv_std_logic_vector(487,AMPL_WIDTH), conv_std_logic_vector(487,AMPL_WIDTH), conv_std_logic_vector(487,AMPL_WIDTH), conv_std_logic_vector(488,AMPL_WIDTH), conv_std_logic_vector(488,AMPL_WIDTH), conv_std_logic_vector(488,AMPL_WIDTH), conv_std_logic_vector(488,AMPL_WIDTH), conv_std_logic_vector(489,AMPL_WIDTH), conv_std_logic_vector(489,AMPL_WIDTH), conv_std_logic_vector(489,AMPL_WIDTH), conv_std_logic_vector(489,AMPL_WIDTH), conv_std_logic_vector(489,AMPL_WIDTH), conv_std_logic_vector(490,AMPL_WIDTH), conv_std_logic_vector(490,AMPL_WIDTH), conv_std_logic_vector(490,AMPL_WIDTH), conv_std_logic_vector(490,AMPL_WIDTH), conv_std_logic_vector(491,AMPL_WIDTH), conv_std_logic_vector(491,AMPL_WIDTH), conv_std_logic_vector(491,AMPL_WIDTH), conv_std_logic_vector(491,AMPL_WIDTH), conv_std_logic_vector(491,AMPL_WIDTH), conv_std_logic_vector(492,AMPL_WIDTH), conv_std_logic_vector(492,AMPL_WIDTH), conv_std_logic_vector(492,AMPL_WIDTH), conv_std_logic_vector(492,AMPL_WIDTH), conv_std_logic_vector(492,AMPL_WIDTH), conv_std_logic_vector(493,AMPL_WIDTH), conv_std_logic_vector(493,AMPL_WIDTH), conv_std_logic_vector(493,AMPL_WIDTH), conv_std_logic_vector(493,AMPL_WIDTH), conv_std_logic_vector(494,AMPL_WIDTH), conv_std_logic_vector(494,AMPL_WIDTH), conv_std_logic_vector(494,AMPL_WIDTH), conv_std_logic_vector(494,AMPL_WIDTH), conv_std_logic_vector(494,AMPL_WIDTH), conv_std_logic_vector(495,AMPL_WIDTH), conv_std_logic_vector(495,AMPL_WIDTH), conv_std_logic_vector(495,AMPL_WIDTH), conv_std_logic_vector(495,AMPL_WIDTH), conv_std_logic_vector(495,AMPL_WIDTH), conv_std_logic_vector(495,AMPL_WIDTH), conv_std_logic_vector(496,AMPL_WIDTH), conv_std_logic_vector(496,AMPL_WIDTH), conv_std_logic_vector(496,AMPL_WIDTH), conv_std_logic_vector(496,AMPL_WIDTH), conv_std_logic_vector(496,AMPL_WIDTH), conv_std_logic_vector(497,AMPL_WIDTH), conv_std_logic_vector(497,AMPL_WIDTH), conv_std_logic_vector(497,AMPL_WIDTH), conv_std_logic_vector(497,AMPL_WIDTH), conv_std_logic_vector(497,AMPL_WIDTH), conv_std_logic_vector(498,AMPL_WIDTH), conv_std_logic_vector(498,AMPL_WIDTH), conv_std_logic_vector(498,AMPL_WIDTH), conv_std_logic_vector(498,AMPL_WIDTH), conv_std_logic_vector(498,AMPL_WIDTH), conv_std_logic_vector(498,AMPL_WIDTH), conv_std_logic_vector(499,AMPL_WIDTH), conv_std_logic_vector(499,AMPL_WIDTH), conv_std_logic_vector(499,AMPL_WIDTH), conv_std_logic_vector(499,AMPL_WIDTH), conv_std_logic_vector(499,AMPL_WIDTH), conv_std_logic_vector(499,AMPL_WIDTH), conv_std_logic_vector(500,AMPL_WIDTH), conv_std_logic_vector(500,AMPL_WIDTH), conv_std_logic_vector(500,AMPL_WIDTH), conv_std_logic_vector(500,AMPL_WIDTH), conv_std_logic_vector(500,AMPL_WIDTH), conv_std_logic_vector(500,AMPL_WIDTH), conv_std_logic_vector(501,AMPL_WIDTH), conv_std_logic_vector(501,AMPL_WIDTH), conv_std_logic_vector(501,AMPL_WIDTH), conv_std_logic_vector(501,AMPL_WIDTH), conv_std_logic_vector(501,AMPL_WIDTH), conv_std_logic_vector(501,AMPL_WIDTH), conv_std_logic_vector(501,AMPL_WIDTH), conv_std_logic_vector(502,AMPL_WIDTH), conv_std_logic_vector(502,AMPL_WIDTH), conv_std_logic_vector(502,AMPL_WIDTH), conv_std_logic_vector(502,AMPL_WIDTH), conv_std_logic_vector(502,AMPL_WIDTH), conv_std_logic_vector(502,AMPL_WIDTH), conv_std_logic_vector(503,AMPL_WIDTH), conv_std_logic_vector(503,AMPL_WIDTH), conv_std_logic_vector(503,AMPL_WIDTH), conv_std_logic_vector(503,AMPL_WIDTH), conv_std_logic_vector(503,AMPL_WIDTH), conv_std_logic_vector(503,AMPL_WIDTH), conv_std_logic_vector(503,AMPL_WIDTH), conv_std_logic_vector(503,AMPL_WIDTH), conv_std_logic_vector(504,AMPL_WIDTH), conv_std_logic_vector(504,AMPL_WIDTH), conv_std_logic_vector(504,AMPL_WIDTH), conv_std_logic_vector(504,AMPL_WIDTH), conv_std_logic_vector(504,AMPL_WIDTH), conv_std_logic_vector(504,AMPL_WIDTH), conv_std_logic_vector(504,AMPL_WIDTH), conv_std_logic_vector(505,AMPL_WIDTH), conv_std_logic_vector(505,AMPL_WIDTH), conv_std_logic_vector(505,AMPL_WIDTH), conv_std_logic_vector(505,AMPL_WIDTH), conv_std_logic_vector(505,AMPL_WIDTH), conv_std_logic_vector(505,AMPL_WIDTH), conv_std_logic_vector(505,AMPL_WIDTH), conv_std_logic_vector(505,AMPL_WIDTH), conv_std_logic_vector(505,AMPL_WIDTH), conv_std_logic_vector(506,AMPL_WIDTH), conv_std_logic_vector(506,AMPL_WIDTH), conv_std_logic_vector(506,AMPL_WIDTH), conv_std_logic_vector(506,AMPL_WIDTH), conv_std_logic_vector(506,AMPL_WIDTH), conv_std_logic_vector(506,AMPL_WIDTH), conv_std_logic_vector(506,AMPL_WIDTH), conv_std_logic_vector(506,AMPL_WIDTH), conv_std_logic_vector(506,AMPL_WIDTH), conv_std_logic_vector(507,AMPL_WIDTH), conv_std_logic_vector(507,AMPL_WIDTH), conv_std_logic_vector(507,AMPL_WIDTH), conv_std_logic_vector(507,AMPL_WIDTH), conv_std_logic_vector(507,AMPL_WIDTH), conv_std_logic_vector(507,AMPL_WIDTH), conv_std_logic_vector(507,AMPL_WIDTH), conv_std_logic_vector(507,AMPL_WIDTH), conv_std_logic_vector(507,AMPL_WIDTH), conv_std_logic_vector(507,AMPL_WIDTH), conv_std_logic_vector(508,AMPL_WIDTH), conv_std_logic_vector(508,AMPL_WIDTH), conv_std_logic_vector(508,AMPL_WIDTH), conv_std_logic_vector(508,AMPL_WIDTH), conv_std_logic_vector(508,AMPL_WIDTH), conv_std_logic_vector(508,AMPL_WIDTH), conv_std_logic_vector(508,AMPL_WIDTH), conv_std_logic_vector(508,AMPL_WIDTH), conv_std_logic_vector(508,AMPL_WIDTH), conv_std_logic_vector(508,AMPL_WIDTH), conv_std_logic_vector(508,AMPL_WIDTH), conv_std_logic_vector(508,AMPL_WIDTH), conv_std_logic_vector(509,AMPL_WIDTH), conv_std_logic_vector(509,AMPL_WIDTH), conv_std_logic_vector(509,AMPL_WIDTH), conv_std_logic_vector(509,AMPL_WIDTH), conv_std_logic_vector(509,AMPL_WIDTH), conv_std_logic_vector(509,AMPL_WIDTH), conv_std_logic_vector(509,AMPL_WIDTH), conv_std_logic_vector(509,AMPL_WIDTH), conv_std_logic_vector(509,AMPL_WIDTH), conv_std_logic_vector(509,AMPL_WIDTH), conv_std_logic_vector(509,AMPL_WIDTH), conv_std_logic_vector(509,AMPL_WIDTH), conv_std_logic_vector(509,AMPL_WIDTH), conv_std_logic_vector(509,AMPL_WIDTH), conv_std_logic_vector(509,AMPL_WIDTH), conv_std_logic_vector(510,AMPL_WIDTH), conv_std_logic_vector(510,AMPL_WIDTH), conv_std_logic_vector(510,AMPL_WIDTH), conv_std_logic_vector(510,AMPL_WIDTH), conv_std_logic_vector(510,AMPL_WIDTH), conv_std_logic_vector(510,AMPL_WIDTH), conv_std_logic_vector(510,AMPL_WIDTH), conv_std_logic_vector(510,AMPL_WIDTH), conv_std_logic_vector(510,AMPL_WIDTH), conv_std_logic_vector(510,AMPL_WIDTH), conv_std_logic_vector(510,AMPL_WIDTH), conv_std_logic_vector(510,AMPL_WIDTH), conv_std_logic_vector(510,AMPL_WIDTH), conv_std_logic_vector(510,AMPL_WIDTH), conv_std_logic_vector(510,AMPL_WIDTH), conv_std_logic_vector(510,AMPL_WIDTH), conv_std_logic_vector(510,AMPL_WIDTH), conv_std_logic_vector(510,AMPL_WIDTH), conv_std_logic_vector(510,AMPL_WIDTH), conv_std_logic_vector(510,AMPL_WIDTH), conv_std_logic_vector(510,AMPL_WIDTH), conv_std_logic_vector(511,AMPL_WIDTH), conv_std_logic_vector(511,AMPL_WIDTH), conv_std_logic_vector(511,AMPL_WIDTH), conv_std_logic_vector(511,AMPL_WIDTH), conv_std_logic_vector(511,AMPL_WIDTH), conv_std_logic_vector(511,AMPL_WIDTH), conv_std_logic_vector(511,AMPL_WIDTH), conv_std_logic_vector(511,AMPL_WIDTH), conv_std_logic_vector(511,AMPL_WIDTH), conv_std_logic_vector(511,AMPL_WIDTH), conv_std_logic_vector(511,AMPL_WIDTH), conv_std_logic_vector(511,AMPL_WIDTH), conv_std_logic_vector(511,AMPL_WIDTH), conv_std_logic_vector(511,AMPL_WIDTH), conv_std_logic_vector(511,AMPL_WIDTH), conv_std_logic_vector(511,AMPL_WIDTH), conv_std_logic_vector(511,AMPL_WIDTH), conv_std_logic_vector(511,AMPL_WIDTH), conv_std_logic_vector(511,AMPL_WIDTH), conv_std_logic_vector(511,AMPL_WIDTH), conv_std_logic_vector(511,AMPL_WIDTH), conv_std_logic_vector(511,AMPL_WIDTH), conv_std_logic_vector(511,AMPL_WIDTH), conv_std_logic_vector(511,AMPL_WIDTH), conv_std_logic_vector(511,AMPL_WIDTH), conv_std_logic_vector(511,AMPL_WIDTH), conv_std_logic_vector(511,AMPL_WIDTH), conv_std_logic_vector(511,AMPL_WIDTH) ); end sine_lut_pkg; package body sine_lut_pkg is end sine_lut_pkg;
-- This file is automatically generated by a matlab script -- -- Do not modify directly! -- library ieee; use ieee.std_logic_1164.all; use IEEE.STD_LOGIC_arith.all; use IEEE.STD_LOGIC_signed.all; package sine_lut_pkg is constant PHASE_WIDTH : integer := 12; constant AMPL_WIDTH : integer := 10; type lut_type is array(0 to 2**(PHASE_WIDTH-2)-1) of std_logic_vector(AMPL_WIDTH-1 downto 0); constant sine_lut : lut_type := ( conv_std_logic_vector(0,AMPL_WIDTH), conv_std_logic_vector(1,AMPL_WIDTH), conv_std_logic_vector(2,AMPL_WIDTH), conv_std_logic_vector(2,AMPL_WIDTH), conv_std_logic_vector(3,AMPL_WIDTH), conv_std_logic_vector(4,AMPL_WIDTH), conv_std_logic_vector(5,AMPL_WIDTH), conv_std_logic_vector(5,AMPL_WIDTH), conv_std_logic_vector(6,AMPL_WIDTH), conv_std_logic_vector(7,AMPL_WIDTH), conv_std_logic_vector(8,AMPL_WIDTH), conv_std_logic_vector(9,AMPL_WIDTH), conv_std_logic_vector(9,AMPL_WIDTH), conv_std_logic_vector(10,AMPL_WIDTH), conv_std_logic_vector(11,AMPL_WIDTH), conv_std_logic_vector(12,AMPL_WIDTH), conv_std_logic_vector(13,AMPL_WIDTH), conv_std_logic_vector(13,AMPL_WIDTH), conv_std_logic_vector(14,AMPL_WIDTH), conv_std_logic_vector(15,AMPL_WIDTH), conv_std_logic_vector(16,AMPL_WIDTH), conv_std_logic_vector(16,AMPL_WIDTH), conv_std_logic_vector(17,AMPL_WIDTH), conv_std_logic_vector(18,AMPL_WIDTH), conv_std_logic_vector(19,AMPL_WIDTH), conv_std_logic_vector(20,AMPL_WIDTH), conv_std_logic_vector(20,AMPL_WIDTH), conv_std_logic_vector(21,AMPL_WIDTH), conv_std_logic_vector(22,AMPL_WIDTH), conv_std_logic_vector(23,AMPL_WIDTH), conv_std_logic_vector(24,AMPL_WIDTH), conv_std_logic_vector(24,AMPL_WIDTH), conv_std_logic_vector(25,AMPL_WIDTH), conv_std_logic_vector(26,AMPL_WIDTH), conv_std_logic_vector(27,AMPL_WIDTH), conv_std_logic_vector(27,AMPL_WIDTH), conv_std_logic_vector(28,AMPL_WIDTH), conv_std_logic_vector(29,AMPL_WIDTH), conv_std_logic_vector(30,AMPL_WIDTH), conv_std_logic_vector(31,AMPL_WIDTH), conv_std_logic_vector(31,AMPL_WIDTH), conv_std_logic_vector(32,AMPL_WIDTH), conv_std_logic_vector(33,AMPL_WIDTH), conv_std_logic_vector(34,AMPL_WIDTH), conv_std_logic_vector(34,AMPL_WIDTH), conv_std_logic_vector(35,AMPL_WIDTH), conv_std_logic_vector(36,AMPL_WIDTH), conv_std_logic_vector(37,AMPL_WIDTH), conv_std_logic_vector(38,AMPL_WIDTH), conv_std_logic_vector(38,AMPL_WIDTH), conv_std_logic_vector(39,AMPL_WIDTH), conv_std_logic_vector(40,AMPL_WIDTH), conv_std_logic_vector(41,AMPL_WIDTH), conv_std_logic_vector(41,AMPL_WIDTH), conv_std_logic_vector(42,AMPL_WIDTH), conv_std_logic_vector(43,AMPL_WIDTH), conv_std_logic_vector(44,AMPL_WIDTH), conv_std_logic_vector(45,AMPL_WIDTH), conv_std_logic_vector(45,AMPL_WIDTH), conv_std_logic_vector(46,AMPL_WIDTH), conv_std_logic_vector(47,AMPL_WIDTH), conv_std_logic_vector(48,AMPL_WIDTH), conv_std_logic_vector(49,AMPL_WIDTH), conv_std_logic_vector(49,AMPL_WIDTH), conv_std_logic_vector(50,AMPL_WIDTH), conv_std_logic_vector(51,AMPL_WIDTH), conv_std_logic_vector(52,AMPL_WIDTH), conv_std_logic_vector(52,AMPL_WIDTH), conv_std_logic_vector(53,AMPL_WIDTH), conv_std_logic_vector(54,AMPL_WIDTH), conv_std_logic_vector(55,AMPL_WIDTH), conv_std_logic_vector(56,AMPL_WIDTH), conv_std_logic_vector(56,AMPL_WIDTH), conv_std_logic_vector(57,AMPL_WIDTH), conv_std_logic_vector(58,AMPL_WIDTH), conv_std_logic_vector(59,AMPL_WIDTH), conv_std_logic_vector(59,AMPL_WIDTH), conv_std_logic_vector(60,AMPL_WIDTH), conv_std_logic_vector(61,AMPL_WIDTH), conv_std_logic_vector(62,AMPL_WIDTH), conv_std_logic_vector(63,AMPL_WIDTH), conv_std_logic_vector(63,AMPL_WIDTH), conv_std_logic_vector(64,AMPL_WIDTH), conv_std_logic_vector(65,AMPL_WIDTH), conv_std_logic_vector(66,AMPL_WIDTH), conv_std_logic_vector(66,AMPL_WIDTH), conv_std_logic_vector(67,AMPL_WIDTH), conv_std_logic_vector(68,AMPL_WIDTH), conv_std_logic_vector(69,AMPL_WIDTH), conv_std_logic_vector(70,AMPL_WIDTH), conv_std_logic_vector(70,AMPL_WIDTH), conv_std_logic_vector(71,AMPL_WIDTH), conv_std_logic_vector(72,AMPL_WIDTH), conv_std_logic_vector(73,AMPL_WIDTH), conv_std_logic_vector(73,AMPL_WIDTH), conv_std_logic_vector(74,AMPL_WIDTH), conv_std_logic_vector(75,AMPL_WIDTH), conv_std_logic_vector(76,AMPL_WIDTH), conv_std_logic_vector(77,AMPL_WIDTH), conv_std_logic_vector(77,AMPL_WIDTH), conv_std_logic_vector(78,AMPL_WIDTH), conv_std_logic_vector(79,AMPL_WIDTH), conv_std_logic_vector(80,AMPL_WIDTH), conv_std_logic_vector(80,AMPL_WIDTH), conv_std_logic_vector(81,AMPL_WIDTH), conv_std_logic_vector(82,AMPL_WIDTH), conv_std_logic_vector(83,AMPL_WIDTH), conv_std_logic_vector(83,AMPL_WIDTH), conv_std_logic_vector(84,AMPL_WIDTH), conv_std_logic_vector(85,AMPL_WIDTH), conv_std_logic_vector(86,AMPL_WIDTH), conv_std_logic_vector(87,AMPL_WIDTH), conv_std_logic_vector(87,AMPL_WIDTH), conv_std_logic_vector(88,AMPL_WIDTH), conv_std_logic_vector(89,AMPL_WIDTH), conv_std_logic_vector(90,AMPL_WIDTH), conv_std_logic_vector(90,AMPL_WIDTH), conv_std_logic_vector(91,AMPL_WIDTH), conv_std_logic_vector(92,AMPL_WIDTH), conv_std_logic_vector(93,AMPL_WIDTH), conv_std_logic_vector(94,AMPL_WIDTH), conv_std_logic_vector(94,AMPL_WIDTH), conv_std_logic_vector(95,AMPL_WIDTH), conv_std_logic_vector(96,AMPL_WIDTH), conv_std_logic_vector(97,AMPL_WIDTH), conv_std_logic_vector(97,AMPL_WIDTH), conv_std_logic_vector(98,AMPL_WIDTH), conv_std_logic_vector(99,AMPL_WIDTH), conv_std_logic_vector(100,AMPL_WIDTH), conv_std_logic_vector(100,AMPL_WIDTH), conv_std_logic_vector(101,AMPL_WIDTH), conv_std_logic_vector(102,AMPL_WIDTH), conv_std_logic_vector(103,AMPL_WIDTH), conv_std_logic_vector(104,AMPL_WIDTH), conv_std_logic_vector(104,AMPL_WIDTH), conv_std_logic_vector(105,AMPL_WIDTH), conv_std_logic_vector(106,AMPL_WIDTH), conv_std_logic_vector(107,AMPL_WIDTH), conv_std_logic_vector(107,AMPL_WIDTH), conv_std_logic_vector(108,AMPL_WIDTH), conv_std_logic_vector(109,AMPL_WIDTH), conv_std_logic_vector(110,AMPL_WIDTH), conv_std_logic_vector(110,AMPL_WIDTH), conv_std_logic_vector(111,AMPL_WIDTH), conv_std_logic_vector(112,AMPL_WIDTH), conv_std_logic_vector(113,AMPL_WIDTH), conv_std_logic_vector(113,AMPL_WIDTH), conv_std_logic_vector(114,AMPL_WIDTH), conv_std_logic_vector(115,AMPL_WIDTH), conv_std_logic_vector(116,AMPL_WIDTH), conv_std_logic_vector(117,AMPL_WIDTH), conv_std_logic_vector(117,AMPL_WIDTH), conv_std_logic_vector(118,AMPL_WIDTH), conv_std_logic_vector(119,AMPL_WIDTH), conv_std_logic_vector(120,AMPL_WIDTH), conv_std_logic_vector(120,AMPL_WIDTH), conv_std_logic_vector(121,AMPL_WIDTH), conv_std_logic_vector(122,AMPL_WIDTH), conv_std_logic_vector(123,AMPL_WIDTH), conv_std_logic_vector(123,AMPL_WIDTH), conv_std_logic_vector(124,AMPL_WIDTH), conv_std_logic_vector(125,AMPL_WIDTH), conv_std_logic_vector(126,AMPL_WIDTH), conv_std_logic_vector(126,AMPL_WIDTH), conv_std_logic_vector(127,AMPL_WIDTH), conv_std_logic_vector(128,AMPL_WIDTH), conv_std_logic_vector(129,AMPL_WIDTH), conv_std_logic_vector(129,AMPL_WIDTH), conv_std_logic_vector(130,AMPL_WIDTH), conv_std_logic_vector(131,AMPL_WIDTH), conv_std_logic_vector(132,AMPL_WIDTH), conv_std_logic_vector(133,AMPL_WIDTH), conv_std_logic_vector(133,AMPL_WIDTH), conv_std_logic_vector(134,AMPL_WIDTH), conv_std_logic_vector(135,AMPL_WIDTH), conv_std_logic_vector(136,AMPL_WIDTH), conv_std_logic_vector(136,AMPL_WIDTH), conv_std_logic_vector(137,AMPL_WIDTH), conv_std_logic_vector(138,AMPL_WIDTH), conv_std_logic_vector(139,AMPL_WIDTH), conv_std_logic_vector(139,AMPL_WIDTH), conv_std_logic_vector(140,AMPL_WIDTH), conv_std_logic_vector(141,AMPL_WIDTH), conv_std_logic_vector(142,AMPL_WIDTH), conv_std_logic_vector(142,AMPL_WIDTH), conv_std_logic_vector(143,AMPL_WIDTH), conv_std_logic_vector(144,AMPL_WIDTH), conv_std_logic_vector(145,AMPL_WIDTH), conv_std_logic_vector(145,AMPL_WIDTH), conv_std_logic_vector(146,AMPL_WIDTH), conv_std_logic_vector(147,AMPL_WIDTH), conv_std_logic_vector(148,AMPL_WIDTH), conv_std_logic_vector(148,AMPL_WIDTH), conv_std_logic_vector(149,AMPL_WIDTH), conv_std_logic_vector(150,AMPL_WIDTH), conv_std_logic_vector(151,AMPL_WIDTH), conv_std_logic_vector(151,AMPL_WIDTH), conv_std_logic_vector(152,AMPL_WIDTH), conv_std_logic_vector(153,AMPL_WIDTH), conv_std_logic_vector(154,AMPL_WIDTH), conv_std_logic_vector(154,AMPL_WIDTH), conv_std_logic_vector(155,AMPL_WIDTH), conv_std_logic_vector(156,AMPL_WIDTH), conv_std_logic_vector(157,AMPL_WIDTH), conv_std_logic_vector(157,AMPL_WIDTH), conv_std_logic_vector(158,AMPL_WIDTH), conv_std_logic_vector(159,AMPL_WIDTH), conv_std_logic_vector(160,AMPL_WIDTH), conv_std_logic_vector(160,AMPL_WIDTH), conv_std_logic_vector(161,AMPL_WIDTH), conv_std_logic_vector(162,AMPL_WIDTH), conv_std_logic_vector(163,AMPL_WIDTH), conv_std_logic_vector(163,AMPL_WIDTH), conv_std_logic_vector(164,AMPL_WIDTH), conv_std_logic_vector(165,AMPL_WIDTH), conv_std_logic_vector(165,AMPL_WIDTH), conv_std_logic_vector(166,AMPL_WIDTH), conv_std_logic_vector(167,AMPL_WIDTH), conv_std_logic_vector(168,AMPL_WIDTH), conv_std_logic_vector(168,AMPL_WIDTH), conv_std_logic_vector(169,AMPL_WIDTH), conv_std_logic_vector(170,AMPL_WIDTH), conv_std_logic_vector(171,AMPL_WIDTH), conv_std_logic_vector(171,AMPL_WIDTH), conv_std_logic_vector(172,AMPL_WIDTH), conv_std_logic_vector(173,AMPL_WIDTH), conv_std_logic_vector(174,AMPL_WIDTH), conv_std_logic_vector(174,AMPL_WIDTH), conv_std_logic_vector(175,AMPL_WIDTH), conv_std_logic_vector(176,AMPL_WIDTH), conv_std_logic_vector(177,AMPL_WIDTH), conv_std_logic_vector(177,AMPL_WIDTH), conv_std_logic_vector(178,AMPL_WIDTH), conv_std_logic_vector(179,AMPL_WIDTH), conv_std_logic_vector(180,AMPL_WIDTH), conv_std_logic_vector(180,AMPL_WIDTH), conv_std_logic_vector(181,AMPL_WIDTH), conv_std_logic_vector(182,AMPL_WIDTH), conv_std_logic_vector(182,AMPL_WIDTH), conv_std_logic_vector(183,AMPL_WIDTH), conv_std_logic_vector(184,AMPL_WIDTH), conv_std_logic_vector(185,AMPL_WIDTH), conv_std_logic_vector(185,AMPL_WIDTH), conv_std_logic_vector(186,AMPL_WIDTH), conv_std_logic_vector(187,AMPL_WIDTH), conv_std_logic_vector(188,AMPL_WIDTH), conv_std_logic_vector(188,AMPL_WIDTH), conv_std_logic_vector(189,AMPL_WIDTH), conv_std_logic_vector(190,AMPL_WIDTH), conv_std_logic_vector(190,AMPL_WIDTH), conv_std_logic_vector(191,AMPL_WIDTH), conv_std_logic_vector(192,AMPL_WIDTH), conv_std_logic_vector(193,AMPL_WIDTH), conv_std_logic_vector(193,AMPL_WIDTH), conv_std_logic_vector(194,AMPL_WIDTH), conv_std_logic_vector(195,AMPL_WIDTH), conv_std_logic_vector(196,AMPL_WIDTH), conv_std_logic_vector(196,AMPL_WIDTH), conv_std_logic_vector(197,AMPL_WIDTH), conv_std_logic_vector(198,AMPL_WIDTH), conv_std_logic_vector(198,AMPL_WIDTH), conv_std_logic_vector(199,AMPL_WIDTH), conv_std_logic_vector(200,AMPL_WIDTH), conv_std_logic_vector(201,AMPL_WIDTH), conv_std_logic_vector(201,AMPL_WIDTH), conv_std_logic_vector(202,AMPL_WIDTH), conv_std_logic_vector(203,AMPL_WIDTH), conv_std_logic_vector(203,AMPL_WIDTH), conv_std_logic_vector(204,AMPL_WIDTH), conv_std_logic_vector(205,AMPL_WIDTH), conv_std_logic_vector(206,AMPL_WIDTH), conv_std_logic_vector(206,AMPL_WIDTH), conv_std_logic_vector(207,AMPL_WIDTH), conv_std_logic_vector(208,AMPL_WIDTH), conv_std_logic_vector(209,AMPL_WIDTH), conv_std_logic_vector(209,AMPL_WIDTH), conv_std_logic_vector(210,AMPL_WIDTH), conv_std_logic_vector(211,AMPL_WIDTH), conv_std_logic_vector(211,AMPL_WIDTH), conv_std_logic_vector(212,AMPL_WIDTH), conv_std_logic_vector(213,AMPL_WIDTH), conv_std_logic_vector(214,AMPL_WIDTH), conv_std_logic_vector(214,AMPL_WIDTH), conv_std_logic_vector(215,AMPL_WIDTH), conv_std_logic_vector(216,AMPL_WIDTH), conv_std_logic_vector(216,AMPL_WIDTH), conv_std_logic_vector(217,AMPL_WIDTH), conv_std_logic_vector(218,AMPL_WIDTH), conv_std_logic_vector(218,AMPL_WIDTH), conv_std_logic_vector(219,AMPL_WIDTH), conv_std_logic_vector(220,AMPL_WIDTH), conv_std_logic_vector(221,AMPL_WIDTH), conv_std_logic_vector(221,AMPL_WIDTH), conv_std_logic_vector(222,AMPL_WIDTH), conv_std_logic_vector(223,AMPL_WIDTH), conv_std_logic_vector(223,AMPL_WIDTH), conv_std_logic_vector(224,AMPL_WIDTH), conv_std_logic_vector(225,AMPL_WIDTH), conv_std_logic_vector(226,AMPL_WIDTH), conv_std_logic_vector(226,AMPL_WIDTH), conv_std_logic_vector(227,AMPL_WIDTH), conv_std_logic_vector(228,AMPL_WIDTH), conv_std_logic_vector(228,AMPL_WIDTH), conv_std_logic_vector(229,AMPL_WIDTH), conv_std_logic_vector(230,AMPL_WIDTH), conv_std_logic_vector(230,AMPL_WIDTH), conv_std_logic_vector(231,AMPL_WIDTH), conv_std_logic_vector(232,AMPL_WIDTH), conv_std_logic_vector(233,AMPL_WIDTH), conv_std_logic_vector(233,AMPL_WIDTH), conv_std_logic_vector(234,AMPL_WIDTH), conv_std_logic_vector(235,AMPL_WIDTH), conv_std_logic_vector(235,AMPL_WIDTH), conv_std_logic_vector(236,AMPL_WIDTH), conv_std_logic_vector(237,AMPL_WIDTH), conv_std_logic_vector(237,AMPL_WIDTH), conv_std_logic_vector(238,AMPL_WIDTH), conv_std_logic_vector(239,AMPL_WIDTH), conv_std_logic_vector(239,AMPL_WIDTH), conv_std_logic_vector(240,AMPL_WIDTH), conv_std_logic_vector(241,AMPL_WIDTH), conv_std_logic_vector(242,AMPL_WIDTH), conv_std_logic_vector(242,AMPL_WIDTH), conv_std_logic_vector(243,AMPL_WIDTH), conv_std_logic_vector(244,AMPL_WIDTH), conv_std_logic_vector(244,AMPL_WIDTH), conv_std_logic_vector(245,AMPL_WIDTH), conv_std_logic_vector(246,AMPL_WIDTH), conv_std_logic_vector(246,AMPL_WIDTH), conv_std_logic_vector(247,AMPL_WIDTH), conv_std_logic_vector(248,AMPL_WIDTH), conv_std_logic_vector(248,AMPL_WIDTH), conv_std_logic_vector(249,AMPL_WIDTH), conv_std_logic_vector(250,AMPL_WIDTH), conv_std_logic_vector(251,AMPL_WIDTH), conv_std_logic_vector(251,AMPL_WIDTH), conv_std_logic_vector(252,AMPL_WIDTH), conv_std_logic_vector(253,AMPL_WIDTH), conv_std_logic_vector(253,AMPL_WIDTH), conv_std_logic_vector(254,AMPL_WIDTH), conv_std_logic_vector(255,AMPL_WIDTH), conv_std_logic_vector(255,AMPL_WIDTH), conv_std_logic_vector(256,AMPL_WIDTH), conv_std_logic_vector(257,AMPL_WIDTH), conv_std_logic_vector(257,AMPL_WIDTH), conv_std_logic_vector(258,AMPL_WIDTH), conv_std_logic_vector(259,AMPL_WIDTH), conv_std_logic_vector(259,AMPL_WIDTH), conv_std_logic_vector(260,AMPL_WIDTH), conv_std_logic_vector(261,AMPL_WIDTH), conv_std_logic_vector(261,AMPL_WIDTH), conv_std_logic_vector(262,AMPL_WIDTH), conv_std_logic_vector(263,AMPL_WIDTH), conv_std_logic_vector(263,AMPL_WIDTH), conv_std_logic_vector(264,AMPL_WIDTH), conv_std_logic_vector(265,AMPL_WIDTH), conv_std_logic_vector(265,AMPL_WIDTH), conv_std_logic_vector(266,AMPL_WIDTH), conv_std_logic_vector(267,AMPL_WIDTH), conv_std_logic_vector(267,AMPL_WIDTH), conv_std_logic_vector(268,AMPL_WIDTH), conv_std_logic_vector(269,AMPL_WIDTH), conv_std_logic_vector(269,AMPL_WIDTH), conv_std_logic_vector(270,AMPL_WIDTH), conv_std_logic_vector(271,AMPL_WIDTH), conv_std_logic_vector(271,AMPL_WIDTH), conv_std_logic_vector(272,AMPL_WIDTH), conv_std_logic_vector(273,AMPL_WIDTH), conv_std_logic_vector(273,AMPL_WIDTH), conv_std_logic_vector(274,AMPL_WIDTH), conv_std_logic_vector(275,AMPL_WIDTH), conv_std_logic_vector(275,AMPL_WIDTH), conv_std_logic_vector(276,AMPL_WIDTH), conv_std_logic_vector(277,AMPL_WIDTH), conv_std_logic_vector(277,AMPL_WIDTH), conv_std_logic_vector(278,AMPL_WIDTH), conv_std_logic_vector(279,AMPL_WIDTH), conv_std_logic_vector(279,AMPL_WIDTH), conv_std_logic_vector(280,AMPL_WIDTH), conv_std_logic_vector(281,AMPL_WIDTH), conv_std_logic_vector(281,AMPL_WIDTH), conv_std_logic_vector(282,AMPL_WIDTH), conv_std_logic_vector(283,AMPL_WIDTH), conv_std_logic_vector(283,AMPL_WIDTH), conv_std_logic_vector(284,AMPL_WIDTH), conv_std_logic_vector(285,AMPL_WIDTH), conv_std_logic_vector(285,AMPL_WIDTH), conv_std_logic_vector(286,AMPL_WIDTH), conv_std_logic_vector(286,AMPL_WIDTH), conv_std_logic_vector(287,AMPL_WIDTH), conv_std_logic_vector(288,AMPL_WIDTH), conv_std_logic_vector(288,AMPL_WIDTH), conv_std_logic_vector(289,AMPL_WIDTH), conv_std_logic_vector(290,AMPL_WIDTH), conv_std_logic_vector(290,AMPL_WIDTH), conv_std_logic_vector(291,AMPL_WIDTH), conv_std_logic_vector(292,AMPL_WIDTH), conv_std_logic_vector(292,AMPL_WIDTH), conv_std_logic_vector(293,AMPL_WIDTH), conv_std_logic_vector(294,AMPL_WIDTH), conv_std_logic_vector(294,AMPL_WIDTH), conv_std_logic_vector(295,AMPL_WIDTH), conv_std_logic_vector(296,AMPL_WIDTH), conv_std_logic_vector(296,AMPL_WIDTH), conv_std_logic_vector(297,AMPL_WIDTH), conv_std_logic_vector(297,AMPL_WIDTH), conv_std_logic_vector(298,AMPL_WIDTH), conv_std_logic_vector(299,AMPL_WIDTH), conv_std_logic_vector(299,AMPL_WIDTH), conv_std_logic_vector(300,AMPL_WIDTH), conv_std_logic_vector(301,AMPL_WIDTH), conv_std_logic_vector(301,AMPL_WIDTH), conv_std_logic_vector(302,AMPL_WIDTH), conv_std_logic_vector(303,AMPL_WIDTH), conv_std_logic_vector(303,AMPL_WIDTH), conv_std_logic_vector(304,AMPL_WIDTH), conv_std_logic_vector(304,AMPL_WIDTH), conv_std_logic_vector(305,AMPL_WIDTH), conv_std_logic_vector(306,AMPL_WIDTH), conv_std_logic_vector(306,AMPL_WIDTH), conv_std_logic_vector(307,AMPL_WIDTH), conv_std_logic_vector(308,AMPL_WIDTH), conv_std_logic_vector(308,AMPL_WIDTH), conv_std_logic_vector(309,AMPL_WIDTH), conv_std_logic_vector(309,AMPL_WIDTH), conv_std_logic_vector(310,AMPL_WIDTH), conv_std_logic_vector(311,AMPL_WIDTH), conv_std_logic_vector(311,AMPL_WIDTH), conv_std_logic_vector(312,AMPL_WIDTH), conv_std_logic_vector(313,AMPL_WIDTH), conv_std_logic_vector(313,AMPL_WIDTH), conv_std_logic_vector(314,AMPL_WIDTH), conv_std_logic_vector(314,AMPL_WIDTH), conv_std_logic_vector(315,AMPL_WIDTH), conv_std_logic_vector(316,AMPL_WIDTH), conv_std_logic_vector(316,AMPL_WIDTH), conv_std_logic_vector(317,AMPL_WIDTH), conv_std_logic_vector(317,AMPL_WIDTH), conv_std_logic_vector(318,AMPL_WIDTH), conv_std_logic_vector(319,AMPL_WIDTH), conv_std_logic_vector(319,AMPL_WIDTH), conv_std_logic_vector(320,AMPL_WIDTH), conv_std_logic_vector(321,AMPL_WIDTH), conv_std_logic_vector(321,AMPL_WIDTH), conv_std_logic_vector(322,AMPL_WIDTH), conv_std_logic_vector(322,AMPL_WIDTH), conv_std_logic_vector(323,AMPL_WIDTH), conv_std_logic_vector(324,AMPL_WIDTH), conv_std_logic_vector(324,AMPL_WIDTH), conv_std_logic_vector(325,AMPL_WIDTH), conv_std_logic_vector(325,AMPL_WIDTH), conv_std_logic_vector(326,AMPL_WIDTH), conv_std_logic_vector(327,AMPL_WIDTH), conv_std_logic_vector(327,AMPL_WIDTH), conv_std_logic_vector(328,AMPL_WIDTH), conv_std_logic_vector(328,AMPL_WIDTH), conv_std_logic_vector(329,AMPL_WIDTH), conv_std_logic_vector(330,AMPL_WIDTH), conv_std_logic_vector(330,AMPL_WIDTH), conv_std_logic_vector(331,AMPL_WIDTH), conv_std_logic_vector(331,AMPL_WIDTH), conv_std_logic_vector(332,AMPL_WIDTH), conv_std_logic_vector(333,AMPL_WIDTH), conv_std_logic_vector(333,AMPL_WIDTH), conv_std_logic_vector(334,AMPL_WIDTH), conv_std_logic_vector(334,AMPL_WIDTH), conv_std_logic_vector(335,AMPL_WIDTH), conv_std_logic_vector(336,AMPL_WIDTH), conv_std_logic_vector(336,AMPL_WIDTH), conv_std_logic_vector(337,AMPL_WIDTH), conv_std_logic_vector(337,AMPL_WIDTH), conv_std_logic_vector(338,AMPL_WIDTH), conv_std_logic_vector(338,AMPL_WIDTH), conv_std_logic_vector(339,AMPL_WIDTH), conv_std_logic_vector(340,AMPL_WIDTH), conv_std_logic_vector(340,AMPL_WIDTH), conv_std_logic_vector(341,AMPL_WIDTH), conv_std_logic_vector(341,AMPL_WIDTH), conv_std_logic_vector(342,AMPL_WIDTH), conv_std_logic_vector(343,AMPL_WIDTH), conv_std_logic_vector(343,AMPL_WIDTH), conv_std_logic_vector(344,AMPL_WIDTH), conv_std_logic_vector(344,AMPL_WIDTH), conv_std_logic_vector(345,AMPL_WIDTH), conv_std_logic_vector(345,AMPL_WIDTH), conv_std_logic_vector(346,AMPL_WIDTH), conv_std_logic_vector(347,AMPL_WIDTH), conv_std_logic_vector(347,AMPL_WIDTH), conv_std_logic_vector(348,AMPL_WIDTH), conv_std_logic_vector(348,AMPL_WIDTH), conv_std_logic_vector(349,AMPL_WIDTH), conv_std_logic_vector(350,AMPL_WIDTH), conv_std_logic_vector(350,AMPL_WIDTH), conv_std_logic_vector(351,AMPL_WIDTH), conv_std_logic_vector(351,AMPL_WIDTH), conv_std_logic_vector(352,AMPL_WIDTH), conv_std_logic_vector(352,AMPL_WIDTH), conv_std_logic_vector(353,AMPL_WIDTH), conv_std_logic_vector(353,AMPL_WIDTH), conv_std_logic_vector(354,AMPL_WIDTH), conv_std_logic_vector(355,AMPL_WIDTH), conv_std_logic_vector(355,AMPL_WIDTH), conv_std_logic_vector(356,AMPL_WIDTH), conv_std_logic_vector(356,AMPL_WIDTH), conv_std_logic_vector(357,AMPL_WIDTH), conv_std_logic_vector(357,AMPL_WIDTH), conv_std_logic_vector(358,AMPL_WIDTH), conv_std_logic_vector(359,AMPL_WIDTH), conv_std_logic_vector(359,AMPL_WIDTH), conv_std_logic_vector(360,AMPL_WIDTH), conv_std_logic_vector(360,AMPL_WIDTH), conv_std_logic_vector(361,AMPL_WIDTH), conv_std_logic_vector(361,AMPL_WIDTH), conv_std_logic_vector(362,AMPL_WIDTH), conv_std_logic_vector(362,AMPL_WIDTH), conv_std_logic_vector(363,AMPL_WIDTH), conv_std_logic_vector(364,AMPL_WIDTH), conv_std_logic_vector(364,AMPL_WIDTH), conv_std_logic_vector(365,AMPL_WIDTH), conv_std_logic_vector(365,AMPL_WIDTH), conv_std_logic_vector(366,AMPL_WIDTH), conv_std_logic_vector(366,AMPL_WIDTH), conv_std_logic_vector(367,AMPL_WIDTH), conv_std_logic_vector(367,AMPL_WIDTH), conv_std_logic_vector(368,AMPL_WIDTH), conv_std_logic_vector(368,AMPL_WIDTH), conv_std_logic_vector(369,AMPL_WIDTH), conv_std_logic_vector(370,AMPL_WIDTH), conv_std_logic_vector(370,AMPL_WIDTH), conv_std_logic_vector(371,AMPL_WIDTH), conv_std_logic_vector(371,AMPL_WIDTH), conv_std_logic_vector(372,AMPL_WIDTH), conv_std_logic_vector(372,AMPL_WIDTH), conv_std_logic_vector(373,AMPL_WIDTH), conv_std_logic_vector(373,AMPL_WIDTH), conv_std_logic_vector(374,AMPL_WIDTH), conv_std_logic_vector(374,AMPL_WIDTH), conv_std_logic_vector(375,AMPL_WIDTH), conv_std_logic_vector(375,AMPL_WIDTH), conv_std_logic_vector(376,AMPL_WIDTH), conv_std_logic_vector(377,AMPL_WIDTH), conv_std_logic_vector(377,AMPL_WIDTH), conv_std_logic_vector(378,AMPL_WIDTH), conv_std_logic_vector(378,AMPL_WIDTH), conv_std_logic_vector(379,AMPL_WIDTH), conv_std_logic_vector(379,AMPL_WIDTH), conv_std_logic_vector(380,AMPL_WIDTH), conv_std_logic_vector(380,AMPL_WIDTH), conv_std_logic_vector(381,AMPL_WIDTH), conv_std_logic_vector(381,AMPL_WIDTH), conv_std_logic_vector(382,AMPL_WIDTH), conv_std_logic_vector(382,AMPL_WIDTH), conv_std_logic_vector(383,AMPL_WIDTH), conv_std_logic_vector(383,AMPL_WIDTH), conv_std_logic_vector(384,AMPL_WIDTH), conv_std_logic_vector(384,AMPL_WIDTH), conv_std_logic_vector(385,AMPL_WIDTH), conv_std_logic_vector(385,AMPL_WIDTH), conv_std_logic_vector(386,AMPL_WIDTH), conv_std_logic_vector(386,AMPL_WIDTH), conv_std_logic_vector(387,AMPL_WIDTH), conv_std_logic_vector(387,AMPL_WIDTH), conv_std_logic_vector(388,AMPL_WIDTH), conv_std_logic_vector(388,AMPL_WIDTH), conv_std_logic_vector(389,AMPL_WIDTH), conv_std_logic_vector(389,AMPL_WIDTH), conv_std_logic_vector(390,AMPL_WIDTH), conv_std_logic_vector(390,AMPL_WIDTH), conv_std_logic_vector(391,AMPL_WIDTH), conv_std_logic_vector(392,AMPL_WIDTH), conv_std_logic_vector(392,AMPL_WIDTH), conv_std_logic_vector(393,AMPL_WIDTH), conv_std_logic_vector(393,AMPL_WIDTH), conv_std_logic_vector(394,AMPL_WIDTH), conv_std_logic_vector(394,AMPL_WIDTH), conv_std_logic_vector(395,AMPL_WIDTH), conv_std_logic_vector(395,AMPL_WIDTH), conv_std_logic_vector(396,AMPL_WIDTH), conv_std_logic_vector(396,AMPL_WIDTH), conv_std_logic_vector(396,AMPL_WIDTH), conv_std_logic_vector(397,AMPL_WIDTH), conv_std_logic_vector(397,AMPL_WIDTH), conv_std_logic_vector(398,AMPL_WIDTH), conv_std_logic_vector(398,AMPL_WIDTH), conv_std_logic_vector(399,AMPL_WIDTH), conv_std_logic_vector(399,AMPL_WIDTH), conv_std_logic_vector(400,AMPL_WIDTH), conv_std_logic_vector(400,AMPL_WIDTH), conv_std_logic_vector(401,AMPL_WIDTH), conv_std_logic_vector(401,AMPL_WIDTH), conv_std_logic_vector(402,AMPL_WIDTH), conv_std_logic_vector(402,AMPL_WIDTH), conv_std_logic_vector(403,AMPL_WIDTH), conv_std_logic_vector(403,AMPL_WIDTH), conv_std_logic_vector(404,AMPL_WIDTH), conv_std_logic_vector(404,AMPL_WIDTH), conv_std_logic_vector(405,AMPL_WIDTH), conv_std_logic_vector(405,AMPL_WIDTH), conv_std_logic_vector(406,AMPL_WIDTH), conv_std_logic_vector(406,AMPL_WIDTH), conv_std_logic_vector(407,AMPL_WIDTH), conv_std_logic_vector(407,AMPL_WIDTH), conv_std_logic_vector(408,AMPL_WIDTH), conv_std_logic_vector(408,AMPL_WIDTH), conv_std_logic_vector(409,AMPL_WIDTH), conv_std_logic_vector(409,AMPL_WIDTH), conv_std_logic_vector(410,AMPL_WIDTH), conv_std_logic_vector(410,AMPL_WIDTH), conv_std_logic_vector(410,AMPL_WIDTH), conv_std_logic_vector(411,AMPL_WIDTH), conv_std_logic_vector(411,AMPL_WIDTH), conv_std_logic_vector(412,AMPL_WIDTH), conv_std_logic_vector(412,AMPL_WIDTH), conv_std_logic_vector(413,AMPL_WIDTH), conv_std_logic_vector(413,AMPL_WIDTH), conv_std_logic_vector(414,AMPL_WIDTH), conv_std_logic_vector(414,AMPL_WIDTH), conv_std_logic_vector(415,AMPL_WIDTH), conv_std_logic_vector(415,AMPL_WIDTH), conv_std_logic_vector(416,AMPL_WIDTH), conv_std_logic_vector(416,AMPL_WIDTH), conv_std_logic_vector(416,AMPL_WIDTH), conv_std_logic_vector(417,AMPL_WIDTH), conv_std_logic_vector(417,AMPL_WIDTH), conv_std_logic_vector(418,AMPL_WIDTH), conv_std_logic_vector(418,AMPL_WIDTH), conv_std_logic_vector(419,AMPL_WIDTH), conv_std_logic_vector(419,AMPL_WIDTH), conv_std_logic_vector(420,AMPL_WIDTH), conv_std_logic_vector(420,AMPL_WIDTH), conv_std_logic_vector(420,AMPL_WIDTH), conv_std_logic_vector(421,AMPL_WIDTH), conv_std_logic_vector(421,AMPL_WIDTH), conv_std_logic_vector(422,AMPL_WIDTH), conv_std_logic_vector(422,AMPL_WIDTH), conv_std_logic_vector(423,AMPL_WIDTH), conv_std_logic_vector(423,AMPL_WIDTH), conv_std_logic_vector(424,AMPL_WIDTH), conv_std_logic_vector(424,AMPL_WIDTH), conv_std_logic_vector(424,AMPL_WIDTH), conv_std_logic_vector(425,AMPL_WIDTH), conv_std_logic_vector(425,AMPL_WIDTH), conv_std_logic_vector(426,AMPL_WIDTH), conv_std_logic_vector(426,AMPL_WIDTH), conv_std_logic_vector(427,AMPL_WIDTH), conv_std_logic_vector(427,AMPL_WIDTH), conv_std_logic_vector(427,AMPL_WIDTH), conv_std_logic_vector(428,AMPL_WIDTH), conv_std_logic_vector(428,AMPL_WIDTH), conv_std_logic_vector(429,AMPL_WIDTH), conv_std_logic_vector(429,AMPL_WIDTH), conv_std_logic_vector(430,AMPL_WIDTH), conv_std_logic_vector(430,AMPL_WIDTH), conv_std_logic_vector(430,AMPL_WIDTH), conv_std_logic_vector(431,AMPL_WIDTH), conv_std_logic_vector(431,AMPL_WIDTH), conv_std_logic_vector(432,AMPL_WIDTH), conv_std_logic_vector(432,AMPL_WIDTH), conv_std_logic_vector(433,AMPL_WIDTH), conv_std_logic_vector(433,AMPL_WIDTH), conv_std_logic_vector(433,AMPL_WIDTH), conv_std_logic_vector(434,AMPL_WIDTH), conv_std_logic_vector(434,AMPL_WIDTH), conv_std_logic_vector(435,AMPL_WIDTH), conv_std_logic_vector(435,AMPL_WIDTH), conv_std_logic_vector(435,AMPL_WIDTH), conv_std_logic_vector(436,AMPL_WIDTH), conv_std_logic_vector(436,AMPL_WIDTH), conv_std_logic_vector(437,AMPL_WIDTH), conv_std_logic_vector(437,AMPL_WIDTH), conv_std_logic_vector(437,AMPL_WIDTH), conv_std_logic_vector(438,AMPL_WIDTH), conv_std_logic_vector(438,AMPL_WIDTH), conv_std_logic_vector(439,AMPL_WIDTH), conv_std_logic_vector(439,AMPL_WIDTH), conv_std_logic_vector(440,AMPL_WIDTH), conv_std_logic_vector(440,AMPL_WIDTH), conv_std_logic_vector(440,AMPL_WIDTH), conv_std_logic_vector(441,AMPL_WIDTH), conv_std_logic_vector(441,AMPL_WIDTH), conv_std_logic_vector(441,AMPL_WIDTH), conv_std_logic_vector(442,AMPL_WIDTH), conv_std_logic_vector(442,AMPL_WIDTH), conv_std_logic_vector(443,AMPL_WIDTH), conv_std_logic_vector(443,AMPL_WIDTH), conv_std_logic_vector(443,AMPL_WIDTH), conv_std_logic_vector(444,AMPL_WIDTH), conv_std_logic_vector(444,AMPL_WIDTH), conv_std_logic_vector(445,AMPL_WIDTH), conv_std_logic_vector(445,AMPL_WIDTH), conv_std_logic_vector(445,AMPL_WIDTH), conv_std_logic_vector(446,AMPL_WIDTH), conv_std_logic_vector(446,AMPL_WIDTH), conv_std_logic_vector(447,AMPL_WIDTH), conv_std_logic_vector(447,AMPL_WIDTH), conv_std_logic_vector(447,AMPL_WIDTH), conv_std_logic_vector(448,AMPL_WIDTH), conv_std_logic_vector(448,AMPL_WIDTH), conv_std_logic_vector(448,AMPL_WIDTH), conv_std_logic_vector(449,AMPL_WIDTH), conv_std_logic_vector(449,AMPL_WIDTH), conv_std_logic_vector(450,AMPL_WIDTH), conv_std_logic_vector(450,AMPL_WIDTH), conv_std_logic_vector(450,AMPL_WIDTH), conv_std_logic_vector(451,AMPL_WIDTH), conv_std_logic_vector(451,AMPL_WIDTH), conv_std_logic_vector(451,AMPL_WIDTH), conv_std_logic_vector(452,AMPL_WIDTH), conv_std_logic_vector(452,AMPL_WIDTH), conv_std_logic_vector(452,AMPL_WIDTH), conv_std_logic_vector(453,AMPL_WIDTH), conv_std_logic_vector(453,AMPL_WIDTH), conv_std_logic_vector(454,AMPL_WIDTH), conv_std_logic_vector(454,AMPL_WIDTH), conv_std_logic_vector(454,AMPL_WIDTH), conv_std_logic_vector(455,AMPL_WIDTH), conv_std_logic_vector(455,AMPL_WIDTH), conv_std_logic_vector(455,AMPL_WIDTH), conv_std_logic_vector(456,AMPL_WIDTH), conv_std_logic_vector(456,AMPL_WIDTH), conv_std_logic_vector(456,AMPL_WIDTH), conv_std_logic_vector(457,AMPL_WIDTH), conv_std_logic_vector(457,AMPL_WIDTH), conv_std_logic_vector(457,AMPL_WIDTH), conv_std_logic_vector(458,AMPL_WIDTH), conv_std_logic_vector(458,AMPL_WIDTH), conv_std_logic_vector(459,AMPL_WIDTH), conv_std_logic_vector(459,AMPL_WIDTH), conv_std_logic_vector(459,AMPL_WIDTH), conv_std_logic_vector(460,AMPL_WIDTH), conv_std_logic_vector(460,AMPL_WIDTH), conv_std_logic_vector(460,AMPL_WIDTH), conv_std_logic_vector(461,AMPL_WIDTH), conv_std_logic_vector(461,AMPL_WIDTH), conv_std_logic_vector(461,AMPL_WIDTH), conv_std_logic_vector(462,AMPL_WIDTH), conv_std_logic_vector(462,AMPL_WIDTH), conv_std_logic_vector(462,AMPL_WIDTH), conv_std_logic_vector(463,AMPL_WIDTH), conv_std_logic_vector(463,AMPL_WIDTH), conv_std_logic_vector(463,AMPL_WIDTH), conv_std_logic_vector(464,AMPL_WIDTH), conv_std_logic_vector(464,AMPL_WIDTH), conv_std_logic_vector(464,AMPL_WIDTH), conv_std_logic_vector(465,AMPL_WIDTH), conv_std_logic_vector(465,AMPL_WIDTH), conv_std_logic_vector(465,AMPL_WIDTH), conv_std_logic_vector(466,AMPL_WIDTH), conv_std_logic_vector(466,AMPL_WIDTH), conv_std_logic_vector(466,AMPL_WIDTH), conv_std_logic_vector(467,AMPL_WIDTH), conv_std_logic_vector(467,AMPL_WIDTH), conv_std_logic_vector(467,AMPL_WIDTH), conv_std_logic_vector(467,AMPL_WIDTH), conv_std_logic_vector(468,AMPL_WIDTH), conv_std_logic_vector(468,AMPL_WIDTH), conv_std_logic_vector(468,AMPL_WIDTH), conv_std_logic_vector(469,AMPL_WIDTH), conv_std_logic_vector(469,AMPL_WIDTH), conv_std_logic_vector(469,AMPL_WIDTH), conv_std_logic_vector(470,AMPL_WIDTH), conv_std_logic_vector(470,AMPL_WIDTH), conv_std_logic_vector(470,AMPL_WIDTH), conv_std_logic_vector(471,AMPL_WIDTH), conv_std_logic_vector(471,AMPL_WIDTH), conv_std_logic_vector(471,AMPL_WIDTH), conv_std_logic_vector(472,AMPL_WIDTH), conv_std_logic_vector(472,AMPL_WIDTH), conv_std_logic_vector(472,AMPL_WIDTH), conv_std_logic_vector(472,AMPL_WIDTH), conv_std_logic_vector(473,AMPL_WIDTH), conv_std_logic_vector(473,AMPL_WIDTH), conv_std_logic_vector(473,AMPL_WIDTH), conv_std_logic_vector(474,AMPL_WIDTH), conv_std_logic_vector(474,AMPL_WIDTH), conv_std_logic_vector(474,AMPL_WIDTH), conv_std_logic_vector(474,AMPL_WIDTH), conv_std_logic_vector(475,AMPL_WIDTH), conv_std_logic_vector(475,AMPL_WIDTH), conv_std_logic_vector(475,AMPL_WIDTH), conv_std_logic_vector(476,AMPL_WIDTH), conv_std_logic_vector(476,AMPL_WIDTH), conv_std_logic_vector(476,AMPL_WIDTH), conv_std_logic_vector(476,AMPL_WIDTH), conv_std_logic_vector(477,AMPL_WIDTH), conv_std_logic_vector(477,AMPL_WIDTH), conv_std_logic_vector(477,AMPL_WIDTH), conv_std_logic_vector(478,AMPL_WIDTH), conv_std_logic_vector(478,AMPL_WIDTH), conv_std_logic_vector(478,AMPL_WIDTH), conv_std_logic_vector(478,AMPL_WIDTH), conv_std_logic_vector(479,AMPL_WIDTH), conv_std_logic_vector(479,AMPL_WIDTH), conv_std_logic_vector(479,AMPL_WIDTH), conv_std_logic_vector(480,AMPL_WIDTH), conv_std_logic_vector(480,AMPL_WIDTH), conv_std_logic_vector(480,AMPL_WIDTH), conv_std_logic_vector(480,AMPL_WIDTH), conv_std_logic_vector(481,AMPL_WIDTH), conv_std_logic_vector(481,AMPL_WIDTH), conv_std_logic_vector(481,AMPL_WIDTH), conv_std_logic_vector(481,AMPL_WIDTH), conv_std_logic_vector(482,AMPL_WIDTH), conv_std_logic_vector(482,AMPL_WIDTH), conv_std_logic_vector(482,AMPL_WIDTH), conv_std_logic_vector(482,AMPL_WIDTH), conv_std_logic_vector(483,AMPL_WIDTH), conv_std_logic_vector(483,AMPL_WIDTH), conv_std_logic_vector(483,AMPL_WIDTH), conv_std_logic_vector(483,AMPL_WIDTH), conv_std_logic_vector(484,AMPL_WIDTH), conv_std_logic_vector(484,AMPL_WIDTH), conv_std_logic_vector(484,AMPL_WIDTH), conv_std_logic_vector(484,AMPL_WIDTH), conv_std_logic_vector(485,AMPL_WIDTH), conv_std_logic_vector(485,AMPL_WIDTH), conv_std_logic_vector(485,AMPL_WIDTH), conv_std_logic_vector(485,AMPL_WIDTH), conv_std_logic_vector(486,AMPL_WIDTH), conv_std_logic_vector(486,AMPL_WIDTH), conv_std_logic_vector(486,AMPL_WIDTH), conv_std_logic_vector(486,AMPL_WIDTH), conv_std_logic_vector(487,AMPL_WIDTH), conv_std_logic_vector(487,AMPL_WIDTH), conv_std_logic_vector(487,AMPL_WIDTH), conv_std_logic_vector(487,AMPL_WIDTH), conv_std_logic_vector(488,AMPL_WIDTH), conv_std_logic_vector(488,AMPL_WIDTH), conv_std_logic_vector(488,AMPL_WIDTH), conv_std_logic_vector(488,AMPL_WIDTH), conv_std_logic_vector(489,AMPL_WIDTH), conv_std_logic_vector(489,AMPL_WIDTH), conv_std_logic_vector(489,AMPL_WIDTH), conv_std_logic_vector(489,AMPL_WIDTH), conv_std_logic_vector(489,AMPL_WIDTH), conv_std_logic_vector(490,AMPL_WIDTH), conv_std_logic_vector(490,AMPL_WIDTH), conv_std_logic_vector(490,AMPL_WIDTH), conv_std_logic_vector(490,AMPL_WIDTH), conv_std_logic_vector(491,AMPL_WIDTH), conv_std_logic_vector(491,AMPL_WIDTH), conv_std_logic_vector(491,AMPL_WIDTH), conv_std_logic_vector(491,AMPL_WIDTH), conv_std_logic_vector(491,AMPL_WIDTH), conv_std_logic_vector(492,AMPL_WIDTH), conv_std_logic_vector(492,AMPL_WIDTH), conv_std_logic_vector(492,AMPL_WIDTH), conv_std_logic_vector(492,AMPL_WIDTH), conv_std_logic_vector(492,AMPL_WIDTH), conv_std_logic_vector(493,AMPL_WIDTH), conv_std_logic_vector(493,AMPL_WIDTH), conv_std_logic_vector(493,AMPL_WIDTH), conv_std_logic_vector(493,AMPL_WIDTH), conv_std_logic_vector(494,AMPL_WIDTH), conv_std_logic_vector(494,AMPL_WIDTH), conv_std_logic_vector(494,AMPL_WIDTH), conv_std_logic_vector(494,AMPL_WIDTH), conv_std_logic_vector(494,AMPL_WIDTH), conv_std_logic_vector(495,AMPL_WIDTH), conv_std_logic_vector(495,AMPL_WIDTH), conv_std_logic_vector(495,AMPL_WIDTH), conv_std_logic_vector(495,AMPL_WIDTH), conv_std_logic_vector(495,AMPL_WIDTH), conv_std_logic_vector(495,AMPL_WIDTH), conv_std_logic_vector(496,AMPL_WIDTH), conv_std_logic_vector(496,AMPL_WIDTH), conv_std_logic_vector(496,AMPL_WIDTH), conv_std_logic_vector(496,AMPL_WIDTH), conv_std_logic_vector(496,AMPL_WIDTH), conv_std_logic_vector(497,AMPL_WIDTH), conv_std_logic_vector(497,AMPL_WIDTH), conv_std_logic_vector(497,AMPL_WIDTH), conv_std_logic_vector(497,AMPL_WIDTH), conv_std_logic_vector(497,AMPL_WIDTH), conv_std_logic_vector(498,AMPL_WIDTH), conv_std_logic_vector(498,AMPL_WIDTH), conv_std_logic_vector(498,AMPL_WIDTH), conv_std_logic_vector(498,AMPL_WIDTH), conv_std_logic_vector(498,AMPL_WIDTH), conv_std_logic_vector(498,AMPL_WIDTH), conv_std_logic_vector(499,AMPL_WIDTH), conv_std_logic_vector(499,AMPL_WIDTH), conv_std_logic_vector(499,AMPL_WIDTH), conv_std_logic_vector(499,AMPL_WIDTH), conv_std_logic_vector(499,AMPL_WIDTH), conv_std_logic_vector(499,AMPL_WIDTH), conv_std_logic_vector(500,AMPL_WIDTH), conv_std_logic_vector(500,AMPL_WIDTH), conv_std_logic_vector(500,AMPL_WIDTH), conv_std_logic_vector(500,AMPL_WIDTH), conv_std_logic_vector(500,AMPL_WIDTH), conv_std_logic_vector(500,AMPL_WIDTH), conv_std_logic_vector(501,AMPL_WIDTH), conv_std_logic_vector(501,AMPL_WIDTH), conv_std_logic_vector(501,AMPL_WIDTH), conv_std_logic_vector(501,AMPL_WIDTH), conv_std_logic_vector(501,AMPL_WIDTH), conv_std_logic_vector(501,AMPL_WIDTH), conv_std_logic_vector(501,AMPL_WIDTH), conv_std_logic_vector(502,AMPL_WIDTH), conv_std_logic_vector(502,AMPL_WIDTH), conv_std_logic_vector(502,AMPL_WIDTH), conv_std_logic_vector(502,AMPL_WIDTH), conv_std_logic_vector(502,AMPL_WIDTH), conv_std_logic_vector(502,AMPL_WIDTH), conv_std_logic_vector(503,AMPL_WIDTH), conv_std_logic_vector(503,AMPL_WIDTH), conv_std_logic_vector(503,AMPL_WIDTH), conv_std_logic_vector(503,AMPL_WIDTH), conv_std_logic_vector(503,AMPL_WIDTH), conv_std_logic_vector(503,AMPL_WIDTH), conv_std_logic_vector(503,AMPL_WIDTH), conv_std_logic_vector(503,AMPL_WIDTH), conv_std_logic_vector(504,AMPL_WIDTH), conv_std_logic_vector(504,AMPL_WIDTH), conv_std_logic_vector(504,AMPL_WIDTH), conv_std_logic_vector(504,AMPL_WIDTH), conv_std_logic_vector(504,AMPL_WIDTH), conv_std_logic_vector(504,AMPL_WIDTH), conv_std_logic_vector(504,AMPL_WIDTH), conv_std_logic_vector(505,AMPL_WIDTH), conv_std_logic_vector(505,AMPL_WIDTH), conv_std_logic_vector(505,AMPL_WIDTH), conv_std_logic_vector(505,AMPL_WIDTH), conv_std_logic_vector(505,AMPL_WIDTH), conv_std_logic_vector(505,AMPL_WIDTH), conv_std_logic_vector(505,AMPL_WIDTH), conv_std_logic_vector(505,AMPL_WIDTH), conv_std_logic_vector(505,AMPL_WIDTH), conv_std_logic_vector(506,AMPL_WIDTH), conv_std_logic_vector(506,AMPL_WIDTH), conv_std_logic_vector(506,AMPL_WIDTH), conv_std_logic_vector(506,AMPL_WIDTH), conv_std_logic_vector(506,AMPL_WIDTH), conv_std_logic_vector(506,AMPL_WIDTH), conv_std_logic_vector(506,AMPL_WIDTH), conv_std_logic_vector(506,AMPL_WIDTH), conv_std_logic_vector(506,AMPL_WIDTH), conv_std_logic_vector(507,AMPL_WIDTH), conv_std_logic_vector(507,AMPL_WIDTH), conv_std_logic_vector(507,AMPL_WIDTH), conv_std_logic_vector(507,AMPL_WIDTH), conv_std_logic_vector(507,AMPL_WIDTH), conv_std_logic_vector(507,AMPL_WIDTH), conv_std_logic_vector(507,AMPL_WIDTH), conv_std_logic_vector(507,AMPL_WIDTH), conv_std_logic_vector(507,AMPL_WIDTH), conv_std_logic_vector(507,AMPL_WIDTH), conv_std_logic_vector(508,AMPL_WIDTH), conv_std_logic_vector(508,AMPL_WIDTH), conv_std_logic_vector(508,AMPL_WIDTH), conv_std_logic_vector(508,AMPL_WIDTH), conv_std_logic_vector(508,AMPL_WIDTH), conv_std_logic_vector(508,AMPL_WIDTH), conv_std_logic_vector(508,AMPL_WIDTH), conv_std_logic_vector(508,AMPL_WIDTH), conv_std_logic_vector(508,AMPL_WIDTH), conv_std_logic_vector(508,AMPL_WIDTH), conv_std_logic_vector(508,AMPL_WIDTH), conv_std_logic_vector(508,AMPL_WIDTH), conv_std_logic_vector(509,AMPL_WIDTH), conv_std_logic_vector(509,AMPL_WIDTH), conv_std_logic_vector(509,AMPL_WIDTH), conv_std_logic_vector(509,AMPL_WIDTH), conv_std_logic_vector(509,AMPL_WIDTH), conv_std_logic_vector(509,AMPL_WIDTH), conv_std_logic_vector(509,AMPL_WIDTH), conv_std_logic_vector(509,AMPL_WIDTH), conv_std_logic_vector(509,AMPL_WIDTH), conv_std_logic_vector(509,AMPL_WIDTH), conv_std_logic_vector(509,AMPL_WIDTH), conv_std_logic_vector(509,AMPL_WIDTH), conv_std_logic_vector(509,AMPL_WIDTH), conv_std_logic_vector(509,AMPL_WIDTH), conv_std_logic_vector(509,AMPL_WIDTH), conv_std_logic_vector(510,AMPL_WIDTH), conv_std_logic_vector(510,AMPL_WIDTH), conv_std_logic_vector(510,AMPL_WIDTH), conv_std_logic_vector(510,AMPL_WIDTH), conv_std_logic_vector(510,AMPL_WIDTH), conv_std_logic_vector(510,AMPL_WIDTH), conv_std_logic_vector(510,AMPL_WIDTH), conv_std_logic_vector(510,AMPL_WIDTH), conv_std_logic_vector(510,AMPL_WIDTH), conv_std_logic_vector(510,AMPL_WIDTH), conv_std_logic_vector(510,AMPL_WIDTH), conv_std_logic_vector(510,AMPL_WIDTH), conv_std_logic_vector(510,AMPL_WIDTH), conv_std_logic_vector(510,AMPL_WIDTH), conv_std_logic_vector(510,AMPL_WIDTH), conv_std_logic_vector(510,AMPL_WIDTH), conv_std_logic_vector(510,AMPL_WIDTH), conv_std_logic_vector(510,AMPL_WIDTH), conv_std_logic_vector(510,AMPL_WIDTH), conv_std_logic_vector(510,AMPL_WIDTH), conv_std_logic_vector(510,AMPL_WIDTH), conv_std_logic_vector(511,AMPL_WIDTH), conv_std_logic_vector(511,AMPL_WIDTH), conv_std_logic_vector(511,AMPL_WIDTH), conv_std_logic_vector(511,AMPL_WIDTH), conv_std_logic_vector(511,AMPL_WIDTH), conv_std_logic_vector(511,AMPL_WIDTH), conv_std_logic_vector(511,AMPL_WIDTH), conv_std_logic_vector(511,AMPL_WIDTH), conv_std_logic_vector(511,AMPL_WIDTH), conv_std_logic_vector(511,AMPL_WIDTH), conv_std_logic_vector(511,AMPL_WIDTH), conv_std_logic_vector(511,AMPL_WIDTH), conv_std_logic_vector(511,AMPL_WIDTH), conv_std_logic_vector(511,AMPL_WIDTH), conv_std_logic_vector(511,AMPL_WIDTH), conv_std_logic_vector(511,AMPL_WIDTH), conv_std_logic_vector(511,AMPL_WIDTH), conv_std_logic_vector(511,AMPL_WIDTH), conv_std_logic_vector(511,AMPL_WIDTH), conv_std_logic_vector(511,AMPL_WIDTH), conv_std_logic_vector(511,AMPL_WIDTH), conv_std_logic_vector(511,AMPL_WIDTH), conv_std_logic_vector(511,AMPL_WIDTH), conv_std_logic_vector(511,AMPL_WIDTH), conv_std_logic_vector(511,AMPL_WIDTH), conv_std_logic_vector(511,AMPL_WIDTH), conv_std_logic_vector(511,AMPL_WIDTH), conv_std_logic_vector(511,AMPL_WIDTH) ); end sine_lut_pkg; package body sine_lut_pkg is end sine_lut_pkg;
-- -- Clocks on ml605 -- -- There are four clock sources on ml605 board: -- * On-board differential 200 MHz oscillator. -- * On-board single-ended Oscillator Socket populated with 66 MHz. -- * User differential through SMA. -- * MGT differential through SMA. -- This example is about on-board clock sources. They are used to blink user leds. -- The clock of 200 MHz is used to blink the 8 GPIO LEDs. -- The clock of 66 MHz is used to blink the 5 direction LEDs. -- CPU Reset push-button (SW10) is used to stop and restart blink cycle. -- -- Author(s): -- * Rodrigo A. Melo -- -- Copyright (c) 2016 Authors and INTI -- Distributed under the BSD 3-Clause License -- library IEEE; use IEEE.std_logic_1164.all; library FPGALIB; use FPGALIB.verif.all; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity Top is port ( clk_i : in std_logic; clk_p_i : in std_logic; clk_n_i : in std_logic; rst_i : in std_logic; leds_o : out std_logic_vector(12 downto 0) ); end entity Top; architecture RTL of Top is signal clk200, led66, led200 : std_logic; begin IBUFGDS_inst: IBUFGDS port map (I => clk_p_i, IB => clk_n_i, O => clk200); blink66_inst: Blink generic map (FREQUENCY => 66e6) port map(clk_i => clk_i, rst_i => rst_i, blink_o => led66); blink200_inst: Blink generic map (FREQUENCY => 200e6) port map(clk_i => clk200, rst_i => rst_i, blink_o => led200); leds_o(4 downto 0) <= led66 & led66 & led66 & led66 & not(led66); leds_o(12 downto 5) <= led200 & not(led200) & led200 & not(led200) & led200 & not(led200) & led200 & not(led200); end architecture RTL;
-------------------------------------------------------------------------------- -- File Name: conversions_p.vhd -------------------------------------------------------------------------------- -- Copyright (C) 1997, 1998, 2001 Free Model Foundry; http://eda.org/fmf/ -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License version 2 as -- published by the Free Software Foundation. -- -- This package was written by SEVA Technologies, Inc. and donated to the FMF. -- www.seva.com -- -- MODIFICATION HISTORY: -- -- version: | author: | mod date: | changes made: -- V1.0 R. Steele 97 DEC 05 Added header and formatting to SEVA file -- V1.1 R. Munden 98 NOV 28 Corrected some comments -- Corrected function b -- V1.2 R. Munden 01 MAY 27 Corrected function to_nat for weak values -- and combined into a single file -- -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.std_logic_1164.ALL; -------------------------------------------------------------------------------- -- CONVERSION FUNCTION SELECTION TABLES -------------------------------------------------------------------------------- -- -- FROM TO: std_logic_vector std_logic natural time string -- -----------------|---------------|---------|---------|---------|----------- -- std_logic_vector | N/A | N/A | to_nat | combine | see below -- std_logic | N/A | N/A | to_nat | combine | see below -- natural | to_slv | to_sl | N/A | to_time | see below -- time | N/A | N/A | to_nat | N/A | to_time_str -- hex string | h | N/A | h | combine | N/A -- decimal string | d | N/A | d | combine | N/A -- octal string | o | N/A | o | combine | N/A -- binary string | b | N/A | b | combine | N/A -- -----------------|---------------|---------|---------|---------|----------- -- -- FROM TO: hex string decimal string octal string binary string -- -----------------|------------|-------------|------------|---------------- -- std_logic_vector | to_hex_str | to_int_str | to_oct_str | to_bin_str -- std_logic | N/A | N/A | N/A | to_bin_str -- natural | to_hex_str | to_int_str | to_oct_str | to_bin_str -- -----------------|------------|-------------|------------|---------------- -- -------------------------------------------------------------------------------- PACKAGE conversions IS ---------------------------------------------------------------------------- -- the conversions in this package are not guaranteed to be synthesizable. -- -- others functions available -- fill creates a variable length string of the fill character -- -- -- -- input parameters of type natural or integer can be in the form: -- normal -> 8, 99, 4_237 -- base#value# -> 2#0101#, 16#fa4C#, 8#6_734# -- with exponents(x10) -> 8e4, 16#2e#E4 -- -- input parameters of type string can be in the form: -- "99", "4_237", "0101", "1010_1010" -- -- for bit/bit_vector <-> std_logic/std_logic_vector conversions use -- package std_logic_1164 -- to_bit(std_logic) -- to_bitvector(std_logic_vector) -- to_stdlogic(bit) -- to_stdlogicvector(bit_vector) -- -- for "synthesizable" signed/unsigned/std_logic_vector/integer -- conversions use -- package std_logic_arith -- conv_integer(signed/unsigned) -- conv_unsigned(integer/signed,size) -- conv_signed(integer/unsigned,size) -- conv_std_logic_vector(integer/signed/unsigned,size) -- -- for "synthesizable" std_logic_vector -> integer conversions use -- package std_logic_unsigned/std_logic_signed -- <these packages are mutually exclusive> -- conv_integer(std_logic_vector) -- <except for this conversion, these packages are unnecessary) -- to minimize compile problems write: -- use std_logic_unsigned.conv_integer; -- use std_logic_signed.conv_integer; -- -- std_logic_vector, signed and unsigned types are "closely related" -- no type conversion functions are needed, use type casting or qualified -- expressions -- -- type1(object of type2) <type casting> -- type1'(expression of type2) <qualified expression> -- -- most conversions have 4 parmeters: -- x : value to be converted -- rtn_len : size of the return value -- justify : justify value 'left' or 'right', default is right -- basespec : print the base of the value - 'yes'/'no', default is yes -- -- Typical ways to call these functions: -- simple, all defaults used -- to_bin_str(x) -- x will be converted to a string of minimum size with a -- base specification appended for clarity -- if x is 10101 then return is b"10101" -- -- to control size of return string -- to_hex_str(x, -- 6) -- length of string returned will be 6 characters -- value will be right justified in the field -- if x is 10101 then return is ....h"15" -- where '.' represents a blank -- if 'rtn_len' parm defaults or is set to 0 then -- return string will always be minimum size -- -- to left justify and suppress base specification -- to_int_str(x, -- 6, -- justify => left, -- basespec => yes) -- length of return string will be 6 characters -- the base specification will be suppressed -- if x is 10101 then return is 21.... -- where '.' represents a blank -- -- other usage notes -- -- if rtn_len less than or equal to x'length then ignore -- rtn_len and return string of x'length -- the 'justify' parm is effectively ignored in this case -- -- if rtn_len greater than x'length then return string -- of rtn_len with blanks based on 'justify' parm -- -- these routines do not handle negative numbers ---------------------------------------------------------------------------- type justify_side is (left, right); type b_spec is (no , yes); -- std_logic_vector to binary string function to_bin_str(x : std_logic_vector; rtn_len : natural := 0; justify : justify_side := right; basespec : b_spec := yes) return string; -- std_logic to binary string function to_bin_str(x : std_logic; rtn_len : natural := 0; justify : justify_side := right; basespec : b_spec := yes) return string; -- natural to binary string function to_bin_str(x : natural; rtn_len : natural := 0; justify : justify_side := right; basespec : b_spec := yes) return string; -- see note above regarding possible formats for x -- std_logic_vector to hex string function to_hex_str(x : std_logic_vector; rtn_len : natural := 0; justify : justify_side := right; basespec : b_spec := yes) return string; -- natural to hex string function to_hex_str(x : natural; rtn_len : natural := 0; justify : justify_side := right; basespec : b_spec := yes) return string; -- see note above regarding possible formats for x -- std_logic_vector to octal string function to_oct_str(x : std_logic_vector; rtn_len : natural := 0; justify : justify_side := right; basespec : b_spec := yes) return string; -- natural to octal string function to_oct_str(x : natural; rtn_len : natural := 0; justify : justify_side := right; basespec : b_spec := yes) return string; -- see note above regarding possible formats for x -- natural to integer string function to_int_str(x : natural; rtn_len : natural := 0; justify : justify_side := right; basespec : b_spec := yes) return string; -- see note above regarding possible formats for x -- std_logic_vector to integer string function to_int_str(x : std_logic_vector; rtn_len : natural := 0; justify : justify_side := right; basespec : b_spec := yes) return string; -- time to string function to_time_str (x : time) return string; -- add characters to a string function fill (fill_char : character := '*'; rtn_len : integer := 1) return string; -- usage: -- fill -- returns * -- fill(' ',10) -- returns .......... when '.' represents a blank -- fill(lf) or fill(ht) -- returns line feed character or tab character respectively -- std_logic_vector to natural function to_nat (x : std_logic_vector) return natural; -- std_logic to natural function to_nat (x : std_logic) return natural; -- time to natural function to_nat (x : time) return natural; -- hex string to std_logic_vector function h (x : string; rtn_len : positive range 1 to 32 := 32) return std_logic_vector; -- if rtn_len is < than x'length*4, result will be truncated on the left -- if x is other than characters 0 to 9 or a,A to f,F -- or x,X,z,Z,u,U,-,w,W, result will be 0 -- decimal string to std_logic_vector function d (x : string; rtn_len : positive range 1 to 32 := 32) return std_logic_vector; -- if rtn_len is < than x'length*4, result will be truncated on the left -- if x is other than characters 0 to 9 or x,X,z,Z,u,U,-,w,W, -- result will be 0 -- octal string to std_logic_vector function o (x : string; rtn_len : positive range 1 to 32 := 32) return std_logic_vector; -- if rtn_len is < than x'length*4, result will be truncated on the left -- if x is other than characters 0 to 7 or x,X,z,Z,u,U,-,w,W, -- result will be 0 -- binary string to std_logic_vector function b (x : string; rtn_len : positive range 1 to 32 := 32) return std_logic_vector; -- if rtn_len is < than x'length*4, result will be truncated on the left -- if x is other than characters 0 to 1 or x,X,z,Z,u,U,-,w,W, -- result will be 0 -- hex string to natural function h (x : string) return natural; -- if x is other than characters 0 to 9 or a,A to f,F, result will be 0 -- decimal string to natural function d (x : string) return natural; -- if x is other than characters 0 to 9, result will be 0 -- octal string to natural function o (x : string) return natural; -- if x is other than characters 0 to 7, result will be 0 -- binary string to natural function b (x : string) return natural; -- if x is other than characters 0 to 1, result will be 0 -- natural to std_logic_vector function to_slv (x : natural; rtn_len : positive range 1 to 32 := 32) return std_logic_vector; -- if rtn_len is < than sizeof(x), result will be truncated on the left -- see note above regarding possible formats for x -- natural to std_logic function to_sl (x : natural) return std_logic; -- natural to time function to_time (x : natural) return time; -- see note above regarding possible formats for x END conversions; -- -------------------------------------------------------------------------------- -- PACKAGE BODY conversions IS -- private declarations for this package type basetype is (binary, octal, decimal, hex); function max(x,y: integer) return integer is begin if x > y then return x; else return y; end if; end max; function min(x,y: integer) return integer is begin if x < y then return x; else return y; end if; end min; -- consider function sizeof for string/slv/???, return natural -- function size(len: natural) return natural is -- begin -- if len=0 then -- return 31; -- else return len; -- end if; -- end size; function nextmultof (x : positive; size : positive) return positive is begin case x mod size is when 0 => return size * x/size; when others => return size * (x/size + 1); end case; end nextmultof; function rtn_base (base : basetype) return character is begin case base is when binary => return 'b'; when octal => return 'o'; when decimal => return 'd'; when hex => return 'h'; end case; end rtn_base; function format (r : string; base : basetype; rtn_len : natural ; justify : justify_side; basespec : b_spec) return string is variable int_rtn_len : integer; begin if basespec=yes then int_rtn_len := rtn_len - 3; else int_rtn_len := rtn_len; end if; if int_rtn_len <= r'length then case basespec is when no => return r ; when yes => return rtn_base(base) & '"' & r & '"'; end case; else case justify is when left => case basespec is when no => return r & fill(' ',int_rtn_len - r'length); when yes => return rtn_base(base) & '"' & r & '"' & fill(' ',int_rtn_len - r'length); end case; when right => case basespec is when no => return fill(' ',int_rtn_len - r'length) & r ; when yes => return fill(' ',int_rtn_len - r'length) & rtn_base(base) & '"' & r & '"'; end case; end case; end if; end format; -- convert numeric string of any base to natural function cnvt_base (x : string; inbase : natural range 2 to 16) return natural is -- assumes x is an unsigned number string of base 'inbase' -- values larger than natural'high are not supported variable r,t : natural := 0; variable place : positive := 1; begin for i in x'reverse_range loop case x(i) is when '0' => t := 0; when '1' => t := 1; when '2' => t := 2; when '3' => t := 3; when '4' => t := 4; when '5' => t := 5; when '6' => t := 6; when '7' => t := 7; when '8' => t := 8; when '9' => t := 9; when 'a'|'A' => t := 10; when 'b'|'B' => t := 11; when 'c'|'C' => t := 12; when 'd'|'D' => t := 13; when 'e'|'E' => t := 14; when 'f'|'F' => t := 15; when '_' => t := 0; -- ignore these characters place := place / inbase; when others => assert false report lf & "CNVT_BASE found input value larger than base: " & lf & "Input value: " & x(i) & " Base: " & to_int_str(inbase) & lf & "converting input to integer 0" severity warning; return 0; end case; if t / inbase > 1 then -- invalid value for base assert false report lf & "CNVT_BASE found input value larger than base: " & lf & "Input value: " & x(i) & " Base: " & to_int_str(inbase) & lf & "converting input to integer 0" severity warning; return 0; else r := r + (t * place); place := place * inbase; end if; end loop; return r; end cnvt_base; function extend (x : std_logic; len : positive) return std_logic_vector is variable v : std_logic_vector(1 to len) := (others => x); begin return v; end extend; -- implementation of public declarations function to_bin_str(x : std_logic_vector; rtn_len : natural := 0; justify : justify_side := right; basespec : b_spec := yes) return string is variable int : std_logic_vector(1 to x'length):=x; variable r : string(1 to x'length):=(others=>'$'); begin for i in int'range loop r(i to i) := to_bin_str(int(i),basespec=>no); end loop; return format (r,binary,rtn_len,justify,basespec); end to_bin_str; function to_bin_str(x : std_logic; rtn_len : natural := 0; justify : justify_side := right; basespec : b_spec := yes) return string is variable r : string(1 to 1); begin case x is when '0' => r(1) := '0'; when '1' => r(1) := '1'; when 'U' => r(1) := 'U'; when 'X' => r(1) := 'X'; when 'Z' => r(1) := 'Z'; when 'W' => r(1) := 'W'; when 'H' => r(1) := 'H'; when 'L' => r(1) := 'L'; when '-' => r(1) := '-'; end case; return format (r,binary,rtn_len,justify,basespec); end to_bin_str; function to_bin_str(x : natural; rtn_len : natural := 0; justify : justify_side := right; basespec : b_spec := yes) return string is variable int : natural := x; variable ptr : positive range 2 to 32 := 32; variable r : string(2 to 32):=(others=>'$'); begin if int = 0 then return format ("0",binary,rtn_len,justify,basespec); end if; while int > 0 loop case int rem 2 is when 0 => r(ptr) := '0'; when 1 => r(ptr) := '1'; when others => assert false report lf & "TO_BIN_STR, shouldn't happen" severity failure; return "$"; null; end case; int := int / 2; ptr := ptr - 1; end loop; return format (r(ptr+1 to 32),binary,rtn_len,justify,basespec); end to_bin_str; function to_hex_str(x : std_logic_vector; rtn_len : natural := 0; justify : justify_side := right; basespec : b_spec := yes) return string is -- will return x'length/4 variable nxt : positive := nextmultof(x'length,4); variable int : std_logic_vector(1 to nxt):= (others => '0'); variable ptr : positive range 1 to (nxt/4)+1 := 1; variable r : string(1 to nxt/4):=(others=>'$'); subtype slv4 is std_logic_vector(1 to 4); begin int(nxt-x'length+1 to nxt) := x; if nxt-x'length > 0 and x(x'left) /= '1' then int(1 to nxt-x'length) := extend(x(x'left),nxt-x'length); end if; for i in int'range loop next when i rem 4 /= 1; case slv4'(int(i to i+3)) is when "0000" => r(ptr) := '0'; when "0001" => r(ptr) := '1'; when "0010" => r(ptr) := '2'; when "0011" => r(ptr) := '3'; when "0100" => r(ptr) := '4'; when "0101" => r(ptr) := '5'; when "0110" => r(ptr) := '6'; when "0111" => r(ptr) := '7'; when "1000" => r(ptr) := '8'; when "1001" => r(ptr) := '9'; when "1010" => r(ptr) := 'A'; when "1011" => r(ptr) := 'B'; when "1100" => r(ptr) := 'C'; when "1101" => r(ptr) := 'D'; when "1110" => r(ptr) := 'E'; when "1111" => r(ptr) := 'F'; when "ZZZZ" => r(ptr) := 'Z'; when "WWWW" => r(ptr) := 'W'; when "LLLL" => r(ptr) := 'L'; when "HHHH" => r(ptr) := 'H'; when "UUUU" => r(ptr) := 'U'; when "XXXX" => r(ptr) := 'X'; when "----" => r(ptr) := '-'; when others => assert false report lf & "TO_HEX_STR found illegal value: " & to_bin_str(int(i to i+3)) & lf & "converting input to '-'" severity warning; r(ptr) := '-'; end case; ptr := ptr + 1; end loop; return format (r,hex,rtn_len,justify,basespec); end to_hex_str; function to_hex_str(x : natural; rtn_len : natural := 0; justify : justify_side := right; basespec : b_spec := yes) return string is variable int : natural := x; variable ptr : positive range 1 to 20 := 20; variable r : string(1 to 20):=(others=>'$'); begin if x=0 then return format ("0",hex,rtn_len,justify,basespec); end if; while int > 0 loop case int rem 16 is when 0 => r(ptr) := '0'; when 1 => r(ptr) := '1'; when 2 => r(ptr) := '2'; when 3 => r(ptr) := '3'; when 4 => r(ptr) := '4'; when 5 => r(ptr) := '5'; when 6 => r(ptr) := '6'; when 7 => r(ptr) := '7'; when 8 => r(ptr) := '8'; when 9 => r(ptr) := '9'; when 10 => r(ptr) := 'A'; when 11 => r(ptr) := 'B'; when 12 => r(ptr) := 'C'; when 13 => r(ptr) := 'D'; when 14 => r(ptr) := 'E'; when 15 => r(ptr) := 'F'; when others => assert false report lf & "TO_HEX_STR, shouldn't happen" severity failure; return "$"; end case; int := int / 16; ptr := ptr - 1; end loop; return format (r(ptr+1 to 20),hex,rtn_len,justify,basespec); end to_hex_str; function to_oct_str(x : std_logic_vector; rtn_len : natural := 0; justify : justify_side := right; basespec : b_spec := yes) return string is -- will return x'length/3 variable nxt : positive := nextmultof(x'length,3); variable int : std_logic_vector(1 to nxt):= (others => '0'); variable ptr : positive range 1 to (nxt/3)+1 := 1; variable r : string(1 to nxt/3):=(others=>'$'); subtype slv3 is std_logic_vector(1 to 3); begin int(nxt-x'length+1 to nxt) := x; if nxt-x'length > 0 and x(x'left) /= '1' then int(1 to nxt-x'length) := extend(x(x'left),nxt-x'length); end if; for i in int'range loop next when i rem 3 /= 1; case slv3'(int(i to i+2)) is when "000" => r(ptr) := '0'; when "001" => r(ptr) := '1'; when "010" => r(ptr) := '2'; when "011" => r(ptr) := '3'; when "100" => r(ptr) := '4'; when "101" => r(ptr) := '5'; when "110" => r(ptr) := '6'; when "111" => r(ptr) := '7'; when "ZZZ" => r(ptr) := 'Z'; when "WWW" => r(ptr) := 'W'; when "LLL" => r(ptr) := 'L'; when "HHH" => r(ptr) := 'H'; when "UUU" => r(ptr) := 'U'; when "XXX" => r(ptr) := 'X'; when "---" => r(ptr) := '-'; when others => assert false report lf & "TO_OCT_STR found illegal value: " & to_bin_str(int(i to i+2)) & lf & "converting input to '-'" severity warning; r(ptr) := '-'; end case; ptr := ptr + 1; end loop; return format (r,octal,rtn_len,justify,basespec); end to_oct_str; function to_oct_str(x : natural; rtn_len : natural := 0; justify : justify_side := right; basespec : b_spec := yes) return string is variable int : natural := x; variable ptr : positive range 1 to 20 := 20; variable r : string(1 to 20):=(others=>'$'); begin if x=0 then return format ("0",octal,rtn_len,justify,basespec); end if; while int > 0 loop case int rem 8 is when 0 => r(ptr) := '0'; when 1 => r(ptr) := '1'; when 2 => r(ptr) := '2'; when 3 => r(ptr) := '3'; when 4 => r(ptr) := '4'; when 5 => r(ptr) := '5'; when 6 => r(ptr) := '6'; when 7 => r(ptr) := '7'; when others => assert false report lf & "TO_OCT_STR, shouldn't happen" severity failure; return "$"; end case; int := int / 8; ptr := ptr - 1; end loop; return format (r(ptr+1 to 20),octal,rtn_len,justify,basespec); end to_oct_str; function to_int_str(x : natural; rtn_len : natural := 0; justify : justify_side := right; basespec : b_spec := yes) return string is variable int : natural := x; variable ptr : positive range 1 to 32 := 32; variable r : string(1 to 32):=(others=>'$'); begin if x=0 then return format ("0",hex,rtn_len,justify,basespec); else while int > 0 loop case int rem 10 is when 0 => r(ptr) := '0'; when 1 => r(ptr) := '1'; when 2 => r(ptr) := '2'; when 3 => r(ptr) := '3'; when 4 => r(ptr) := '4'; when 5 => r(ptr) := '5'; when 6 => r(ptr) := '6'; when 7 => r(ptr) := '7'; when 8 => r(ptr) := '8'; when 9 => r(ptr) := '9'; when others => assert false report lf & "TO_INT_STR, shouldn't happen" severity failure; return "$"; end case; int := int / 10; ptr := ptr - 1; end loop; return format (r(ptr+1 to 32),decimal,rtn_len,justify,basespec); end if; end to_int_str; function to_int_str(x : std_logic_vector; rtn_len : natural := 0; justify : justify_side := right; basespec : b_spec := yes) return string is begin return to_int_str(to_nat(x),rtn_len,justify,basespec); end to_int_str; function to_time_str (x : time) return string is begin return to_int_str(to_nat(x),basespec=>no) & " ns"; end to_time_str; function fill (fill_char : character := '*'; rtn_len : integer := 1) return string is variable r : string(1 to max(rtn_len,1)) := (others => fill_char); variable len : integer; begin if rtn_len < 2 then -- always returns at least 1 fill char len := 1; else len := rtn_len; end if; return r(1 to len); end fill; function to_nat(x : std_logic_vector) return natural is -- assumes x is an unsigned number, lsb on right, -- more than 31 bits are truncated on left variable t : std_logic_vector(1 to x'length) := x; variable int : std_logic_vector(1 to 31) := (others => '0'); variable r : natural := 0; variable place : positive := 1; begin if x'length < 32 then int(max(32-x'length,1) to 31) := t(1 to x'length); else -- x'length >= 32 int(1 to 31) := t(x'length-30 to x'length); end if; for i in int'reverse_range loop case int(i) is when '1' | 'H' => r := r + place; when '0' | 'L' => null; when others => assert false report lf & "TO_NAT found illegal value: " & to_bin_str(int(i)) & lf & "converting input to integer 0" severity warning; return 0; end case; exit when i=1; place := place * 2; end loop; return r; end to_nat; function to_nat (x : std_logic) return natural is begin case x is when '0' => return 0 ; when '1' => return 1 ; when others => assert false report lf & "TO_NAT found illegal value: " & to_bin_str(x) & lf & "converting input to integer 0" severity warning; return 0; end case; end to_nat; function to_nat (x : time) return natural is begin return x / 1 ns; end to_nat; function h(x : string; rtn_len : positive range 1 to 32 := 32) return std_logic_vector is -- if rtn_len is < than x'length*4, result will be truncated on the left -- if x is other than characters 0 to 9 or a,A to f,F or -- x,X,z,Z,u,U,-,w,W, -- those result bits will be set to 0 variable int : string(1 to x'length) := x; variable size: positive := max(x'length*4,rtn_len); variable ptr : integer range -3 to size := size; variable r : std_logic_vector(1 to size) := (others=>'0'); begin for i in int'reverse_range loop case int(i) is when '0' => r(ptr-3 to ptr) := "0000"; when '1' => r(ptr-3 to ptr) := "0001"; when '2' => r(ptr-3 to ptr) := "0010"; when '3' => r(ptr-3 to ptr) := "0011"; when '4' => r(ptr-3 to ptr) := "0100"; when '5' => r(ptr-3 to ptr) := "0101"; when '6' => r(ptr-3 to ptr) := "0110"; when '7' => r(ptr-3 to ptr) := "0111"; when '8' => r(ptr-3 to ptr) := "1000"; when '9' => r(ptr-3 to ptr) := "1001"; when 'a'|'A' => r(ptr-3 to ptr) := "1010"; when 'b'|'B' => r(ptr-3 to ptr) := "1011"; when 'c'|'C' => r(ptr-3 to ptr) := "1100"; when 'd'|'D' => r(ptr-3 to ptr) := "1101"; when 'e'|'E' => r(ptr-3 to ptr) := "1110"; when 'f'|'F' => r(ptr-3 to ptr) := "1111"; when 'U' => r(ptr-3 to ptr) := "UUUU"; when 'X' => r(ptr-3 to ptr) := "XXXX"; when 'Z' => r(ptr-3 to ptr) := "ZZZZ"; when 'W' => r(ptr-3 to ptr) := "WWWW"; when 'H' => r(ptr-3 to ptr) := "HHHH"; when 'L' => r(ptr-3 to ptr) := "LLLL"; when '-' => r(ptr-3 to ptr) := "----"; when '_' => ptr := ptr + 4; when others => assert false report lf & "O conversion found illegal input character: " & int(i) & lf & "converting character to '----'" severity warning; r(ptr-3 to ptr) := "----"; end case; ptr := ptr - 4; end loop; return r(size-rtn_len+1 to size); end h; function d (x : string; rtn_len : positive range 1 to 32 := 32) return std_logic_vector is -- if rtn_len is < than binary length of x, result will be truncated on -- the left -- if x is other than characters 0 to 9, result will be 0 begin return to_slv(cnvt_base(x,10),rtn_len); end d; function o (x : string; rtn_len : positive range 1 to 32 := 32) return std_logic_vector is -- if rtn_len is < than x'length*3, result will be truncated on the left -- if x is other than characters 0 to 7 or or x,X,z,Z,u,U,-,w,W, -- those result bits will be set to 0 variable int : string(1 to x'length) := x; variable size: positive := max(x'length*3,rtn_len); variable ptr : integer range -2 to size := size; variable r : std_logic_vector(1 to size) := (others=>'0'); begin for i in int'reverse_range loop case int(i) is when '0' => r(ptr-2 to ptr) := "000"; when '1' => r(ptr-2 to ptr) := "001"; when '2' => r(ptr-2 to ptr) := "010"; when '3' => r(ptr-2 to ptr) := "011"; when '4' => r(ptr-2 to ptr) := "100"; when '5' => r(ptr-2 to ptr) := "101"; when '6' => r(ptr-2 to ptr) := "110"; when '7' => r(ptr-2 to ptr) := "111"; when 'U' => r(ptr-2 to ptr) := "UUU"; when 'X' => r(ptr-2 to ptr) := "XXX"; when 'Z' => r(ptr-2 to ptr) := "ZZZ"; when 'W' => r(ptr-2 to ptr) := "WWW"; when 'H' => r(ptr-2 to ptr) := "HHH"; when 'L' => r(ptr-2 to ptr) := "LLL"; when '-' => r(ptr-2 to ptr) := "---"; when '_' => ptr := ptr + 3; when others => assert false report lf & "O conversion found illegal input character: " & int(i) & lf & "converting character to '---'" severity warning; r(ptr-2 to ptr) := "---"; end case; ptr := ptr - 3; end loop; return r(size-rtn_len+1 to size); end o; function b (x : string; rtn_len : positive range 1 to 32 := 32) return std_logic_vector is -- if rtn_len is < than x'length, result will be truncated on the left -- if x is other than characters 0 to 1 or x,X,z,Z,u,U,-,w,W, -- those result bits will be set to 0 variable int : string(1 to x'length) := x; variable size: positive := max(x'length,rtn_len); variable ptr : integer range 0 to size+1 := size; -- csa variable r : std_logic_vector(1 to size) := (others=>'0'); begin for i in int'reverse_range loop case int(i) is when '0' => r(ptr) := '0'; when '1' => r(ptr) := '1'; when 'U' => r(ptr) := 'U'; when 'X' => r(ptr) := 'X'; when 'Z' => r(ptr) := 'Z'; when 'W' => r(ptr) := 'W'; when 'H' => r(ptr) := 'H'; when 'L' => r(ptr) := 'L'; when '-' => r(ptr) := '-'; when '_' => ptr := ptr + 1; when others => assert false report lf & "B conversion found illegal input character: " & int(i) & lf & "converting character to '-'" severity warning; r(ptr) := '-'; end case; ptr := ptr - 1; end loop; return r(size-rtn_len+1 to size); end b; function h (x : string) return natural is -- only following characters are allowed, otherwise result will be 0 -- 0 to 9 -- a,A to f,F -- blanks, underscore begin return cnvt_base(x,16); end h; function d (x : string) return natural is -- only following characters are allowed, otherwise result will be 0 -- 0 to 9 -- blanks, underscore begin return cnvt_base(x,10); end d; function o (x : string) return natural is -- only following characters are allowed, otherwise result will be 0 -- 0 to 7 -- blanks, underscore begin return cnvt_base(x,8); end o; function b (x : string) return natural is -- only following characters are allowed, otherwise result will be 0 -- 0 to 1 -- blanks, underscore begin return cnvt_base(x,2); end b; function to_slv(x : natural; rtn_len : positive range 1 to 32 := 32) return std_logic_vector is -- if rtn_len is < than sizeof(x), result will be truncated on the left variable int : natural := x; variable ptr : positive := 32; variable r : std_logic_vector(1 to 32) := (others=>'0'); begin while int > 0 loop case int rem 2 is when 0 => r(ptr) := '0'; when 1 => r(ptr) := '1'; when others => assert false report lf & "TO_SLV, shouldn't happen" severity failure; return "0"; end case; int := int / 2; ptr := ptr - 1; end loop; return r(33-rtn_len to 32); end to_slv; function to_sl(x : natural) return std_logic is variable r : std_logic := '0'; begin case x is when 0 => null; when 1 => r := '1'; when others => assert false report lf & "TO_SL found illegal input character: " & to_int_str(x) & lf & "converting character to '-'" severity warning; return '-'; end case; return r; end to_sl; function to_time (x: natural) return time is begin return x * 1 ns; end to_time; END conversions;
library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.STD_LOGIC_UNSIGNED.all; entity ZeroCounter is port ( CLK, RST, Start: in std_logic; Stop: out std_logic ); end ZeroCounter; architecture Beh of ZeroCounter is component MROM is port ( RE: in std_logic; ADR: in std_logic_vector(5 downto 0); DOUT: out std_logic_vector(8 downto 0) ); end component; component MRAM is port ( RW: in std_logic; ADR: in std_logic_vector(5 downto 0); DIN: in std_logic_vector (7 downto 0); DOUT: out std_logic_vector (7 downto 0) ); end component; component DPATH is port( EN: in std_logic; --operation type OT: in std_logic_vector(2 downto 0); --operand OP1: in std_logic_vector(7 downto 0); RES: out std_logic_vector(7 downto 0); --zero flag ZF: out std_logic; -- significant bit set flag SBF: out std_logic ); end component; component CTRL1 is port( CLK, RST, Start: in std_logic; Stop: out std_logic; -- ÏÇÓ ROM_re: out std_logic; ROM_adr: out std_logic_vector(5 downto 0); ROM_dout: in std_logic_vector(8 downto 0); -- ÎÇÓ RAM_rw: out std_logic; RAM_adr: out std_logic_vector(5 downto 0); RAM_din: out std_logic_vector(7 downto 0); RAM_dout: in std_logic_vector(7 downto 0); --datapath DP_op1: out std_logic_vector(7 downto 0); DP_ot: out std_logic_vector(2 downto 0); DP_en: out std_logic; DP_res: in std_logic_vector(7 downto 0); DP_zf: in std_logic; DP_sbf: in std_logic ); end component; signal rom_re: std_logic; signal rom_adr: std_logic_vector(5 downto 0); signal rom_dout: std_logic_vector(8 downto 0); signal ram_rw: std_logic; signal ram_adr: std_logic_vector(5 downto 0); signal ram_din: std_logic_vector(7 downto 0); signal ram_dout: std_logic_vector(7 downto 0); signal dp_op1: std_logic_vector(7 downto 0); signal dp_ot: std_logic_vector(2 downto 0); signal dp_en: std_logic; signal dp_res: std_logic_vector(7 downto 0); signal dp_zf: std_logic; signal dp_sbf: std_logic; begin UMRAM: entity MRAM (Beh_Zer) port map( RW => ram_rw, ADR => ram_adr, DIN => ram_din, DOUT => ram_dout ); UMROM: entity MROM (Beh_Zer) port map ( RE => rom_re, ADR => rom_adr, DOUT => rom_dout ); UDPATH: DPATH port map( EN => dp_en, OT => dp_ot, OP1 => dp_op1, RES => dp_res, ZF => dp_zf, SBF => dp_sbf ); UCTRL1: CTRL1 port map( CLK => CLK, RST => RST, START => Start, STOP => STOP, ROM_RE => rom_re, ROM_ADR => rom_adr, ROM_DOUT => rom_dout, RAM_RW => ram_rw, RAM_ADR => ram_adr, RAM_DIN => ram_din, RAM_DOUT => ram_dout, DP_EN => dp_en, DP_OT => dp_ot, DP_OP1 => dp_op1, DP_RES => dp_res, DP_ZF => dp_zf, DP_SBF => dp_sbf ); end Beh;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2945.vhd,v 1.2 2001-10-26 16:29:50 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- package c02s02b00x00p07n05i02945pkg is function F1 (i : integer) return Boolean; end c02s02b00x00p07n05i02945pkg; package body c02s02b00x00p07n05i02945pkg is function F1 (i : integer) return Boolean is begin return TRUE; end F1; end c02s02b00x00p07n05i02945pkg; use work.c02s02b00x00p07n05i02945pkg.all; ENTITY c02s02b00x00p07n05i02945ent IS END c02s02b00x00p07n05i02945ent; ARCHITECTURE c02s02b00x00p07n05i02945arch OF c02s02b00x00p07n05i02945ent IS BEGIN TESTING: PROCESS variable k : boolean; BEGIN k := F1(2); assert NOT( k=TRUE ) report "***PASSED TEST: c02s02b00x00p07n05i02945" severity NOTE; assert ( k=TRUE ) report "***FAILED TEST: c02s02b00x00p07n05i02945 - Subprogram Function declaration test failed." severity ERROR; wait; END PROCESS TESTING; END c02s02b00x00p07n05i02945arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2945.vhd,v 1.2 2001-10-26 16:29:50 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- package c02s02b00x00p07n05i02945pkg is function F1 (i : integer) return Boolean; end c02s02b00x00p07n05i02945pkg; package body c02s02b00x00p07n05i02945pkg is function F1 (i : integer) return Boolean is begin return TRUE; end F1; end c02s02b00x00p07n05i02945pkg; use work.c02s02b00x00p07n05i02945pkg.all; ENTITY c02s02b00x00p07n05i02945ent IS END c02s02b00x00p07n05i02945ent; ARCHITECTURE c02s02b00x00p07n05i02945arch OF c02s02b00x00p07n05i02945ent IS BEGIN TESTING: PROCESS variable k : boolean; BEGIN k := F1(2); assert NOT( k=TRUE ) report "***PASSED TEST: c02s02b00x00p07n05i02945" severity NOTE; assert ( k=TRUE ) report "***FAILED TEST: c02s02b00x00p07n05i02945 - Subprogram Function declaration test failed." severity ERROR; wait; END PROCESS TESTING; END c02s02b00x00p07n05i02945arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2945.vhd,v 1.2 2001-10-26 16:29:50 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- package c02s02b00x00p07n05i02945pkg is function F1 (i : integer) return Boolean; end c02s02b00x00p07n05i02945pkg; package body c02s02b00x00p07n05i02945pkg is function F1 (i : integer) return Boolean is begin return TRUE; end F1; end c02s02b00x00p07n05i02945pkg; use work.c02s02b00x00p07n05i02945pkg.all; ENTITY c02s02b00x00p07n05i02945ent IS END c02s02b00x00p07n05i02945ent; ARCHITECTURE c02s02b00x00p07n05i02945arch OF c02s02b00x00p07n05i02945ent IS BEGIN TESTING: PROCESS variable k : boolean; BEGIN k := F1(2); assert NOT( k=TRUE ) report "***PASSED TEST: c02s02b00x00p07n05i02945" severity NOTE; assert ( k=TRUE ) report "***FAILED TEST: c02s02b00x00p07n05i02945 - Subprogram Function declaration test failed." severity ERROR; wait; END PROCESS TESTING; END c02s02b00x00p07n05i02945arch;
-- ------------------------------------------------------------- -- -- File Name: hdl_prj/hdlsrc/hdl_ofdm_tx/RADIX22FFT_SDNF2_2_block4.vhd -- Created: 2018-02-27 13:25:18 -- -- Generated by MATLAB 9.3 and HDL Coder 3.11 -- -- ------------------------------------------------------------- -- ------------------------------------------------------------- -- -- Module: RADIX22FFT_SDNF2_2_block4 -- Source Path: hdl_ofdm_tx/ifft/RADIX22FFT_SDNF2_2 -- Hierarchy Level: 2 -- -- ------------------------------------------------------------- LIBRARY IEEE; USE IEEE.std_logic_1164.ALL; USE IEEE.numeric_std.ALL; USE work.hdl_ofdm_tx_pkg.ALL; ENTITY RADIX22FFT_SDNF2_2_block4 IS PORT( clk : IN std_logic; reset : IN std_logic; enb_1_16_0 : IN std_logic; rotate_11 : IN std_logic; -- ufix1 dout_10_re : IN std_logic_vector(16 DOWNTO 0); -- sfix17_En13 dout_10_im : IN std_logic_vector(16 DOWNTO 0); -- sfix17_En13 dout_12_re : IN std_logic_vector(16 DOWNTO 0); -- sfix17_En13 dout_12_im : IN std_logic_vector(16 DOWNTO 0); -- sfix17_En13 dout_1_vld : IN std_logic; softReset : IN std_logic; dout_11_re : OUT std_logic_vector(17 DOWNTO 0); -- sfix18_En13 dout_11_im : OUT std_logic_vector(17 DOWNTO 0); -- sfix18_En13 dout_12_re_1 : OUT std_logic_vector(17 DOWNTO 0); -- sfix18_En13 dout_12_im_1 : OUT std_logic_vector(17 DOWNTO 0); -- sfix18_En13 dout_2_vld : OUT std_logic ); END RADIX22FFT_SDNF2_2_block4; ARCHITECTURE rtl OF RADIX22FFT_SDNF2_2_block4 IS -- Signals SIGNAL dout_10_re_signed : signed(16 DOWNTO 0); -- sfix17_En13 SIGNAL din1_re : signed(17 DOWNTO 0); -- sfix18_En13 SIGNAL dout_10_im_signed : signed(16 DOWNTO 0); -- sfix17_En13 SIGNAL din1_im : signed(17 DOWNTO 0); -- sfix18_En13 SIGNAL dout_12_re_signed : signed(16 DOWNTO 0); -- sfix17_En13 SIGNAL din2_re : signed(17 DOWNTO 0); -- sfix18_En13 SIGNAL dout_12_im_signed : signed(16 DOWNTO 0); -- sfix17_En13 SIGNAL din2_im : signed(17 DOWNTO 0); -- sfix18_En13 SIGNAL Radix22ButterflyG2_NF_din_vld_dly : std_logic; SIGNAL Radix22ButterflyG2_NF_btf1_re_reg : signed(18 DOWNTO 0); -- sfix19 SIGNAL Radix22ButterflyG2_NF_btf1_im_reg : signed(18 DOWNTO 0); -- sfix19 SIGNAL Radix22ButterflyG2_NF_btf2_re_reg : signed(18 DOWNTO 0); -- sfix19 SIGNAL Radix22ButterflyG2_NF_btf2_im_reg : signed(18 DOWNTO 0); -- sfix19 SIGNAL Radix22ButterflyG2_NF_din_vld_dly_next : std_logic; SIGNAL Radix22ButterflyG2_NF_btf1_re_reg_next : signed(18 DOWNTO 0); -- sfix19_En13 SIGNAL Radix22ButterflyG2_NF_btf1_im_reg_next : signed(18 DOWNTO 0); -- sfix19_En13 SIGNAL Radix22ButterflyG2_NF_btf2_re_reg_next : signed(18 DOWNTO 0); -- sfix19_En13 SIGNAL Radix22ButterflyG2_NF_btf2_im_reg_next : signed(18 DOWNTO 0); -- sfix19_En13 SIGNAL dout_11_re_tmp : signed(17 DOWNTO 0); -- sfix18_En13 SIGNAL dout_11_im_tmp : signed(17 DOWNTO 0); -- sfix18_En13 SIGNAL dout_12_re_tmp : signed(17 DOWNTO 0); -- sfix18_En13 SIGNAL dout_12_im_tmp : signed(17 DOWNTO 0); -- sfix18_En13 BEGIN dout_10_re_signed <= signed(dout_10_re); din1_re <= resize(dout_10_re_signed, 18); dout_10_im_signed <= signed(dout_10_im); din1_im <= resize(dout_10_im_signed, 18); dout_12_re_signed <= signed(dout_12_re); din2_re <= resize(dout_12_re_signed, 18); dout_12_im_signed <= signed(dout_12_im); din2_im <= resize(dout_12_im_signed, 18); -- Radix22ButterflyG2_NF Radix22ButterflyG2_NF_process : PROCESS (clk, reset) BEGIN IF reset = '1' THEN Radix22ButterflyG2_NF_din_vld_dly <= '0'; Radix22ButterflyG2_NF_btf1_re_reg <= to_signed(16#00000#, 19); Radix22ButterflyG2_NF_btf1_im_reg <= to_signed(16#00000#, 19); Radix22ButterflyG2_NF_btf2_re_reg <= to_signed(16#00000#, 19); Radix22ButterflyG2_NF_btf2_im_reg <= to_signed(16#00000#, 19); ELSIF clk'EVENT AND clk = '1' THEN IF enb_1_16_0 = '1' THEN Radix22ButterflyG2_NF_din_vld_dly <= Radix22ButterflyG2_NF_din_vld_dly_next; Radix22ButterflyG2_NF_btf1_re_reg <= Radix22ButterflyG2_NF_btf1_re_reg_next; Radix22ButterflyG2_NF_btf1_im_reg <= Radix22ButterflyG2_NF_btf1_im_reg_next; Radix22ButterflyG2_NF_btf2_re_reg <= Radix22ButterflyG2_NF_btf2_re_reg_next; Radix22ButterflyG2_NF_btf2_im_reg <= Radix22ButterflyG2_NF_btf2_im_reg_next; END IF; END IF; END PROCESS Radix22ButterflyG2_NF_process; Radix22ButterflyG2_NF_output : PROCESS (Radix22ButterflyG2_NF_din_vld_dly, Radix22ButterflyG2_NF_btf1_re_reg, Radix22ButterflyG2_NF_btf1_im_reg, Radix22ButterflyG2_NF_btf2_re_reg, Radix22ButterflyG2_NF_btf2_im_reg, din1_re, din1_im, din2_re, din2_im, dout_1_vld, rotate_11) VARIABLE add_cast : signed(18 DOWNTO 0); VARIABLE add_cast_0 : signed(18 DOWNTO 0); VARIABLE add_cast_1 : signed(18 DOWNTO 0); VARIABLE add_cast_2 : signed(18 DOWNTO 0); VARIABLE sub_cast : signed(18 DOWNTO 0); VARIABLE sub_cast_0 : signed(18 DOWNTO 0); VARIABLE sub_cast_1 : signed(18 DOWNTO 0); VARIABLE sub_cast_2 : signed(18 DOWNTO 0); VARIABLE add_cast_3 : signed(18 DOWNTO 0); VARIABLE add_cast_4 : signed(18 DOWNTO 0); VARIABLE add_cast_5 : signed(18 DOWNTO 0); VARIABLE add_cast_6 : signed(18 DOWNTO 0); VARIABLE sub_cast_3 : signed(18 DOWNTO 0); VARIABLE sub_cast_4 : signed(18 DOWNTO 0); VARIABLE sub_cast_5 : signed(18 DOWNTO 0); VARIABLE sub_cast_6 : signed(18 DOWNTO 0); BEGIN Radix22ButterflyG2_NF_btf1_re_reg_next <= Radix22ButterflyG2_NF_btf1_re_reg; Radix22ButterflyG2_NF_btf1_im_reg_next <= Radix22ButterflyG2_NF_btf1_im_reg; Radix22ButterflyG2_NF_btf2_re_reg_next <= Radix22ButterflyG2_NF_btf2_re_reg; Radix22ButterflyG2_NF_btf2_im_reg_next <= Radix22ButterflyG2_NF_btf2_im_reg; Radix22ButterflyG2_NF_din_vld_dly_next <= dout_1_vld; IF rotate_11 /= '0' THEN IF dout_1_vld = '1' THEN add_cast_1 := resize(din1_re, 19); add_cast_2 := resize(din2_im, 19); Radix22ButterflyG2_NF_btf1_re_reg_next <= add_cast_1 + add_cast_2; sub_cast_1 := resize(din1_re, 19); sub_cast_2 := resize(din2_im, 19); Radix22ButterflyG2_NF_btf2_re_reg_next <= sub_cast_1 - sub_cast_2; add_cast_5 := resize(din1_im, 19); add_cast_6 := resize(din2_re, 19); Radix22ButterflyG2_NF_btf2_im_reg_next <= add_cast_5 + add_cast_6; sub_cast_5 := resize(din1_im, 19); sub_cast_6 := resize(din2_re, 19); Radix22ButterflyG2_NF_btf1_im_reg_next <= sub_cast_5 - sub_cast_6; END IF; ELSIF dout_1_vld = '1' THEN add_cast := resize(din1_re, 19); add_cast_0 := resize(din2_re, 19); Radix22ButterflyG2_NF_btf1_re_reg_next <= add_cast + add_cast_0; sub_cast := resize(din1_re, 19); sub_cast_0 := resize(din2_re, 19); Radix22ButterflyG2_NF_btf2_re_reg_next <= sub_cast - sub_cast_0; add_cast_3 := resize(din1_im, 19); add_cast_4 := resize(din2_im, 19); Radix22ButterflyG2_NF_btf1_im_reg_next <= add_cast_3 + add_cast_4; sub_cast_3 := resize(din1_im, 19); sub_cast_4 := resize(din2_im, 19); Radix22ButterflyG2_NF_btf2_im_reg_next <= sub_cast_3 - sub_cast_4; END IF; dout_11_re_tmp <= Radix22ButterflyG2_NF_btf1_re_reg(17 DOWNTO 0); dout_11_im_tmp <= Radix22ButterflyG2_NF_btf1_im_reg(17 DOWNTO 0); dout_12_re_tmp <= Radix22ButterflyG2_NF_btf2_re_reg(17 DOWNTO 0); dout_12_im_tmp <= Radix22ButterflyG2_NF_btf2_im_reg(17 DOWNTO 0); dout_2_vld <= Radix22ButterflyG2_NF_din_vld_dly; END PROCESS Radix22ButterflyG2_NF_output; dout_11_re <= std_logic_vector(dout_11_re_tmp); dout_11_im <= std_logic_vector(dout_11_im_tmp); dout_12_re_1 <= std_logic_vector(dout_12_re_tmp); dout_12_im_1 <= std_logic_vector(dout_12_im_tmp); END rtl;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2968.vhd,v 1.2 2001-10-26 16:29:50 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c02s03b01x00p02n02i02968ent IS END c02s03b01x00p02n02i02968ent; ARCHITECTURE c02s03b01x00p02n02i02968arch OF c02s03b01x00p02n02i02968ent IS type newt is (one,two,three,four); function "abs" (constant c1 : in integer) return newt is begin assert (c1=10) report "Error in association of right operator" severity failure; assert NOT( c1=10 ) report "***PASSED TEST: c02s03b01x00p02n02i02968" severity NOTE; assert ( c1=10 ) report "***FAILED TEST: c02s03b01x00p02n02i02968 - Error in association of operands." severity ERROR; return three; end; BEGIN TESTING: PROCESS variable n1 : newt; BEGIN wait for 5 ns; n1 := two; assert (n1=two) report "Error in initial conditions detected" severity failure; n1:= abs 10; assert (n1=three) report "Error in call to operloaded operator" severity failure; wait; END PROCESS TESTING; END c02s03b01x00p02n02i02968arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2968.vhd,v 1.2 2001-10-26 16:29:50 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c02s03b01x00p02n02i02968ent IS END c02s03b01x00p02n02i02968ent; ARCHITECTURE c02s03b01x00p02n02i02968arch OF c02s03b01x00p02n02i02968ent IS type newt is (one,two,three,four); function "abs" (constant c1 : in integer) return newt is begin assert (c1=10) report "Error in association of right operator" severity failure; assert NOT( c1=10 ) report "***PASSED TEST: c02s03b01x00p02n02i02968" severity NOTE; assert ( c1=10 ) report "***FAILED TEST: c02s03b01x00p02n02i02968 - Error in association of operands." severity ERROR; return three; end; BEGIN TESTING: PROCESS variable n1 : newt; BEGIN wait for 5 ns; n1 := two; assert (n1=two) report "Error in initial conditions detected" severity failure; n1:= abs 10; assert (n1=three) report "Error in call to operloaded operator" severity failure; wait; END PROCESS TESTING; END c02s03b01x00p02n02i02968arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc2968.vhd,v 1.2 2001-10-26 16:29:50 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c02s03b01x00p02n02i02968ent IS END c02s03b01x00p02n02i02968ent; ARCHITECTURE c02s03b01x00p02n02i02968arch OF c02s03b01x00p02n02i02968ent IS type newt is (one,two,three,four); function "abs" (constant c1 : in integer) return newt is begin assert (c1=10) report "Error in association of right operator" severity failure; assert NOT( c1=10 ) report "***PASSED TEST: c02s03b01x00p02n02i02968" severity NOTE; assert ( c1=10 ) report "***FAILED TEST: c02s03b01x00p02n02i02968 - Error in association of operands." severity ERROR; return three; end; BEGIN TESTING: PROCESS variable n1 : newt; BEGIN wait for 5 ns; n1 := two; assert (n1=two) report "Error in initial conditions detected" severity failure; n1:= abs 10; assert (n1=three) report "Error in call to operloaded operator" severity failure; wait; END PROCESS TESTING; END c02s03b01x00p02n02i02968arch;
package STRSYN is attribute SigDir : string; attribute SigType : string; attribute SigBias : string; end STRSYN; entity op is port ( terminal in1: electrical; terminal in2: electrical; terminal out1: electrical; terminal vbias4: electrical; terminal gnd: electrical; terminal vbias3: electrical; terminal vdd: electrical; terminal vbias2: electrical; terminal vbias1: electrical); end op; architecture simple of op is -- Attributes for Ports attribute SigDir of in1:terminal is "input"; attribute SigType of in1:terminal is "voltage"; attribute SigDir of in2:terminal is "input"; attribute SigType of in2:terminal is "voltage"; attribute SigDir of out1:terminal is "output"; attribute SigType of out1:terminal is "voltage"; attribute SigDir of vbias4:terminal is "reference"; attribute SigType of vbias4:terminal is "voltage"; attribute SigDir of gnd:terminal is "reference"; attribute SigType of gnd:terminal is "current"; attribute SigBias of gnd:terminal is "negative"; attribute SigDir of vbias3:terminal is "reference"; attribute SigType of vbias3:terminal is "voltage"; attribute SigDir of vdd:terminal is "reference"; attribute SigType of vdd:terminal is "current"; attribute SigBias of vdd:terminal is "positive"; attribute SigDir of vbias2:terminal is "reference"; attribute SigType of vbias2:terminal is "voltage"; attribute SigDir of vbias1:terminal is "reference"; attribute SigType of vbias1:terminal is "voltage"; terminal net1: electrical; terminal net2: electrical; terminal net3: electrical; terminal net4: electrical; terminal net5: electrical; terminal net6: electrical; terminal net7: electrical; terminal net8: electrical; terminal net9: electrical; terminal net10: electrical; terminal net11: electrical; begin subnet0_subnet0_m1 : entity nmos(behave) generic map( L => Ldiff_0, W => Wdiff_0, scope => private ) port map( D => net1, G => in1, S => net6 ); subnet0_subnet0_m2 : entity nmos(behave) generic map( L => Ldiff_0, W => Wdiff_0, scope => private ) port map( D => net2, G => in2, S => net6 ); subnet0_subnet0_m3 : entity nmos(behave) generic map( L => LBias, W => W_0 ) port map( D => net6, G => vbias4, S => gnd ); subnet0_subnet1_m1 : entity nmos(behave) generic map( L => LBias, W => Wcasc_2, scope => Wprivate, symmetry_scope => sym_7 ) port map( D => net3, G => vbias3, S => net1 ); subnet0_subnet2_m1 : entity nmos(behave) generic map( L => LBias, W => Wcasc_2, scope => Wprivate, symmetry_scope => sym_7 ) port map( D => net4, G => vbias3, S => net2 ); subnet0_subnet3_m1 : entity pmos(behave) generic map( L => LBias, W => Wcmcasc_3, scope => Wprivate, symmetry_scope => sym_8 ) port map( D => net3, G => vbias2, S => net7 ); subnet0_subnet3_m2 : entity pmos(behave) generic map( L => Lcm_3, W => Wcm_3, scope => private, symmetry_scope => sym_8 ) port map( D => net7, G => net3, S => vdd ); subnet0_subnet3_m3 : entity pmos(behave) generic map( L => Lcm_3, W => Wcmout_3, scope => private, symmetry_scope => sym_8 ) port map( D => net8, G => net3, S => vdd ); subnet0_subnet3_m4 : entity pmos(behave) generic map( L => LBias, W => Wcmcasc_3, scope => Wprivate, symmetry_scope => sym_8 ) port map( D => out1, G => vbias2, S => net8 ); subnet0_subnet4_m1 : entity pmos(behave) generic map( L => LBias, W => Wcmcasc_3, scope => Wprivate, symmetry_scope => sym_8 ) port map( D => net4, G => vbias2, S => net9 ); subnet0_subnet4_m2 : entity pmos(behave) generic map( L => Lcm_3, W => Wcm_3, scope => private, symmetry_scope => sym_8 ) port map( D => net9, G => net4, S => vdd ); subnet0_subnet4_m3 : entity pmos(behave) generic map( L => Lcm_3, W => Wcmout_3, scope => private, symmetry_scope => sym_8 ) port map( D => net10, G => net4, S => vdd ); subnet0_subnet4_m4 : entity pmos(behave) generic map( L => LBias, W => Wcmcasc_3, scope => Wprivate, symmetry_scope => sym_8 ) port map( D => net5, G => vbias2, S => net10 ); subnet0_subnet5_m1 : entity nmos(behave) generic map( L => Lcm_1, W => Wcm_1, scope => private ) port map( D => net5, G => net5, S => gnd ); subnet0_subnet5_m2 : entity nmos(behave) generic map( L => Lcm_1, W => Wcmcout_1, scope => private ) port map( D => out1, G => net5, S => gnd ); subnet1_subnet0_m1 : entity pmos(behave) generic map( L => LBias, W => (pfak)*(WBias) ) port map( D => vbias1, G => vbias1, S => vdd ); subnet1_subnet0_m2 : entity pmos(behave) generic map( L => (pfak)*(LBias), W => (pfak)*(WBias) ) port map( D => vbias2, G => vbias2, S => vbias1 ); subnet1_subnet0_i1 : entity idc(behave) generic map( dc => 1.145e-05 ) port map( P => vdd, N => vbias3 ); subnet1_subnet0_m3 : entity nmos(behave) generic map( L => (pfak)*(LBias), W => WBias ) port map( D => vbias3, G => vbias3, S => vbias4 ); subnet1_subnet0_m4 : entity nmos(behave) generic map( L => LBias, W => WBias ) port map( D => vbias2, G => vbias3, S => net11 ); subnet1_subnet0_m5 : entity nmos(behave) generic map( L => LBias, W => WBias ) port map( D => vbias4, G => vbias4, S => gnd ); subnet1_subnet0_m6 : entity nmos(behave) generic map( L => LBias, W => WBias ) port map( D => net11, G => vbias4, S => gnd ); end simple;
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA library ieee_proposed; use ieee_proposed.electrical_systems.all; entity motor_system_wa is port ( terminal vp, vm, px1, px2, px3 : electrical); -- 2 inputs, 3 outputs end entity motor_system_wa; ---------------------------------------------------------------- architecture simple of motor_system_wa is quantity v_in across vp to vm; -- Inout voltage/Current quantity x1 across ix1 through px1 to electrical_ref; quantity x2 across ix2 through px2 to electrical_ref; quantity x3 across ix3 through px3 to electrical_ref; constant Tfb : real := 0.001; constant Kfb : real := 1.0; constant Te : real := 0.001; constant Ke : real := 1.0; constant Tm : real := 0.1; constant Km : real := 1.0; constant c11 : real := -1.0/Tfb; constant c12 : real := 0.0; constant c13 : real := Kfb/Tfb; constant c21 : real := -Ke/Te; constant c22 : real := -1.0/Te; constant c23 : real := 0.0; constant c31 : real := 0.0; constant c32 : real := Km/Tm; constant c33 : real := -1.0/Tm; begin -- architecture simple x1'dot == c11*x1 + c12*x2 + c13*x3; x2'dot == c21*x1 + c22*x2 + c23*x3 + (Ke/Te)*v_in; x3'dot == c31*x1 + c32*x2 + c33*x3; end architecture simple;
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA library ieee_proposed; use ieee_proposed.electrical_systems.all; entity motor_system_wa is port ( terminal vp, vm, px1, px2, px3 : electrical); -- 2 inputs, 3 outputs end entity motor_system_wa; ---------------------------------------------------------------- architecture simple of motor_system_wa is quantity v_in across vp to vm; -- Inout voltage/Current quantity x1 across ix1 through px1 to electrical_ref; quantity x2 across ix2 through px2 to electrical_ref; quantity x3 across ix3 through px3 to electrical_ref; constant Tfb : real := 0.001; constant Kfb : real := 1.0; constant Te : real := 0.001; constant Ke : real := 1.0; constant Tm : real := 0.1; constant Km : real := 1.0; constant c11 : real := -1.0/Tfb; constant c12 : real := 0.0; constant c13 : real := Kfb/Tfb; constant c21 : real := -Ke/Te; constant c22 : real := -1.0/Te; constant c23 : real := 0.0; constant c31 : real := 0.0; constant c32 : real := Km/Tm; constant c33 : real := -1.0/Tm; begin -- architecture simple x1'dot == c11*x1 + c12*x2 + c13*x3; x2'dot == c21*x1 + c22*x2 + c23*x3 + (Ke/Te)*v_in; x3'dot == c31*x1 + c32*x2 + c33*x3; end architecture simple;
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA library ieee_proposed; use ieee_proposed.electrical_systems.all; entity motor_system_wa is port ( terminal vp, vm, px1, px2, px3 : electrical); -- 2 inputs, 3 outputs end entity motor_system_wa; ---------------------------------------------------------------- architecture simple of motor_system_wa is quantity v_in across vp to vm; -- Inout voltage/Current quantity x1 across ix1 through px1 to electrical_ref; quantity x2 across ix2 through px2 to electrical_ref; quantity x3 across ix3 through px3 to electrical_ref; constant Tfb : real := 0.001; constant Kfb : real := 1.0; constant Te : real := 0.001; constant Ke : real := 1.0; constant Tm : real := 0.1; constant Km : real := 1.0; constant c11 : real := -1.0/Tfb; constant c12 : real := 0.0; constant c13 : real := Kfb/Tfb; constant c21 : real := -Ke/Te; constant c22 : real := -1.0/Te; constant c23 : real := 0.0; constant c31 : real := 0.0; constant c32 : real := Km/Tm; constant c33 : real := -1.0/Tm; begin -- architecture simple x1'dot == c11*x1 + c12*x2 + c13*x3; x2'dot == c21*x1 + c22*x2 + c23*x3 + (Ke/Te)*v_in; x3'dot == c31*x1 + c32*x2 + c33*x3; end architecture simple;
-- Copyright 1986-2018 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2018.2 (win64) Build 2258646 Thu Jun 14 20:03:12 MDT 2018 -- Date : Sun Sep 22 03:32:34 2019 -- Host : varun-laptop running 64-bit Service Pack 1 (build 7601) -- Command : write_vhdl -force -mode synth_stub -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix -- decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ gcd_block_design_rst_ps7_0_100M_0_stub.vhdl -- Design : gcd_block_design_rst_ps7_0_100M_0 -- Purpose : Stub declaration of top-level module interface -- Device : xc7z010clg400-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is Port ( slowest_sync_clk : in STD_LOGIC; ext_reset_in : in STD_LOGIC; aux_reset_in : in STD_LOGIC; mb_debug_sys_rst : in STD_LOGIC; dcm_locked : in STD_LOGIC; mb_reset : out STD_LOGIC; bus_struct_reset : out STD_LOGIC_VECTOR ( 0 to 0 ); peripheral_reset : out STD_LOGIC_VECTOR ( 0 to 0 ); interconnect_aresetn : out STD_LOGIC_VECTOR ( 0 to 0 ); peripheral_aresetn : out STD_LOGIC_VECTOR ( 0 to 0 ) ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix; architecture stub of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is attribute syn_black_box : boolean; attribute black_box_pad_pin : string; attribute syn_black_box of stub : architecture is true; attribute black_box_pad_pin of stub : architecture is "slowest_sync_clk,ext_reset_in,aux_reset_in,mb_debug_sys_rst,dcm_locked,mb_reset,bus_struct_reset[0:0],peripheral_reset[0:0],interconnect_aresetn[0:0],peripheral_aresetn[0:0]"; attribute x_core_info : string; attribute x_core_info of stub : architecture is "proc_sys_reset,Vivado 2018.2"; begin end;
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Stimulus Generator For Single Port Ram -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: bmg_stim_gen.vhd -- -- Description: -- Stimulus Generation For SRAM -- 100 Writes and 100 Reads will be performed in a repeatitive loop till the -- simulation ends -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; USE IEEE.STD_LOGIC_MISC.ALL; LIBRARY work; USE work.ALL; USE work.BMG_TB_PKG.ALL; ENTITY REGISTER_LOGIC_SRAM IS PORT( Q : OUT STD_LOGIC; CLK : IN STD_LOGIC; RST : IN STD_LOGIC; D : IN STD_LOGIC ); END REGISTER_LOGIC_SRAM; ARCHITECTURE REGISTER_ARCH OF REGISTER_LOGIC_SRAM IS SIGNAL Q_O : STD_LOGIC :='0'; BEGIN Q <= Q_O; FF_BEH: PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(RST ='1') THEN Q_O <= '0'; ELSE Q_O <= D; END IF; END IF; END PROCESS; END REGISTER_ARCH; LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; USE IEEE.STD_LOGIC_MISC.ALL; LIBRARY work; USE work.ALL; USE work.BMG_TB_PKG.ALL; ENTITY BMG_STIM_GEN IS PORT ( CLK : IN STD_LOGIC; RST : IN STD_LOGIC; ADDRA : OUT STD_LOGIC_VECTOR(3 DOWNTO 0) := (OTHERS => '0'); DINA : OUT STD_LOGIC_VECTOR(15 DOWNTO 0) := (OTHERS => '0'); WEA : OUT STD_LOGIC_VECTOR (0 DOWNTO 0) := (OTHERS => '0'); CHECK_DATA: OUT STD_LOGIC:='0' ); END BMG_STIM_GEN; ARCHITECTURE BEHAVIORAL OF BMG_STIM_GEN IS CONSTANT ZERO : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); CONSTANT DATA_PART_CNT_A: INTEGER:= DIVROUNDUP(16,16); SIGNAL WRITE_ADDR : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); SIGNAL WRITE_ADDR_INT : STD_LOGIC_VECTOR(3 DOWNTO 0) := (OTHERS => '0'); SIGNAL READ_ADDR_INT : STD_LOGIC_VECTOR(3 DOWNTO 0) := (OTHERS => '0'); SIGNAL READ_ADDR : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); SIGNAL DINA_INT : STD_LOGIC_VECTOR(15 DOWNTO 0) := (OTHERS => '0'); SIGNAL DO_WRITE : STD_LOGIC := '0'; SIGNAL DO_READ : STD_LOGIC := '0'; SIGNAL COUNT_NO : INTEGER :=0; SIGNAL DO_READ_REG : STD_LOGIC_VECTOR(4 DOWNTO 0) :=(OTHERS => '0'); BEGIN WRITE_ADDR_INT(3 DOWNTO 0) <= WRITE_ADDR(3 DOWNTO 0); READ_ADDR_INT(3 DOWNTO 0) <= READ_ADDR(3 DOWNTO 0); ADDRA <= IF_THEN_ELSE(DO_WRITE='1',WRITE_ADDR_INT,READ_ADDR_INT) ; DINA <= DINA_INT ; CHECK_DATA <= DO_READ; RD_ADDR_GEN_INST:ENTITY work.ADDR_GEN GENERIC MAP( C_MAX_DEPTH => 16 ) PORT MAP( CLK => CLK, RST => RST, EN => DO_READ, LOAD => '0', LOAD_VALUE => ZERO, ADDR_OUT => READ_ADDR ); WR_ADDR_GEN_INST:ENTITY work.ADDR_GEN GENERIC MAP( C_MAX_DEPTH => 16 ) PORT MAP( CLK => CLK, RST => RST, EN => DO_WRITE, LOAD => '0', LOAD_VALUE => ZERO, ADDR_OUT => WRITE_ADDR ); WR_DATA_GEN_INST:ENTITY work.DATA_GEN GENERIC MAP ( DATA_GEN_WIDTH => 16, DOUT_WIDTH => 16, DATA_PART_CNT => DATA_PART_CNT_A, SEED => 2 ) PORT MAP ( CLK => CLK, RST => RST, EN => DO_WRITE, DATA_OUT => DINA_INT ); WR_RD_PROCESS: PROCESS (CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(RST='1') THEN DO_WRITE <= '0'; DO_READ <= '0'; COUNT_NO <= 0 ; ELSIF(COUNT_NO < 4) THEN DO_WRITE <= '1'; DO_READ <= '0'; COUNT_NO <= COUNT_NO + 1; ELSIF(COUNT_NO< 8) THEN DO_WRITE <= '0'; DO_READ <= '1'; COUNT_NO <= COUNT_NO + 1; ELSIF(COUNT_NO=8) THEN DO_WRITE <= '0'; DO_READ <= '0'; COUNT_NO <= 0 ; END IF; END IF; END PROCESS; BEGIN_SHIFT_REG: FOR I IN 0 TO 4 GENERATE BEGIN DFF_RIGHT: IF I=0 GENERATE BEGIN SHIFT_INST_0: ENTITY work.REGISTER_LOGIC_SRAM PORT MAP( Q => DO_READ_REG(0), CLK => CLK, RST => RST, D => DO_READ ); END GENERATE DFF_RIGHT; DFF_OTHERS: IF ((I>0) AND (I<=4)) GENERATE BEGIN SHIFT_INST: ENTITY work.REGISTER_LOGIC_SRAM PORT MAP( Q => DO_READ_REG(I), CLK => CLK, RST => RST, D => DO_READ_REG(I-1) ); END GENERATE DFF_OTHERS; END GENERATE BEGIN_SHIFT_REG; WEA(0) <= IF_THEN_ELSE(DO_WRITE='1','1','0') ; END ARCHITECTURE;
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Stimulus Generator For Single Port Ram -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: bmg_stim_gen.vhd -- -- Description: -- Stimulus Generation For SRAM -- 100 Writes and 100 Reads will be performed in a repeatitive loop till the -- simulation ends -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; USE IEEE.STD_LOGIC_MISC.ALL; LIBRARY work; USE work.ALL; USE work.BMG_TB_PKG.ALL; ENTITY REGISTER_LOGIC_SRAM IS PORT( Q : OUT STD_LOGIC; CLK : IN STD_LOGIC; RST : IN STD_LOGIC; D : IN STD_LOGIC ); END REGISTER_LOGIC_SRAM; ARCHITECTURE REGISTER_ARCH OF REGISTER_LOGIC_SRAM IS SIGNAL Q_O : STD_LOGIC :='0'; BEGIN Q <= Q_O; FF_BEH: PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(RST ='1') THEN Q_O <= '0'; ELSE Q_O <= D; END IF; END IF; END PROCESS; END REGISTER_ARCH; LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; USE IEEE.STD_LOGIC_MISC.ALL; LIBRARY work; USE work.ALL; USE work.BMG_TB_PKG.ALL; ENTITY BMG_STIM_GEN IS PORT ( CLK : IN STD_LOGIC; RST : IN STD_LOGIC; ADDRA : OUT STD_LOGIC_VECTOR(3 DOWNTO 0) := (OTHERS => '0'); DINA : OUT STD_LOGIC_VECTOR(15 DOWNTO 0) := (OTHERS => '0'); WEA : OUT STD_LOGIC_VECTOR (0 DOWNTO 0) := (OTHERS => '0'); CHECK_DATA: OUT STD_LOGIC:='0' ); END BMG_STIM_GEN; ARCHITECTURE BEHAVIORAL OF BMG_STIM_GEN IS CONSTANT ZERO : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); CONSTANT DATA_PART_CNT_A: INTEGER:= DIVROUNDUP(16,16); SIGNAL WRITE_ADDR : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); SIGNAL WRITE_ADDR_INT : STD_LOGIC_VECTOR(3 DOWNTO 0) := (OTHERS => '0'); SIGNAL READ_ADDR_INT : STD_LOGIC_VECTOR(3 DOWNTO 0) := (OTHERS => '0'); SIGNAL READ_ADDR : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); SIGNAL DINA_INT : STD_LOGIC_VECTOR(15 DOWNTO 0) := (OTHERS => '0'); SIGNAL DO_WRITE : STD_LOGIC := '0'; SIGNAL DO_READ : STD_LOGIC := '0'; SIGNAL COUNT_NO : INTEGER :=0; SIGNAL DO_READ_REG : STD_LOGIC_VECTOR(4 DOWNTO 0) :=(OTHERS => '0'); BEGIN WRITE_ADDR_INT(3 DOWNTO 0) <= WRITE_ADDR(3 DOWNTO 0); READ_ADDR_INT(3 DOWNTO 0) <= READ_ADDR(3 DOWNTO 0); ADDRA <= IF_THEN_ELSE(DO_WRITE='1',WRITE_ADDR_INT,READ_ADDR_INT) ; DINA <= DINA_INT ; CHECK_DATA <= DO_READ; RD_ADDR_GEN_INST:ENTITY work.ADDR_GEN GENERIC MAP( C_MAX_DEPTH => 16 ) PORT MAP( CLK => CLK, RST => RST, EN => DO_READ, LOAD => '0', LOAD_VALUE => ZERO, ADDR_OUT => READ_ADDR ); WR_ADDR_GEN_INST:ENTITY work.ADDR_GEN GENERIC MAP( C_MAX_DEPTH => 16 ) PORT MAP( CLK => CLK, RST => RST, EN => DO_WRITE, LOAD => '0', LOAD_VALUE => ZERO, ADDR_OUT => WRITE_ADDR ); WR_DATA_GEN_INST:ENTITY work.DATA_GEN GENERIC MAP ( DATA_GEN_WIDTH => 16, DOUT_WIDTH => 16, DATA_PART_CNT => DATA_PART_CNT_A, SEED => 2 ) PORT MAP ( CLK => CLK, RST => RST, EN => DO_WRITE, DATA_OUT => DINA_INT ); WR_RD_PROCESS: PROCESS (CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(RST='1') THEN DO_WRITE <= '0'; DO_READ <= '0'; COUNT_NO <= 0 ; ELSIF(COUNT_NO < 4) THEN DO_WRITE <= '1'; DO_READ <= '0'; COUNT_NO <= COUNT_NO + 1; ELSIF(COUNT_NO< 8) THEN DO_WRITE <= '0'; DO_READ <= '1'; COUNT_NO <= COUNT_NO + 1; ELSIF(COUNT_NO=8) THEN DO_WRITE <= '0'; DO_READ <= '0'; COUNT_NO <= 0 ; END IF; END IF; END PROCESS; BEGIN_SHIFT_REG: FOR I IN 0 TO 4 GENERATE BEGIN DFF_RIGHT: IF I=0 GENERATE BEGIN SHIFT_INST_0: ENTITY work.REGISTER_LOGIC_SRAM PORT MAP( Q => DO_READ_REG(0), CLK => CLK, RST => RST, D => DO_READ ); END GENERATE DFF_RIGHT; DFF_OTHERS: IF ((I>0) AND (I<=4)) GENERATE BEGIN SHIFT_INST: ENTITY work.REGISTER_LOGIC_SRAM PORT MAP( Q => DO_READ_REG(I), CLK => CLK, RST => RST, D => DO_READ_REG(I-1) ); END GENERATE DFF_OTHERS; END GENERATE BEGIN_SHIFT_REG; WEA(0) <= IF_THEN_ELSE(DO_WRITE='1','1','0') ; END ARCHITECTURE;
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- Copyright (C) 2015 - 2016, Cobham Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------- -- Entity: spictrlx -- File: spictrlx.vhd -- Author: Jan Andersson - Aeroflex Gaisler AB -- Auto mode: J. Andersson, J. Ekergarn - Aeroflex Gaisler AB -- Contact: [email protected] -- -- Description: SPI controller with an interface compatible with MPC83xx SPI. -- Relies on APB's wait state between back-to-back transfers. -- ------------------------------------------------------------------------------- library ieee; use ieee.numeric_std.all; use ieee.std_logic_1164.all; library techmap; use techmap.gencomp.all; library grlib; use grlib.config_types.all; use grlib.config.all; use grlib.stdlib.all; library gaisler; use gaisler.spi.all; entity spictrlx is generic ( rev : integer := 0; -- Core revision fdepth : integer range 1 to 7 := 1; -- FIFO depth is 2^fdepth slvselen : integer range 0 to 1 := 0; -- Slave select register enable slvselsz : integer range 1 to 32 := 1; -- Number of slave select signals oepol : integer range 0 to 1 := 0; -- Output enable polarity odmode : integer range 0 to 1 := 0; -- Support open drain mode, only -- set if pads are i/o or od pads. automode : integer range 0 to 1 := 0; -- Enable automated transfer mode acntbits : integer range 1 to 32 := 32; -- # Bits in am period counter aslvsel : integer range 0 to 1 := 0; -- Automatic slave select twen : integer range 0 to 1 := 1; -- Enable three wire mode maxwlen : integer range 0 to 15 := 0; -- Maximum word length; syncram : integer range 0 to 1 := 1; -- Use SYNCRAM for buffers memtech : integer range 0 to NTECH := 0; -- Memory technology ft : integer range 0 to 2 := 0; -- Fault-Tolerance scantest : integer range 0 to 1 := 0; -- Scan test support syncrst : integer range 0 to 1 := 0; -- Use only sync reset automask0 : integer := 0; -- Mask 0 for automated transfers automask1 : integer := 0; -- Mask 1 for automated transfers automask2 : integer := 0; -- Mask 2 for automated transfers automask3 : integer := 0; -- Mask 3 for automated transfers ignore : integer range 0 to 1 := 0 -- Ignore samples ); port ( rstn : in std_ulogic; clk : in std_ulogic; -- APB signals apbi_psel : in std_ulogic; apbi_penable : in std_ulogic; apbi_paddr : in std_logic_vector(31 downto 0); apbi_pwrite : in std_ulogic; apbi_pwdata : in std_logic_vector(31 downto 0); apbi_testen : in std_ulogic; apbi_testrst : in std_ulogic; apbi_scanen : in std_ulogic; apbi_testoen : in std_ulogic; apbo_prdata : out std_logic_vector(31 downto 0); apbo_pirq : out std_ulogic; -- SPI signals spii_miso : in std_ulogic; spii_mosi : in std_ulogic; spii_sck : in std_ulogic; spii_spisel : in std_ulogic; spii_astart : in std_ulogic; spii_cstart : in std_ulogic; spii_ignore : in std_ulogic; spio_miso : out std_ulogic; spio_misooen : out std_ulogic; spio_mosi : out std_ulogic; spio_mosioen : out std_ulogic; spio_sck : out std_ulogic; spio_sckoen : out std_ulogic; spio_enable : out std_ulogic; spio_astart : out std_ulogic; spio_aready : out std_ulogic; slvsel : out std_logic_vector((slvselsz-1) downto 0) ); attribute sync_set_reset of rstn : signal is "true"; end entity spictrlx; architecture rtl of spictrlx is ----------------------------------------------------------------------------- -- Constants ----------------------------------------------------------------------------- constant OEPOL_LEVEL : std_ulogic := conv_std_logic(oepol = 1); constant OUTPUT : std_ulogic := OEPOL_LEVEL; -- Enable outputs constant INPUT : std_ulogic := not OEPOL_LEVEL; -- Tri-state outputs constant FIFO_DEPTH : integer := 2**fdepth; constant SLVSEL_EN : integer := slvselen; constant SLVSEL_SZ : integer := slvselsz; constant ASEL_EN : integer := aslvsel * slvselen; constant AM_EN : integer := automode; constant AM_CNT_BITS : integer := acntbits; constant OD_EN : integer := odmode; constant TW_EN : integer := twen; constant MAX_WLEN : integer := maxwlen; constant AM_MSK1_EN : boolean := AM_EN = 1 and FIFO_DEPTH > 32; constant AM_MSK2_EN : boolean := AM_EN = 1 and FIFO_DEPTH > 64; constant AM_MSK3_EN : boolean := AM_EN = 1 and FIFO_DEPTH > 96; constant FIFO_BITS : integer := fdepth; constant APBBITS : integer := 6+3*AM_EN; constant APBH : integer := 2+APBBITS-1; constant CAP_ADDR : std_logic_vector(APBH downto 2) := conv_std_logic_vector(0, APBBITS); constant MODE_ADDR : std_logic_vector(APBH downto 2) := conv_std_logic_vector(8, APBBITS); constant EVENT_ADDR : std_logic_vector(APBH downto 2) := conv_std_logic_vector(9, APBBITS); constant MASK_ADDR : std_logic_vector(APBH downto 2) := conv_std_logic_vector(10, APBBITS); constant COM_ADDR : std_logic_vector(APBH downto 2) := conv_std_logic_vector(11, APBBITS); constant TD_ADDR : std_logic_vector(APBH downto 2) := conv_std_logic_vector(12, APBBITS); constant RD_ADDR : std_logic_vector(APBH downto 2) := conv_std_logic_vector(13, APBBITS); constant SLVSEL_ADDR : std_logic_vector(APBH downto 2) := conv_std_logic_vector(14, APBBITS); constant ASEL_ADDR : std_logic_vector(APBH downto 2) := conv_std_logic_vector(15, APBBITS); constant AMCFG_ADDR : std_logic_vector(APBH downto 2) := conv_std_logic_vector(16, APBBITS); constant AMPER_ADDR : std_logic_vector(APBH downto 2) := conv_std_logic_vector(17, APBBITS); constant AMMSK0_ADDR : std_logic_vector(10 downto 2) := "000010100"; -- 0x050 constant AMMSK1_ADDR : std_logic_vector(10 downto 2) := "000010101"; -- 0x054 constant AMMSK2_ADDR : std_logic_vector(10 downto 2) := "000010110"; -- 0x058 constant AMMSK3_ADDR : std_logic_vector(10 downto 2) := "000010111"; -- 0x05C constant AMTX_ADDR : std_logic_vector(10 downto 2) := "010000000"; -- 0x200 constant AMRX_ADDR : std_logic_vector(10 downto 2) := "100000000"; -- 0x40 constant SPICTRLCAPREG : std_logic_vector(31 downto 0) := conv_std_logic_vector(SLVSEL_SZ, 8) & conv_std_logic_vector(MAX_WLEN, 4) & conv_std_logic_vector(TW_EN, 1) & conv_std_logic_vector(AM_EN, 1) & conv_std_logic_vector(ASEL_EN, 1) & conv_std_logic_vector(SLVSEL_EN, 1) & conv_std_logic_vector(FIFO_DEPTH, 8) & conv_std_logic(syncram = 1) & conv_std_logic_vector(ft, 2) & conv_std_logic_vector(rev, 5); -- Returns an integer containing the maximum characted length - 1 as -- restricted by the maxwlen VHDL generic. function wlen return integer is begin -- maxwlen if MAX_WLEN = 0 then return 31; end if; return MAX_WLEN; end wlen; constant PROG_AM_MASK : boolean := AM_EN = 1 and automask0 = 0 and (automask1 = 0 or FIFO_DEPTH <= 32) and (automask2 = 0 or FIFO_DEPTH <= 64) and (automask3 = 0 or FIFO_DEPTH <= 96); constant AM_MASK : std_logic_vector(127 downto 0) := conv_std_logic_vector_signed(automask3,32) & conv_std_logic_vector_signed(automask2,32) & conv_std_logic_vector_signed(automask1,32) & conv_std_logic_vector_signed(automask0,32); function check_discont_am_mask return boolean is variable foundzero : boolean; begin if AM_EN = 0 then return false; elsif PROG_AM_MASK then return true; else foundzero := false; for i in 0 to FIFO_DEPTH-1 loop if AM_MASK(i) = '0' then foundzero := true; else if foundzero then return true; end if; end if; end loop; return false; end if; end function; constant DISCONT_AM_MASK : boolean := check_discont_am_mask; function check_am_mask_end return integer is variable ret : integer; begin ret := 0; for i in 0 to FIFO_DEPTH-1 loop if AM_MASK(i) = '1' then ret := i; end if; end loop; return ret; end function; constant AM_MASK_END : integer := check_am_mask_end; ----------------------------------------------------------------------------- -- Types ----------------------------------------------------------------------------- type spi_mode_rec is record -- SPI Mode register amen : std_ulogic; loopb : std_ulogic; -- loopback mode cpol : std_ulogic; -- clock polarity cpha : std_ulogic; -- clock phase div16 : std_ulogic; -- Divide by 16 rev : std_ulogic; -- Reverse data mode ms : std_ulogic; -- Master/slave en : std_ulogic; -- Enable SPI len : std_logic_vector(3 downto 0); -- Bits per character pm : std_logic_vector(3 downto 0); -- Prescale modulus tw : std_ulogic; -- 3-wire mode asel : std_ulogic; -- Automatic slave select fact : std_ulogic; -- PM multiplication factor od : std_ulogic; -- Open drain mode cg : std_logic_vector(4 downto 0); -- Clock gap aseldel : std_logic_vector(1 downto 0); -- Asel delay tac : std_ulogic; tto : std_ulogic; -- Three-wire mode word order igsel : std_ulogic; -- Ignore spisel input cite : std_ulogic; -- Require SCK = CPOL for TIP end end record; type spi_em_rec is record -- SPI Event and Mask registers tip : std_ulogic; -- Transfer in progress/Clock generated lt : std_ulogic; -- last character transmitted ov : std_ulogic; -- slave/master overrun un : std_ulogic; -- slave/master underrun mme : std_ulogic; -- Multiple-master error ne : std_ulogic; -- Not empty nf : std_ulogic; -- Not full at : std_ulogic; -- Automated transfer end record; type spi_fifo is array (0 to (1-syncram)*(FIFO_DEPTH-1)) of std_logic_vector(wlen downto 0); type spi_amcfg_rec is record -- AM config register seq : std_ulogic; -- Data must always be read out of receive queue strict : std_ulogic; -- Strict period ovtb : std_ulogic; -- Perform transfer on OV ovdb : std_ulogic; -- Skip data on OV act : std_ulogic; -- Start immediately eact : std_ulogic; -- Activate on external event erpt : std_ulogic; -- Repeat on external event, not on period done lock : std_ulogic; -- Lock receive registers when reading data ecgc : std_ulogic; -- External clock gap control end record; type spi_am_rec is record -- Automode state -- Register interface cfg : spi_amcfg_rec; -- AM config register per : std_logic_vector((AM_CNT_BITS-1)*AM_EN downto 0); -- AM period -- active : std_ulogic; -- Auto mode active lock : std_ulogic; cnt : unsigned((AM_CNT_BITS-1)*AM_EN downto 0); -- skipdata : std_ulogic; rxfull : std_ulogic; -- AM RX FIFO is filled rxfifo : spi_fifo; -- Receive data FIFO txfifo : spi_fifo; -- Transmit data FIFO rfreecnt : integer range 0 to FIFO_DEPTH; -- free rx fifo slots mask : std_logic_vector(FIFO_DEPTH-1 downto 0); mask_shdw : std_logic_vector(FIFO_DEPTH-1 downto 0); unread : std_logic_vector(FIFO_DEPTH-1 downto 0); at : std_ulogic; -- rxread : std_ulogic; txwrite : std_ulogic; txread : std_ulogic; apbaddr : std_logic_vector(FIFO_BITS-1 downto 0); rxsel : std_ulogic; end record; -- Two stage synchronizers on each input coming from off-chip type spi_in_local_type is record miso : std_ulogic; mosi : std_ulogic; sck : std_ulogic; spisel : std_ulogic; end record; type spi_in_array is array (1 downto 0) of spi_in_local_type; -- Local spi out type without ssn type spi_out_local_type is record miso : std_ulogic; misooen : std_ulogic; mosi : std_ulogic; mosioen : std_ulogic; sck : std_ulogic; sckoen : std_ulogic; enable : std_ulogic; astart : std_ulogic; aready : std_ulogic; end record; -- Yet another subset of out type to make it easier for certain tools to -- place registers near pads. type spi_out_local_lb_type is record mosi : std_ulogic; sck : std_ulogic; end record; type spi_reg_type is record -- SPI registers mode : spi_mode_rec; -- Mode register event : spi_em_rec; -- Event register mask : spi_em_rec; -- Mask register lst : std_ulogic; -- Only field on command register td : std_logic_vector(31 downto 0); -- Transmit register rd : std_logic_vector(31 downto 0); -- Receive register slvsel : std_logic_vector((SLVSEL_SZ-1) downto 0); -- Slave select register aslvsel : std_logic_vector((SLVSEL_SZ-1) downto 0); -- Automatic slave select -- uf : std_ulogic; -- Slave in underflow condition ov : std_ulogic; -- Receive overflow condition td_occ : std_ulogic; -- Transmit register occupied rd_free : std_ulogic; -- Receive register free (empty) txfifo : spi_fifo; -- Transmit data FIFO rxfifo : spi_fifo; -- Receive data FIFO rxd : std_logic_vector(wlen downto 0); -- Receive shift register txd : std_logic_vector(wlen downto 0); -- Transmit shift register txdupd : std_ulogic; -- Update txd txdbyp : std_ulogic; -- txd update bypass toggle : std_ulogic; -- SCK has toggled samp : std_ulogic; -- Sample chng : std_ulogic; -- Change psck : std_ulogic; -- Previous value of SC twdir : std_ulogic; -- Direction in 3-wire mode syncsamp : std_logic_vector(1 downto 0); -- Sample synchronized input incrdli : std_ulogic; rxdone : std_ulogic; rxdone2 : std_ulogic; running : std_ulogic; ov2 : std_ulogic; -- counters tfreecnt : integer range 0 to FIFO_DEPTH; -- free td fifo slots rfreecnt : integer range 0 to FIFO_DEPTH; -- free td fifo slots tdfi : std_logic_vector(fdepth-1 downto 0); -- First tx queue element rdfi : std_logic_vector(fdepth-1 downto 0); -- First rx queue element tdli : std_logic_vector(fdepth-1 downto 0); -- Last tx queue element rdli : std_logic_vector(fdepth-1 downto 0); -- Last rx queue element rbitcnt : std_logic_vector(log2(wlen+1)-1 downto 0); -- Current receive bit tbitcnt : std_logic_vector(log2(wlen+1)-1 downto 0); -- Current transmit bit divcnt : unsigned(9 downto 0); -- Clock scaler cgcnt : unsigned(5 downto 0); -- Clock gap counter cgcntblock: std_ulogic; aselcnt : unsigned(1 downto 0); -- ASEL delay cgasel : std_ulogic; -- ASEL when entering CG -- irq : std_ulogic; -- -- Automode am : spi_am_rec; -- Sync registers for inputs spii : spi_in_array; -- Output spio : spi_out_local_type; spiolb : spi_out_local_lb_type; -- astart : std_ulogic; cstart : std_ulogic; txdupd2 : std_ulogic; twdir2 : std_ulogic; end record; ----------------------------------------------------------------------------- -- Sub programs ----------------------------------------------------------------------------- -- Returns a vector containing the character length - 1 in bits as selected -- by the Mode field LEN. function spilen ( len : std_logic_vector(3 downto 0)) return std_logic_vector is begin -- spilen if len = zero32(3 downto 0) then return "11111"; else return "0" & len; end if; end spilen; -- Write clear procedure wc ( reg_o : out std_ulogic; reg_i : in std_ulogic; b : in std_ulogic) is begin reg_o := reg_i and not b; end procedure wc; -- Reverses string. After this function has been called the first bit -- to send is always at position 0. function reverse( data : std_logic_vector) return std_logic_vector is variable rdata: std_logic_vector(data'reverse_range); begin for i in data'range loop rdata(i) := data(i); end loop; return rdata; end function reverse; -- Performs a HWORD swap if len /= 0 function condhwordswap ( data : std_logic_vector(31 downto 0); len : std_logic_vector(4 downto 0)) return std_logic_vector is variable rdata : std_logic_vector(31 downto 0); begin -- condhwordswap if len = one32(4 downto 0) then rdata := data; else rdata := data(15 downto 0) & data(31 downto 16); end if; return rdata; end condhwordswap; -- Zeroes out unused part of receive vector. function select_data ( data : std_logic_vector(wlen downto 0); len : std_logic_vector(4 downto 0)) return std_logic_vector is variable rdata : std_logic_vector(31 downto 0) := (others => '0'); variable length : integer range 0 to 31 := conv_integer(len); variable sdata : std_logic_vector(31 downto 0) := (others => '0'); begin -- select_data -- Quartus can not handle variable ranges -- rdata(conv_integer(len) downto 0) := data(conv_integer(len) downto 0); sdata := (others => '0'); sdata(wlen downto 0) := data; case length is when 15 => rdata(15 downto 0) := sdata(15 downto 0); when 14 => rdata(14 downto 0) := sdata(14 downto 0); when 13 => rdata(13 downto 0) := sdata(13 downto 0); when 12 => rdata(12 downto 0) := sdata(12 downto 0); when 11 => rdata(11 downto 0) := sdata(11 downto 0); when 10 => rdata(10 downto 0) := sdata(10 downto 0); when 9 => rdata(9 downto 0) := sdata(9 downto 0); when 8 => rdata(8 downto 0) := sdata(8 downto 0); when 7 => rdata(7 downto 0) := sdata(7 downto 0); when 6 => rdata(6 downto 0) := sdata(6 downto 0); when 5 => rdata(5 downto 0) := sdata(5 downto 0); when 4 => rdata(4 downto 0) := sdata(4 downto 0); when 3 => rdata(3 downto 0) := sdata(3 downto 0); when others => rdata := sdata; end case; return rdata; end select_data; -- purpose: Returns true when a slave is selected and the clock starts function slv_start ( spisel : std_ulogic; cpol : std_ulogic; sck : std_ulogic; fsck_chg : std_ulogic) return boolean is begin -- slv_start if spisel = '0' then -- Slave is selected if fsck_chg = '1' then -- The clock has changed return (cpol xor sck) = '1'; -- The clock is not idle end if; end if; return false; end slv_start; constant RESET_ALL : boolean := GRLIB_CONFIG_ARRAY(grlib_sync_reset_enable_all) = 1; function spictrl_resval return spi_reg_type is variable v : spi_reg_type; begin v.mode := ('0','0','0','0','0','0','0','0',"0000","0000", '0','0','0','0',"00000","00", '0', '0', '0', '0'); v.event := ('0', '0', '0', '0', '0', '0', '0', '0'); v.mask := ('0', '0', '0', '0', '0', '0', '0', '0'); v.lst := '0'; v.td := (others => '0'); v.rd := (others => '0'); v.slvsel := (others => '1'); v.aslvsel := (others => '0'); v.uf := '0'; v.ov := '0'; v.td_occ := '0'; v.rd_free := '1'; for i in 0 to (1-syncram)*(FIFO_DEPTH-1) loop v.txfifo(i) := (others => '0'); v.rxfifo(i) := (others => '0'); end loop; v.rxd := (others => '0'); v.txd := (others => '0'); v.txd(0) := '1'; v.txdupd := '0'; v.txdbyp := '0'; v.toggle := '0'; v.samp := '1'; v.chng := '0'; v.psck := '0'; v.twdir := INPUT; v.syncsamp := (others => '0'); v.incrdli := '0'; v.rxdone := '0'; v.rxdone2 := '0'; v.running := '0'; v.ov2 := '0'; v.tfreecnt := FIFO_DEPTH; v.rfreecnt := FIFO_DEPTH; v.tdfi := (others => '0'); v.rdfi := (others => '0'); v.tdli := (others => '0'); v.rdli := (others => '0'); v.rbitcnt := (others => '0'); v.tbitcnt := (others => '0'); v.divcnt := (others => '0'); v.cgcnt := (others => '0'); v.cgcntblock := '0'; v.aselcnt := (others => '0'); v.cgasel := '0'; v.irq := '0'; v.am.cfg := ('0', '0', '0', '0', '0', '0', '0', '0', '0'); v.am.per := (others => '0'); v.am.active := '0'; v.am.lock := '0'; v.am.cnt := (others => '0'); v.am.skipdata := '0'; v.am.rxfull := '0'; for i in 0 to (1-syncram)*(FIFO_DEPTH-1) loop v.am.rxfifo := (others => (others => '0')); v.am.txfifo := (others => (others => '0')); end loop; v.am.rfreecnt := 0; v.am.mask := (others => '0'); v.am.mask_shdw := (others => '1'); v.am.unread := (others => '0'); v.am.at := '0'; v.am.rxread := '0'; v.am.txwrite := '0'; v.am.txread := '0'; v.am.apbaddr := (others => '0'); v.am.rxsel := '0'; for i in 1 downto 0 loop v.spii(i).miso := '1'; v.spii(i).mosi := '1'; v.spii(i).sck := '0'; v.spii(i).spisel := '1'; end loop; v.spio.miso := '1'; v.spio.misooen := INPUT; v.spio.mosi := '1'; v.spio.mosioen := INPUT; v.spio.sck := '0'; v.spio.sckoen := INPUT; v.spio.enable := '0'; v.spio.astart := '0'; v.spio.aready := '0'; v.spiolb.mosi := '1'; v.spiolb.sck := '1'; v.astart := '0'; v.cstart := '0'; v.txdupd2 := '0'; v.twdir2 := '0'; return v; end spictrl_resval; constant RES : spi_reg_type := spictrl_resval; ----------------------------------------------------------------------------- -- Signals ----------------------------------------------------------------------------- signal r, rin : spi_reg_type; type fifo_data_vector_array is array (automode downto 0) of std_logic_vector(wlen downto 0); type fifo_addr_vector_array is array (automode downto 0) of std_logic_vector(fdepth-1 downto 0); signal rx_di, rx_do, tx_di, tx_do : fifo_data_vector_array; signal rx_ra, rx_wa, tx_ra, tx_wa : fifo_addr_vector_array; signal rx_read, tx_read, rx_write, tx_write : std_logic_vector(automode downto 0); signal arstn : std_ulogic; begin arstn <= apbi_testrst when (scantest = 1) and (apbi_testen = '1') else rstn; -- SPI controller, register interface and related logic comb: process (r, rstn, apbi_psel, apbi_penable, apbi_paddr, apbi_pwrite, apbi_pwdata, apbi_testen, apbi_testrst, apbi_scanen, apbi_testoen, spii_miso, spii_mosi, spii_sck, spii_spisel, spii_astart, rx_do, tx_do, spii_cstart, spii_ignore) variable v : spi_reg_type; variable apbaddr : std_logic_vector(APBH downto 2); variable apbout : std_logic_vector(31 downto 0); variable len : std_logic_vector(4 downto 0); variable indata : std_ulogic; variable change : std_ulogic; variable update : std_ulogic; variable sample : std_ulogic; variable reload : std_ulogic; variable cgasel : std_ulogic; variable txshift : std_ulogic; -- automode variable rstop1 : std_ulogic; variable rstop2 : std_ulogic; variable rstop3 : std_ulogic; variable tstop1 : std_ulogic; variable tstop2 : std_ulogic; variable tstop3 : std_ulogic; variable astart : std_ulogic; -- fifos variable rx_rd : std_ulogic; variable tx_rd : std_ulogic; variable rx_wr : std_ulogic; variable tx_wr : std_ulogic; -- variable fsck : std_ulogic; variable fsck_chg : std_ulogic; -- variable spisel : std_ulogic; -- variable rntxd : std_logic_vector(0 to 31); variable ntxd : std_logic_vector(wlen downto 0); variable amask : std_logic_vector(FIFO_DEPTH-1 downto 0); variable aloop : integer; begin -- process comb v := r; v.irq := '0'; apbaddr := apbi_paddr(APBH downto 2); apbout := (others => '0'); len := spilen(r.mode.len); v.toggle := '0'; v.txdupd := '0'; v.syncsamp := r.syncsamp(0) & '0'; update := '0'; v.rxdone := '0'; indata := '0'; sample := '0'; change := '0'; reload := '0'; v.spio.astart := '0'; cgasel := '0'; v.ov2 := r.ov; txshift := '0'; fsck := '0'; fsck_chg := '0'; v.txdbyp := '0'; spisel := r.spii(1).spisel or r.mode.igsel; ntxd := r.td(wlen downto 0); rntxd := reverse(r.td); if r.mode.rev = '1' then ntxd := rntxd(31-wlen to 31); end if; v.spio.aready := '0'; if AM_EN = 1 then v.txdupd2 := '0'; v.cstart := '0'; if TW_EN = 1 then v.twdir2 := r.twdir; end if; end if; if PROG_AM_MASK then amask := r.am.mask; aloop := FIFO_DEPTH-1; else amask := AM_MASK(FIFO_DEPTH-1 downto 0); aloop := AM_MASK_END; end if; rx_rd := '0'; tx_rd := '0'; rx_wr := '0'; tx_wr := '0'; rstop1 := '0'; rstop2 := '0'; rstop3 := '0'; tstop1 := '0'; tstop2 := '0'; tstop3 := '0'; astart := '0'; v.am.txwrite := '0'; v.am.txwrite := '0'; v.am.rxread := '0'; if AM_EN = 1 then v.am.at := r.event.at; v.astart := spii_astart; if r.event.at = '0' then astart := spii_astart and (not r.astart); if PROG_AM_MASK then v.am.mask := r.am.mask_shdw; end if; end if; if spii_cstart = '1' then v.cstart := '1'; end if; end if; if (apbi_psel and apbi_penable and (not apbi_pwrite)) = '1' then if apbaddr = CAP_ADDR then apbout := SPICTRLCAPREG; elsif apbaddr = MODE_ADDR then apbout := r.mode.amen & r.mode.loopb & r.mode.cpol & r.mode.cpha & r.mode.div16 & r.mode.rev & r.mode.ms & r.mode.en & r.mode.len & r.mode.pm & r.mode.tw & r.mode.asel & r.mode.fact & r.mode.od & r.mode.cg & r.mode.aseldel & r.mode.tac & r.mode.tto & r.mode.igsel & r.mode.cite & zero32(0); elsif apbaddr = EVENT_ADDR then apbout := r.event.tip & zero32(30 downto 16) & r.event.at & r.event.lt & zero32(13) & r.event.ov & r.event.un & r.event.mme & r.event.ne & r.event.nf & zero32(7 downto 0); elsif apbaddr = MASK_ADDR then apbout := r.mask.tip & zero32(30 downto 16) & r.mask.at & r.mask.lt & zero32(13) & r.mask.ov & r.mask.un & r.mask.mme & r.mask.ne & r.mask.nf & zero32(7 downto 0); elsif apbaddr = RD_ADDR then apbout := condhwordswap(r.rd, len); if AM_EN = 0 or r.mode.amen = '0' then v.rd_free := '1'; end if; elsif apbaddr = SLVSEL_ADDR then if SLVSEL_EN /= 0 then apbout((SLVSEL_SZ-1) downto 0) := r.slvsel; else null; end if; elsif apbaddr = ASEL_ADDR then if ASEL_EN /= 0 then apbout((SLVSEL_SZ-1) downto 0) := r.aslvsel; else null; end if; end if; end if; -- write registers if (apbi_psel and apbi_penable and apbi_pwrite) = '1' then if apbaddr = MODE_ADDR then if AM_EN = 1 then v.mode.amen := apbi_pwdata(31); end if; v.mode.loopb := apbi_pwdata(30); v.mode.cpol := apbi_pwdata(29); v.mode.cpha := apbi_pwdata(28); v.mode.div16 := apbi_pwdata(27); v.mode.rev := apbi_pwdata(26); v.mode.ms := apbi_pwdata(25); v.mode.en := apbi_pwdata(24); v.mode.len := apbi_pwdata(23 downto 20); v.mode.pm := apbi_pwdata(19 downto 16); if TW_EN = 1 then v.mode.tw := apbi_pwdata(15); end if; if ASEL_EN = 1 then v.mode.asel := apbi_pwdata(14); end if; v.mode.fact := apbi_pwdata(13); if OD_EN = 1 then v.mode.od := apbi_pwdata(12); end if; v.mode.cg := apbi_pwdata(11 downto 7); if ASEL_EN = 1 then v.mode.aseldel := apbi_pwdata(6 downto 5); v.mode.tac := apbi_pwdata(4); end if; if TW_EN = 1 then v.mode.tto := apbi_pwdata(3); end if; v.mode.igsel := apbi_pwdata(2); v.mode.cite := apbi_pwdata(1); elsif apbaddr = EVENT_ADDR then wc(v.event.lt, r.event.lt, apbi_pwdata(14)); wc(v.event.ov, r.event.ov, apbi_pwdata(12)); wc(v.event.un, r.event.un, apbi_pwdata(11)); wc(v.event.mme, r.event.mme, apbi_pwdata(10)); elsif apbaddr = MASK_ADDR then v.mask.tip := apbi_pwdata(31); if AM_EN = 1 then v.mask.at := apbi_pwdata(15); end if; v.mask.lt := apbi_pwdata(14); v.mask.ov := apbi_pwdata(12); v.mask.un := apbi_pwdata(11); v.mask.mme := apbi_pwdata(10); v.mask.ne := apbi_pwdata(9); v.mask.nf := apbi_pwdata(8); elsif apbaddr = COM_ADDR then v.lst := apbi_pwdata(22); elsif apbaddr = TD_ADDR then -- The write is lost if the transmit register is written when -- the not full bit is zero. if r.event.nf = '1' then v.td := apbi_pwdata; if AM_EN = 0 or r.mode.amen = '0' then v.td_occ := '1'; end if; end if; elsif apbaddr = SLVSEL_ADDR then if SLVSEL_EN /= 0 then v.slvsel := apbi_pwdata((SLVSEL_SZ-1) downto 0); else null; end if; elsif apbaddr = ASEL_ADDR then if ASEL_EN /= 0 then v.aslvsel := apbi_pwdata((SLVSEL_SZ-1) downto 0); else null; end if; end if; end if; -- Automode register interface if AM_EN /= 0 then if apbi_psel = '1' then v.am.apbaddr := apbaddr(FIFO_BITS+1 downto 2); if syncram /= 0 then -- Check if tx queue will be read if apbaddr(10 downto 9) = AMTX_ADDR(10 downto 9) then v.am.txread := apbi_pwrite and not r.am.txread; end if; if apbaddr(10 downto 9) = AMRX_ADDR(10 downto 9) then v.am.rxread := not r.am.rxread; end if; end if; end if; if (apbi_psel and apbi_penable) = '1' then if apbaddr = AMCFG_ADDR then apbout := zero32(31 downto 9) & r.am.cfg.ecgc & r.am.cfg.lock & r.am.cfg.erpt & r.am.cfg.seq & r.am.cfg.strict & r.am.cfg.ovtb & r.am.cfg.ovdb & r.am.active & r.am.cfg.eact; if apbi_pwrite = '1' then v.am.cfg.ecgc := apbi_pwdata(8); v.am.cfg.lock := apbi_pwdata(7); v.am.cfg.erpt := apbi_pwdata(6); v.am.cfg.seq := apbi_pwdata(5); v.am.cfg.strict := apbi_pwdata(4); v.am.cfg.ovtb := apbi_pwdata(3); v.am.cfg.ovdb := apbi_pwdata(2); v.am.cfg.act := apbi_pwdata(1); v.spio.astart := apbi_pwdata(1); v.am.cfg.eact := apbi_pwdata(0); end if; elsif apbaddr = AMPER_ADDR then apbout((AM_CNT_BITS-1)*AM_EN downto 0) := r.am.per; if apbi_pwrite = '1' then v.am.per := apbi_pwdata((AM_CNT_BITS-1)*AM_EN downto 0); end if; elsif apbaddr = AMMSK0_ADDR then if FIFO_DEPTH > 32 then apbout := amask(31 downto 0); if PROG_AM_MASK then if apbi_pwrite = '1' then v.am.mask_shdw(31 downto 0) := apbi_pwdata; end if; end if; else apbout(FIFO_DEPTH-1 downto 0) := amask(FIFO_DEPTH-1 downto 0); if PROG_AM_MASK then if apbi_pwrite = '1' then v.am.mask_shdw(FIFO_DEPTH-1 downto 0) := apbi_pwdata(FIFO_DEPTH-1 downto 0); end if; end if; end if; elsif apbaddr = AMMSK1_ADDR then if AM_MSK1_EN then if FIFO_DEPTH > 64 then apbout := amask(63 downto 32); if PROG_AM_MASK then if apbi_pwrite = '1' then v.am.mask_shdw(63 downto 32) := apbi_pwdata; end if; end if; else apbout(FIFO_DEPTH-33 downto 0) := amask(FIFO_DEPTH-1 downto 32); if PROG_AM_MASK then if apbi_pwrite = '1' then v.am.mask_shdw(FIFO_DEPTH-1 downto 32) := apbi_pwdata(FIFO_DEPTH-33 downto 0); end if; end if; end if; else null; end if; elsif apbaddr = AMMSK2_ADDR then if AM_MSK2_EN then if FIFO_DEPTH > 96 then apbout := amask(95 downto 64); if PROG_AM_MASK then if apbi_pwrite = '1' then v.am.mask_shdw(95 downto 64) := apbi_pwdata; end if; end if; else apbout(FIFO_DEPTH-65 downto 0) := amask(FIFO_DEPTH-1 downto 64); if PROG_AM_MASK then if apbi_pwrite = '1' then v.am.mask_shdw(FIFO_DEPTH-1 downto 64) := apbi_pwdata(FIFO_DEPTH-65 downto 0); end if; end if; end if; else null; end if; elsif apbaddr = AMMSK3_ADDR then if AM_MSK3_EN then apbout(FIFO_DEPTH-97 downto 0) := amask(FIFO_DEPTH-1 downto 96); if PROG_AM_MASK then if apbi_pwrite = '1' then v.am.mask_shdw(FIFO_DEPTH-1 downto 96) := apbi_pwdata(FIFO_DEPTH-97 downto 0); end if; end if; else null; end if; elsif apbaddr(10 downto 9) = AMTX_ADDR(10 downto 9) then if conv_integer(apbaddr(8 downto 2)) < FIFO_DEPTH then if syncram = 0 then apbout(wlen downto 0) := r.am.txfifo(conv_integer(apbaddr(FIFO_BITS+1 downto 2))); else apbout(wlen downto 0) := tx_do(automode); end if; if apbi_pwrite = '1' then v.am.txwrite := '1'; v.td := apbi_pwdata; end if; end if; elsif apbaddr(10 downto 9) = AMRX_ADDR(10 downto 9) then if conv_integer(apbaddr(8 downto 2)) < FIFO_DEPTH then if syncram = 0 then if r.mode.rev = '0' then apbout := condhwordswap(reverse(select_data(r.rxfifo(conv_integer(r.am.apbaddr)), len)), len); else apbout := condhwordswap(select_data(r.rxfifo(conv_integer(r.am.apbaddr)), len), len); end if; else if r.mode.rev = '0' then apbout := condhwordswap(reverse(select_data(rx_do(conv_integer(not r.am.rxsel)), len)), len); else apbout := condhwordswap(select_data(rx_do(conv_integer(not r.am.rxsel)), len), len); end if; end if; if r.am.unread(conv_integer(r.am.apbaddr)) = '1' then v.rd_free := '1'; v.am.unread(conv_integer(r.am.apbaddr)) := '0'; v.am.lock := r.am.cfg.lock; end if; end if; end if; end if; end if; -- Handle transmit FIFO if r.td_occ = '1' and r.tfreecnt /= 0 then if syncram = 0 then v.txfifo(conv_integer(r.tdli)) := ntxd; else tx_wr := '1'; end if; v.tdli := r.tdli + 1; v.tfreecnt := r.tfreecnt - 1; v.td_occ := '0'; if r.tfreecnt = FIFO_DEPTH then v.txdbyp := r.running and r.mode.ms and r.txdupd; v.txdupd := not r.uf; tx_rd := '1'; end if; end if; -- AM transmit FIFO handling when core is not implemented with SYNCRAM if syncram = 0 and AM_EN /= 0 and r.am.txwrite = '1' then if r.mode.rev = '0' then v.am.txfifo(conv_integer(r.am.apbaddr)) := r.td(wlen downto 0); else v.am.txfifo(conv_integer(r.am.apbaddr)) := reverse(r.td)(31-wlen to 31); end if; end if; -- Update receive register and FIFO if r.rd_free = '1' and r.rfreecnt /= FIFO_DEPTH then if syncram = 0 then if r.mode.rev = '0' then v.rd := reverse(select_data(r.rxfifo(conv_integer(r.rdfi)), len)); else v.rd := select_data(r.rxfifo(conv_integer(r.rdfi)), len); end if; else if r.mode.rev = '0' then v.rd := reverse(select_data(rx_do(0), len)); else v.rd := select_data(rx_do(0), len); end if; end if; if not ((ignore > 0) and (spii_ignore = '1')) then v.rdfi := r.rdfi + 1; v.rfreecnt := r.rfreecnt + 1; v.rd_free := '0'; end if; end if; if v.rd_free = '1' and r.rfreecnt /= FIFO_DEPTH then rx_rd := '1'; end if; if r.mode.en = '1' then -- Core is enabled -- Not full detection if r.tfreecnt /= 0 or r.td_occ /= '1' then v.event.nf := '1'; if (r.mask.nf and not r.event.nf) = '1' then v.irq := '1'; end if; else v.event.nf := '0'; end if; -- Not empty detection if ((AM_EN = 0 or r.mode.amen = '0') and (r.rfreecnt /= FIFO_DEPTH or r.rd_free /= '1')) or (AM_EN = 1 and r.mode.amen = '1' and r.am.unread /= zero128(FIFO_DEPTH-1 downto 0)) then v.event.ne := '1'; if (r.mask.ne and not r.event.ne) = '1' then v.irq := '1'; end if; else v.event.ne := '0'; if AM_EN = 1 then v.am.lock := '0'; end if; end if; end if; --------------------------------------------------------------------------- -- Automated periodic transfer control --------------------------------------------------------------------------- if AM_EN = 1 and r.mode.amen = '1' then if r.am.active = '0' then -- Activation either from register write or external event. v.am.active := r.spio.astart or (astart and r.am.cfg.eact); v.am.cfg.act := v.am.active; v.am.rfreecnt := 0; for i in 0 to aloop loop if amask(i) = '1' then v.am.rfreecnt := v.am.rfreecnt+1; end if; end loop; v.am.skipdata := '0'; v.am.rxfull := '0'; v.am.cnt := unsigned(r.am.per); v.event.at := v.am.active; v.tdfi := (others => '0'); -- Check mask to see which word in the FIFO to start with. for i in 0 to aloop loop if amask(i) = '1' then if tstop1 = '0' then v.tdfi := conv_std_logic_vector(i, r.tdfi'length); end if; tstop1 := '1'; end if; end loop; if v.am.active = '1' then v.txdupd2 := '1'; tx_rd := '1'; v.tfreecnt := FIFO_DEPTH; for i in 0 to aloop loop if amask(i) = '1' then v.tfreecnt := v.tfreecnt-1; end if; end loop; end if; v.rdli := (others => '0'); for i in 0 to aloop loop if rstop1 = '0' then if amask(i) = '0' then v.rdli := v.rdli + 1; else rstop1 := '1'; end if; end if; end loop; v.cstart := v.am.active; else -- Receive fifo handling if r.am.rxfull = '1' then -- AM RX fifo is filled -- Move to receive queue if the queue is empty or if there is no -- requirement on sequential transfers and the queue is not locked. if (r.event.ne and (v.am.lock or r.am.cfg.seq)) = '0' then -- Queue is empty if syncram = 0 then v.rxfifo := r.am.rxfifo; else v.am.rxsel := not r.am.rxsel; end if; v.rdfi := (others => '0'); v.rfreecnt := r.am.rfreecnt; v.rd_free := '0'; v.am.rxfull := '0'; for i in 0 to aloop loop if amask(i) = '1' then v.am.unread(i) := '1'; end if; end loop; end if; if r.event.tip = '0' and r.am.at = '1' then v.event.at := '0'; end if; if (r.mask.at and r.event.at) = '1' then v.irq := '1'; end if; end if; if r.am.cfg.act = '0' then v.am.active := r.running; end if; v.am.cfg.eact := '0'; if (r.am.cnt = 0 and r.am.cfg.erpt = '0') or (astart = '1' and r.am.cfg.erpt = '1') then -- Only allowed to start new transfer if previous transfer(s) is finished if r.event.tip = '0' then if (not v.am.rxfull or r.am.cfg.strict) = '1' then v.am.cnt := unsigned(r.am.per); end if; if (not v.am.rxfull or (r.am.cfg.strict and not r.am.cfg.ovtb)) = '1' then -- Start transfer. Initialize indexes and fifo counter v.txdupd2 := '1'; tx_rd := '1'; v.am.cnt := unsigned(r.am.per); v.rdli := (others => '0'); for i in 0 to aloop loop if rstop2 = '0' then if amask(i) = '0' then v.rdli := v.rdli + 1; else rstop2 := '1'; end if; end if; end loop; v.tfreecnt := FIFO_DEPTH; v.am.rfreecnt := 0; for i in 0 to aloop loop if amask(i) = '1' then v.am.rfreecnt := v.am.rfreecnt+1; v.tfreecnt := v.tfreecnt-1; end if; end loop; v.tdfi := (others => '0'); -- Check mask to see which word in the FIFO to start with. for i in 0 to aloop loop if amask(i) = '1' then if tstop2 = '0' then v.tdfi := conv_std_logic_vector(i, r.tdfi'length); end if; tstop2 := '1'; end if; end loop; -- Skip incoming data if receive FIFO is full and OVDB is '1'. v.am.skipdata := v.am.rxfull and r.am.cfg.ovdb; if v.am.skipdata = '0' then -- Clear AM receive fifo if we will overwrite it. v.am.rfreecnt := FIFO_DEPTH; for i in 0 to aloop loop if amask(i) = '0' then v.am.rfreecnt := v.am.rfreecnt-1; end if; end loop; v.am.rxfull := '0'; end if; v.event.at := '1'; v.cstart := astart and r.am.cfg.erpt; end if; end if; else v.am.cnt := r.am.cnt - 1; end if; end if; end if; --------------------------------------------------------------------------- -- SCK filtering, only used in slave mode --------------------------------------------------------------------------- fsck := r.psck; if (r.mode.en and not r.mode.ms) = '1' then if (r.spii(1).sck xor r.psck) = '0' then reload := '1'; else -- Detected SCK change if r.divcnt = 0 then v.psck := r.spii(1).sck; fsck := r.spii(1).sck; fsck_chg := '1'; reload := '1'; else v.divcnt := r.divcnt - 1; end if; end if; elsif r.mode.en = '1' then v.psck := r.spii(1).sck; end if; --------------------------------------------------------------------------- -- SPI bus control --------------------------------------------------------------------------- if (r.mode.en and not r.running) = '1' and (r.mode.ms = '0' or r.divcnt = 0) then if r.mode.ms = '1' then if r.divcnt = 0 then v.spio.sck := r.mode.cpol; end if; v.spio.misooen := INPUT; if TW_EN = 0 or r.mode.tw = '0' then if OD_EN = 0 or r.mode.od = '0' then v.spio.mosioen := OUTPUT; end if; else v.spio.mosioen := INPUT; end if; v.spio.sckoen := OUTPUT; if TW_EN = 1 then v.twdir := OUTPUT xor r.mode.tto; end if; else if (spisel or r.mode.tw) = '0' then v.spio.misooen := OUTPUT; else v.spio.misooen := INPUT; end if; if (not spisel and r.mode.tw and r.mode.tto) = '0' then v.spio.mosioen := INPUT; else v.spio.mosioen := OUTPUT; end if; v.spio.sckoen := INPUT; if TW_EN = 1 then v.twdir := INPUT xor r.mode.tto; end if; end if; if ((((AM_EN = 0 or r.mode.amen = '0') or (AM_EN = 1 and r.mode.amen = '1' and r.am.active = '1')) and r.mode.ms = '1' and r.tfreecnt /= FIFO_DEPTH and r.txdupd = '0' and (AM_EN = 0 or r.txdupd2 = '0')) or slv_start(spisel, r.mode.cpol, fsck, fsck_chg)) then -- Slave underrun detection if r.tfreecnt = FIFO_DEPTH then v.uf := '1'; if (r.mask.un and not v.event.un) = '1' then v.irq := '1'; end if; v.event.un := '1'; end if; v.running := '1'; if r.mode.ms = '1' then if TW_EN = 0 or r.mode.tw = '0' then v.spio.mosioen := OUTPUT; else v.spio.mosioen := OUTPUT xor r.mode.tto; end if; change := not r.mode.cpha; -- Insert cycles when cpha = '0' to ensure proper setup -- time for first MOSI value in master mode. reload := not r.mode.cpha; end if; end if; v.cgcnt := (others => '0'); v.rbitcnt := (others => '0'); v.tbitcnt := (others => '0'); if r.mode.ms = '0' then update := not (r.mode.cpha or (fsck xor r.mode.cpol)); if r.mode.cpha = '0' then -- Prepare first bit v.tbitcnt := (others => '0'); v.tbitcnt(0) := '1'; if v.running = '1' and (TW_EN = 0 or r.mode.tw = '0' or r.twdir = OUTPUT) then txshift := '1'; end if; end if; end if; -- samp and chng should not be changed on b2b if spisel /= '0' then v.samp := not r.mode.cpha; v.chng := r.mode.cpha; v.psck := r.mode.cpol; end if; end if; if AM_EN = 0 or r.mode.amen = '0' or r.am.cfg.ecgc = '0' then v.cgcntblock := '0'; else if r.cstart = '1' then v.cgcntblock := '0'; end if; end if; --------------------------------------------------------------------------- -- Clock generation, only in master mode --------------------------------------------------------------------------- if r.mode.ms = '1' and (r.running = '1' or r.divcnt /= 0) then -- The frequency of the SPI clock relative to the system clock is -- determined by the fact, div16 and pm register fields. -- -- With fact = 0 the fields have the same meaning as in the MPC83xx -- register interface. The clock is divided by 4*([PM]+1) and if div16 -- is set the clock is divided by 16*(4*([PM]+1)). -- -- With fact = 1 the core's register i/f is no longer compatible with -- the MPC83xx register interface. The clock is divided by 2*([PM]+1) and -- if div16 is set the clock is divided by 16*(2*([PM]+1)). -- -- The generated clock's duty cycle is always 50%. if r.divcnt = 0 then if ASEL_EN = 0 or r.aselcnt = 0 then -- Toggle SCK unless we are in a clock gap if (r.cgcnt = 0 and (AM_EN = 0 or r.cgcntblock = '0')) or r.spiolb.sck /= r.mode.cpol then v.spio.sck := not r.spiolb.sck; v.toggle := r.running; end if; if r.cgcnt /= 0 and (AM_EN = 0 or r.cgcntblock = '0') then v.cgcnt := r.cgcnt - 1; if ASEL_EN /= 0 and r.cgcnt = 1 then cgasel := r.mode.tac; end if; end if; elsif ASEL_EN = 1 then v.aselcnt := r.aselcnt - 1; end if; reload := '1'; else v.divcnt := r.divcnt - 1; end if; elsif r.mode.ms = '1' then v.divcnt := (others => '0'); end if; if reload = '1' then -- Reload clock scale counter v.divcnt(4 downto 0) := unsigned('0' & r.mode.pm) + 1; if (not r.mode.fact and r.mode.ms) = '1' then if r.mode.div16 = '1' then v.divcnt := shift_left(v.divcnt, 5) - 1; else v.divcnt := shift_left(v.divcnt, 1) - 1; end if; else if (r.mode.div16 and r.mode.ms) = '1' then v.divcnt := shift_left(v.divcnt, 4) - 1; else v.divcnt(9 downto 4) := (others => '0'); v.divcnt(3 downto 0) := unsigned(r.mode.pm); end if; end if; end if; --------------------------------------------------------------------------- -- Handle master operation. --------------------------------------------------------------------------- if r.mode.ms = '1' then -- Sample data if r.toggle = '1' then v.samp := not r.samp; sample := r.samp; end if; -- Change data on the clock flank... if v.toggle = '1' then v.chng := not r.chng; change := r.chng; end if; -- Detect multiple-master errors (mode-fault) if spisel = '0' then v.mode.en := '0'; v.mode.ms := '0'; v.event.mme := '1'; if (r.mask.mme and not r.event.mme) = '1' then v.irq := '1'; end if; v.running := '0'; v.event.tip := '0'; if AM_EN = 1 then v.event.at := '0'; end if; end if; -- Select input data if r.mode.loopb = '1' then indata := r.spiolb.mosi; elsif TW_EN = 1 and r.mode.tw = '1' then indata := r.spii(1).mosi; else indata := r.spii(1).miso; end if; end if; --------------------------------------------------------------------------- -- Handle slave operation --------------------------------------------------------------------------- if (r.mode.en and not r.mode.ms) = '1' then if spisel = '0' then if fsck_chg = '1' then sample := r.samp; v.samp := not r.samp; change := r.chng; v.chng := not r.chng; end if; indata := r.spii(1).mosi; end if; end if; --------------------------------------------------------------------------- -- Used in both master and slave operation --------------------------------------------------------------------------- if sample = '1' then -- Detect receive overflow if ((AM_EN = 0 or r.mode.amen = '0' ) and (r.rfreecnt = 0 and r.rd_free = '0')) or (AM_EN = 1 and r.mode.amen = '1' and r.am.rfreecnt = 0) or r.ov = '1' then if TW_EN = 0 or r.mode.tw = '0' or r.twdir = INPUT then -- Overflow event and IRQ v.ov := '1'; if r.ov = '0' then if (r.mask.ov and not r.event.ov) = '1' then v.irq := '1'; end if; v.event.ov := '1'; end if; end if; sample := '0'; -- Prevent sample below else sample := not r.mode.ms or r.mode.loopb; v.syncsamp(0) := not sample; end if; if r.rbitcnt = len(log2(wlen+1)-1 downto 0) then v.rbitcnt := (others => '0'); if TW_EN = 1 then v.twdir := r.twdir xor not r.mode.loopb; end if; if (TW_EN = 0 or r.mode.tw = '0' or r.mode.loopb = '1' or (r.mode.tw = '1' and r.twdir = INPUT)) then v.incrdli := not r.ov; end if; if (TW_EN = 0 or r.mode.tw = '0' or r.mode.loopb = '1' or (TW_EN = 1 and r.mode.tw = '1' and (((r.mode.ms xor r.mode.tto) = '1' and r.twdir = INPUT) or ((r.mode.ms xor r.mode.tto) = '0' and r.twdir = OUTPUT)))) then if r.mode.cpha = '0' then v.cgcnt := unsigned(r.mode.cg & '0'); if ASEL_EN /= 0 then v.cgasel := r.mode.tac; end if; if AM_EN = 1 and r.mode.amen = '1' and r.am.cfg.ecgc = '1' then v.cgcntblock := '1'; end if; end if; v.ov := '0'; if r.tfreecnt = FIFO_DEPTH then v.running := '0'; -- When running with with SCK freq. at half the system freq. we are -- past the last edge here and SCK has transitioned from CPOL. -- Force controller into idle state, only applies to master mode. if (r.toggle and v.toggle) = '1' then v.toggle := '0'; v.spio.sck := r.mode.cpol; v.chng := r.chng; end if; end if; v.uf := '0'; end if; else v.rbitcnt := r.rbitcnt + 1; end if; end if; -- Sample data line and put into shift register. if (r.syncsamp(1) or sample) = '1' then v.rxd := r.rxd(wlen-1 downto 0) & indata; if ((r.syncsamp(1) and r.incrdli) or (sample and v.incrdli)) = '1' then v.rxdone := '1'; v.rxdone2 := '1'; v.incrdli := '0'; end if; end if; -- Put data into receive queue if ((AM_EN = 0 or (r.mode.amen and r.am.skipdata) = '0') and r.rxdone = '1') then if AM_EN = 1 and r.am.active = '1'then if not ((ignore > 0) and (spii_ignore = '1')) then -- Check mask, maybe we need to skip next word in fifo v.rdli := r.rdli + 1; v.am.rfreecnt := v.am.rfreecnt - 1; if DISCONT_AM_MASK then for i in 0 to aloop loop if i > conv_integer(r.rdli) and rstop3 = '0' then if amask(i) = '0' then v.rdli := v.rdli + 1; else rstop3 := '1'; end if; end if; end loop; end if; end if; else v.rdli := r.rdli + 1; v.rfreecnt := v.rfreecnt - 1; rx_rd := v.rd_free; end if; if syncram = 0 then if AM_EN = 1 and r.am.active = '1' then v.am.rxfifo(conv_integer(r.rdli)) := r.rxd; else v.rxfifo(conv_integer(r.rdli)) := r.rxd; end if; else rx_wr := '1'; end if; if r.running = '0' then if AM_EN = 1 then v.am.rxfull := r.am.active; end if; end if; end if; if AM_EN = 1 and r.mode.amen = '1' then if TW_EN = 0 or r.mode.tw = '0' or r.mode.tto = '0' then if r.rxdone = '1' then v.spio.aready := '1'; end if; else if r.twdir = '1' and r.twdir2 = '0' then v.spio.aready := '1'; end if; end if; end if; -- Special case to put data in receive queue for automatic -- transfer while in three wire mode with tto = 1 if AM_EN = 1 and TW_EN = 1 and r.mode.amen = '1' and r.mode.tw = '1' and r.running = '0' and r.rxdone2 = '1' and r.mode.tto = '1' and r.twdir = INPUT and r.mode.ms = '1' then v.am.rxfull := r.am.active; end if; -- Advance transmit queue if change = '1' then if TW_EN = 1 and r.mode.tw = '1' then v.spio.mosioen := r.twdir; end if; if r.tbitcnt = len(log2(wlen+1)-1 downto 0) then if (TW_EN = 0 or r.mode.tw = '0' or r.mode.loopb = '1' or (TW_EN = 1 and r.mode.tw = '1' and (((r.mode.ms xor r.mode.tto) = '1' and r.twdir = INPUT) or ((r.mode.ms xor r.mode.tto) = '0' and r.twdir = OUTPUT)))) then if r.mode.cpha = '1' then v.cgcnt := unsigned(r.mode.cg & '0'); if ASEL_EN /= 0 then v.cgasel := r.mode.tac; end if; if AM_EN = 1 and r.mode.amen = '1' and r.am.cfg.ecgc = '1' then v.cgcntblock := '1'; end if; end if; end if; if (TW_EN = 0 or r.mode.tw = '0' or r.mode.loopb = '1' or r.twdir = OUTPUT) then if r.uf = '0' then if not ((ignore > 0) and (spii_ignore = '1')) then v.tfreecnt := v.tfreecnt + 1; end if; end if; v.txdupd := '1'; tx_rd := '1'; end if; v.tbitcnt := (others => '0'); else v.tbitcnt := r.tbitcnt + 1; end if; if v.uf = '0' and (TW_EN = 0 or r.mode.tw = '0' or r.mode.loopb = '1' or r.twdir = OUTPUT) then txshift := v.running; end if; end if; if txshift = '1' then v.txd := '1' & r.txd(wlen downto 1); end if; if AM_EN = 1 then if r.txdupd2 = '1' then tx_rd := '1'; v.txdupd := '1'; end if; end if; if r.txdupd = '1' then tx_rd := '1'; if r.txdbyp = '0' then if syncram = 0 then if AM_EN = 1 and r.mode.amen = '1' then v.txd := r.am.txfifo(conv_integer(r.tdfi)); else v.txd := r.txfifo(conv_integer(r.tdfi)); end if; else -- The first FIFO is always used when using syncrams, even in AM mode v.txd := tx_do(0); end if; end if; -- Data written to TD, bypass if v.txdbyp = '1' then v.txd := ntxd; end if; if r.tfreecnt /= FIFO_DEPTH then if AM_EN = 0 or r.mode.amen = '0' then v.tdfi := v.tdfi + 1; else -- Check mask, might need to skip next word if not (((ignore > 0) and (spii_ignore = '1'))) then if DISCONT_AM_MASK then for i in 0 to aloop loop if tstop3 = '0' and i > conv_integer(v.tdfi) then if amask(i) = '0' then v.tdfi := v.tdfi + 1; else tstop3 := '1'; end if; end if; end loop; end if; v.tdfi := v.tdfi + 1; end if; end if; elsif v.txdbyp = '0' then -- Bus idle value v.txd(0) := '1'; end if; end if; -- Transmit bit if (change or update) = '1' then if v.uf = '0' then v.spio.miso := r.txd(0); v.spio.mosi := r.txd(0); if OD_EN = 1 and r.mode.od = '1' then if (r.mode.ms or r.mode.tw) = '1' then v.spio.mosioen := r.txd(0) xor OUTPUT; else v.spio.misooen := r.txd(0) xor OUTPUT; end if; end if; else v.spio.miso := '1'; v.spio.mosi := '1'; if OD_EN = 1 and r.mode.od = '1' then v.spio.misooen := INPUT; v.spio.mosioen := INPUT; end if; end if; end if; -- Transfer in progress interrupt generation if (not r.running and (r.ov2 or (r.rxdone2 or (not r.mode.ms and r.mode.tw)))) = '1' then if r.mode.ms = '0' or r.mode.cite = '0' or r.divcnt = 0 then v.event.tip := '0'; v.rxdone2 := '0'; end if; end if; if v.running = '1' then v.event.tip := '1'; end if; if (v.running and not r.event.tip and r.mask.tip and r.mode.en) = '1' then v.irq := '1'; end if; -- LST detection and interrupt generation if v.running = '0' and v.tfreecnt = FIFO_DEPTH and r.lst = '1' then v.event.lt := '1'; v.lst := '0'; if (r.mask.lt and not r.event.lt) = '1' then v.irq := '1'; end if; end if; --------------------------------------------------------------------------- -- Automatic slave select, only in master mode --------------------------------------------------------------------------- if ASEL_EN /= 0 then if (r.mode.ms and r.mode.asel) = '1' then if ((not r.running and v.running) or -- Transfer start or (r.event.tip and not v.event.tip) or -- transfer end or (v.running and (cgasel or -- End or start of CG (r.cgasel and not (r.spiolb.sck xor r.mode.cpol))))) = '1' then v.slvsel := r.aslvsel; v.aslvsel := r.slvsel; v.cgasel := '0'; end if; -- May need to delay start of transfer if ((not r.running and v.running) or cgasel) = '1' then -- Transfer start v.aselcnt := unsigned(r.mode.aseldel); end if; else v.cgasel := '0'; v.aselcnt := (others => '0'); end if; end if; -- Do not toggle outputs in loopback mode if (r.mode.loopb = '1' or (r.mode.tw = '1' and TW_EN = 1 and r.twdir = INPUT)) then v.spio.mosioen := INPUT; v.spio.misooen := INPUT; end if; if r.mode.loopb = '1' then v.spio.sckoen := INPUT; end if; -- When driving in OD mode, always drive low. if OD_EN = 1 and (r.mode.od and not r.mode.loopb) = '1' then v.spio.miso := v.spio.miso and not r.mode.od; v.spio.mosi := v.spio.mosi and not r.mode.od; end if; -- Core is disabled if ((not RESET_ALL) and rstn = '0') or (r.mode.en = '0') then v.tfreecnt := FIFO_DEPTH; v.rfreecnt := FIFO_DEPTH; v.tdfi := RES.tdfi; v.rdfi := RES.rdfi; v.tdli := RES.tdli; v.rdli := RES.rdli; v.rd_free := RES.rd_free; v.td_occ := RES.td_occ; v.lst := RES.lst; v.uf := RES.uf; v.ov := RES.ov; v.running := RES.running; v.event.tip := RES.event.tip; v.incrdli := RES.incrdli; if TW_EN = 1 then v.twdir := RES.twdir; end if; v.spio.miso := RES.spio.miso; v.spio.mosi := RES.spio.mosi; if syncrst = 1 or (r.mode.en = '0') then v.spio.misooen := RES.spio.misooen; v.spio.mosioen := RES.spio.mosioen; v.spio.sckoen := RES.spio.sckoen; end if; if AM_EN = 1 then v.event.at := RES.event.at; end if; -- Need to assign samp, chng and psck here if spisel is low when the -- core is enabled v.samp := not r.mode.cpha; v.chng := r.mode.cpha; v.psck := r.mode.cpol; if AM_EN = 1 then v.am.active := RES.am.active; v.am.cfg.act := RES.am.cfg.act; v.am.cfg.eact := RES.am.cfg.eact; v.am.unread := RES.am.unread; v.am.rxsel := RES.am.rxsel; end if; v.rxdone2 := '0'; v.divcnt := (others => '0'); end if; -- Chip reset if (not RESET_ALL) and (rstn = '0') then v.mode := RES.mode; v.event.tip := RES.event.tip; v.event.lt := RES.event.lt; v.event.ov := RES.event.ov; v.event.un := RES.event.un; v.event.mme := RES.event.mme; v.event.ne := RES.event.ne; v.event.nf := RES.event.nf; v.mask := RES.mask; if AM_EN = 1 then v.event.at := RES.event.at; if PROG_AM_MASK then v.am.mask_shdw := RES.am.mask_shdw; end if; v.am.per := RES.am.per; v.am.cfg := RES.am.cfg; v.am.rxread := RES.am.rxread; v.am.txwrite := RES.am.txwrite; v.am.txread := RES.am.txread; v.am.apbaddr := RES.am.apbaddr; v.am.rxsel := RES.am.rxsel; v.cgcntblock := RES.cgcntblock; end if; v.lst := RES.lst; if syncrst = 1 then v.slvsel := RES.slvsel; end if; v.cgcnt := RES.cgcnt; v.rbitcnt := RES.rbitcnt; v.tbitcnt := RES.tbitcnt; v.txd := RES.txd; end if; -- Drive unused bit if open drain mode is not supported if OD_EN = 0 then v.mode.od := '0'; end if; -- Drive unused bits if automode is not supported if AM_EN = 0 then v.mode.amen := '0'; -- v.am.cfg.seq := '0'; v.am.cfg.strict := '0'; v.am.cfg.ovtb := '0'; v.am.cfg.ovdb := '0'; v.am.cfg.act := '0'; v.am.cfg.eact := '0'; v.am.per := (others => '0'); v.am.active := '0'; v.am.lock := '0'; v.am.skipdata := '0'; v.am.rxfull := '0'; v.am.rfreecnt := 0; v.event.at := '0'; v.am.unread := (others=>'0'); v.am.cfg.erpt := '0'; v.am.cfg.lock := '0'; v.am.cfg.ecgc := '0'; v.am.cnt := (others=>'0'); v.am.rxread := '0'; v.am.txwrite := '0'; v.am.txread := '0'; v.am.apbaddr := (others => '0'); v.am.rxsel := '0'; v.mask.at := '0'; v.cstart := '0'; end if; if AM_EN = 0 or not PROG_AM_MASK then v.am.mask := (others=>'0'); v.am.mask_shdw := (others=>'0'); end if; -- Drive unused bits if automatic slave select is not enabled if ASEL_EN = 0 then v.mode.asel := '0'; v.aslvsel := (others => '0'); v.mode.aseldel := (others => '0'); v.mode.tac := '0'; v.aselcnt := (others => '0'); v.cgasel := '0'; end if; -- Drive unused bits if three-wire mode is not enabled if TW_EN = 0 then v.mode.tw := '0'; v.mode.tto := '0'; v.twdir := INPUT; end if; if TW_EN = 0 or AM_EN = 0 then v.twdir2 := INPUT; end if; if SLVSEL_EN = 0 then v.slvsel := (others => '1'); end if; -- Propagate core enable bit v.spio.enable := r.mode.en; -- Synchronize inputs coming from off-chip v.spii(0) := (spii_miso, spii_mosi, spii_sck, spii_spisel); v.spii(1) := r.spii(0); -- Outputs to RAMs if syncram = 0 then rx_di <= (others => (others => '0')); tx_di <= (others => (others => '0')); rx_ra <= (others => (others => '0')); rx_wa <= (others => (others => '0')); tx_ra <= (others => (others => '0')); tx_wa <= (others => (others => '0')); rx_read <= (others => '0'); rx_write <= (others => '0'); tx_read <= (others => '0'); tx_write <= (others => '0'); else -- TX RAM(s) write -- TX RAM(s) are either written from TX register or AM TX area for i in 0 to automode loop tx_di(i) <= ntxd; end loop; for i in 0 to automode loop tx_wa(i) <= r.tdli; end loop; tx_write(0) <= tx_wr; if AM_EN /= 0 then -- Auto mode present -- Write from AM register interface writes both RAMs -- Write from TXD register writes RAM 0 tx_write(automode) <= r.am.txwrite; tx_write(0) <= tx_wr or r.am.txwrite; if r.am.txwrite = '1' then for i in 0 to automode loop tx_wa(i) <= r.am.apbaddr; end loop; end if; end if; -- TX RAM(s) read -- First RAM is read by bit shift logic tx_read(0) <= tx_rd; tx_ra(0) <= r.tdfi; if AM_EN /= 0 then -- Second RAM is read from register interface tx_read(automode) <= v.am.txread or r.am.txread; tx_ra(automode) <= v.am.apbaddr; end if; -- RX RAM(s) write -- RX RAM(s) is always written from receive shift register for i in 0 to automode loop rx_di(i) <= r.rxd; rx_wa(i) <= r.rdli; end loop; rx_write(0) <= rx_wr; if AM_EN /= 0 then rx_write(automode) <= '0'; end if; if AM_EN /= 0 and r.mode.amen = '1' then -- AM active -- Handle writes from bit shift logic if r.am.rxsel = '0' then rx_write(0) <= rx_wr; rx_write(automode) <= '0'; else rx_write(0) <= '0'; rx_write(automode) <= rx_wr; end if; end if; -- RX RAM(s) are read via register interface for i in 0 to automode loop rx_ra(i) <= r.rdfi; rx_read(i) <= rx_rd; end loop; if AM_EN /= 0 and r.mode.amen = '1' then if r.am.rxsel = '0' then rx_read(0) <= '0'; rx_read(automode) <= v.am.rxread; if v.am.rxread = '1' then rx_ra(automode) <= v.am.apbaddr; end if; else rx_read(0) <= v.am.rxread; rx_read(automode) <= '0'; if v.am.rxread = '1' then rx_ra(0) <= v.am.apbaddr; end if; end if; end if; if scantest = 1 and (apbi_scanen and apbi_testen) = '1' then rx_read <= (others => '0'); rx_write <= (others => '0'); tx_read <= (others => '0'); tx_write <= (others => '0'); end if; end if; v.spiolb.mosi := v.spio.mosi; v.spiolb.sck := v.spio.sck; -- Update registers rin <= v; -- Update outputs apbo_prdata <= apbout; apbo_pirq <= r.irq; slvsel <= r.slvsel; spio_miso <= r.spio.miso; spio_misooen <= r.spio.misooen; spio_mosi <= r.spio.mosi; spio_mosioen <= r.spio.mosioen; spio_sck <= r.spio.sck; spio_sckoen <= r.spio.sckoen; spio_enable <= r.spio.enable; spio_astart <= r.spio.astart; spio_aready <= r.spio.aready; if scantest = 1 and apbi_testen = '1' then spio_misooen <= apbi_testoen; spio_mosioen <= apbi_testoen; spio_sckoen <= apbi_testoen; end if; end process comb; -- FIFOs fiforams : if syncram /= 0 generate fifoloop : for i in 0 to automode generate noft : if ft = 0 generate rxfifo : syncram_2p generic map ( tech => memtech, abits => fdepth, dbits => wlen+1, sepclk => 0, wrfst => 1) port map ( rclk => clk, renable => rx_read(i), raddress => rx_ra(i), dataout => rx_do(i), wclk => clk, write => rx_write(i), waddress => rx_wa(i), datain => rx_di(i)); -- testin => testin); txfifo : syncram_2p generic map ( tech => memtech, abits => fdepth, dbits => wlen+1, sepclk => 0, wrfst => 1) port map ( rclk => clk, renable => tx_read(i), raddress => tx_ra(i), dataout => tx_do(i), wclk => clk, write => tx_write(i), waddress => tx_wa(i), datain => tx_di(i)); -- testin => testin); end generate noft; ftfifos : if ft /= 0 generate ftrxfifo : syncram_2pft generic map ( tech => memtech, abits => fdepth, dbits => wlen+1, sepclk => 0, wrfst => 1, ft => ft) port map ( rclk => clk, renable => rx_read(i), raddress => rx_ra(i), dataout => rx_do(i), wclk => clk, write => rx_write(i), waddress => rx_wa(i), datain => rx_di(i), error => open); -- testin => testin); fttxfifo : syncram_2pft generic map ( tech => memtech, abits => fdepth, dbits => wlen+1, sepclk => 0, wrfst => 1, ft => ft) port map ( rclk => clk, renable => tx_read(i), raddress => tx_ra(i), dataout => tx_do(i), wclk => clk, write => tx_write(i), waddress => tx_wa(i), datain => tx_di(i), error => open); -- testin => testin); end generate ftfifos; end generate fifoloop; end generate fiforams; nofiforams : if syncram = 0 generate rx_do <= (others => (others => '0')); tx_do <= (others => (others => '0')); end generate; -- Registers reg: process (clk, arstn) begin -- process reg if rising_edge(clk) then r <= rin; if rstn = '0' then r.spio.sck <= RES.spio.sck; r.rbitcnt <= RES.rbitcnt; r.tbitcnt <= RES.tbitcnt; if RESET_ALL then r <= RES; -- Do not use synchronous reset for sync. registers r.spii <= rin.spii; end if; end if; end if; if syncrst = 0 and arstn = '0' then r.spio.misooen <= RES.spio.misooen; r.spio.mosioen <= RES.spio.mosioen; r.spio.sckoen <= RES.spio.sckoen; if SLVSEL_EN /= 0 then r.slvsel <= RES.slvsel; end if; end if; end process reg; end architecture rtl;
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; use work.xtcpkg.all; use work.wishbonepkg.all; -- synthesis translate_off use work.txt_util.all; -- synthesis translate_on entity xtc is port ( wb_syscon: in wb_syscon_type; -- Master wishbone interface wbo: out wb_mosi_type; wbi: in wb_miso_type; -- ROM wb interface romwbo: out wb_mosi_type; romwbi: in wb_miso_type; nmi: in std_logic; nmiack: out std_logic; break: out std_logic; intack: out std_logic; rstreq: out std_logic; edbg: in memory_debug_type ); end xtc; architecture behave of xtc is signal fuo: fetch_output_type; signal duo: decode_output_type; signal fduo: fetchdata_output_type; signal euo: execute_output_type; signal muo: memory_output_type; signal rbw1_addr: regaddress_type; signal rbw1_wr: std_logic_vector(31 downto 0); signal rbw1_we: std_logic; signal rbw1_en: std_logic; signal rbw2_addr: regaddress_type; signal rbw2_wr: std_logic_vector(31 downto 0); signal rbw2_we: std_logic := '0'; signal rbw2_en: std_logic := '0'; signal rb1_addr: regaddress_type; signal rb1_en: std_logic; signal rb1_rd: std_logic_vector(31 downto 0); signal rb2_addr: regaddress_type; signal rb2_en: std_logic; signal rb2_rd: std_logic_vector(31 downto 0); signal jumpaddr: word_type; signal cache_valid: std_logic; signal dcache_flush: std_logic; signal dcache_inflush: std_logic; signal icache_flush: std_logic; signal icache_abort: std_logic; signal cache_data: std_logic_vector(31 downto 0); signal cache_address: std_logic_vector(31 downto 0); signal cache_strobe: std_logic; signal cache_enable: std_logic; signal cache_stall: std_logic; signal cache_seq: std_logic; signal cache_nseq: std_logic; signal decode_freeze: std_logic; signal w_en: std_logic; signal w_addr: regaddress_type; signal memory_busy: std_logic; signal execute_busy: std_logic; signal wb_busy: std_logic; signal refetch: std_logic; signal dual: std_logic; signal allvalid: std_logic; signal notallvalid: std_logic; signal e_busy: std_logic; --signal refetch_registers: std_logic; signal freeze_decoder: std_logic; signal executed: boolean; component tracer is port ( clk: in std_logic; dbgi: in execute_debug_type ); end component tracer; signal dbg: execute_debug_type; signal mdbg: memory_debug_type; signal cifo: copifo; signal cifi: copifi; signal co: copo_a; signal ci: copi_a; signal mwbi: wb_miso_type; signal mwbo: wb_mosi_type; signal immu_tlbw: std_logic:='0'; signal immu_tlbv: tlb_entry_type; signal immu_tlba: std_logic_vector(2 downto 0):="000"; signal immu_context: std_logic_vector(5 downto 0):=(others => '0'); signal immu_paddr: std_logic_vector(31 downto 0); signal immu_valid: std_logic; signal immu_enabled: std_logic:='1'; signal cache_tag: std_logic_vector(31 downto 0); signal dcache_accesstype: std_logic_vector(1 downto 0); signal flushfd: std_logic; signal clrhold: std_logic; signal internalfault: std_logic; signal pipeline_internalfault: std_logic; signal busycnt: unsigned (31 downto 0); signal proten: std_logic; signal protw: std_logic_vector(31 downto 0); signal rstreq_i: std_logic; signal fflags: std_logic_vector(31 downto 0); signal intin: std_logic_vector(31 downto 0); signal trappc: std_logic_vector(31 downto 0); signal trapaddr: std_logic_vector(31 downto 0); signal trapbase: std_logic_vector(31 downto 0); signal istrap: std_logic; begin process(wb_syscon.clk) begin if rising_edge(wb_syscon.clk) then if pipeline_internalfault='1' then fflags(0) <= execute_busy; fflags(1) <= notallvalid; fflags(2) <= freeze_decoder; fflags(3) <= wb_busy; fflags(4) <= memory_busy; fflags(5) <= dbg.hold; fflags(6) <= dbg.multvalid; fflags(7) <= dbg.trap; end if; end if; end process; rstreq_i<= pipeline_internalfault ; rstreq <= rstreq_i; -- synthesis translate_off trc: tracer port map ( clk => wb_syscon.clk, dbgi => dbg ); -- synthesis translate_on -- Register bank. rbe: entity work.regbank_3p generic map ( ADDRESS_BITS => 5, ZEROSIZE => 4 ) port map ( clk => wb_syscon.clk, rb1_en => rb1_en, rb1_addr=> rb1_addr, rb1_rd => rb1_rd, rb2_en => rb2_en, rb2_addr=> rb2_addr, rb2_rd => rb2_rd, rb3_en => rbw1_en, rb3_we => rbw1_we, rb3_addr=> rbw1_addr, rb3_wr => rbw1_wr ); cache: if INSTRUCTION_CACHE generate cache: entity work.icache generic map ( ADDRESS_HIGH => 31 ) port map ( syscon => wb_syscon, valid => cache_valid, data => cache_data, address => cache_address, strobe => cache_strobe, stall => cache_stall, enable => cache_enable, flush => icache_flush, abort => icache_abort, seq => cache_seq, tag => cache_tag, tagen => immu_enabled, mwbi => romwbi, mwbo => romwbo ); mmub: if MMU_ENABLED generate cache_tag <= immu_paddr; immuinst: entity work.mmu port map ( clk => wb_syscon.clk, rst => wb_syscon.rst, addr => cache_address, ctx => immu_context, en => cache_strobe, tlbw => immu_tlbw, tlba => immu_tlba, tlbv => immu_tlbv, paddr => immu_paddr, valid => immu_valid, pw => open, pr => open, px => open, ps => open ); end generate; end generate; --romwbo.we<='0'; nocache: if not INSTRUCTION_CACHE generate -- Hack... we need to provide a solution for ACK being held low -- when no pipelined transaction exists -- For now, the romram is hacked to do it. --nopipe: if not EXTRA_PIPELINE generate cache_valid <= romwbi.ack; cache_data <= romwbi.dat; romwbo.adr <= cache_address; romwbo.stb <= cache_strobe; romwbo.cyc <= cache_enable; cache_stall <= romwbi.stall; --end generate; end generate; fetch_unit: entity work.fetch port map ( clk => wb_syscon.clk, rst => wb_syscon.rst, -- Connection to ROM stall => cache_stall, valid => cache_valid, address => cache_address, read => cache_data, enable => cache_enable, strobe => cache_strobe, abort => icache_abort, seq => cache_seq, nseq => cache_nseq, freeze => decode_freeze, jump => euo.jump, jumppriv => euo.jumppriv, jumpaddr => euo.r.jumpaddr, dual => dual, -- Outputs for next stages fuo => fuo ); decode_unit: entity work.decode port map ( clk => wb_syscon.clk, rst => wb_syscon.rst, -- Input from fetch unit fui => fuo, -- Outputs for next stages duo => duo, busy => decode_freeze, freeze => freeze_decoder, dual => dual, flush => euo.jump, -- DELAY SLOT when fetchdata is passthrough jump => euo.jump, jumpmsb => euo.r.jumpaddr(1) ); freeze_decoder <= execute_busy or notallvalid; flushfd <= euo.jump or euo.trap; fetchdata_unit: entity work.fetchdata port map ( clk => wb_syscon.clk, rst => wb_syscon.rst, r1_en => rb1_en, r1_addr => rb1_addr, r1_read => rb1_rd, r2_en => rb2_en, r2_addr => rb2_addr, r2_read => rb2_rd, freeze => execute_busy, flush => flushfd,-- euo.jump, -- DELAY SLOT refetch => notallvalid, --refetch_registers,--execute_busy,-- TEST TEST: was refetch, w_addr => w_addr, w_en => w_en, executed => executed, clrhold => euo.clrhold, -- Input from decode unit dui => duo, -- Outputs for next stages fduo => fduo ); busycheck: block signal dirtyReg: regaddress_type; signal dirty: std_logic; signal v1,v2,v3: std_logic; signal isBlocking: std_logic; signal canProceed: std_logic; begin v1 <= '0' when dirty='1' and rb1_en='1' and rb1_addr=dirtyReg else '1'; v2 <= '0' when dirty='1' and rb2_en='1' and rb2_addr=dirtyReg else '1'; v3 <= '0' when dirty='1' and w_en='1' and w_addr=dirtyReg else '1'; isBlocking <= '1' when duo.r.valid='1' and ( duo.r.blocks='1' ) and execute_busy='0' and euo.jump='0' and allvalid='1' and euo.trap='0' else '0'; process(wb_syscon.clk) begin if rising_edge(wb_syscon.clk) then if wb_syscon.rst='1' then dirty <= '0'; dirtyReg <= (others => '0'); -- X else if isBlocking='1' and dirty='0' then -- and duo.r.sra2/="0000" dirty <= '1'; dirtyReg <= duo.r.sra2; end if; -- Memory reads clear flags. if muo.mregwe='1' and dirty='1' then -- TODO here: why not use only the memory wb, rather than all wb ? if (dirtyReg=muo.mreg) then dirty <= '0'; dirtyReg <= (others => 'X'); --if (dirtyReg /= muo.mreg) then -- report "Omg.. clearing reg " &hstr(muo.mreg) & ", but dirty register is "&hstr(dirtyReg) severity failure; end if; end if; if muo.fault='1' then dirty <= '0'; end if; end if; end if; end process; canProceed <= '0' when (dirty='1' and duo.r.valid='1' and duo.r.blocks='1') else '1'; allvalid <= v1 and v2 and v3 and canProceed; notallvalid <= not allvalid; end block; execute_busy <= e_busy; executed <= euo.executed; execute_unit: entity work.execute port map ( clk => wb_syscon.clk, rst => wb_syscon.rst, busy => e_busy, mem_busy => memory_busy, wb_busy => wb_busy, refetch => refetch, int => wbi.int, nmi => nmi, nmiack => nmiack, intline => x"00", -- Input from fetchdata unit fdui => fduo, -- Outputs for next stages euo => euo, -- Input from memory unit (spr update) mui => muo, -- COP co => cifo, ci => cifi, -- Trap trappc => trappc, istrap => istrap, trapbase => trapbase, -- Debug dbgo => dbg ); -- MMU cop copmmuinst: entity work.cop_mmu port map ( clk => wb_syscon.clk, rst => wb_syscon.rst, tlbw => immu_tlbw, tlba => immu_tlba, tlbv => immu_tlbv, mmuen => immu_enabled, proten => proten, protw => protw, dbgi => dbg, mdbgi => mdbg,--edbg, fflags => fflags, ci => ci(1), co => co(1) ); coparbinst: entity work.cop_arb port map ( clk => wb_syscon.clk, rst => wb_syscon.rst, cfi => cifo, cfo => cifi, ci => co, co => ci ); -- MMU cop copsysinst: entity work.cop_sys port map ( clk => wb_syscon.clk, rst => wb_syscon.rst, icache_flush => icache_flush, dcache_flush => dcache_flush, dcache_inflush => dcache_inflush, int_in => x"00000000", trappc => trappc, trapaddr => trapaddr, istrap => istrap, trapbase => trapbase, --intacken => '0', ci => ci(0), co => co(0) ); dcachegen: if DATA_CACHE generate dcache_accesstype <= ACCESS_NOCACHE when mwbo.adr(31)='1' else ACCESS_WT; dcacheinst: entity work.dcache generic map ( ADDRESS_HIGH => 31, CACHE_MAX_BITS => 13, -- 8 Kb CACHE_LINE_SIZE_BITS => 6 -- 64 bytes ) port map ( syscon => wb_syscon, ci.data => mwbo.dat, ci.address => mwbo.adr, ci.strobe => mwbo.stb, ci.we => mwbo.we, ci.wmask => mwbo.sel, ci.enable => mwbo.cyc, ci.tag => mwbo.tag, ci.flush => dcache_flush, ci.accesstype => dcache_accesstype, co.in_flush => dcache_inflush, co.data => mwbi.dat, co.stall => mwbi.stall, co.valid => mwbi.ack, co.tag => mwbi.tag, co.err => mwbi.err, mwbi => wbi, mwbo => wbo ); end generate; nodcache: if not DATA_CACHE generate wbo<=mwbo; mwbi<=wbi; end generate; memory_unit: entity work.memory port map ( clk => wb_syscon.clk, rst => wb_syscon.rst, -- Memory interface wb_ack_i => mwbi.ack, wb_err_i => mwbi.err, wb_dat_i => mwbi.dat, wb_dat_o => mwbo.dat, wb_adr_o => mwbo.adr, wb_cyc_o => mwbo.cyc, wb_stb_o => mwbo.stb, wb_sel_o => mwbo.sel, wb_tag_o => mwbo.tag, wb_tag_i => mwbi.tag, wb_we_o => mwbo.we, wb_stall_i => mwbi.stall, dbgo => mdbg, refetch => refetch, busy => memory_busy, proten => proten, protw => protw, -- Input for previous stages eui => euo, -- Output for next stages muo => muo ); writeback_unit: entity work.writeback port map ( clk => wb_syscon.clk, rst => wb_syscon.rst, busy => wb_busy, r0_en => rbw1_en, r0_we => rbw1_we, r0_addr => rbw1_addr, r0_write => rbw1_wr, r1_en => rbw2_en, r1_we => rbw2_we, r1_addr => rbw2_addr, r1_write => rbw2_wr, --r_read => rbw_rd, -- Input from previous stage mui => muo, eui => euo -- for fast register write ); faultcheck: if FAULTCHECKS generate -- Internal pipeline fault... process(wb_syscon.clk) begin if rising_edge(wb_syscon.clk) then if wb_syscon.rst='1' then busycnt<=(others =>'0'); else if execute_busy='1' or notallvalid='1' or freeze_decoder='1' then busycnt<=busycnt+1; else busycnt<=(others =>'0'); end if; end if; end if; end process; pipeline_internalfault<='1' when busycnt > 65535 else '0'; end generate; nofaultchecks: if not FAULTCHECKS generate pipeline_internalfault<='0'; end generate; -- synthesis translate_off process begin wait on muo.internalfault; if muo.internalfault'event and muo.internalfault='1' then wait until rising_edge(wb_syscon.clk); wait until rising_edge(wb_syscon.clk); report "Internal memory fault" severity failure; end if; end process; -- synthesis translate_on end behave;
-- Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2017.2 (win64) Build 1909853 Thu Jun 15 18:39:09 MDT 2017 -- Date : Tue Sep 19 09:40:17 2017 -- Host : DarkCube running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode funcsim -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix -- decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ zynq_design_1_auto_pc_1_sim_netlist.vhdl -- Design : zynq_design_1_auto_pc_1 -- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or -- synthesized. This netlist cannot be used for SDF annotated simulation. -- Device : xc7z020clg484-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_axi_protocol_converter is port ( aclk : in STD_LOGIC; aresetn : in STD_LOGIC; s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_awlen : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awlock : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awregion : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awuser : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_awvalid : in STD_LOGIC; s_axi_awready : out STD_LOGIC; s_axi_wid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_wlast : in STD_LOGIC; s_axi_wuser : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_wvalid : in STD_LOGIC; s_axi_wready : out STD_LOGIC; s_axi_bid : out STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_buser : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_bvalid : out STD_LOGIC; s_axi_bready : in STD_LOGIC; s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_arlen : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arlock : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arregion : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_aruser : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_arvalid : in STD_LOGIC; s_axi_arready : out STD_LOGIC; s_axi_rid : out STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_rlast : out STD_LOGIC; s_axi_ruser : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_rvalid : out STD_LOGIC; s_axi_rready : in STD_LOGIC; m_axi_awid : out STD_LOGIC_VECTOR ( 11 downto 0 ); m_axi_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_awlen : out STD_LOGIC_VECTOR ( 7 downto 0 ); m_axi_awsize : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_awburst : out STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_awlock : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_awcache : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_awregion : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awqos : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awuser : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_awvalid : out STD_LOGIC; m_axi_awready : in STD_LOGIC; m_axi_wid : out STD_LOGIC_VECTOR ( 11 downto 0 ); m_axi_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_wlast : out STD_LOGIC; m_axi_wuser : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_wvalid : out STD_LOGIC; m_axi_wready : in STD_LOGIC; m_axi_bid : in STD_LOGIC_VECTOR ( 11 downto 0 ); m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_buser : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_bvalid : in STD_LOGIC; m_axi_bready : out STD_LOGIC; m_axi_arid : out STD_LOGIC_VECTOR ( 11 downto 0 ); m_axi_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_arlen : out STD_LOGIC_VECTOR ( 7 downto 0 ); m_axi_arsize : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_arburst : out STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_arlock : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_arcache : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_arregion : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_arqos : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_aruser : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_arvalid : out STD_LOGIC; m_axi_arready : in STD_LOGIC; m_axi_rid : in STD_LOGIC_VECTOR ( 11 downto 0 ); m_axi_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_rlast : in STD_LOGIC; m_axi_ruser : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_rvalid : in STD_LOGIC; m_axi_rready : out STD_LOGIC ); attribute C_AXI_ADDR_WIDTH : integer; attribute C_AXI_ADDR_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 32; attribute C_AXI_ARUSER_WIDTH : integer; attribute C_AXI_ARUSER_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 1; attribute C_AXI_AWUSER_WIDTH : integer; attribute C_AXI_AWUSER_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 1; attribute C_AXI_BUSER_WIDTH : integer; attribute C_AXI_BUSER_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 1; attribute C_AXI_DATA_WIDTH : integer; attribute C_AXI_DATA_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 32; attribute C_AXI_ID_WIDTH : integer; attribute C_AXI_ID_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 12; attribute C_AXI_RUSER_WIDTH : integer; attribute C_AXI_RUSER_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 1; attribute C_AXI_SUPPORTS_READ : integer; attribute C_AXI_SUPPORTS_READ of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 1; attribute C_AXI_SUPPORTS_USER_SIGNALS : integer; attribute C_AXI_SUPPORTS_USER_SIGNALS of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 0; attribute C_AXI_SUPPORTS_WRITE : integer; attribute C_AXI_SUPPORTS_WRITE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 1; attribute C_AXI_WUSER_WIDTH : integer; attribute C_AXI_WUSER_WIDTH of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 1; attribute C_FAMILY : string; attribute C_FAMILY of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is "zynq"; attribute C_IGNORE_ID : integer; attribute C_IGNORE_ID of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 0; attribute C_M_AXI_PROTOCOL : integer; attribute C_M_AXI_PROTOCOL of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 0; attribute C_S_AXI_PROTOCOL : integer; attribute C_S_AXI_PROTOCOL of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 1; attribute C_TRANSLATION_MODE : integer; attribute C_TRANSLATION_MODE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 2; attribute DowngradeIPIdentifiedWarnings : string; attribute DowngradeIPIdentifiedWarnings of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is "yes"; attribute P_AXI3 : integer; attribute P_AXI3 of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 1; attribute P_AXI4 : integer; attribute P_AXI4 of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 0; attribute P_AXILITE : integer; attribute P_AXILITE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 2; attribute P_AXILITE_SIZE : string; attribute P_AXILITE_SIZE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is "3'b010"; attribute P_CONVERSION : integer; attribute P_CONVERSION of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 2; attribute P_DECERR : string; attribute P_DECERR of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is "2'b11"; attribute P_INCR : string; attribute P_INCR of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is "2'b01"; attribute P_PROTECTION : integer; attribute P_PROTECTION of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is 1; attribute P_SLVERR : string; attribute P_SLVERR of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_axi_protocol_converter : entity is "2'b10"; end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_axi_protocol_converter; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_axi_protocol_converter is signal \<const0>\ : STD_LOGIC; signal \^m_axi_arready\ : STD_LOGIC; signal \^m_axi_awready\ : STD_LOGIC; signal \^m_axi_bid\ : STD_LOGIC_VECTOR ( 11 downto 0 ); signal \^m_axi_bresp\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \^m_axi_buser\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \^m_axi_bvalid\ : STD_LOGIC; signal \^m_axi_rdata\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \^m_axi_rid\ : STD_LOGIC_VECTOR ( 11 downto 0 ); signal \^m_axi_rlast\ : STD_LOGIC; signal \^m_axi_rresp\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \^m_axi_ruser\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \^m_axi_rvalid\ : STD_LOGIC; signal \^m_axi_wready\ : STD_LOGIC; signal \^s_axi_araddr\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \^s_axi_arburst\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \^s_axi_arcache\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \^s_axi_arid\ : STD_LOGIC_VECTOR ( 11 downto 0 ); signal \^s_axi_arlen\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \^s_axi_arlock\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \^s_axi_arprot\ : STD_LOGIC_VECTOR ( 2 downto 0 ); signal \^s_axi_arqos\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \^s_axi_arsize\ : STD_LOGIC_VECTOR ( 2 downto 0 ); signal \^s_axi_aruser\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \^s_axi_arvalid\ : STD_LOGIC; signal \^s_axi_awaddr\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \^s_axi_awburst\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \^s_axi_awcache\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \^s_axi_awid\ : STD_LOGIC_VECTOR ( 11 downto 0 ); signal \^s_axi_awlen\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \^s_axi_awlock\ : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \^s_axi_awprot\ : STD_LOGIC_VECTOR ( 2 downto 0 ); signal \^s_axi_awqos\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \^s_axi_awsize\ : STD_LOGIC_VECTOR ( 2 downto 0 ); signal \^s_axi_awuser\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \^s_axi_awvalid\ : STD_LOGIC; signal \^s_axi_bready\ : STD_LOGIC; signal \^s_axi_rready\ : STD_LOGIC; signal \^s_axi_wdata\ : STD_LOGIC_VECTOR ( 31 downto 0 ); signal \^s_axi_wlast\ : STD_LOGIC; signal \^s_axi_wstrb\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \^s_axi_wuser\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \^s_axi_wvalid\ : STD_LOGIC; begin \^m_axi_arready\ <= m_axi_arready; \^m_axi_awready\ <= m_axi_awready; \^m_axi_bid\(11 downto 0) <= m_axi_bid(11 downto 0); \^m_axi_bresp\(1 downto 0) <= m_axi_bresp(1 downto 0); \^m_axi_buser\(0) <= m_axi_buser(0); \^m_axi_bvalid\ <= m_axi_bvalid; \^m_axi_rdata\(31 downto 0) <= m_axi_rdata(31 downto 0); \^m_axi_rid\(11 downto 0) <= m_axi_rid(11 downto 0); \^m_axi_rlast\ <= m_axi_rlast; \^m_axi_rresp\(1 downto 0) <= m_axi_rresp(1 downto 0); \^m_axi_ruser\(0) <= m_axi_ruser(0); \^m_axi_rvalid\ <= m_axi_rvalid; \^m_axi_wready\ <= m_axi_wready; \^s_axi_araddr\(31 downto 0) <= s_axi_araddr(31 downto 0); \^s_axi_arburst\(1 downto 0) <= s_axi_arburst(1 downto 0); \^s_axi_arcache\(3 downto 0) <= s_axi_arcache(3 downto 0); \^s_axi_arid\(11 downto 0) <= s_axi_arid(11 downto 0); \^s_axi_arlen\(3 downto 0) <= s_axi_arlen(3 downto 0); \^s_axi_arlock\(0) <= s_axi_arlock(0); \^s_axi_arprot\(2 downto 0) <= s_axi_arprot(2 downto 0); \^s_axi_arqos\(3 downto 0) <= s_axi_arqos(3 downto 0); \^s_axi_arsize\(2 downto 0) <= s_axi_arsize(2 downto 0); \^s_axi_aruser\(0) <= s_axi_aruser(0); \^s_axi_arvalid\ <= s_axi_arvalid; \^s_axi_awaddr\(31 downto 0) <= s_axi_awaddr(31 downto 0); \^s_axi_awburst\(1 downto 0) <= s_axi_awburst(1 downto 0); \^s_axi_awcache\(3 downto 0) <= s_axi_awcache(3 downto 0); \^s_axi_awid\(11 downto 0) <= s_axi_awid(11 downto 0); \^s_axi_awlen\(3 downto 0) <= s_axi_awlen(3 downto 0); \^s_axi_awlock\(0) <= s_axi_awlock(0); \^s_axi_awprot\(2 downto 0) <= s_axi_awprot(2 downto 0); \^s_axi_awqos\(3 downto 0) <= s_axi_awqos(3 downto 0); \^s_axi_awsize\(2 downto 0) <= s_axi_awsize(2 downto 0); \^s_axi_awuser\(0) <= s_axi_awuser(0); \^s_axi_awvalid\ <= s_axi_awvalid; \^s_axi_bready\ <= s_axi_bready; \^s_axi_rready\ <= s_axi_rready; \^s_axi_wdata\(31 downto 0) <= s_axi_wdata(31 downto 0); \^s_axi_wlast\ <= s_axi_wlast; \^s_axi_wstrb\(3 downto 0) <= s_axi_wstrb(3 downto 0); \^s_axi_wuser\(0) <= s_axi_wuser(0); \^s_axi_wvalid\ <= s_axi_wvalid; m_axi_araddr(31 downto 0) <= \^s_axi_araddr\(31 downto 0); m_axi_arburst(1 downto 0) <= \^s_axi_arburst\(1 downto 0); m_axi_arcache(3 downto 0) <= \^s_axi_arcache\(3 downto 0); m_axi_arid(11 downto 0) <= \^s_axi_arid\(11 downto 0); m_axi_arlen(7) <= \<const0>\; m_axi_arlen(6) <= \<const0>\; m_axi_arlen(5) <= \<const0>\; m_axi_arlen(4) <= \<const0>\; m_axi_arlen(3 downto 0) <= \^s_axi_arlen\(3 downto 0); m_axi_arlock(0) <= \^s_axi_arlock\(0); m_axi_arprot(2 downto 0) <= \^s_axi_arprot\(2 downto 0); m_axi_arqos(3 downto 0) <= \^s_axi_arqos\(3 downto 0); m_axi_arregion(3) <= \<const0>\; m_axi_arregion(2) <= \<const0>\; m_axi_arregion(1) <= \<const0>\; m_axi_arregion(0) <= \<const0>\; m_axi_arsize(2 downto 0) <= \^s_axi_arsize\(2 downto 0); m_axi_aruser(0) <= \^s_axi_aruser\(0); m_axi_arvalid <= \^s_axi_arvalid\; m_axi_awaddr(31 downto 0) <= \^s_axi_awaddr\(31 downto 0); m_axi_awburst(1 downto 0) <= \^s_axi_awburst\(1 downto 0); m_axi_awcache(3 downto 0) <= \^s_axi_awcache\(3 downto 0); m_axi_awid(11 downto 0) <= \^s_axi_awid\(11 downto 0); m_axi_awlen(7) <= \<const0>\; m_axi_awlen(6) <= \<const0>\; m_axi_awlen(5) <= \<const0>\; m_axi_awlen(4) <= \<const0>\; m_axi_awlen(3 downto 0) <= \^s_axi_awlen\(3 downto 0); m_axi_awlock(0) <= \^s_axi_awlock\(0); m_axi_awprot(2 downto 0) <= \^s_axi_awprot\(2 downto 0); m_axi_awqos(3 downto 0) <= \^s_axi_awqos\(3 downto 0); m_axi_awregion(3) <= \<const0>\; m_axi_awregion(2) <= \<const0>\; m_axi_awregion(1) <= \<const0>\; m_axi_awregion(0) <= \<const0>\; m_axi_awsize(2 downto 0) <= \^s_axi_awsize\(2 downto 0); m_axi_awuser(0) <= \^s_axi_awuser\(0); m_axi_awvalid <= \^s_axi_awvalid\; m_axi_bready <= \^s_axi_bready\; m_axi_rready <= \^s_axi_rready\; m_axi_wdata(31 downto 0) <= \^s_axi_wdata\(31 downto 0); m_axi_wid(11) <= \<const0>\; m_axi_wid(10) <= \<const0>\; m_axi_wid(9) <= \<const0>\; m_axi_wid(8) <= \<const0>\; m_axi_wid(7) <= \<const0>\; m_axi_wid(6) <= \<const0>\; m_axi_wid(5) <= \<const0>\; m_axi_wid(4) <= \<const0>\; m_axi_wid(3) <= \<const0>\; m_axi_wid(2) <= \<const0>\; m_axi_wid(1) <= \<const0>\; m_axi_wid(0) <= \<const0>\; m_axi_wlast <= \^s_axi_wlast\; m_axi_wstrb(3 downto 0) <= \^s_axi_wstrb\(3 downto 0); m_axi_wuser(0) <= \^s_axi_wuser\(0); m_axi_wvalid <= \^s_axi_wvalid\; s_axi_arready <= \^m_axi_arready\; s_axi_awready <= \^m_axi_awready\; s_axi_bid(11 downto 0) <= \^m_axi_bid\(11 downto 0); s_axi_bresp(1 downto 0) <= \^m_axi_bresp\(1 downto 0); s_axi_buser(0) <= \^m_axi_buser\(0); s_axi_bvalid <= \^m_axi_bvalid\; s_axi_rdata(31 downto 0) <= \^m_axi_rdata\(31 downto 0); s_axi_rid(11 downto 0) <= \^m_axi_rid\(11 downto 0); s_axi_rlast <= \^m_axi_rlast\; s_axi_rresp(1 downto 0) <= \^m_axi_rresp\(1 downto 0); s_axi_ruser(0) <= \^m_axi_ruser\(0); s_axi_rvalid <= \^m_axi_rvalid\; s_axi_wready <= \^m_axi_wready\; GND: unisim.vcomponents.GND port map ( G => \<const0>\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is port ( aclk : in STD_LOGIC; aresetn : in STD_LOGIC; s_axi_awid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_awlen : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awlock : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awvalid : in STD_LOGIC; s_axi_awready : out STD_LOGIC; s_axi_wid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_wlast : in STD_LOGIC; s_axi_wvalid : in STD_LOGIC; s_axi_wready : out STD_LOGIC; s_axi_bid : out STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_bvalid : out STD_LOGIC; s_axi_bready : in STD_LOGIC; s_axi_arid : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_arlen : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arlock : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arvalid : in STD_LOGIC; s_axi_arready : out STD_LOGIC; s_axi_rid : out STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_rlast : out STD_LOGIC; s_axi_rvalid : out STD_LOGIC; s_axi_rready : in STD_LOGIC; m_axi_awid : out STD_LOGIC_VECTOR ( 11 downto 0 ); m_axi_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_awlen : out STD_LOGIC_VECTOR ( 7 downto 0 ); m_axi_awsize : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_awburst : out STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_awlock : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_awcache : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_awregion : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awqos : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awvalid : out STD_LOGIC; m_axi_awready : in STD_LOGIC; m_axi_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_wlast : out STD_LOGIC; m_axi_wvalid : out STD_LOGIC; m_axi_wready : in STD_LOGIC; m_axi_bid : in STD_LOGIC_VECTOR ( 11 downto 0 ); m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_bvalid : in STD_LOGIC; m_axi_bready : out STD_LOGIC; m_axi_arid : out STD_LOGIC_VECTOR ( 11 downto 0 ); m_axi_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_arlen : out STD_LOGIC_VECTOR ( 7 downto 0 ); m_axi_arsize : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_arburst : out STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_arlock : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_arcache : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_arregion : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_arqos : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_arvalid : out STD_LOGIC; m_axi_arready : in STD_LOGIC; m_axi_rid : in STD_LOGIC_VECTOR ( 11 downto 0 ); m_axi_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_rlast : in STD_LOGIC; m_axi_rvalid : in STD_LOGIC; m_axi_rready : out STD_LOGIC ); attribute NotValidForBitStream : boolean; attribute NotValidForBitStream of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is true; attribute CHECK_LICENSE_TYPE : string; attribute CHECK_LICENSE_TYPE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "zynq_design_1_auto_pc_1,axi_protocol_converter_v2_1_13_axi_protocol_converter,{}"; attribute DowngradeIPIdentifiedWarnings : string; attribute DowngradeIPIdentifiedWarnings of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "yes"; attribute X_CORE_INFO : string; attribute X_CORE_INFO of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix : entity is "axi_protocol_converter_v2_1_13_axi_protocol_converter,Vivado 2017.2"; end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix; architecture STRUCTURE of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is signal NLW_inst_m_axi_aruser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_m_axi_awuser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_m_axi_wid_UNCONNECTED : STD_LOGIC_VECTOR ( 11 downto 0 ); signal NLW_inst_m_axi_wuser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_s_axi_buser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_s_axi_ruser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); attribute C_AXI_ADDR_WIDTH : integer; attribute C_AXI_ADDR_WIDTH of inst : label is 32; attribute C_AXI_ARUSER_WIDTH : integer; attribute C_AXI_ARUSER_WIDTH of inst : label is 1; attribute C_AXI_AWUSER_WIDTH : integer; attribute C_AXI_AWUSER_WIDTH of inst : label is 1; attribute C_AXI_BUSER_WIDTH : integer; attribute C_AXI_BUSER_WIDTH of inst : label is 1; attribute C_AXI_DATA_WIDTH : integer; attribute C_AXI_DATA_WIDTH of inst : label is 32; attribute C_AXI_ID_WIDTH : integer; attribute C_AXI_ID_WIDTH of inst : label is 12; attribute C_AXI_RUSER_WIDTH : integer; attribute C_AXI_RUSER_WIDTH of inst : label is 1; attribute C_AXI_SUPPORTS_READ : integer; attribute C_AXI_SUPPORTS_READ of inst : label is 1; attribute C_AXI_SUPPORTS_USER_SIGNALS : integer; attribute C_AXI_SUPPORTS_USER_SIGNALS of inst : label is 0; attribute C_AXI_SUPPORTS_WRITE : integer; attribute C_AXI_SUPPORTS_WRITE of inst : label is 1; attribute C_AXI_WUSER_WIDTH : integer; attribute C_AXI_WUSER_WIDTH of inst : label is 1; attribute C_FAMILY : string; attribute C_FAMILY of inst : label is "zynq"; attribute C_IGNORE_ID : integer; attribute C_IGNORE_ID of inst : label is 0; attribute C_M_AXI_PROTOCOL : integer; attribute C_M_AXI_PROTOCOL of inst : label is 0; attribute C_S_AXI_PROTOCOL : integer; attribute C_S_AXI_PROTOCOL of inst : label is 1; attribute C_TRANSLATION_MODE : integer; attribute C_TRANSLATION_MODE of inst : label is 2; attribute DowngradeIPIdentifiedWarnings of inst : label is "yes"; attribute P_AXI3 : integer; attribute P_AXI3 of inst : label is 1; attribute P_AXI4 : integer; attribute P_AXI4 of inst : label is 0; attribute P_AXILITE : integer; attribute P_AXILITE of inst : label is 2; attribute P_AXILITE_SIZE : string; attribute P_AXILITE_SIZE of inst : label is "3'b010"; attribute P_CONVERSION : integer; attribute P_CONVERSION of inst : label is 2; attribute P_DECERR : string; attribute P_DECERR of inst : label is "2'b11"; attribute P_INCR : string; attribute P_INCR of inst : label is "2'b01"; attribute P_PROTECTION : integer; attribute P_PROTECTION of inst : label is 1; attribute P_SLVERR : string; attribute P_SLVERR of inst : label is "2'b10"; begin inst: entity work.decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_axi_protocol_converter_v2_1_13_axi_protocol_converter port map ( aclk => aclk, aresetn => aresetn, m_axi_araddr(31 downto 0) => m_axi_araddr(31 downto 0), m_axi_arburst(1 downto 0) => m_axi_arburst(1 downto 0), m_axi_arcache(3 downto 0) => m_axi_arcache(3 downto 0), m_axi_arid(11 downto 0) => m_axi_arid(11 downto 0), m_axi_arlen(7 downto 0) => m_axi_arlen(7 downto 0), m_axi_arlock(0) => m_axi_arlock(0), m_axi_arprot(2 downto 0) => m_axi_arprot(2 downto 0), m_axi_arqos(3 downto 0) => m_axi_arqos(3 downto 0), m_axi_arready => m_axi_arready, m_axi_arregion(3 downto 0) => m_axi_arregion(3 downto 0), m_axi_arsize(2 downto 0) => m_axi_arsize(2 downto 0), m_axi_aruser(0) => NLW_inst_m_axi_aruser_UNCONNECTED(0), m_axi_arvalid => m_axi_arvalid, m_axi_awaddr(31 downto 0) => m_axi_awaddr(31 downto 0), m_axi_awburst(1 downto 0) => m_axi_awburst(1 downto 0), m_axi_awcache(3 downto 0) => m_axi_awcache(3 downto 0), m_axi_awid(11 downto 0) => m_axi_awid(11 downto 0), m_axi_awlen(7 downto 0) => m_axi_awlen(7 downto 0), m_axi_awlock(0) => m_axi_awlock(0), m_axi_awprot(2 downto 0) => m_axi_awprot(2 downto 0), m_axi_awqos(3 downto 0) => m_axi_awqos(3 downto 0), m_axi_awready => m_axi_awready, m_axi_awregion(3 downto 0) => m_axi_awregion(3 downto 0), m_axi_awsize(2 downto 0) => m_axi_awsize(2 downto 0), m_axi_awuser(0) => NLW_inst_m_axi_awuser_UNCONNECTED(0), m_axi_awvalid => m_axi_awvalid, m_axi_bid(11 downto 0) => m_axi_bid(11 downto 0), m_axi_bready => m_axi_bready, m_axi_bresp(1 downto 0) => m_axi_bresp(1 downto 0), m_axi_buser(0) => '0', m_axi_bvalid => m_axi_bvalid, m_axi_rdata(31 downto 0) => m_axi_rdata(31 downto 0), m_axi_rid(11 downto 0) => m_axi_rid(11 downto 0), m_axi_rlast => m_axi_rlast, m_axi_rready => m_axi_rready, m_axi_rresp(1 downto 0) => m_axi_rresp(1 downto 0), m_axi_ruser(0) => '0', m_axi_rvalid => m_axi_rvalid, m_axi_wdata(31 downto 0) => m_axi_wdata(31 downto 0), m_axi_wid(11 downto 0) => NLW_inst_m_axi_wid_UNCONNECTED(11 downto 0), m_axi_wlast => m_axi_wlast, m_axi_wready => m_axi_wready, m_axi_wstrb(3 downto 0) => m_axi_wstrb(3 downto 0), m_axi_wuser(0) => NLW_inst_m_axi_wuser_UNCONNECTED(0), m_axi_wvalid => m_axi_wvalid, s_axi_araddr(31 downto 0) => s_axi_araddr(31 downto 0), s_axi_arburst(1 downto 0) => s_axi_arburst(1 downto 0), s_axi_arcache(3 downto 0) => s_axi_arcache(3 downto 0), s_axi_arid(11 downto 0) => s_axi_arid(11 downto 0), s_axi_arlen(3 downto 0) => s_axi_arlen(3 downto 0), s_axi_arlock(1 downto 0) => s_axi_arlock(1 downto 0), s_axi_arprot(2 downto 0) => s_axi_arprot(2 downto 0), s_axi_arqos(3 downto 0) => s_axi_arqos(3 downto 0), s_axi_arready => s_axi_arready, s_axi_arregion(3 downto 0) => B"0000", s_axi_arsize(2 downto 0) => s_axi_arsize(2 downto 0), s_axi_aruser(0) => '0', s_axi_arvalid => s_axi_arvalid, s_axi_awaddr(31 downto 0) => s_axi_awaddr(31 downto 0), s_axi_awburst(1 downto 0) => s_axi_awburst(1 downto 0), s_axi_awcache(3 downto 0) => s_axi_awcache(3 downto 0), s_axi_awid(11 downto 0) => s_axi_awid(11 downto 0), s_axi_awlen(3 downto 0) => s_axi_awlen(3 downto 0), s_axi_awlock(1 downto 0) => s_axi_awlock(1 downto 0), s_axi_awprot(2 downto 0) => s_axi_awprot(2 downto 0), s_axi_awqos(3 downto 0) => s_axi_awqos(3 downto 0), s_axi_awready => s_axi_awready, s_axi_awregion(3 downto 0) => B"0000", s_axi_awsize(2 downto 0) => s_axi_awsize(2 downto 0), s_axi_awuser(0) => '0', s_axi_awvalid => s_axi_awvalid, s_axi_bid(11 downto 0) => s_axi_bid(11 downto 0), s_axi_bready => s_axi_bready, s_axi_bresp(1 downto 0) => s_axi_bresp(1 downto 0), s_axi_buser(0) => NLW_inst_s_axi_buser_UNCONNECTED(0), s_axi_bvalid => s_axi_bvalid, s_axi_rdata(31 downto 0) => s_axi_rdata(31 downto 0), s_axi_rid(11 downto 0) => s_axi_rid(11 downto 0), s_axi_rlast => s_axi_rlast, s_axi_rready => s_axi_rready, s_axi_rresp(1 downto 0) => s_axi_rresp(1 downto 0), s_axi_ruser(0) => NLW_inst_s_axi_ruser_UNCONNECTED(0), s_axi_rvalid => s_axi_rvalid, s_axi_wdata(31 downto 0) => s_axi_wdata(31 downto 0), s_axi_wid(11 downto 0) => s_axi_wid(11 downto 0), s_axi_wlast => s_axi_wlast, s_axi_wready => s_axi_wready, s_axi_wstrb(3 downto 0) => s_axi_wstrb(3 downto 0), s_axi_wuser(0) => '0', s_axi_wvalid => s_axi_wvalid ); end STRUCTURE;
-- ------------------------------------------------------------- -- -- File Name: hdlsrc/ifft_16_bit/Complex3Multiply_block.vhd -- Created: 2017-03-28 01:00:37 -- -- Generated by MATLAB 9.1 and HDL Coder 3.9 -- -- ------------------------------------------------------------- -- ------------------------------------------------------------- -- -- Module: Complex3Multiply_block -- Source Path: ifft_16_bit/IFFT HDL Optimized/TWDLMULT_SDNF1_3/Complex3Multiply -- Hierarchy Level: 3 -- -- ------------------------------------------------------------- LIBRARY IEEE; USE IEEE.std_logic_1164.ALL; USE IEEE.numeric_std.ALL; ENTITY Complex3Multiply_block IS PORT( clk : IN std_logic; reset : IN std_logic; enb : IN std_logic; din1_re_dly3 : IN std_logic_vector(16 DOWNTO 0); -- sfix17 din1_im_dly3 : IN std_logic_vector(16 DOWNTO 0); -- sfix17 din1_vld_dly3 : IN std_logic; twdl_3_3_re : IN std_logic_vector(16 DOWNTO 0); -- sfix17_En15 twdl_3_3_im : IN std_logic_vector(16 DOWNTO 0); -- sfix17_En15 softReset : IN std_logic; twdlXdin_3_re : OUT std_logic_vector(16 DOWNTO 0); -- sfix17 twdlXdin_3_im : OUT std_logic_vector(16 DOWNTO 0); -- sfix17 twdlXdin1_vld : OUT std_logic ); END Complex3Multiply_block; ARCHITECTURE rtl OF Complex3Multiply_block IS -- Signals SIGNAL din1_re_dly3_signed : signed(16 DOWNTO 0); -- sfix17 SIGNAL din_re_reg : signed(16 DOWNTO 0); -- sfix17 SIGNAL din1_im_dly3_signed : signed(16 DOWNTO 0); -- sfix17 SIGNAL din_im_reg : signed(16 DOWNTO 0); -- sfix17 SIGNAL din_sum : signed(17 DOWNTO 0); -- sfix18 SIGNAL twdl_3_3_re_signed : signed(16 DOWNTO 0); -- sfix17_En15 SIGNAL twdl_re_reg : signed(16 DOWNTO 0); -- sfix17_En15 SIGNAL twdl_3_3_im_signed : signed(16 DOWNTO 0); -- sfix17_En15 SIGNAL twdl_im_reg : signed(16 DOWNTO 0); -- sfix17_En15 SIGNAL adder_add_cast : signed(17 DOWNTO 0); -- sfix18_En15 SIGNAL adder_add_cast_1 : signed(17 DOWNTO 0); -- sfix18_En15 SIGNAL twdl_sum : signed(17 DOWNTO 0); -- sfix18_En15 SIGNAL Complex3Multiply_din1_re_pipe1 : signed(16 DOWNTO 0); -- sfix17 SIGNAL Complex3Multiply_din1_im_pipe1 : signed(16 DOWNTO 0); -- sfix17 SIGNAL Complex3Multiply_din1_sum_pipe1 : signed(17 DOWNTO 0); -- sfix18 SIGNAL Complex3Multiply_prodOfRe_pipe1 : signed(33 DOWNTO 0); -- sfix34 SIGNAL Complex3Multiply_ProdOfIm_pipe1 : signed(33 DOWNTO 0); -- sfix34 SIGNAL Complex3Multiply_prodOfSum_pipe1 : signed(35 DOWNTO 0); -- sfix36 SIGNAL Complex3Multiply_twiddle_re_pipe1 : signed(16 DOWNTO 0); -- sfix17 SIGNAL Complex3Multiply_twiddle_im_pipe1 : signed(16 DOWNTO 0); -- sfix17 SIGNAL Complex3Multiply_twiddle_sum_pipe1 : signed(17 DOWNTO 0); -- sfix18 SIGNAL prodOfRe : signed(33 DOWNTO 0); -- sfix34_En15 SIGNAL prodOfIm : signed(33 DOWNTO 0); -- sfix34_En15 SIGNAL prodOfSum : signed(35 DOWNTO 0); -- sfix36_En15 SIGNAL din_vld_dly1 : std_logic; SIGNAL din_vld_dly2 : std_logic; SIGNAL din_vld_dly3 : std_logic; SIGNAL prod_vld : std_logic; SIGNAL Complex3Add_tmpResult_reg : signed(35 DOWNTO 0); -- sfix36 SIGNAL Complex3Add_multRes_re_reg1 : signed(34 DOWNTO 0); -- sfix35 SIGNAL Complex3Add_multRes_re_reg2 : signed(34 DOWNTO 0); -- sfix35 SIGNAL Complex3Add_multRes_im_reg : signed(36 DOWNTO 0); -- sfix37 SIGNAL Complex3Add_prod_vld_reg1 : std_logic; SIGNAL Complex3Add_prod_vld_reg2 : std_logic; SIGNAL Complex3Add_prodOfSum_reg : signed(35 DOWNTO 0); -- sfix36 SIGNAL Complex3Add_tmpResult_reg_next : signed(35 DOWNTO 0); -- sfix36_En15 SIGNAL Complex3Add_multRes_re_reg1_next : signed(34 DOWNTO 0); -- sfix35_En15 SIGNAL Complex3Add_multRes_re_reg2_next : signed(34 DOWNTO 0); -- sfix35_En15 SIGNAL Complex3Add_multRes_im_reg_next : signed(36 DOWNTO 0); -- sfix37_En15 SIGNAL Complex3Add_prod_vld_reg1_next : std_logic; SIGNAL Complex3Add_prod_vld_reg2_next : std_logic; SIGNAL Complex3Add_prodOfSum_reg_next : signed(35 DOWNTO 0); -- sfix36_En15 SIGNAL multResFP_re : signed(34 DOWNTO 0); -- sfix35_En15 SIGNAL multResFP_im : signed(36 DOWNTO 0); -- sfix37_En15 SIGNAL twdlXdin_3_re_tmp : signed(16 DOWNTO 0); -- sfix17 SIGNAL twdlXdin_3_im_tmp : signed(16 DOWNTO 0); -- sfix17 BEGIN din1_re_dly3_signed <= signed(din1_re_dly3); intdelay_process : PROCESS (clk, reset) BEGIN IF reset = '1' THEN din_re_reg <= to_signed(16#00000#, 17); ELSIF clk'EVENT AND clk = '1' THEN IF enb = '1' THEN IF softReset = '1' THEN din_re_reg <= to_signed(16#00000#, 17); ELSE din_re_reg <= din1_re_dly3_signed; END IF; END IF; END IF; END PROCESS intdelay_process; din1_im_dly3_signed <= signed(din1_im_dly3); intdelay_1_process : PROCESS (clk, reset) BEGIN IF reset = '1' THEN din_im_reg <= to_signed(16#00000#, 17); ELSIF clk'EVENT AND clk = '1' THEN IF enb = '1' THEN IF softReset = '1' THEN din_im_reg <= to_signed(16#00000#, 17); ELSE din_im_reg <= din1_im_dly3_signed; END IF; END IF; END IF; END PROCESS intdelay_1_process; din_sum <= resize(din_re_reg, 18) + resize(din_im_reg, 18); twdl_3_3_re_signed <= signed(twdl_3_3_re); intdelay_2_process : PROCESS (clk, reset) BEGIN IF reset = '1' THEN twdl_re_reg <= to_signed(16#00000#, 17); ELSIF clk'EVENT AND clk = '1' THEN IF enb = '1' THEN IF softReset = '1' THEN twdl_re_reg <= to_signed(16#00000#, 17); ELSE twdl_re_reg <= twdl_3_3_re_signed; END IF; END IF; END IF; END PROCESS intdelay_2_process; twdl_3_3_im_signed <= signed(twdl_3_3_im); intdelay_3_process : PROCESS (clk, reset) BEGIN IF reset = '1' THEN twdl_im_reg <= to_signed(16#00000#, 17); ELSIF clk'EVENT AND clk = '1' THEN IF enb = '1' THEN IF softReset = '1' THEN twdl_im_reg <= to_signed(16#00000#, 17); ELSE twdl_im_reg <= twdl_3_3_im_signed; END IF; END IF; END IF; END PROCESS intdelay_3_process; adder_add_cast <= resize(twdl_re_reg, 18); adder_add_cast_1 <= resize(twdl_im_reg, 18); twdl_sum <= adder_add_cast + adder_add_cast_1; -- Complex3Multiply Complex3Multiply_process : PROCESS (clk) BEGIN IF clk'EVENT AND clk = '1' THEN IF enb = '1' THEN prodOfRe <= Complex3Multiply_prodOfRe_pipe1; prodOfIm <= Complex3Multiply_ProdOfIm_pipe1; prodOfSum <= Complex3Multiply_prodOfSum_pipe1; Complex3Multiply_twiddle_re_pipe1 <= twdl_re_reg; Complex3Multiply_twiddle_im_pipe1 <= twdl_im_reg; Complex3Multiply_twiddle_sum_pipe1 <= twdl_sum; Complex3Multiply_din1_re_pipe1 <= din_re_reg; Complex3Multiply_din1_im_pipe1 <= din_im_reg; Complex3Multiply_din1_sum_pipe1 <= din_sum; Complex3Multiply_prodOfRe_pipe1 <= Complex3Multiply_din1_re_pipe1 * Complex3Multiply_twiddle_re_pipe1; Complex3Multiply_ProdOfIm_pipe1 <= Complex3Multiply_din1_im_pipe1 * Complex3Multiply_twiddle_im_pipe1; Complex3Multiply_prodOfSum_pipe1 <= Complex3Multiply_din1_sum_pipe1 * Complex3Multiply_twiddle_sum_pipe1; END IF; END IF; END PROCESS Complex3Multiply_process; intdelay_4_process : PROCESS (clk, reset) BEGIN IF reset = '1' THEN din_vld_dly1 <= '0'; ELSIF clk'EVENT AND clk = '1' THEN IF enb = '1' THEN din_vld_dly1 <= din1_vld_dly3; END IF; END IF; END PROCESS intdelay_4_process; intdelay_5_process : PROCESS (clk, reset) BEGIN IF reset = '1' THEN din_vld_dly2 <= '0'; ELSIF clk'EVENT AND clk = '1' THEN IF enb = '1' THEN din_vld_dly2 <= din_vld_dly1; END IF; END IF; END PROCESS intdelay_5_process; intdelay_6_process : PROCESS (clk, reset) BEGIN IF reset = '1' THEN din_vld_dly3 <= '0'; ELSIF clk'EVENT AND clk = '1' THEN IF enb = '1' THEN din_vld_dly3 <= din_vld_dly2; END IF; END IF; END PROCESS intdelay_6_process; intdelay_7_process : PROCESS (clk, reset) BEGIN IF reset = '1' THEN prod_vld <= '0'; ELSIF clk'EVENT AND clk = '1' THEN IF enb = '1' THEN prod_vld <= din_vld_dly3; END IF; END IF; END PROCESS intdelay_7_process; -- Complex3Add Complex3Add_process : PROCESS (clk, reset) BEGIN IF reset = '1' THEN Complex3Add_prodOfSum_reg <= to_signed(0, 36); Complex3Add_tmpResult_reg <= to_signed(0, 36); Complex3Add_multRes_re_reg1 <= to_signed(0, 35); Complex3Add_multRes_re_reg2 <= to_signed(0, 35); Complex3Add_multRes_im_reg <= to_signed(0, 37); Complex3Add_prod_vld_reg1 <= '0'; Complex3Add_prod_vld_reg2 <= '0'; ELSIF clk'EVENT AND clk = '1' THEN IF enb = '1' THEN Complex3Add_tmpResult_reg <= Complex3Add_tmpResult_reg_next; Complex3Add_multRes_re_reg1 <= Complex3Add_multRes_re_reg1_next; Complex3Add_multRes_re_reg2 <= Complex3Add_multRes_re_reg2_next; Complex3Add_multRes_im_reg <= Complex3Add_multRes_im_reg_next; Complex3Add_prod_vld_reg1 <= Complex3Add_prod_vld_reg1_next; Complex3Add_prod_vld_reg2 <= Complex3Add_prod_vld_reg2_next; Complex3Add_prodOfSum_reg <= Complex3Add_prodOfSum_reg_next; END IF; END IF; END PROCESS Complex3Add_process; Complex3Add_output : PROCESS (Complex3Add_tmpResult_reg, Complex3Add_multRes_re_reg1, Complex3Add_multRes_re_reg2, Complex3Add_multRes_im_reg, Complex3Add_prod_vld_reg1, Complex3Add_prod_vld_reg2, Complex3Add_prodOfSum_reg, prodOfRe, prodOfIm, prodOfSum, prod_vld) VARIABLE sub_cast : signed(34 DOWNTO 0); VARIABLE sub_cast_0 : signed(34 DOWNTO 0); VARIABLE sub_cast_1 : signed(36 DOWNTO 0); VARIABLE sub_cast_2 : signed(36 DOWNTO 0); VARIABLE add_cast : signed(34 DOWNTO 0); VARIABLE add_cast_0 : signed(34 DOWNTO 0); VARIABLE add_temp : signed(34 DOWNTO 0); BEGIN Complex3Add_tmpResult_reg_next <= Complex3Add_tmpResult_reg; Complex3Add_multRes_re_reg1_next <= Complex3Add_multRes_re_reg1; Complex3Add_prodOfSum_reg_next <= Complex3Add_prodOfSum_reg; Complex3Add_multRes_re_reg2_next <= Complex3Add_multRes_re_reg1; IF prod_vld = '1' THEN sub_cast := resize(prodOfRe, 35); sub_cast_0 := resize(prodOfIm, 35); Complex3Add_multRes_re_reg1_next <= sub_cast - sub_cast_0; END IF; sub_cast_1 := resize(Complex3Add_prodOfSum_reg, 37); sub_cast_2 := resize(Complex3Add_tmpResult_reg, 37); Complex3Add_multRes_im_reg_next <= sub_cast_1 - sub_cast_2; IF prod_vld = '1' THEN add_cast := resize(prodOfRe, 35); add_cast_0 := resize(prodOfIm, 35); add_temp := add_cast + add_cast_0; Complex3Add_tmpResult_reg_next <= resize(add_temp, 36); END IF; IF prod_vld = '1' THEN Complex3Add_prodOfSum_reg_next <= prodOfSum; END IF; Complex3Add_prod_vld_reg2_next <= Complex3Add_prod_vld_reg1; Complex3Add_prod_vld_reg1_next <= prod_vld; multResFP_re <= Complex3Add_multRes_re_reg2; multResFP_im <= Complex3Add_multRes_im_reg; twdlXdin1_vld <= Complex3Add_prod_vld_reg2; END PROCESS Complex3Add_output; twdlXdin_3_re_tmp <= multResFP_re(31 DOWNTO 15); twdlXdin_3_re <= std_logic_vector(twdlXdin_3_re_tmp); twdlXdin_3_im_tmp <= multResFP_im(31 DOWNTO 15); twdlXdin_3_im <= std_logic_vector(twdlXdin_3_im_tmp); END rtl;
--================================================================================================================================ -- Copyright 2020 Bitvis -- Licensed under the Apache License, Version 2.0 (the "License"); you may not use this file except in compliance with the License. -- You may obtain a copy of the License at http://www.apache.org/licenses/LICENSE-2.0 and in the provided LICENSE.TXT. -- -- Unless required by applicable law or agreed to in writing, software distributed under the License is distributed on -- an "AS IS" BASIS, WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and limitations under the License. --================================================================================================================================ -- Note : Any functionality not explicitly described in the documentation is subject to change at any time ---------------------------------------------------------------------------------------------------------------------------------- ------------------------------------------------------------------------------------------ -- Description : See library quick reference (under 'doc') and README-file(s) ------------------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library uvvm_util; context uvvm_util.uvvm_util_context; library uvvm_vvc_framework; use uvvm_vvc_framework.ti_vvc_framework_support_pkg.all; library work; use work.transaction_pkg.all; use work.axi_bfm_pkg.all; --================================================================================================= --================================================================================================= --================================================================================================= package vvc_cmd_pkg is alias t_operation is work.transaction_pkg.t_operation; --=============================================================================================== -- t_vvc_cmd_record -- - Record type used for communication with the VVC --=============================================================================================== type t_vvc_cmd_record is record -- Common UVVM fields (Used by td_vvc_framework_common_methods_pkg procedures, and thus mandatory) operation : t_operation; proc_call : string(1 to C_VVC_CMD_STRING_MAX_LENGTH); msg : string(1 to C_VVC_CMD_STRING_MAX_LENGTH); data_routing : t_data_routing; cmd_idx : natural; command_type : t_immediate_or_queued; -- QUEUED/IMMEDIATE msg_id : t_msg_id; gen_integer_array : t_integer_array(0 to 1); -- Increase array length if needed gen_boolean : boolean; -- Generic boolean timeout : time; alert_level : t_alert_level; delay : time; quietness : t_quietness; parent_msg_id_panel : t_msg_id_panel; -- VVC dedicated fields aid : std_logic_vector(C_VVC_CMD_ID_MAX_LENGTH-1 downto 0); id : std_logic_vector(C_VVC_CMD_ID_MAX_LENGTH-1 downto 0); addr : unsigned(C_VVC_CMD_ADDR_MAX_LENGTH-1 downto 0); -- Max width may be increased if required len : unsigned(7 downto 0); size : integer range 1 to 128; burst : t_axburst; lock : t_axlock; cache : std_logic_vector(3 downto 0); prot : t_axprot; qos : std_logic_vector(3 downto 0); region : std_logic_vector(3 downto 0); resp : t_xresp; auser : std_logic_vector(C_VVC_CMD_USER_MAX_LENGTH-1 downto 0); user : std_logic_vector(C_VVC_CMD_USER_MAX_LENGTH-1 downto 0); user_array : t_slv_array(0 to C_VVC_CMD_MAX_BURST_WORDS-1)(C_VVC_CMD_USER_MAX_LENGTH-1 downto 0); data_array : t_slv_array(0 to C_VVC_CMD_MAX_BURST_WORDS-1)(C_VVC_CMD_DATA_MAX_LENGTH-1 downto 0); resp_array : t_xresp_array(0 to C_VVC_CMD_MAX_BURST_WORDS-1); strb_array : t_slv_array(0 to C_VVC_CMD_MAX_BURST_WORDS-1)(C_VVC_CMD_BYTE_ENABLE_MAX_LENGTH-1 downto 0); end record; constant C_VVC_CMD_DEFAULT : t_vvc_cmd_record := ( operation => NO_OPERATION, -- Default unless overwritten by a common operation proc_call => (others => NUL), msg => (others => NUL), data_routing => NA, cmd_idx => 0, command_type => NO_command_type, msg_id => NO_ID, gen_integer_array => (others => -1), gen_boolean => false, timeout => 0 ns, alert_level => failure, delay => 0 ns, quietness => NON_QUIET, parent_msg_id_panel => C_UNUSED_MSG_ID_PANEL, -- VVC dedicated fields aid => (others => '0'), id => (others => '0'), addr => (others => '0'), len => (others => '0'), size => 1, burst => INCR, lock => NORMAL, cache => (others => '0'), prot => UNPRIVILEGED_NONSECURE_DATA, qos => (others => '0'), region => (others => '0'), resp => OKAY, auser => (others => '0'), user => (others => '0'), user_array => (others=>(others=>'0')), data_array => (others=>(others=>'0')), resp_array => (others=>OKAY), strb_array => (others=>(others=>'1')) ); --=============================================================================================== -- shared_vvc_cmd -- - Shared variable used for transmitting VVC commands --=============================================================================================== shared variable shared_vvc_cmd : t_vvc_cmd_record := C_VVC_CMD_DEFAULT; --=============================================================================================== -- t_vvc_result, t_vvc_result_queue_element, t_vvc_response and shared_vvc_response : -- -- - Used for storing the result of a BFM procedure called by the VVC, -- so that the result can be transported from the VVC to for example a sequencer via -- fetch_result() as described in VVC_Framework_common_methods_QuickRef -- -- - t_vvc_result includes the return value of the procedure in the BFM. -- It can also be defined as a record if multiple values shall be transported from the BFM --=============================================================================================== type t_vvc_result is record len : natural range 0 to 255; -- Actual length = len+1 (Same interpretation as axlen) rid : std_logic_vector(C_VVC_CMD_ID_MAX_LENGTH-1 downto 0); rdata : t_slv_array(0 to C_VVC_CMD_MAX_BURST_WORDS-1)(C_VVC_CMD_DATA_MAX_LENGTH-1 downto 0); rresp : t_xresp_array(0 to C_VVC_CMD_MAX_BURST_WORDS-1); ruser : t_slv_array(0 to C_VVC_CMD_MAX_BURST_WORDS-1)(C_VVC_CMD_USER_MAX_LENGTH-1 downto 0); end record; constant C_EMPTY_VVC_RESULT : t_vvc_result := ( len => 0, rid => (others=>'0'), rdata => (others=>(others=>'0')), rresp => (others=>OKAY), ruser => (others=>(others=>'0'))); type t_vvc_result_queue_element is record cmd_idx : natural; -- from UVVM handshake mechanism result : t_vvc_result; end record; type t_vvc_response is record fetch_is_accepted : boolean; transaction_result : t_transaction_result; result : t_vvc_result; end record; shared variable shared_vvc_response : t_vvc_response; --=============================================================================================== -- t_last_received_cmd_idx : -- - Used to store the last queued cmd in vvc interpreter. --=============================================================================================== type t_last_received_cmd_idx is array (t_channel range <>,natural range <>) of integer; --=============================================================================================== -- shared_vvc_last_received_cmd_idx -- - Shared variable used to get last queued index from vvc to sequencer --=============================================================================================== shared variable shared_vvc_last_received_cmd_idx : t_last_received_cmd_idx(t_channel'left to t_channel'right, 0 to C_MAX_VVC_INSTANCE_NUM-1) := (others => (others => -1)); --========================================================================================== -- Procedures --========================================================================================== function to_string( result : t_vvc_result ) return string; end package vvc_cmd_pkg; package body vvc_cmd_pkg is -- Custom to_string overload needed when result is of a record type function to_string( result : t_vvc_result ) return string is begin return to_string(result.rdata'length) & " Symbols"; end; end package body vvc_cmd_pkg;
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2016.4 (win64) Build 1733598 Wed Dec 14 22:35:39 MST 2016 -- Date : Sun Apr 09 08:38:15 2017 -- Host : GILAMONSTER running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode funcsim -rename_top system_zed_vga_0_0 -prefix -- system_zed_vga_0_0_ system_zed_vga_0_0_sim_netlist.vhdl -- Design : system_zed_vga_0_0 -- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or -- synthesized. This netlist cannot be used for SDF annotated simulation. -- Device : xc7z020clg484-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_zed_vga_0_0 is port ( rgb565 : in STD_LOGIC_VECTOR ( 15 downto 0 ); vga_r : out STD_LOGIC_VECTOR ( 3 downto 0 ); vga_g : out STD_LOGIC_VECTOR ( 3 downto 0 ); vga_b : out STD_LOGIC_VECTOR ( 3 downto 0 ) ); attribute NotValidForBitStream : boolean; attribute NotValidForBitStream of system_zed_vga_0_0 : entity is true; attribute CHECK_LICENSE_TYPE : string; attribute CHECK_LICENSE_TYPE of system_zed_vga_0_0 : entity is "system_zed_vga_0_0,zed_vga,{}"; attribute downgradeipidentifiedwarnings : string; attribute downgradeipidentifiedwarnings of system_zed_vga_0_0 : entity is "yes"; attribute x_core_info : string; attribute x_core_info of system_zed_vga_0_0 : entity is "zed_vga,Vivado 2016.4"; end system_zed_vga_0_0; architecture STRUCTURE of system_zed_vga_0_0 is signal \^rgb565\ : STD_LOGIC_VECTOR ( 15 downto 0 ); begin \^rgb565\(15 downto 12) <= rgb565(15 downto 12); \^rgb565\(10 downto 7) <= rgb565(10 downto 7); \^rgb565\(4 downto 1) <= rgb565(4 downto 1); vga_b(3 downto 0) <= \^rgb565\(4 downto 1); vga_g(3 downto 0) <= \^rgb565\(10 downto 7); vga_r(3 downto 0) <= \^rgb565\(15 downto 12); end STRUCTURE;
-- EMACS settings: -*- tab-width: 2; indent-tabs-mode: t -*- -- vim: tabstop=2:shiftwidth=2:noexpandtab -- kate: tab-width 2; replace-tabs off; indent-width 2; -- -- ============================================================================= -- Authors: Thomas B. Preusser -- Martin Zabel -- Patrick Lehmann -- -- Package: Project specific configuration. -- -- Description: -- ------------------------------------ -- This file was created from template <PoCRoot>/src/common/my_config.template.vhdl. -- -- -- License: -- ============================================================================= -- Copyright 2007-2015 Technische Universitaet Dresden - Germany, -- Chair for VLSI-Design, Diagnostics and Architecture -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. -- ============================================================================= library PoC; package my_config is -- Change these lines to setup configuration. constant MY_BOARD : string := "ML605"; -- ML605 - Xilinx Virtex 6 reference design board: XC6VLX240T constant MY_DEVICE : string := "None"; -- infer from MY_BOARD -- For internal use only constant MY_VERBOSE : boolean := FALSE; end package; package body my_config is end package body;
-- EMACS settings: -*- tab-width: 2; indent-tabs-mode: t -*- -- vim: tabstop=2:shiftwidth=2:noexpandtab -- kate: tab-width 2; replace-tabs off; indent-width 2; -- -- ============================================================================= -- Authors: Thomas B. Preusser -- Martin Zabel -- Patrick Lehmann -- -- Package: Project specific configuration. -- -- Description: -- ------------------------------------ -- This file was created from template <PoCRoot>/src/common/my_config.template.vhdl. -- -- -- License: -- ============================================================================= -- Copyright 2007-2015 Technische Universitaet Dresden - Germany, -- Chair for VLSI-Design, Diagnostics and Architecture -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. -- ============================================================================= library PoC; package my_config is -- Change these lines to setup configuration. constant MY_BOARD : string := "ML605"; -- ML605 - Xilinx Virtex 6 reference design board: XC6VLX240T constant MY_DEVICE : string := "None"; -- infer from MY_BOARD -- For internal use only constant MY_VERBOSE : boolean := FALSE; end package; package body my_config is end package body;
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 16:05:44 03/25/2016 -- Design Name: -- Module Name: REG_CTL - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity REG_CTL is Port ( CLK : in STD_LOGIC; OPC : in STD_LOGIC_VECTOR (3 downto 0); OPC4 : in STD_LOGIC_VECTOR (3 downto 0); RD_EN : out STD_LOGIC; WR_EN : out STD_LOGIC); end REG_CTL; architecture Dataflow of REG_CTL is begin with OPC select RD_EN <= '1' when "0000" | "0001" | "0010" | "0011" | "0100" | "0101" | "0110" | "0111" | "1000" | "1001" | "1010", '1' when OTHERS; -- always enable reading with OPC4 select WR_EN <= '1' when "0000" | "0001" | "0010" | "0011" | "0100" | "0101" | "0110" | "0111" | "1000" | "1001" | "1011", '0' when OTHERS; end Dataflow; --architecture Behavioral of REG_CTL is -- --begin -- process(CLK) -- begin -- if (rising_edge(CLK)) then -- case OPC is -- when "0000" => RD_EN <= '1'; -- when "0001" => RD_EN <= '1'; -- when "0010" => RD_EN <= '1'; -- when "0011" => RD_EN <= '1'; -- when "0100" => RD_EN <= '1'; -- when "0101" => RD_EN <= '1'; -- when "0110" => RD_EN <= '1'; -- when "0111" => RD_EN <= '1'; -- when "1000" => RD_EN <= '1'; -- when "1001" => RD_EN <= '1'; -- when others => RD_EN <= '0'; -- end case; -- end if; -- ---- if (OPC = "1001") then ---- RD_EN <= '0'; ---- else ---- RD_EN <= '1'; ---- end if; -- if (falling_edge(CLK)) then -- case OPC4 is -- when "0000" => WR_EN <= '1'; -- when "0001" => WR_EN <= '1'; -- when "0010" => WR_EN <= '1'; -- when "0011" => WR_EN <= '1'; -- when "0100" => WR_EN <= '1'; -- when "0101" => WR_EN <= '1'; -- when "0110" => WR_EN <= '1'; -- when "0111" => WR_EN <= '1'; -- when "1000" => WR_EN <= '1'; -- when "1010" => WR_EN <= '1'; -- when others => WR_EN <= '0'; -- end case; -- ---- if (OPC4 = "1010") then ---- WR_EN <= '0'; ---- else ---- WR_EN <= '1'; ---- end if; -- end if; -- end process; -- --end Behavioral; --
------------------------------------------------------------------------------- -- Copyright 2013-2014 Jonathon Pendlum -- -- This is free software: you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation, either version 3 of the License, or -- (at your option) any later version. -- -- This is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program. If not, see <http://www.gnu.org/licenses/>. -- -- -- File: synchronizer.vhd -- Author: Jonathon Pendlum ([email protected]) -- Description: Sychronizer to cross clock domains using two registers. If -- the signal is a strobe, the edge can be specified. ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity synchronizer is generic ( STROBE_EDGE : string := "N"; -- "R"ising, "F"alling, "B"oth, or "N"one. RESET_OUTPUT : std_logic := '0'); port ( clk : in std_logic; reset : in std_logic; async : in std_logic; -- Asynchronous input sync : out std_logic); -- Synchronized output end entity; architecture RTL of synchronizer is component edge_detect generic ( EDGE : string := "R"); -- "R"ising, "F"alling, "B"oth, or "N"one. port ( clk : in std_logic; reset : in std_logic; input_detect : in std_logic; -- Input data edge_detect_stb : out std_logic); -- Edge detected strobe end component; signal async_meta1 : std_logic; signal async_meta2 : std_logic; begin proc_synchronize : process(clk,reset) begin if (reset = '1') then async_meta1 <= RESET_OUTPUT; async_meta2 <= RESET_OUTPUT; else if rising_edge(clk) then async_meta1 <= async; async_meta2 <= async_meta1; end if; end if; end process; gen_if_use_edge_detect : if (STROBE_EDGE(STROBE_EDGE'left) /= 'N') generate inst_edge_detect : edge_detect generic map ( EDGE => STROBE_EDGE) port map ( clk => clk, reset => reset, input_detect => async_meta2, edge_detect_stb => sync); end generate; gen_if_no_edge_detect : if (STROBE_EDGE(STROBE_EDGE'left) = 'N') generate sync <= async_meta2; end generate; end RTL;
------------------------------------------------------------------------------- -- Copyright 2013-2014 Jonathon Pendlum -- -- This is free software: you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation, either version 3 of the License, or -- (at your option) any later version. -- -- This is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program. If not, see <http://www.gnu.org/licenses/>. -- -- -- File: synchronizer.vhd -- Author: Jonathon Pendlum ([email protected]) -- Description: Sychronizer to cross clock domains using two registers. If -- the signal is a strobe, the edge can be specified. ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity synchronizer is generic ( STROBE_EDGE : string := "N"; -- "R"ising, "F"alling, "B"oth, or "N"one. RESET_OUTPUT : std_logic := '0'); port ( clk : in std_logic; reset : in std_logic; async : in std_logic; -- Asynchronous input sync : out std_logic); -- Synchronized output end entity; architecture RTL of synchronizer is component edge_detect generic ( EDGE : string := "R"); -- "R"ising, "F"alling, "B"oth, or "N"one. port ( clk : in std_logic; reset : in std_logic; input_detect : in std_logic; -- Input data edge_detect_stb : out std_logic); -- Edge detected strobe end component; signal async_meta1 : std_logic; signal async_meta2 : std_logic; begin proc_synchronize : process(clk,reset) begin if (reset = '1') then async_meta1 <= RESET_OUTPUT; async_meta2 <= RESET_OUTPUT; else if rising_edge(clk) then async_meta1 <= async; async_meta2 <= async_meta1; end if; end if; end process; gen_if_use_edge_detect : if (STROBE_EDGE(STROBE_EDGE'left) /= 'N') generate inst_edge_detect : edge_detect generic map ( EDGE => STROBE_EDGE) port map ( clk => clk, reset => reset, input_detect => async_meta2, edge_detect_stb => sync); end generate; gen_if_no_edge_detect : if (STROBE_EDGE(STROBE_EDGE'left) = 'N') generate sync <= async_meta2; end generate; end RTL;
---------------------------------------------------------------------------------- -- -- Copyright (C) 2013 Stephen Robinson -- -- This file is part of HDMI-Light -- -- HDMI-Light is free software: you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation, either version 2 of the License, or -- (at your option) any later version. -- -- HDMI-Light is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this code (see the file names COPING). -- If not, see <http://www.gnu.org/licenses/>. -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use ieee.numeric_std.all; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity lightAverager is port ( CLK : in std_logic; CE : in std_logic; START : in std_logic; YPOS : in std_logic_vector(5 downto 0); LINE_BUF_ADDR : out std_logic_vector(6 downto 0); LINE_BUF_DATA : in std_logic_vector(23 downto 0); CONFIG_ADDR : out std_logic_vector(8 downto 0); CONFIG_DATA : in std_logic_vector(31 downto 0); RESULT_CLK : in std_logic; RESULT_ADDR : in std_logic_vector(8 downto 0); RESULT_DATA : out std_logic_vector(31 downto 0) ); end lightAverager; architecture Behavioral of lightAverager is COMPONENT resultRam PORT ( clka : IN STD_LOGIC; ena : IN STD_LOGIC; wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0); addra : IN STD_LOGIC_VECTOR(8 DOWNTO 0); dina : IN STD_LOGIC_VECTOR(71 DOWNTO 0); douta : OUT STD_LOGIC_VECTOR(71 DOWNTO 0); clkb : IN STD_LOGIC; web : IN STD_LOGIC_VECTOR(0 DOWNTO 0); addrb : IN STD_LOGIC_VECTOR(8 DOWNTO 0); dinb : IN STD_LOGIC_VECTOR(71 DOWNTO 0); doutb : OUT STD_LOGIC_VECTOR(71 DOWNTO 0) ); END COMPONENT; -- count is overflow (1), xpos (6), light (8), read/write (1) = 16 bits signal COUNT : std_logic_vector(15 downto 0); signal FRAME : std_logic := '0'; signal RUNNING : std_logic; signal WRITE_CYCLE : std_logic; signal XPOS : std_logic_vector(5 downto 0); signal LIGHT_ADDR : std_logic_vector(7 downto 0); signal WRITE_ENABLE : std_logic; signal WRITE_ADDR : std_logic_vector(7 downto 0); signal WRITE_DATA : std_logic_vector(71 downto 0); signal RESULT_RAM_ADDR : std_logic_vector(8 downto 0); signal RESULT_RAM_WE : std_logic_vector(0 downto 0); signal RESULT_RAM_D : std_logic_vector(71 downto 0); signal RESULT_RAM_Q : std_logic_vector(71 downto 0); signal RESULT_RAM_B_ADDR : std_logic_vector(8 downto 0); signal RESULT_RAM_B_Q : std_logic_vector(71 downto 0); signal XMIN_p0 : std_logic_vector(5 downto 0); signal XMAX_p0 : std_logic_vector(5 downto 0); signal YMIN_p0 : std_logic_vector(5 downto 0); signal YMAX_p0 : std_logic_vector(5 downto 0); signal SHIFT_p0 : std_logic_vector(3 downto 0); signal OUT_ADDR_p0 : std_logic_vector(2 downto 0); signal R_TOTAL_p0 : std_logic_vector(20 downto 0); signal G_TOTAL_p0 : std_logic_vector(20 downto 0); signal B_TOTAL_p0 : std_logic_vector(20 downto 0); signal R_p0 : std_logic_vector(7 downto 0); signal G_p0 : std_logic_vector(7 downto 0); signal B_p0 : std_logic_vector(7 downto 0); signal WRITE_ADDR_p0 : std_logic_vector(7 downto 0); signal XPOS_p0 : std_logic_vector(5 downto 0); signal YPOS_p0 : std_logic_vector(5 downto 0); signal RUNNING_p0 : std_logic; signal SHIFT_p1 : std_logic_vector(3 downto 0); signal OUT_ADDR_p1 : std_logic_vector(2 downto 0); signal R_TOTAL_p1 : std_logic_vector(20 downto 0); signal G_TOTAL_p1 : std_logic_vector(20 downto 0); signal B_TOTAL_p1 : std_logic_vector(20 downto 0); signal WRITE_ADDR_p1 : std_logic_vector(7 downto 0); signal WRITE_ENABLE_p1 : std_logic; signal OUT_ADDR_p2 : std_logic_vector(2 downto 0); signal R_TOTAL_p2 : std_logic_vector(20 downto 0); signal G_TOTAL_p2 : std_logic_vector(20 downto 0); signal B_TOTAL_p2 : std_logic_vector(20 downto 0); signal WRITE_ADDR_p2 : std_logic_vector(7 downto 0); signal WRITE_ENABLE_p2 : std_logic; begin resultBuffer : resultRam PORT MAP ( clka => CLK, ena => CE, wea => RESULT_RAM_WE, addra => RESULT_RAM_ADDR, dina => RESULT_RAM_D, douta => RESULT_RAM_Q, clkb => RESULT_CLK, web => "0", addrb => RESULT_RAM_B_ADDR, dinb => (others=> '0'), doutb => RESULT_RAM_B_Q ); process(CLK) begin if(rising_edge(CLK)) then if(CE = '1') then if(START = '1') then COUNT <= (others => '0'); RUNNING <= '1'; if(YPOS = "000000") then FRAME <= not FRAME; end if; elsif(COUNT(15) = '1') then RUNNING <= '0'; else COUNT <= std_logic_vector(unsigned(COUNT) + 1); end if; end if; end if; end process; process(CLK) begin if(rising_edge(CLK)) then if(CE = '1') then -- read address is set when write_cycle = '0' -- so read data is available when write_cycle = '1' if(WRITE_CYCLE = '1') then XMIN_p0 <= CONFIG_DATA(5 downto 0); XMAX_p0 <= CONFIG_DATA(11 downto 6); YMIN_p0 <= CONFIG_DATA(17 downto 12); YMAX_p0 <= CONFIG_DATA(23 downto 18); SHIFT_p0 <= CONFIG_DATA(27 downto 24); OUT_ADDR_p0 <= CONFIG_DATA(30 downto 28); R_TOTAL_p0 <= RESULT_RAM_Q(20 downto 0); G_TOTAL_p0 <= RESULT_RAM_Q(41 downto 21); B_TOTAL_p0 <= RESULT_RAM_Q(62 downto 42); R_p0 <= LINE_BUF_DATA(23 downto 16); G_p0 <= LINE_BUF_DATA(15 downto 8); B_p0 <= LINE_BUF_DATA( 7 downto 0); WRITE_ADDR_p0 <= LIGHT_ADDR; XPOS_p0 <= XPOS; YPOS_p0 <= YPOS; RUNNING_p0 <= RUNNING; end if; end if; end if; end process; process(CLK) begin if(rising_edge(CLK)) then if(CE = '1') then if(RUNNING_p0 = '1' and unsigned(XPOS_p0) >= unsigned(XMIN_p0) and unsigned(XPOS_p0) <= unsigned(XMAX_p0) and unsigned(YPOS_p0) >= unsigned(YMIN_p0) and unsigned(YPOS_p0) <= unsigned(YMAX_p0)) then WRITE_ENABLE_p1 <= '1'; else WRITE_ENABLE_p1 <= '0'; end if; if(XPOS_p0 = XMIN_p0 and YPOS_p0 = YMIN_p0) then R_TOTAL_p1 <= "0000000000000" & R_p0; G_TOTAL_p1 <= "0000000000000" & G_p0; B_TOTAL_p1 <= "0000000000000" & B_p0; else R_TOTAL_p1 <= std_logic_vector(unsigned(R_TOTAL_p0) + unsigned(R_p0)); G_TOTAL_p1 <= std_logic_vector(unsigned(G_TOTAL_p0) + unsigned(G_p0)); B_TOTAL_p1 <= std_logic_vector(unsigned(B_TOTAL_p0) + unsigned(B_p0)); end if; if(XPOS_p0 = XMAX_p0 and YPOS_p0 = YMAX_p0) then SHIFT_p1 <= SHIFT_p0; else SHIFT_p1 <= (others => '0'); end if; WRITE_ADDR_p1 <= WRITE_ADDR_p0; OUT_ADDR_p1 <= OUT_ADDR_p0; end if; end if; end process; process(CLK) begin if(rising_edge(CLK)) then if(CE = '1') then R_TOTAL_p2 <= std_logic_vector(unsigned(R_TOTAL_p1) srl to_integer(unsigned(SHIFT_p1))); G_TOTAL_p2 <= std_logic_vector(unsigned(G_TOTAL_p1) srl to_integer(unsigned(SHIFT_p1))); B_TOTAL_p2 <= std_logic_vector(unsigned(B_TOTAL_p1) srl to_integer(unsigned(SHIFT_p1))); WRITE_ENABLE_p2 <= WRITE_ENABLE_p1; WRITE_ADDR_p2 <= WRITE_ADDR_p1; OUT_ADDR_p2 <= OUT_ADDR_p1; end if; end if; end process; WRITE_ENABLE <= '1' when WRITE_ENABLE_p2 = '1' and WRITE_CYCLE = '1' else '0'; WRITE_ADDR <= WRITE_ADDR_p2; WRITE_DATA(20 downto 0) <= R_TOTAL_p2; WRITE_DATA(41 downto 21) <= G_TOTAL_p2; WRITE_DATA(62 downto 42) <= B_TOTAL_p2; WRITE_DATA(65 downto 63) <= OUT_ADDR_p2; WRITE_DATA(71 downto 66) <= (others => '0'); WRITE_CYCLE <= COUNT(0); LIGHT_ADDR <= COUNT(8 downto 1); XPOS <= COUNT(14 downto 9); CONFIG_ADDR <= "0" & LIGHT_ADDR; LINE_BUF_ADDR <= YPOS(0) & XPOS; RESULT_RAM_ADDR <= (not FRAME) & LIGHT_ADDR when WRITE_CYCLE = '0' else (not FRAME) & WRITE_ADDR; RESULT_RAM_WE(0) <= '0' when WRITE_CYCLE = '0' else WRITE_ENABLE; RESULT_RAM_D <= WRITE_DATA; RESULT_RAM_B_ADDR <= FRAME & RESULT_ADDR(7 downto 0); RESULT_DATA( 7 downto 0) <= RESULT_RAM_B_Q( 7 downto 0); RESULT_DATA(15 downto 8) <= RESULT_RAM_B_Q(28 downto 21); RESULT_DATA(23 downto 16) <= RESULT_RAM_B_Q(49 downto 42); RESULT_DATA(26 downto 24) <= RESULT_RAM_B_Q(65 downto 63); RESULT_DATA(31 downto 27) <= (others => '0'); end Behavioral;
---------------------------------------------------------------------------------- -- -- Copyright (C) 2013 Stephen Robinson -- -- This file is part of HDMI-Light -- -- HDMI-Light is free software: you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation, either version 2 of the License, or -- (at your option) any later version. -- -- HDMI-Light is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this code (see the file names COPING). -- If not, see <http://www.gnu.org/licenses/>. -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use ieee.numeric_std.all; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity lightAverager is port ( CLK : in std_logic; CE : in std_logic; START : in std_logic; YPOS : in std_logic_vector(5 downto 0); LINE_BUF_ADDR : out std_logic_vector(6 downto 0); LINE_BUF_DATA : in std_logic_vector(23 downto 0); CONFIG_ADDR : out std_logic_vector(8 downto 0); CONFIG_DATA : in std_logic_vector(31 downto 0); RESULT_CLK : in std_logic; RESULT_ADDR : in std_logic_vector(8 downto 0); RESULT_DATA : out std_logic_vector(31 downto 0) ); end lightAverager; architecture Behavioral of lightAverager is COMPONENT resultRam PORT ( clka : IN STD_LOGIC; ena : IN STD_LOGIC; wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0); addra : IN STD_LOGIC_VECTOR(8 DOWNTO 0); dina : IN STD_LOGIC_VECTOR(71 DOWNTO 0); douta : OUT STD_LOGIC_VECTOR(71 DOWNTO 0); clkb : IN STD_LOGIC; web : IN STD_LOGIC_VECTOR(0 DOWNTO 0); addrb : IN STD_LOGIC_VECTOR(8 DOWNTO 0); dinb : IN STD_LOGIC_VECTOR(71 DOWNTO 0); doutb : OUT STD_LOGIC_VECTOR(71 DOWNTO 0) ); END COMPONENT; -- count is overflow (1), xpos (6), light (8), read/write (1) = 16 bits signal COUNT : std_logic_vector(15 downto 0); signal FRAME : std_logic := '0'; signal RUNNING : std_logic; signal WRITE_CYCLE : std_logic; signal XPOS : std_logic_vector(5 downto 0); signal LIGHT_ADDR : std_logic_vector(7 downto 0); signal WRITE_ENABLE : std_logic; signal WRITE_ADDR : std_logic_vector(7 downto 0); signal WRITE_DATA : std_logic_vector(71 downto 0); signal RESULT_RAM_ADDR : std_logic_vector(8 downto 0); signal RESULT_RAM_WE : std_logic_vector(0 downto 0); signal RESULT_RAM_D : std_logic_vector(71 downto 0); signal RESULT_RAM_Q : std_logic_vector(71 downto 0); signal RESULT_RAM_B_ADDR : std_logic_vector(8 downto 0); signal RESULT_RAM_B_Q : std_logic_vector(71 downto 0); signal XMIN_p0 : std_logic_vector(5 downto 0); signal XMAX_p0 : std_logic_vector(5 downto 0); signal YMIN_p0 : std_logic_vector(5 downto 0); signal YMAX_p0 : std_logic_vector(5 downto 0); signal SHIFT_p0 : std_logic_vector(3 downto 0); signal OUT_ADDR_p0 : std_logic_vector(2 downto 0); signal R_TOTAL_p0 : std_logic_vector(20 downto 0); signal G_TOTAL_p0 : std_logic_vector(20 downto 0); signal B_TOTAL_p0 : std_logic_vector(20 downto 0); signal R_p0 : std_logic_vector(7 downto 0); signal G_p0 : std_logic_vector(7 downto 0); signal B_p0 : std_logic_vector(7 downto 0); signal WRITE_ADDR_p0 : std_logic_vector(7 downto 0); signal XPOS_p0 : std_logic_vector(5 downto 0); signal YPOS_p0 : std_logic_vector(5 downto 0); signal RUNNING_p0 : std_logic; signal SHIFT_p1 : std_logic_vector(3 downto 0); signal OUT_ADDR_p1 : std_logic_vector(2 downto 0); signal R_TOTAL_p1 : std_logic_vector(20 downto 0); signal G_TOTAL_p1 : std_logic_vector(20 downto 0); signal B_TOTAL_p1 : std_logic_vector(20 downto 0); signal WRITE_ADDR_p1 : std_logic_vector(7 downto 0); signal WRITE_ENABLE_p1 : std_logic; signal OUT_ADDR_p2 : std_logic_vector(2 downto 0); signal R_TOTAL_p2 : std_logic_vector(20 downto 0); signal G_TOTAL_p2 : std_logic_vector(20 downto 0); signal B_TOTAL_p2 : std_logic_vector(20 downto 0); signal WRITE_ADDR_p2 : std_logic_vector(7 downto 0); signal WRITE_ENABLE_p2 : std_logic; begin resultBuffer : resultRam PORT MAP ( clka => CLK, ena => CE, wea => RESULT_RAM_WE, addra => RESULT_RAM_ADDR, dina => RESULT_RAM_D, douta => RESULT_RAM_Q, clkb => RESULT_CLK, web => "0", addrb => RESULT_RAM_B_ADDR, dinb => (others=> '0'), doutb => RESULT_RAM_B_Q ); process(CLK) begin if(rising_edge(CLK)) then if(CE = '1') then if(START = '1') then COUNT <= (others => '0'); RUNNING <= '1'; if(YPOS = "000000") then FRAME <= not FRAME; end if; elsif(COUNT(15) = '1') then RUNNING <= '0'; else COUNT <= std_logic_vector(unsigned(COUNT) + 1); end if; end if; end if; end process; process(CLK) begin if(rising_edge(CLK)) then if(CE = '1') then -- read address is set when write_cycle = '0' -- so read data is available when write_cycle = '1' if(WRITE_CYCLE = '1') then XMIN_p0 <= CONFIG_DATA(5 downto 0); XMAX_p0 <= CONFIG_DATA(11 downto 6); YMIN_p0 <= CONFIG_DATA(17 downto 12); YMAX_p0 <= CONFIG_DATA(23 downto 18); SHIFT_p0 <= CONFIG_DATA(27 downto 24); OUT_ADDR_p0 <= CONFIG_DATA(30 downto 28); R_TOTAL_p0 <= RESULT_RAM_Q(20 downto 0); G_TOTAL_p0 <= RESULT_RAM_Q(41 downto 21); B_TOTAL_p0 <= RESULT_RAM_Q(62 downto 42); R_p0 <= LINE_BUF_DATA(23 downto 16); G_p0 <= LINE_BUF_DATA(15 downto 8); B_p0 <= LINE_BUF_DATA( 7 downto 0); WRITE_ADDR_p0 <= LIGHT_ADDR; XPOS_p0 <= XPOS; YPOS_p0 <= YPOS; RUNNING_p0 <= RUNNING; end if; end if; end if; end process; process(CLK) begin if(rising_edge(CLK)) then if(CE = '1') then if(RUNNING_p0 = '1' and unsigned(XPOS_p0) >= unsigned(XMIN_p0) and unsigned(XPOS_p0) <= unsigned(XMAX_p0) and unsigned(YPOS_p0) >= unsigned(YMIN_p0) and unsigned(YPOS_p0) <= unsigned(YMAX_p0)) then WRITE_ENABLE_p1 <= '1'; else WRITE_ENABLE_p1 <= '0'; end if; if(XPOS_p0 = XMIN_p0 and YPOS_p0 = YMIN_p0) then R_TOTAL_p1 <= "0000000000000" & R_p0; G_TOTAL_p1 <= "0000000000000" & G_p0; B_TOTAL_p1 <= "0000000000000" & B_p0; else R_TOTAL_p1 <= std_logic_vector(unsigned(R_TOTAL_p0) + unsigned(R_p0)); G_TOTAL_p1 <= std_logic_vector(unsigned(G_TOTAL_p0) + unsigned(G_p0)); B_TOTAL_p1 <= std_logic_vector(unsigned(B_TOTAL_p0) + unsigned(B_p0)); end if; if(XPOS_p0 = XMAX_p0 and YPOS_p0 = YMAX_p0) then SHIFT_p1 <= SHIFT_p0; else SHIFT_p1 <= (others => '0'); end if; WRITE_ADDR_p1 <= WRITE_ADDR_p0; OUT_ADDR_p1 <= OUT_ADDR_p0; end if; end if; end process; process(CLK) begin if(rising_edge(CLK)) then if(CE = '1') then R_TOTAL_p2 <= std_logic_vector(unsigned(R_TOTAL_p1) srl to_integer(unsigned(SHIFT_p1))); G_TOTAL_p2 <= std_logic_vector(unsigned(G_TOTAL_p1) srl to_integer(unsigned(SHIFT_p1))); B_TOTAL_p2 <= std_logic_vector(unsigned(B_TOTAL_p1) srl to_integer(unsigned(SHIFT_p1))); WRITE_ENABLE_p2 <= WRITE_ENABLE_p1; WRITE_ADDR_p2 <= WRITE_ADDR_p1; OUT_ADDR_p2 <= OUT_ADDR_p1; end if; end if; end process; WRITE_ENABLE <= '1' when WRITE_ENABLE_p2 = '1' and WRITE_CYCLE = '1' else '0'; WRITE_ADDR <= WRITE_ADDR_p2; WRITE_DATA(20 downto 0) <= R_TOTAL_p2; WRITE_DATA(41 downto 21) <= G_TOTAL_p2; WRITE_DATA(62 downto 42) <= B_TOTAL_p2; WRITE_DATA(65 downto 63) <= OUT_ADDR_p2; WRITE_DATA(71 downto 66) <= (others => '0'); WRITE_CYCLE <= COUNT(0); LIGHT_ADDR <= COUNT(8 downto 1); XPOS <= COUNT(14 downto 9); CONFIG_ADDR <= "0" & LIGHT_ADDR; LINE_BUF_ADDR <= YPOS(0) & XPOS; RESULT_RAM_ADDR <= (not FRAME) & LIGHT_ADDR when WRITE_CYCLE = '0' else (not FRAME) & WRITE_ADDR; RESULT_RAM_WE(0) <= '0' when WRITE_CYCLE = '0' else WRITE_ENABLE; RESULT_RAM_D <= WRITE_DATA; RESULT_RAM_B_ADDR <= FRAME & RESULT_ADDR(7 downto 0); RESULT_DATA( 7 downto 0) <= RESULT_RAM_B_Q( 7 downto 0); RESULT_DATA(15 downto 8) <= RESULT_RAM_B_Q(28 downto 21); RESULT_DATA(23 downto 16) <= RESULT_RAM_B_Q(49 downto 42); RESULT_DATA(26 downto 24) <= RESULT_RAM_B_Q(65 downto 63); RESULT_DATA(31 downto 27) <= (others => '0'); end Behavioral;
------------------------------------------------------------------------------- -- -- File Name: MT58L512L18F.VHD -- Revision: 2.0 -- Date: April 3rd, 2002 -- Model: Bus Functional -- Simulator: Aldec, ModemSim, NCDesktop -- -- Dependencies: None -- -- Author: Son P. Huynh -- Email: [email protected] -- Phone: (208) 368-3825 -- Company: Micron Technology, Inc. -- Part #: MT58L512L18F -- -- Description: Micron 8 Meg SyncBurst SRAM (Flow-through) -- -- Disclaimer: THESE DESIGNS ARE PROVIDED "AS IS" WITH NO WARRANTY -- WHATSOEVER AND MICRON SPECIFICALLY DISCLAIMS ANY -- IMPLIED WARRANTIES OF MERCHANTABILITY, FITNESS FOR -- A PARTICULAR PURPOSE, OR AGAINST INFRINGEMENT. -- -- Copyright (c) 1997 Micron Semiconductor Products, Inc. -- All rights researved -- -- Rev Author Phone Date Changes -- --- -------------- ------------ ---------- ----------------------------- -- 2.0 Son P. Huynh 208-368-3825 04/03/2002 - Fix Burst counter -- Micron Technology, Inc. -- ------------------------------------------------------------------------------- LIBRARY ieee, std, work; USE ieee.std_logic_1164.ALL; USE ieee.std_logic_arith.ALL; USE ieee.std_logic_unsigned.ALL; USE std.standard.ALL; USE std.textio.all; ENTITY MT58L512L18F IS GENERIC ( -- Clock tKC : TIME := 8.0 ns; -- Timing are for -6.8 tKH : TIME := 1.8 ns; tKL : TIME := 1.8 ns; -- Output Times tKQHZ : TIME := 3.8 ns; -- Setup Times tAS : TIME := 1.8 ns; tADSS : TIME := 1.8 ns; tAAS : TIME := 1.8 ns; tWS : TIME := 1.8 ns; tDS : TIME := 1.8 ns; tCES : TIME := 1.8 ns; -- Hold Times tAH : TIME := 0.5 ns; tADSH : TIME := 0.5 ns; tAAH : TIME := 0.5 ns; tWH : TIME := 0.5 ns; tDH : TIME := 0.5 ns; tCEH : TIME := 0.5 ns; -- Bus Width and Data Bus addr_bits : INTEGER := 19; data_bits : INTEGER := 16 ); PORT ( Dq : INOUT STD_LOGIC_VECTOR (data_bits - 1 DOWNTO 0) := (OTHERS => 'Z'); Addr : IN STD_LOGIC_VECTOR (addr_bits - 1 DOWNTO 0); Mode : IN STD_LOGIC; Adv_n : IN STD_LOGIC; Clk : IN STD_LOGIC; Adsc_n : IN STD_LOGIC; Adsp_n : IN STD_LOGIC; Bwa_n : IN STD_LOGIC; Bwb_n : IN STD_LOGIC; Bwe_n : IN STD_LOGIC; Gw_n : IN STD_LOGIC; Ce_n : IN STD_LOGIC; Ce2 : IN STD_LOGIC; Ce2_n : IN STD_LOGIC; Oe_n : IN STD_LOGIC; Zz : IN STD_LOGIC ); END MT58L512L18F; ARCHITECTURE behave OF MT58L512L18F IS TYPE memory IS ARRAY (2 ** addr_bits - 1 DOWNTO 0) OF STD_LOGIC_VECTOR (data_bits / 2 - 1 DOWNTO 0); SIGNAL doe : STD_LOGIC; SIGNAL dout : STD_LOGIC_VECTOR (data_bits - 1 DOWNTO 0) := (OTHERS => 'Z'); SIGNAL bwan, bwbn, ce, clr : STD_LOGIC; --FILE outfile: TEXT IS OUT "sram_outfile.txt"; BEGIN bwan <= ((Bwa_n OR Bwe_n) AND Gw_n) OR (NOT(Ce_n) AND NOT(Adsp_n)); bwbn <= ((Bwb_n OR Bwe_n) AND Gw_n) OR (NOT(Ce_n) AND NOT(Adsp_n)); ce <= NOT(Ce_n) AND Ce2 AND NOT(Ce2_n); clr <= NOT(Adsc_n) OR (NOT(Adsp_n) AND NOT(Ce_n)); main : PROCESS -- Memory Array VARIABLE bank0, bank1 : memory; -- Address Registers VARIABLE addr_reg_in : STD_LOGIC_VECTOR (addr_bits - 1 DOWNTO 0) := (OTHERS => '0'); VARIABLE addr_reg_out : STD_LOGIC_VECTOR (addr_bits - 1 DOWNTO 0) := (OTHERS => '0'); -- Burst Counter VARIABLE bcount : STD_LOGIC_VECTOR (1 DOWNTO 0) := "00"; VARIABLE baddr0 : STD_LOGIC; VARIABLE baddr1 : STD_LOGIC; -- Other Registers VARIABLE din : STD_LOGIC_VECTOR (data_bits - 1 DOWNTO 0) := (OTHERS => 'Z'); VARIABLE ce_reg : STD_LOGIC; VARIABLE bwa_reg : STD_LOGIC; VARIABLE bwb_reg : STD_LOGIC; VARIABLE write_line : line; VARIABLE i,j : integer; VARIABLE dat : STD_LOGIC_VECTOR (data_bits*2 - 1 DOWNTO 0); BEGIN i := 0; j := 0; LOOP dat := conv_std_logic_vector(j,data_bits*2); bank0(i) := dat(data_bits/2 -1 DOWNTO 0); bank1(i) := dat(data_bits-1 DOWNTO data_bits/2); bank0(i+1) := dat(3*data_bits/2 -1 DOWNTO data_bits); bank1(i+1) := dat(2*data_bits-1 DOWNTO 3*data_bits/2); i := i + 2; j := j + 1; IF i = 2 ** addr_bits THEN exit; END IF; END LOOP; LOOP WAIT ON Clk; IF Clk'EVENT AND Clk = '1' AND Zz = '0' THEN -- Address Register IF clr = '1' THEN addr_reg_in := Addr; END IF; -- Binary Counter and Logic IF Mode = '1' AND clr = '1' THEN bcount := "00"; ELSIF Mode = '0' AND clr = '1' THEN bcount := Addr(1 DOWNTO 0); ELSIF Adv_n = '0' AND clr = '0' THEN bcount(1) := bcount(0) XOR bcount(1); bcount(0) := NOT(bcount(0)); END IF; -- Burst Address Decode IF Mode = '1' THEN baddr0 := bcount(0) XOR addr_reg_in(0); baddr1 := bcount(1) XOR addr_reg_in(1); ELSE baddr0 := bcount(0); baddr1 := bcount(1); END IF; -- Output Address addr_reg_out (addr_bits - 1 DOWNTO 2) := addr_reg_in (addr_bits - 1 DOWNTO 2); addr_reg_out (1) := baddr1; addr_reg_out (0) := baddr0; -- Byte Write Register bwa_reg := NOT(bwan); bwb_reg := NOT(bwbn); -- Enable Register IF clr = '1' THEN ce_reg := ce; END IF; -- Input Register IF (ce_reg = '1' AND (bwa_reg = '1' OR bwb_reg = '1')) THEN din := Dq; ELSE din := (OTHERS => 'Z'); END IF; -- Byte Write Driver IF ce_reg = '1' AND bwa_reg = '1' THEN bank0 (CONV_INTEGER(addr_reg_out)) := din ( 7 DOWNTO 0); -- WRITE(write_line, 'W'); -- WRITE(write_line, 'L'); -- WRITE(write_line, ' '); -- WRITE(write_line, TO_HEX_STRING(addr_reg_out)); -- WRITE(write_line, ' '); -- WRITE(write_line, TO_HEX_STRING(din(7 DOWNTO 0))); -- WRITELINE(outfile, write_line); END IF; IF ce_reg = '1' AND bwb_reg = '1' THEN bank1 (CONV_INTEGER(addr_reg_out)) := din (15 DOWNTO 8); -- WRITE(write_line, 'W'); -- WRITE(write_line, 'H'); -- WRITE(write_line, ' '); -- WRITE(write_line, TO_HEX_STRING(addr_reg_out)); -- WRITE(write_line, ' '); -- WRITE(write_line, TO_HEX_STRING(din(15 DOWNTO 8))); -- WRITELINE(outfile, write_line); END IF; -- Output Register IF (NOT(bwa_reg = '1' OR bwb_reg = '1')) THEN dout ( 7 DOWNTO 0) <= bank0 (CONV_INTEGER(addr_reg_out)); dout (15 DOWNTO 8) <= bank1 (CONV_INTEGER(addr_reg_out)); -- WRITE(write_line, 'R'); -- WRITE(write_line, ' '); -- WRITE(write_line, TO_HEX_STRING(addr_reg_out)); -- WRITE(write_line, ' '); -- WRITE(write_line, TO_HEX_STRING(bank1 (CONV_INTEGER(addr_reg_out)))); -- WRITE(write_line, TO_HEX_STRING(bank0 (CONV_INTEGER(addr_reg_out)))); -- WRITELINE(outfile, write_line); END IF; -- Data Out Enable doe <= ce_reg AND (NOT(bwa_reg OR bwb_reg)); END IF; END LOOP; END PROCESS main; -- Output buffer WITH (NOT(Oe_n) AND NOT(Zz) AND doe) SELECT Dq <= TRANSPORT dout AFTER tKQHZ WHEN '1', (OTHERS => 'Z') AFTER tKQHZ WHEN '0', (OTHERS => 'Z') AFTER tKQHZ WHEN OTHERS; -- Checking for setup time violation Setup_check : PROCESS BEGIN WAIT ON Clk; IF Clk'EVENT AND Clk = '1' THEN ASSERT(Addr'LAST_EVENT >= tAS) REPORT "Addr Setup time violation -- tAS" SEVERITY WARNING; ASSERT(Adsc_n'LAST_EVENT >= tADSS) REPORT "Adsc_n Setup time violation -- tADSS" SEVERITY WARNING; ASSERT(Adsp_n'LAST_EVENT >= tADSS) REPORT "Adsp_n Setup time violation -- tADSS" SEVERITY WARNING; ASSERT(Adv_n'LAST_EVENT >= tAAS) REPORT "Adv_n Setup time violation -- tAAS" SEVERITY WARNING; ASSERT(Bwa_n'LAST_EVENT >= tWS) REPORT "Bwa_n Setup time violation -- tWS" SEVERITY WARNING; ASSERT(Bwb_n'LAST_EVENT >= tWS) REPORT "Bwb_n Setup time violation -- tWS" SEVERITY WARNING; ASSERT(Bwe_n'LAST_EVENT >= tWS) REPORT "Bwe_n Setup time violation -- tWS" SEVERITY WARNING; ASSERT(Gw_n'LAST_EVENT >= tWS) REPORT "Gw_n Setup time violation -- tWS" SEVERITY WARNING; ASSERT(Ce_n'LAST_EVENT >= tCES) REPORT "Ce_n Setup time violation -- tCES" SEVERITY WARNING; ASSERT(Ce2_n'LAST_EVENT >= tCES) REPORT "Ce2_n Setup time violation -- tCES" SEVERITY WARNING; ASSERT(Ce2'LAST_EVENT >= tCES) REPORT "Ce2 Setup time violation -- tCES" SEVERITY WARNING; END IF; END PROCESS; -- Checking for hold time violation Hold_check : PROCESS BEGIN WAIT ON Clk'DELAYED(tAH), Clk'DELAYED(tADSH), Clk'DELAYED(tAAH), Clk'DELAYED(tWH), Clk'DELAYED(tCEH); IF Clk'DELAYED(tAH)'EVENT AND Clk'DELAYED(tAH) = '1' THEN ASSERT(Addr'LAST_EVENT > tAH) REPORT "Addr Hold time violation -- tAH" SEVERITY WARNING; END IF; IF Clk'DELAYED(tADSH)'EVENT AND Clk'DELAYED(tADSH) = '1' THEN ASSERT(Adsc_n'LAST_EVENT > tADSH) REPORT "Adsc_n Hold time violation -- tADSH" SEVERITY WARNING; ASSERT(Adsp_n'LAST_EVENT > tADSH) REPORT "Adsp_n Hold time violation -- tADSH" SEVERITY WARNING; END IF; IF Clk'DELAYED(tAAH)'EVENT AND Clk'DELAYED(tAAH) = '1' THEN ASSERT(Adv_n'LAST_EVENT > tAAH) REPORT "Adv_n Hold time violation -- tAAH" SEVERITY WARNING; END IF; IF Clk'DELAYED(tWH)'EVENT AND Clk'DELAYED(tWH) = '1' THEN ASSERT(Bwa_n'LAST_EVENT > tWH) REPORT "Bwa_n Hold time violation -- tWH" SEVERITY WARNING; ASSERT(Bwb_n'LAST_EVENT > tWH) REPORT "Bwb_n Hold time violation -- tWH" SEVERITY WARNING; ASSERT(Bwe_n'LAST_EVENT > tWH) REPORT "Bwe_n Hold time violation -- tWH" SEVERITY WARNING; ASSERT(Gw_n'LAST_EVENT > tWH) REPORT "Gw_n Hold time violation -- tWH" SEVERITY WARNING; END IF; IF Clk'DELAYED(tCEH)'EVENT AND Clk'DELAYED(tCEH) = '1' THEN ASSERT(Ce_n'LAST_EVENT > tCEH) REPORT "Ce_n Hold time violation -- tCEH" SEVERITY WARNING; ASSERT(Ce2_n'LAST_EVENT > tCEH) REPORT "Ce2_n Hold time violation -- tCEH" SEVERITY WARNING; ASSERT(Ce2'LAST_EVENT > tCEH) REPORT "Ce2 Hold time violation -- tCEH" SEVERITY WARNING; END IF; END PROCESS; END behave;
library ieee; use ieee.std_logic_1164.all; library machxo2; use machxo2.components; entity vether_xo2 is port ( tx_po, tx_no : out std_ulogic; led_no : out std_ulogic_vector(7 downto 0)); end; architecture tbd of vether_xo2 is attribute frequency_pin_clkos : string; attribute frequency_pin_clkos of pll : label is "19.950000"; attribute frequency_pin_clki : string; attribute frequency_pin_clki of pll : label is "133.000000"; attribute icp_current : string; attribute icp_current of pll : label is "9"; attribute lpf_resistor : string; attribute lpf_resistor of pll : label is "72"; constant clk_freq : natural := 19_950e3; signal clk133, clk, lock, rst, stb, run, tx_p, tx_n, run_pulse : std_ulogic; begin osc : component machxo2.components.osch generic map ( nom_freq => "133.0") port map ( stdby => '0', osc => clk133); pll : component machxo2.components.ehxpllj generic map ( clki_div => 16, clkfb_div => 3, clkop_div => 20, clkos_div => 25, clkop_cphase => 19, clkos_cphase => 24, clkos2_enable => "DISABLED", clkos3_enable => "DISABLED", feedbk_path => "INT_DIVA") port map ( clki => clk133, clkos => clk, lock => lock); rst <= not lock; stb_gen : entity work.stb_gen generic map ( period_g => clk_freq) -- 1 sec port map ( rst_i => rst, clk_i => clk, stb_o => stb); vether_tx : entity work.vether_tx generic map ( clk_freq_g => clk_freq) port map ( rst_i => rst, clk_i => clk, stb_i => stb, tx_po => tx_p, tx_no => tx_n, run_o => run); run_pulse_gen : entity work.pulse_gen generic map ( duration_g => clk_freq/10) -- 100 ms port map ( rst_i => rst, clk_i => clk, stb_i => run, pulse_o => run_pulse); led_no <= not ("00000" & tx_p & tx_n & run_pulse); tx_po <= tx_p; tx_no <= tx_n; end;
library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.NUMERIC_STD.all; library std; entity draw is generic ( CLK_PROC_FREQ : integer; IMG_SIZE : integer; COORD_SIZE : integer ); port ( clk_proc : in std_logic; reset_n : in std_logic; ------------------------ Img flow ----------------------- Img_data : in std_logic_vector(IMG_SIZE-1 downto 0); Img_fv : in std_logic; Img_dv : in std_logic; ----------------------- coord flow ---------------------- coord_data : out std_logic_vector(COORD_SIZE-1 downto 0); coord_fv : out std_logic; coord_dv : out std_logic; --======================= Slaves ======================== ------------------------- bus_sl ------------------------ addr_rel_i : in std_logic_vector(1 downto 0); wr_i : in std_logic; rd_i : in std_logic; datawr_i : in std_logic_vector(31 downto 0); datard_o : out std_logic_vector(31 downto 0) ); end draw; architecture rtl of draw is component draw_process generic ( CLK_PROC_FREQ : integer; IMG_SIZE : integer; COORD_SIZE : integer ); port ( clk_proc : in std_logic; reset_n : in std_logic; ---------------- dynamic parameters ports --------------- status_reg_enable_bit : in std_logic; inImg_size_reg_in_w_reg : in std_logic_vector(11 downto 0); inImg_size_reg_in_h_reg : in std_logic_vector(11 downto 0); ------------------------ Img flow ----------------------- Img_data : in std_logic_vector(IMG_SIZE-1 downto 0); Img_fv : in std_logic; Img_dv : in std_logic; ----------------------- coord flow ---------------------- coord_data : out std_logic_vector(COORD_SIZE-1 downto 0); coord_fv : out std_logic; coord_dv : out std_logic ); end component; component draw_slave generic ( CLK_PROC_FREQ : integer ); port ( clk_proc : in std_logic; reset_n : in std_logic; ---------------- dynamic parameters ports --------------- status_reg_enable_bit : out std_logic; inImg_size_reg_in_w_reg : out std_logic_vector(11 downto 0); inImg_size_reg_in_h_reg : out std_logic_vector(11 downto 0); --======================= Slaves ======================== ------------------------- bus_sl ------------------------ addr_rel_i : in std_logic_vector(1 downto 0); wr_i : in std_logic; rd_i : in std_logic; datawr_i : in std_logic_vector(31 downto 0); datard_o : out std_logic_vector(31 downto 0) ); end component; signal status_reg_enable_bit : std_logic; signal inImg_size_reg_in_w_reg : std_logic_vector (11 downto 0); signal inImg_size_reg_in_h_reg : std_logic_vector (11 downto 0); begin draw_process_inst : draw_process generic map ( CLK_PROC_FREQ => CLK_PROC_FREQ, IMG_SIZE => IMG_SIZE, COORD_SIZE => COORD_SIZE ) port map ( clk_proc => clk_proc, reset_n => reset_n, status_reg_enable_bit => status_reg_enable_bit, inImg_size_reg_in_w_reg => inImg_size_reg_in_w_reg, inImg_size_reg_in_h_reg => inImg_size_reg_in_h_reg, Img_data => Img_data, Img_fv => Img_fv, Img_dv => Img_dv, coord_data => coord_data, coord_fv => coord_fv, coord_dv => coord_dv ); draw_slave_inst : draw_slave generic map ( CLK_PROC_FREQ => CLK_PROC_FREQ ) port map ( clk_proc => clk_proc, reset_n => reset_n, status_reg_enable_bit => status_reg_enable_bit, inImg_size_reg_in_w_reg => inImg_size_reg_in_w_reg, inImg_size_reg_in_h_reg => inImg_size_reg_in_h_reg, addr_rel_i => addr_rel_i, wr_i => wr_i, rd_i => rd_i, datawr_i => datawr_i, datard_o => datard_o ); end rtl;
------------------------------------------------------------------------------- -- Title : Look-up table sweeper -- Project : ------------------------------------------------------------------------------- -- File : lut_sweep.vhd -- Author : aylons <aylons@LNLS190> -- Company : -- Created : 2014-03-07 -- Last update: 2014-03-07 -- Platform : -- Standard : VHDL'93/02 ------------------------------------------------------------------------------- -- Description: Tool for sweeping through look-up table addresses ------------------------------------------------------------------------------- -- Copyright (c) 2014 ------------------------------------------------------------------------------- -- Revisions : -- Date Version Author Description -- 2014-03-07 1.0 aylons Created ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library UNISIM; use UNISIM.vcomponents.all; ------------------------------------------------------------------------------- entity lut_sweep is generic ( g_bus_size : natural := 8; g_first_address : natural := 0; g_last_address : natural := 147; g_sweep_mode : string := "sawtooth" ); port ( rst_n_i : in std_logic; clk_i : in std_logic; ce_i : in std_logic; address_o : out std_logic_vector(g_bus_size-1 downto 0)); end entity lut_sweep; ------------------------------------------------------------------------------- architecture str of lut_sweep is begin -- architecture str counting : process(clk_i) variable count : natural := 0; begin if rising_edge(clk_i) then if rst_n_i = '0' then count := 0; elsif ce_i = '1' then if count = g_last_address then count := g_first_address; else count := count + 1; end if; --count = last_address address_o <= std_logic_vector(to_unsigned(count, g_bus_size)); end if; -- reset end if; -- rising_edge end process counting; end architecture str; -------------------------------------------------------------------------------
------------------------------------------------------------------------------- -- Title : Look-up table sweeper -- Project : ------------------------------------------------------------------------------- -- File : lut_sweep.vhd -- Author : aylons <aylons@LNLS190> -- Company : -- Created : 2014-03-07 -- Last update: 2014-03-07 -- Platform : -- Standard : VHDL'93/02 ------------------------------------------------------------------------------- -- Description: Tool for sweeping through look-up table addresses ------------------------------------------------------------------------------- -- Copyright (c) 2014 ------------------------------------------------------------------------------- -- Revisions : -- Date Version Author Description -- 2014-03-07 1.0 aylons Created ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library UNISIM; use UNISIM.vcomponents.all; ------------------------------------------------------------------------------- entity lut_sweep is generic ( g_bus_size : natural := 8; g_first_address : natural := 0; g_last_address : natural := 147; g_sweep_mode : string := "sawtooth" ); port ( rst_n_i : in std_logic; clk_i : in std_logic; ce_i : in std_logic; address_o : out std_logic_vector(g_bus_size-1 downto 0)); end entity lut_sweep; ------------------------------------------------------------------------------- architecture str of lut_sweep is begin -- architecture str counting : process(clk_i) variable count : natural := 0; begin if rising_edge(clk_i) then if rst_n_i = '0' then count := 0; elsif ce_i = '1' then if count = g_last_address then count := g_first_address; else count := count + 1; end if; --count = last_address address_o <= std_logic_vector(to_unsigned(count, g_bus_size)); end if; -- reset end if; -- rising_edge end process counting; end architecture str; -------------------------------------------------------------------------------
------------------------------------------------------------------------------- -- test routine for the post synthesis 32 bit multiply ------------------------------------------------------------------------------- entity test_float_synth is generic ( quiet : BOOLEAN := false); end entity test_float_synth; use std.textio.all; library ieee, ieee_proposed; use ieee.math_real.all; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee_proposed.fixed_float_types.all; use ieee_proposed.fixed_pkg.all; use ieee_proposed.float_pkg.all; --library modelsim_lib; --use modelsim_lib.util.all; architecture testbench of test_float_synth is subtype fp16 is float (6 downto -9); -- 16 bit function reverse ( inpvec : STD_LOGIC_VECTOR (0 to 31)) return float32 is variable result : float32; begin for i in 0 to 31 loop result (i-23) := inpvec(i); end loop; -- i return result; end function reverse; -- purpose: converts an float32 into a std_logic_vector -- function to_slv ( -- input : float32) -- float32 input -- return std_logic_vector is -- variable result : std_logic_vector (31 downto 0); -- result -- begin -- function to_slv -- floop: for i in float32'range loop -- result (i + fp_fraction_width) := input (i); -- end loop floop; -- return result; -- end function to_slv; -- purpose: converts a std_logic_vector to an float32 function to_float32x ( signal input : STD_LOGIC_VECTOR (31 downto 0)) return float32 is variable result : float32; begin -- function to_float32x return to_float (input, float32'high, -float32'low); end function to_float32x; procedure report_error ( constant errmes : STRING; -- error message actual : in float32; -- data from algorithm constant expected : float32) is -- reference data begin -- function report_error assert actual = expected report errmes & " miscompare" & CR & "Actual " & to_string (actual) & " (" & REAL'image(to_real(actual))& ") /= " & CR & "Expected " & to_string (expected) & " (" & REAL'image(to_real(expected))& ")" severity error; return; end procedure report_error; procedure report_error16 ( constant errmes : STRING; -- error message actual : in fp16; -- data from algorithm constant expected : fp16) is -- reference data begin -- function report_error assert actual = expected report errmes & " miscompare" & CR & "Actual " & to_string (actual) & " (" & REAL'image(to_real(actual))& ") /= " & CR & "Expected " & to_string (expected) & " (" & REAL'image(to_real(expected))& ")" severity error; return; end procedure report_error16; component float_synth is port ( in1, in2 : in STD_LOGIC_VECTOR(31 downto 0); -- inputs out1 : out STD_LOGIC_VECTOR(31 downto 0); -- output cmd : in STD_LOGIC_VECTOR (3 downto 0); clk, rst_n : in STD_ULOGIC); -- clk and reset end component float_synth; for all : float_synth use entity work.float_synth(rtl); constant clock_period : TIME := 500 ns; -- clock period signal stop_clock : BOOLEAN := false; -- stop the clock signal out1real : REAL; -- real version signal in1, in2 : float32; -- inputs signal out1 : float32; -- output constant zero0 : float32 := (others => '0'); -- zero signal cmd : STD_LOGIC_VECTOR (3 downto 0); -- command signal clk, rst_n : STD_ULOGIC; -- clk and reset signal in1slv, in2slv, out1slv : STD_LOGIC_VECTOR(31 downto 0); signal indelay : float32; -- spied signal begin -- architecture testbench out1real <= to_real (out1); in1slv <= to_slv(in1); in2slv <= to_slv(in2); out1 <= to_float32x(out1slv); DUT : float_synth port map ( in1 => in1slv, -- [in float32] inputs in2 => in2slv, -- [in float32] inputs out1 => out1slv, -- [out float32] output cmd => cmd, clk => clk, -- [in std_ulogic] clk and reset rst_n => rst_n); -- [in std_ulogic] clk and reset -- spy_process : process -- begin -- signal_force ("/DUT/in2reg3", "00000000000000000000000000000000", -- 500 ns, freeze, 5000 ns, 1); -- wait; -- end process spy_process; -- purpose: clock driver -- type : combinational -- inputs : -- outputs: clkprc : process is begin -- process clkprc if (not stop_clock) then clk <= '0'; wait for clock_period/2.0; clk <= '1'; wait for clock_period/2.0; else wait; end if; end process clkprc; -- purpose: reset driver -- type : combinational -- inputs : -- outputs: reset_proc : process is begin -- process reset_proc rst_n <= '0'; wait for clock_period * 2.0; rst_n <= '1'; wait; end process reset_proc; -- purpose: main test loop -- type : combinational -- inputs : -- outputs: tester : process is begin -- process tester cmd <= "0110"; -- 16 bit to float32 mode in1 <= "10000000000000000000001000101111"; -- 4.33 ufixed in2 <= "00000000000000000000000000000100"; -- 4 floop1: for i in 1 to 100 loop wait for clock_period; end loop floop1; cmd <= "0110"; -- 16 bit to float32 mode in1 <= "10000000000000000000001000101011"; -- 4.33 ufixed in2 <= "00000000000000000000000000000100"; -- 4 floop2: for i in 1 to 100 loop wait for clock_period; end loop floop2; cmd <= "0010"; in1 <= reverse("00000000000000000000101100000010"); -- 6.5 in2 <= reverse("00000000000000000001010001000010"); -- 42 wait for clock_period; in1 <= reverse("00000000000000000001010001000010"); -- 42 in2 <= reverse("00000000000000000000101100000010"); -- 6.5 wait for clock_period; in1 <= reverse("00000000000000000000101100000010"); -- 6.5 in2 <= reverse("00000000000000000000101100000010"); -- 6.5 wait for clock_period; in1 <= reverse("00000000000000000001010001000010"); -- 42 in2 <= "01000000000000000000000000000000"; -- 2 wait for clock_period; in1 <= "00111110101010101010101010101011"; -- 1/3 in2 <= "01000000000000000000000000000000"; -- 2 wait for clock_period; in1 <= reverse("00000000000000000001010001000010"); -- 42 in2 <= reverse("00000000000000000000101100000011"); -- -6.5 wait for clock_period; in1 <= reverse("10000000000000000000000000000000"); -- 2**-149 in2 <= "11000000000000000000000000000000"; -- -2.0 wait for clock_period; in1 <= reverse("00000000000000000000001000000000"); -- 2**-127 in2 <= "00111110100000000000000000000000"; -- 0.25 wait for clock_period; in1 <= reverse("00000000000000000001010001000010"); -- 42 in2 <= reverse("00000000000000000000101100000010"); -- 6.5 wait for clock_period; cmd <= "0001"; -- subtract mode in2 <= "01001011111001110011000110011011"; -- 30303030 in1 <= "01001011111001110011000110011100"; -- 30303033 wait for clock_period; in1 <= reverse("00000000000000000000101100000010"); -- 6.5 in2 <= "01000000100000000000000000000000"; -- 4 wait for clock_period; in2 <= reverse("00000000000000000000101100000010"); -- 6.5 in1 <= "01000000100000000000000000000000"; -- 4 wait for clock_period; in1 <= "01000000100010101010101010101011"; -- 4.333333 in2 <= "00111110101010101010101010101011"; -- 1/3 wait for clock_period; cmd <= "0000"; -- add mode in1 <= "00111110101010101010101010101011"; -- 1/3 in2 <= "01000000000000000000000000000000"; -- 2 wait for clock_period; in2 <= "00111110101010101010101010101011"; -- 1/3 in1 <= "01000000000000000000000000000000"; -- 2 wait for clock_period; in1 <= "00000000100000000000000000000001"; -- 2**-126 in2 <= "01000000100000000000000000000001"; -- 4+ wait for clock_period; cmd <= "0011"; -- divide mode in1 <= "00111111100000000000000000000000"; -- 1.0 in2 <= "01000000010000000000000000000000"; -- 3.0 wait for clock_period; in1 <= "01001100000011001011110001001111"; -- 36892987 in2 <= "00000000010000000000000000000000"; -- 2**-127 wait for clock_period; in1 <= "10111110101010101010101010101011"; -- -1/3 in2 <= "01000000000000000000000000000000"; -- 2 wait for clock_period; cmd <= "0100"; -- 32 to 16 conversion mode in1 <= "00111111100000000000000000000000"; -- 1.0 in2 <= (others => '0'); wait for clock_period; in1 <= "10111110101010101010101010101011"; -- -1/3, no round wait for clock_period; in1 <= "10111110101010101010101010101011"; -- -1/3 in2 <= "00000000000000000000000000000001"; -- opcode 1 wait for clock_period; cmd <= "0101"; -- conversion mode in1 <= "00111111100000000000000000000000"; -- 1.0 in2 <= "01000000000000000000000000000000"; -- opcode zero wait for clock_period; in1 <= "01000010001010000000000000000000"; -- 42.0 in2 <= "00000000000000000000000000000001"; -- opcode 1 wait for clock_period; in1 <= "10111111100000000000000000000000"; -- -1.0 in2 <= "00000000000000000000000000000010"; -- 2 wait for clock_period; in1 <= "00111111100000000000000000000000"; -- 1.0 in2 <= "00000000000000000000000000000011"; -- 3 wait for clock_period; in1 <= "01000000100010101010101010101011"; -- 4.333333 in2 <= "00000000000000000000000000000100"; -- 4 wait for clock_period; in1 <= "00111111100000000000000000000000"; -- 1.0 in2 <= "00000000000000000000000000000101"; -- 5 wait for clock_period; in1 <= "11000000100010101010101010101011"; -- -4.333333 in2 <= "00000000000000000000000000000110"; -- 6 to_sfixed wait for clock_period; in1 <= "00111111100000000000000000000000"; -- 1.0 in2 <= "00000000000000000000000000000111"; -- 7 to_sfixed wait for clock_period; cmd <= "0110"; -- 16 bit to float32 mode in1 <= "00000000000000000000000000000011"; -- 3 in2 <= "01000000000000000000000000000000"; -- mode 0 wait for clock_period; in1 <= "00000000000000000000000000000100"; -- 4 in2 <= "01000000000000000000000000000001"; -- 1 wait for clock_period; in1 <= "00000000000000001111111111111110"; -- -2 in2 <= "01000000000000000000000000000010"; -- 2 to_float(signed) wait for clock_period; in1 <= "00000000000000000000000000000100"; -- 4 in2 <= "01000000000000000000000000000011"; -- mode 3 wait for clock_period; in1 <= "10000000000000000000001000101011"; -- 4.33 ufixed in2 <= "00000000000000000000000000000100"; -- 4 wait for clock_period; in1 <= "10100000000000000000000010000000"; -- 1.0 ufixed in2 <= "00000000000000000000000000000101"; -- 5 wait for clock_period; in1 <= "11000000000000001111110111010101"; -- -4.333 sfixed in2 <= "00000000000000000000000000000110"; -- 6 wait for clock_period; in1 <= "10100000000000000000000010000000"; -- 1.0 sfixed in2 <= "00000000000000000000000000000111"; -- 7 wait for clock_period; cmd <= "0111"; -- Mod in1 <= "00000000000000000000000000000011"; -- in2 <= "00000000000000000000000000000011"; -- wait for clock_period; in1 <= "00000010001100101111000100111011"; -- 36892987 in2 <= "00000010001100101111000100111011"; -- 36892987 wait for clock_period; in1 <= "11000000100010101010101010101011"; -- -4.333333 in2 <= "01000000100000000000000000000000"; -- 4 wait for clock_period; cmd <= "1000"; -- rem in1 <= "00000000000000000000000000000011"; -- in2 <= "00000000000000000000000000000011"; -- wait for clock_period; in1 <= "00000010001100101111000100111011"; -- 36892987 in2 <= "00000010001100101111000100111011"; -- 36892987 wait for clock_period; in1 <= "11000000100010101010101010101011"; -- -4.333333 in2 <= "01000000100000000000000000000000"; -- 4 wait for clock_period; cmd <= "1001"; -- constants conversion in2 <= "11000000000000000000000000000000"; -- command 0 wait for clock_period; in2 <= "11000000000000000000000000000001"; -- command 1 wait for clock_period; in2 <= "11000000000000000000000000000010"; -- command 2 wait for clock_period; in2 <= "11000000000000000000000000000011"; -- command 3 wait for clock_period; in2 <= "11000000000000000000000000000100"; -- command 4 wait for clock_period; in2 <= "11000000000000000000000000000101"; -- command 5 wait for clock_period; in2 <= "11000000000000000000000000000110"; -- command 6 wait for clock_period; in2 <= "11000000000000000000000000000111"; -- command 7 wait for clock_period; cmd <= "1010"; -- conversions in1 <= to_float (1, in1); in2 <= "11000000000000000000000000000000"; -- command 0 wait for clock_period; in1 <= to_float (-2, in1); wait for clock_period; in2 <= "11000000000000000000000000000001"; -- command 1 wait for clock_period; in1 <= to_float (1, in1); wait for clock_period; in2 <= "00010000000000000000000000000010"; -- command 2 scalb in1 <= to_float (1, in1); wait for clock_period; in2 <= "11110000000000000000000000000010"; -- command 2 scalb in1 <= to_float (1, in1); wait for clock_period; in2 <= "11000000000000000000000000000011"; -- command 3 logb in1 <= to_float (1, in1); wait for clock_period; in2 <= "11000000000000000000000000000011"; -- command 3 logb in1 <= to_float (0.25, in1); wait for clock_period; in2 <= "11000000000000000000000000000100"; -- 4 nextafter in1 <= to_float (1, in1); wait for clock_period; in1 <= to_float (4, in1); wait for clock_period; in2 <= "11000000000000000000000000000101"; -- 5 nextafter in1 <= to_float (1, in1); wait for clock_period; in1 <= to_float (-4, in1); wait for clock_period; in2 <= "11000000000000000000000000000110"; -- 6 nextafter in1 <= to_float (1, in1); wait for clock_period; in1 <= to_float (4, in1); wait for clock_period; in2 <= "11000000000000000000000000000111"; -- 7 nextafter in1 <= to_float (1, in1); wait for clock_period; in1 <= to_float (-4, in1); wait for clock_period; cmd <= "1011"; -- copy sign in1 <= to_float (2, in1); in2 <= to_float (2, in1); wait for clock_period; in1 <= to_float (-3, in1); in2 <= to_float (3, in1); wait for clock_period; in1 <= to_float (4, in1); in2 <= to_float (-4, in1); wait for clock_period; in1 <= to_float (-5, in1); in2 <= to_float (-5, in1); wait for clock_period; cmd <= "1100"; -- compare test in1 <= to_float (15, in1); in2 <= to_float (15, in1); wait for clock_period; in1 <= to_float (15.5, in1); in2 <= to_float (-2, in1); wait for clock_period; in1 <= to_float (-2, in1); in2 <= to_float (2, in1); wait for clock_period; in1 <= "01111111100000000000000000000000"; -- + inf in2 <= to_float (-2, in1); wait for clock_period; in1 <= "01111111100000000000000000000001"; -- NAN in2 <= to_float (-2, in1); wait for clock_period; cmd <= "1101"; -- boolean test in1 <= "01111111100000000000000000000000"; -- + inf in2 <= "00111111100000000000000000000000"; -- command 0 , not wait for clock_period; in1 <= "01111111100000000000000000000000"; -- + inf in2 <= "00111111100000000000000000000001"; -- command 1, and wait for clock_period; in1 <= "01111111000000000000000000000000"; -- + inf in2 <= "00111111000000000000000000000010"; -- command 2, or wait for clock_period; in1 <= "01111111100000000000000000000000"; -- + inf in2 <= "00111111100000000000000000000011"; -- command 3, nand wait for clock_period; in1 <= "01111111100000000000000000000000"; -- + inf in2 <= "00111111100000000000000000000100"; -- command 4, nor wait for clock_period; in1 <= "01111111100000000000000000000000"; -- + inf in2 <= "00111111100000000000000000000101"; -- command 5, xor wait for clock_period; in1 <= "01111111100000000000000000000000"; -- + inf in2 <= "00111111100000000000000000000110"; -- command 6, xnor wait for clock_period; in1 <= "01111111100000000000000000000000"; -- + inf in2 <= "00111111100000000000000000000111"; -- command 7, xor '1' wait for clock_period; cmd <= "1110"; -- reduce and vector test test in1 <= "01111111100000000000000000000000"; -- + inf in2 <= "00111111100000000000000000000000"; -- command 0, wait for clock_period; in1 <= "11111111111111111111111111111111"; -- all 1 wait for clock_period; in1 <= "10000000000000000000000000000000"; -- -0 wait for clock_period; in1 <= "00000000000000000000000000000000"; -- 0 wait for clock_period; in1 <= "01111111100000000000000000000000"; -- + inf in2 <= "00111111100000000000000000000001"; -- command 1, and '0' wait for clock_period; in2 <= "10111111100000000000000000000001"; -- command 1, and '1' wait for clock_period; in2 <= "00111111100000000000000000000010"; -- command 2, or '0' wait for clock_period; in2 <= "10111111100000000000000000000010"; -- command 2, or '1' wait for clock_period; in2 <= "00111111100000000000000000000011"; -- command 3, nand '0' wait for clock_period; in2 <= "10111111100000000000000000000011"; -- command 3, nand '1' wait for clock_period; in2 <= "00111111100000000000000000000100"; -- command 4, nor '0' wait for clock_period; in2 <= "10111111100000000000000000000100"; -- command 4, nor '1' wait for clock_period; in2 <= "00111111100000000000000000000101"; -- command 5, xor '0' wait for clock_period; in2 <= "10111111100000000000000000000101"; -- command 5, xor '1' wait for clock_period; in2 <= "00111111100000000000000000000110"; -- command 6, xnor '0' wait for clock_period; in2 <= "10111111100000000000000000000110"; -- command 6, xnor '1' wait for clock_period; in2 <= "00111111100000000000000000000111"; -- command 7, and '0' wait for clock_period; in2 <= "10111111100000000000000000000111"; -- command 7, and '1' wait for clock_period; cmd <= "1111"; -- add and mult by constant in2 <= "10111111100000000000000000000000"; -- command 0, + 1 in1 <= to_float (2, in1); wait for clock_period; in2 <= "10111111100000000000000000000001"; -- command 1, 1 + wait for clock_period; in2 <= "10111111100000000000000000000010"; -- command 2, + 1.0 wait for clock_period; in2 <= "10111111100000000000000000000011"; -- command 3, 1.0 + wait for clock_period; in2 <= "10111111100000000000000000000100"; -- command 4, * 1 wait for clock_period; in2 <= "10111111100000000000000000000101"; -- command 5, 1 * wait for clock_period; in2 <= "10111111100000000000000000000110"; -- command 6, * 1.0 wait for clock_period; in2 <= "10111111100000000000000000000111"; -- command 7, 1.0 * wait for clock_period; wait for clock_period; cmd <= "0000"; -- add mode in1 <= (others => '0'); in2 <= (others => '0'); wait for clock_period; wait for clock_period; wait for clock_period; wait for clock_period; wait for clock_period; wait for clock_period; wait for clock_period; wait for clock_period; wait; end process tester; -- purpose: check the output of the tester -- type : combinational -- inputs : -- outputs: checktest : process is variable out16, out16t : fp16; -- 16 bit fp variables variable out1t, out2t : float32; -- 32 bit float variable s16, s16t : SIGNED(7 downto 0); -- 7 bit SIGNED variable latency : INTEGER := 0; begin -- process checktest wait for clock_period/2.0; floop3: for i in 1 to 100 loop wait for clock_period; end loop floop3; latency := 0; out2t := "01000000100010101100000000000000"; wl1: while out1 /= out2t loop wait for clock_period; latency := latency + 1; assert latency /= 100 report "After 100 loops, pattern never found" severity failure; end loop wl1; report "Latency was " & INTEGER'image(latency) severity note; floop4: for i in 1 to 100 loop wait for clock_period; end loop floop4; report_error ("42 * 6.5 error", out1, to_float (273, out1)); wait for clock_period; report_error ("6.5 * 42 error", out1, to_float (273, out1'high, -out1'low)); wait for clock_period; report_error ("Multiply 42.25 miscompare", out1, "01000010001010010000000000000000"); -- 42.25 wait for clock_period; report_error ("Multiply 84 miscompare", out1, "01000010101010000000000000000000"); -- 84 wait for clock_period; report_error ("Multiply 2/3 miscompare", out1, "00111111001010101010101010101011"); -- 2/3 wait for clock_period; report_error ("Multiply -273 miscompare", out1, "11000011100010001000000000000000"); -- -273 wait for clock_period; report_error ("mult 2**-148 test miscompare", out1, reverse("01000000000000000000000000000001")); -- -2*-148 wait for clock_period; report_error ("Multiply 2**-129 miscompare", out1, reverse("00000000000000000000100000000000")); -- 2**-129 wait for clock_period; report_error ("6.5 * 42 error", out1, to_float (273, out1)); wait for clock_period; report_error ("Subtract 30303033 - 30303030 miscompare", out1, "01000000000000000000000000000000"); -- 2 (not 3, rounding) wait for clock_period; report_error ("Subtract 6.5 - 4 miscompare", out1, "01000000001000000000000000000000"); -- 2.5 wait for clock_period; report_error ("Subtract 4 - 6.5 miscompare", out1, "11000000001000000000000000000000"); -- -2.5 wait for clock_period; report_error ("Subtract 4.333 - 1/3 miscompare", out1, "01000000100000000000000000000000"); -- 4 wait for clock_period; report_error ("Add 2.333 miscompare", out1, "01000000000101010101010101010101"); -- 2.333333 wait for clock_period; report_error ("Add 2.333 rev miscompare", out1, "01000000000101010101010101010101"); -- 2.333333 wait for clock_period; report_error ("Add 4 + miscompare", out1, "01000000100000000000000000000001"); -- 4 wait for clock_period; report_error ("div 1/3 test miscompare", out1, "00111110101010101010101010101011"); -- 1/3 wait for clock_period; report_error ("div 369297/2**-126 test miscompare", out1, "01111111100000000000000000000000"); wait for clock_period; report_error ("-1/6 test miscompare", out1, "10111110001010101010101010101011"); -- -1/6 wait for clock_period; -- resize function out16 := to_float (to_slv (out1(-8 downto -23)), 6, 9); out16t := to_float (1, out16t); report_error16 ("1.0 fp16 converserion", out16, out16t); wait for clock_period; out16 := to_float (to_slv (out1(-8 downto -23)), 6, 9); out16t := to_float (arg => -1.0/3.0, size_res => out16t, round_style => round_zero); report_error16 ("-1/3 not rounded fp16 converserion", out16, out16t); wait for clock_period; out16 := to_float (to_slv (out1(-8 downto -23)), 6, 9); out16t := to_float (-1.0/3.0, out16t); report_error16 ("-1/3 fp16 converserion", out16, out16t); -- conversion test wait for clock_period; report_error ("1.0 to unsigned miscompare", out1, "00000000000000000000000000000001"); wait for clock_period; report_error ("42 to unsigned miscompare", out1, "00100000000000000000000000101010"); wait for clock_period; report_error ("-1.0 to signed miscompare", out1, "01000000000000001111111111111111"); wait for clock_period; report_error ("1.0 to signed miscompare", out1, "01100000000000000000000000000001"); wait for clock_period; report_error ("4.33 to ufixed miscompare", out1, "10000000000000000000001000101011"); wait for clock_period; report_error ("1.0 to ufixed miscompare", out1, "10100000000000000000000010000000"); wait for clock_period; report_error ("4.333 to sfixed miscompare", out1, "11000000000000001111110111010101"); wait for clock_period; report_error ("1.0 to sfixed miscompare", out1, "11100000000000000000000010000000"); wait for clock_period; report_error ("unsigned 3 to float miscompare", out1, to_float(3, out1)); wait for clock_period; report_error ("unsigned 4 to float miscompare", out1, to_float(4, out1)); wait for clock_period; report_error ("signed -2 to float miscompare", out1, to_float(-2, out1)); wait for clock_period; report_error ("signed 4 to float miscompare", out1, to_float(4, out1)); wait for clock_period; report_error ("ufixed 4.333 to float miscompare", out1, "01000000100010101100000000000000"); -- 4.333333 wait for clock_period; report_error ("ufixed 1.0 to float miscompare", out1, "00111111100000000000000000000000"); -- 1.0 wait for clock_period; report_error ("sfixed -4.333 to float miscompare", out1, "11000000100010101100000000000000"); -- -4.333333 wait for clock_period; report_error ("sfixed 1.0 to float miscompare", out1, "00111111100000000000000000000000"); -- 1.0 wait for clock_period; report_error ("denormal mod denormal miscompare", out1, zero0); wait for clock_period; report_error ("large mod large miscompare", out1, zero0); wait for clock_period; report_error ("-4.333 mod 4 miscompare", out1, from_string ("01000000011010101010101010101010", out1)); wait for clock_period; report_error ("denormal rem denormal miscompare", out1, zero0); wait for clock_period; report_error ("large rem large miscompare", out1, zero0); wait for clock_period; out1t := "10111110101010101010101010110000"; report_error ("-4.333 rem 4 miscompare", out1, out1t); wait for clock_period; report_error ("to_float(0) miscompare", out1, zero0); wait for clock_period; report_error ("to_float(0.0) miscompare", out1, zero0); wait for clock_period; report_error ("to_float(8) miscompare", out1, to_float(8.0, out1)); wait for clock_period; report_error ("to_float(8.0) miscompare", out1, to_float(8, out1)); wait for clock_period; report_error ("to_float(-8) miscompare", out1, to_float(-8.0, out1)); wait for clock_period; report_error ("to_float(-8.0) miscompare", out1, to_float(-8, out1)); wait for clock_period; report_error ("to_float(27000) miscompare", out1, to_float(27000.0, out1)); wait for clock_period; report_error ("to_float(PI) miscompare", out1, to_float(3.141592653589, out1)); -- Conversion test wait for clock_period; report_error ("-1 miscompare", out1, to_float(-1, out1)); wait for clock_period; report_error ("-(-2) miscompare", out1, to_float(2, out1)); wait for clock_period; report_error ("abs(-2) miscompare", out1, to_float(2, out1)); wait for clock_period; report_error ("abs(1) miscompare", out1, to_float(1, out1)); wait for clock_period; report_error ("scalb (1, 1) miscompare", out1, to_float(2, out1)); wait for clock_period; report_error ("scalb (1, -1) miscompare", out1, to_float(0.5, out1)); wait for clock_period; s16 := SIGNED (to_slv (out1(-16 downto -23))); assert (s16 = 0) report "logb (1) returned " & to_string(to_sfixed(s16)) severity error; wait for clock_period; s16 := SIGNED (to_slv (out1(-16 downto -23))); assert (s16 = -2) report "logb (0.25) returned " & to_string(to_sfixed(s16)) severity error; wait for clock_period; out1t := "00111111100000000000000000000001"; report_error ("nextafter (1, 1.5)", out1, out1t); wait for clock_period; out1t := "01000000011111111111111111111111"; report_error ("nextafter (4, 1.5)", out1, out1t); wait for clock_period; out1t := "00111111011111111111111111111111"; report_error ("nextafter (1, -1.5)", out1, out1t); wait for clock_period; out1t := "11000000011111111111111111111111"; report_error ("nextafter (-4, -1.5)", out1, out1t); wait for clock_period; out1t := "00111111100000000000000000000001"; report_error ("nextafter (1, inf)", out1, out1t); wait for clock_period; out1t := "01000000100000000000000000000001"; report_error ("nextafter (4, inf)", out1, out1t); wait for clock_period; out1t := "00111111011111111111111111111111"; report_error ("nextafter (1, neginf)", out1, out1t); wait for clock_period; out1t := "11000000100000000000000000000001"; report_error ("nextafter (-4, neginf)", out1, out1t); wait for clock_period; report_error ("Copysign (2,2)", out1, to_float(2, out1)); wait for clock_period; report_error ("Copysign (-3,3)", out1, to_float(3, out1)); wait for clock_period; report_error ("Copysign (4,-4)", out1, to_float(-4, out1)); wait for clock_period; report_error ("Copysign (-5,-5)", out1, to_float(-5, out1)); wait for clock_period; out1t := "10001110000000000000000000000000"; report_error ("compare test 15, 15", out1, out1t); wait for clock_period; out1t := "01101001000000000000000000000000"; report_error ("compare test 15.5, -2", out1, out1t); wait for clock_period; out1t := "01010100000000000000000000000000"; report_error ("compare test -2, 2", out1, out1t); wait for clock_period; out1t := "01101000010000000000000000000000"; report_error ("compare test inf, -2", out1, out1t); wait for clock_period; out1t := "01000000101000000000000000000000"; report_error ("compare test NAN, -2", out1, out1t); wait for clock_period; out1t := "10000000011111111111111111111111"; -- not + inf report_error ("not +inf", out1, out1t); wait for clock_period; out1t := "00111111100000000000000000000000"; -- and report_error ("and +inf", out1, out1t); wait for clock_period; out1t := "01111111000000000000000000000010"; -- or report_error ("or +inf", out1, out1t); wait for clock_period; out1t := "11000000011111111111111111111111"; -- nand report_error ("nand +inf", out1, out1t); wait for clock_period; out1t := "10000000011111111111111111111011"; -- nor report_error ("nor +inf", out1, out1t); wait for clock_period; out1t := "01000000000000000000000000000101"; -- xor report_error ("xor +inf", out1, out1t); wait for clock_period; out1t := "10111111111111111111111111111001"; -- xnor report_error ("xnor +inf", out1, out1t); wait for clock_period; out1t := "10000000011111111111111111111111"; -- xnor '1' report_error ("+inf xor '1'", out1, out1t); wait for clock_period; out1t := "01100100000000000000000000000000"; -- reduce test report_error ("_reduce test", out1, out1t); wait for clock_period; out1t := "10100100000000000000000000000000"; -- reduce test report_error ("_reduce all 1 test", out1, out1t); wait for clock_period; out1t := "01101000000000000000000000000000"; -- reduce test report_error ("_reduce -0 test", out1, out1t); wait for clock_period; out1t := "01010100000000000000000000000000"; -- reduce test report_error ("_reduce 0 test", out1, out1t); wait for clock_period; out1t := "00000000000000000000000000000000"; -- 0 report_error ("and 0 test", out1, out1t); wait for clock_period; out1t := "01111111100000000000000000000000"; -- + inf report_error ("and 1 test", out1, out1t); wait for clock_period; out1t := "01111111100000000000000000000000"; -- + inf report_error ("or 0 test", out1, out1t); wait for clock_period; out1t := "11111111111111111111111111111111"; -- all 1 assert (to_slv (out1) = to_slv (out1t)) report "or 1 test error " & to_string (out1) & " /= " & to_string (out1t) severity error; wait for clock_period; out1t := "11111111111111111111111111111111"; -- all 1 assert (to_slv (out1) = to_slv (out1t)) report "nand 0 test error " & to_string (out1) & " /= " & to_string (out1t) severity error; wait for clock_period; out1t := "10000000011111111111111111111111"; -- - denormal report_error ("nand 1 test", out1, out1t); wait for clock_period; out1t := "10000000011111111111111111111111"; -- - denormal report_error ("nor 0 test", out1, out1t); wait for clock_period; out1t := "00000000000000000000000000000000"; -- 0 report_error ("nor 1 test", out1, out1t); wait for clock_period; out1t := "01111111100000000000000000000000"; -- + inf report_error ("xor 0 test", out1, out1t); wait for clock_period; out1t := "10000000011111111111111111111111"; -- - denormal report_error ("xor 1 test", out1, out1t); wait for clock_period; out1t := "10000000011111111111111111111111"; -- - denormal report_error ("xnor 0 test", out1, out1t); wait for clock_period; out1t := "01111111100000000000000000000000"; -- + inf report_error ("xnor 1 test", out1, out1t); wait for clock_period; out1t := "00000000000000000000000000000000"; -- 0 report_error ("and 0 test", out1, out1t); wait for clock_period; out1t := "01111111100000000000000000000000"; -- + inf report_error ("and 1 test", out1, out1t); wait for clock_period; out1t := to_float(3, out1t); report_error ("2 + 1 test", out1, out1t); wait for clock_period; report_error ("1 + 2 test", out1, out1t); wait for clock_period; report_error ("2 + 1.0 test", out1, out1t); wait for clock_period; report_error ("1.0 + 2 test", out1, out1t); wait for clock_period; out1t := to_float(2, out1t); report_error ("2 * 1 test", out1, out1t); wait for clock_period; report_error ("1 * 2 test", out1, out1t); wait for clock_period; report_error ("2 * 1.0 test", out1, out1t); wait for clock_period; report_error ("1.0 * 2 test", out1, out1t); wait for clock_period; assert (false) report "Testing complete" severity note; stop_clock <= true; wait; end process checktest; end architecture testbench;
------------------------------------------------------------------------------- -- test routine for the post synthesis 32 bit multiply ------------------------------------------------------------------------------- entity test_float_synth is generic ( quiet : BOOLEAN := false); end entity test_float_synth; use std.textio.all; library ieee, ieee_proposed; use ieee.math_real.all; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee_proposed.fixed_float_types.all; use ieee_proposed.fixed_pkg.all; use ieee_proposed.float_pkg.all; --library modelsim_lib; --use modelsim_lib.util.all; architecture testbench of test_float_synth is subtype fp16 is float (6 downto -9); -- 16 bit function reverse ( inpvec : STD_LOGIC_VECTOR (0 to 31)) return float32 is variable result : float32; begin for i in 0 to 31 loop result (i-23) := inpvec(i); end loop; -- i return result; end function reverse; -- purpose: converts an float32 into a std_logic_vector -- function to_slv ( -- input : float32) -- float32 input -- return std_logic_vector is -- variable result : std_logic_vector (31 downto 0); -- result -- begin -- function to_slv -- floop: for i in float32'range loop -- result (i + fp_fraction_width) := input (i); -- end loop floop; -- return result; -- end function to_slv; -- purpose: converts a std_logic_vector to an float32 function to_float32x ( signal input : STD_LOGIC_VECTOR (31 downto 0)) return float32 is variable result : float32; begin -- function to_float32x return to_float (input, float32'high, -float32'low); end function to_float32x; procedure report_error ( constant errmes : STRING; -- error message actual : in float32; -- data from algorithm constant expected : float32) is -- reference data begin -- function report_error assert actual = expected report errmes & " miscompare" & CR & "Actual " & to_string (actual) & " (" & REAL'image(to_real(actual))& ") /= " & CR & "Expected " & to_string (expected) & " (" & REAL'image(to_real(expected))& ")" severity error; return; end procedure report_error; procedure report_error16 ( constant errmes : STRING; -- error message actual : in fp16; -- data from algorithm constant expected : fp16) is -- reference data begin -- function report_error assert actual = expected report errmes & " miscompare" & CR & "Actual " & to_string (actual) & " (" & REAL'image(to_real(actual))& ") /= " & CR & "Expected " & to_string (expected) & " (" & REAL'image(to_real(expected))& ")" severity error; return; end procedure report_error16; component float_synth is port ( in1, in2 : in STD_LOGIC_VECTOR(31 downto 0); -- inputs out1 : out STD_LOGIC_VECTOR(31 downto 0); -- output cmd : in STD_LOGIC_VECTOR (3 downto 0); clk, rst_n : in STD_ULOGIC); -- clk and reset end component float_synth; for all : float_synth use entity work.float_synth(rtl); constant clock_period : TIME := 500 ns; -- clock period signal stop_clock : BOOLEAN := false; -- stop the clock signal out1real : REAL; -- real version signal in1, in2 : float32; -- inputs signal out1 : float32; -- output constant zero0 : float32 := (others => '0'); -- zero signal cmd : STD_LOGIC_VECTOR (3 downto 0); -- command signal clk, rst_n : STD_ULOGIC; -- clk and reset signal in1slv, in2slv, out1slv : STD_LOGIC_VECTOR(31 downto 0); signal indelay : float32; -- spied signal begin -- architecture testbench out1real <= to_real (out1); in1slv <= to_slv(in1); in2slv <= to_slv(in2); out1 <= to_float32x(out1slv); DUT : float_synth port map ( in1 => in1slv, -- [in float32] inputs in2 => in2slv, -- [in float32] inputs out1 => out1slv, -- [out float32] output cmd => cmd, clk => clk, -- [in std_ulogic] clk and reset rst_n => rst_n); -- [in std_ulogic] clk and reset -- spy_process : process -- begin -- signal_force ("/DUT/in2reg3", "00000000000000000000000000000000", -- 500 ns, freeze, 5000 ns, 1); -- wait; -- end process spy_process; -- purpose: clock driver -- type : combinational -- inputs : -- outputs: clkprc : process is begin -- process clkprc if (not stop_clock) then clk <= '0'; wait for clock_period/2.0; clk <= '1'; wait for clock_period/2.0; else wait; end if; end process clkprc; -- purpose: reset driver -- type : combinational -- inputs : -- outputs: reset_proc : process is begin -- process reset_proc rst_n <= '0'; wait for clock_period * 2.0; rst_n <= '1'; wait; end process reset_proc; -- purpose: main test loop -- type : combinational -- inputs : -- outputs: tester : process is begin -- process tester cmd <= "0110"; -- 16 bit to float32 mode in1 <= "10000000000000000000001000101111"; -- 4.33 ufixed in2 <= "00000000000000000000000000000100"; -- 4 floop1: for i in 1 to 100 loop wait for clock_period; end loop floop1; cmd <= "0110"; -- 16 bit to float32 mode in1 <= "10000000000000000000001000101011"; -- 4.33 ufixed in2 <= "00000000000000000000000000000100"; -- 4 floop2: for i in 1 to 100 loop wait for clock_period; end loop floop2; cmd <= "0010"; in1 <= reverse("00000000000000000000101100000010"); -- 6.5 in2 <= reverse("00000000000000000001010001000010"); -- 42 wait for clock_period; in1 <= reverse("00000000000000000001010001000010"); -- 42 in2 <= reverse("00000000000000000000101100000010"); -- 6.5 wait for clock_period; in1 <= reverse("00000000000000000000101100000010"); -- 6.5 in2 <= reverse("00000000000000000000101100000010"); -- 6.5 wait for clock_period; in1 <= reverse("00000000000000000001010001000010"); -- 42 in2 <= "01000000000000000000000000000000"; -- 2 wait for clock_period; in1 <= "00111110101010101010101010101011"; -- 1/3 in2 <= "01000000000000000000000000000000"; -- 2 wait for clock_period; in1 <= reverse("00000000000000000001010001000010"); -- 42 in2 <= reverse("00000000000000000000101100000011"); -- -6.5 wait for clock_period; in1 <= reverse("10000000000000000000000000000000"); -- 2**-149 in2 <= "11000000000000000000000000000000"; -- -2.0 wait for clock_period; in1 <= reverse("00000000000000000000001000000000"); -- 2**-127 in2 <= "00111110100000000000000000000000"; -- 0.25 wait for clock_period; in1 <= reverse("00000000000000000001010001000010"); -- 42 in2 <= reverse("00000000000000000000101100000010"); -- 6.5 wait for clock_period; cmd <= "0001"; -- subtract mode in2 <= "01001011111001110011000110011011"; -- 30303030 in1 <= "01001011111001110011000110011100"; -- 30303033 wait for clock_period; in1 <= reverse("00000000000000000000101100000010"); -- 6.5 in2 <= "01000000100000000000000000000000"; -- 4 wait for clock_period; in2 <= reverse("00000000000000000000101100000010"); -- 6.5 in1 <= "01000000100000000000000000000000"; -- 4 wait for clock_period; in1 <= "01000000100010101010101010101011"; -- 4.333333 in2 <= "00111110101010101010101010101011"; -- 1/3 wait for clock_period; cmd <= "0000"; -- add mode in1 <= "00111110101010101010101010101011"; -- 1/3 in2 <= "01000000000000000000000000000000"; -- 2 wait for clock_period; in2 <= "00111110101010101010101010101011"; -- 1/3 in1 <= "01000000000000000000000000000000"; -- 2 wait for clock_period; in1 <= "00000000100000000000000000000001"; -- 2**-126 in2 <= "01000000100000000000000000000001"; -- 4+ wait for clock_period; cmd <= "0011"; -- divide mode in1 <= "00111111100000000000000000000000"; -- 1.0 in2 <= "01000000010000000000000000000000"; -- 3.0 wait for clock_period; in1 <= "01001100000011001011110001001111"; -- 36892987 in2 <= "00000000010000000000000000000000"; -- 2**-127 wait for clock_period; in1 <= "10111110101010101010101010101011"; -- -1/3 in2 <= "01000000000000000000000000000000"; -- 2 wait for clock_period; cmd <= "0100"; -- 32 to 16 conversion mode in1 <= "00111111100000000000000000000000"; -- 1.0 in2 <= (others => '0'); wait for clock_period; in1 <= "10111110101010101010101010101011"; -- -1/3, no round wait for clock_period; in1 <= "10111110101010101010101010101011"; -- -1/3 in2 <= "00000000000000000000000000000001"; -- opcode 1 wait for clock_period; cmd <= "0101"; -- conversion mode in1 <= "00111111100000000000000000000000"; -- 1.0 in2 <= "01000000000000000000000000000000"; -- opcode zero wait for clock_period; in1 <= "01000010001010000000000000000000"; -- 42.0 in2 <= "00000000000000000000000000000001"; -- opcode 1 wait for clock_period; in1 <= "10111111100000000000000000000000"; -- -1.0 in2 <= "00000000000000000000000000000010"; -- 2 wait for clock_period; in1 <= "00111111100000000000000000000000"; -- 1.0 in2 <= "00000000000000000000000000000011"; -- 3 wait for clock_period; in1 <= "01000000100010101010101010101011"; -- 4.333333 in2 <= "00000000000000000000000000000100"; -- 4 wait for clock_period; in1 <= "00111111100000000000000000000000"; -- 1.0 in2 <= "00000000000000000000000000000101"; -- 5 wait for clock_period; in1 <= "11000000100010101010101010101011"; -- -4.333333 in2 <= "00000000000000000000000000000110"; -- 6 to_sfixed wait for clock_period; in1 <= "00111111100000000000000000000000"; -- 1.0 in2 <= "00000000000000000000000000000111"; -- 7 to_sfixed wait for clock_period; cmd <= "0110"; -- 16 bit to float32 mode in1 <= "00000000000000000000000000000011"; -- 3 in2 <= "01000000000000000000000000000000"; -- mode 0 wait for clock_period; in1 <= "00000000000000000000000000000100"; -- 4 in2 <= "01000000000000000000000000000001"; -- 1 wait for clock_period; in1 <= "00000000000000001111111111111110"; -- -2 in2 <= "01000000000000000000000000000010"; -- 2 to_float(signed) wait for clock_period; in1 <= "00000000000000000000000000000100"; -- 4 in2 <= "01000000000000000000000000000011"; -- mode 3 wait for clock_period; in1 <= "10000000000000000000001000101011"; -- 4.33 ufixed in2 <= "00000000000000000000000000000100"; -- 4 wait for clock_period; in1 <= "10100000000000000000000010000000"; -- 1.0 ufixed in2 <= "00000000000000000000000000000101"; -- 5 wait for clock_period; in1 <= "11000000000000001111110111010101"; -- -4.333 sfixed in2 <= "00000000000000000000000000000110"; -- 6 wait for clock_period; in1 <= "10100000000000000000000010000000"; -- 1.0 sfixed in2 <= "00000000000000000000000000000111"; -- 7 wait for clock_period; cmd <= "0111"; -- Mod in1 <= "00000000000000000000000000000011"; -- in2 <= "00000000000000000000000000000011"; -- wait for clock_period; in1 <= "00000010001100101111000100111011"; -- 36892987 in2 <= "00000010001100101111000100111011"; -- 36892987 wait for clock_period; in1 <= "11000000100010101010101010101011"; -- -4.333333 in2 <= "01000000100000000000000000000000"; -- 4 wait for clock_period; cmd <= "1000"; -- rem in1 <= "00000000000000000000000000000011"; -- in2 <= "00000000000000000000000000000011"; -- wait for clock_period; in1 <= "00000010001100101111000100111011"; -- 36892987 in2 <= "00000010001100101111000100111011"; -- 36892987 wait for clock_period; in1 <= "11000000100010101010101010101011"; -- -4.333333 in2 <= "01000000100000000000000000000000"; -- 4 wait for clock_period; cmd <= "1001"; -- constants conversion in2 <= "11000000000000000000000000000000"; -- command 0 wait for clock_period; in2 <= "11000000000000000000000000000001"; -- command 1 wait for clock_period; in2 <= "11000000000000000000000000000010"; -- command 2 wait for clock_period; in2 <= "11000000000000000000000000000011"; -- command 3 wait for clock_period; in2 <= "11000000000000000000000000000100"; -- command 4 wait for clock_period; in2 <= "11000000000000000000000000000101"; -- command 5 wait for clock_period; in2 <= "11000000000000000000000000000110"; -- command 6 wait for clock_period; in2 <= "11000000000000000000000000000111"; -- command 7 wait for clock_period; cmd <= "1010"; -- conversions in1 <= to_float (1, in1); in2 <= "11000000000000000000000000000000"; -- command 0 wait for clock_period; in1 <= to_float (-2, in1); wait for clock_period; in2 <= "11000000000000000000000000000001"; -- command 1 wait for clock_period; in1 <= to_float (1, in1); wait for clock_period; in2 <= "00010000000000000000000000000010"; -- command 2 scalb in1 <= to_float (1, in1); wait for clock_period; in2 <= "11110000000000000000000000000010"; -- command 2 scalb in1 <= to_float (1, in1); wait for clock_period; in2 <= "11000000000000000000000000000011"; -- command 3 logb in1 <= to_float (1, in1); wait for clock_period; in2 <= "11000000000000000000000000000011"; -- command 3 logb in1 <= to_float (0.25, in1); wait for clock_period; in2 <= "11000000000000000000000000000100"; -- 4 nextafter in1 <= to_float (1, in1); wait for clock_period; in1 <= to_float (4, in1); wait for clock_period; in2 <= "11000000000000000000000000000101"; -- 5 nextafter in1 <= to_float (1, in1); wait for clock_period; in1 <= to_float (-4, in1); wait for clock_period; in2 <= "11000000000000000000000000000110"; -- 6 nextafter in1 <= to_float (1, in1); wait for clock_period; in1 <= to_float (4, in1); wait for clock_period; in2 <= "11000000000000000000000000000111"; -- 7 nextafter in1 <= to_float (1, in1); wait for clock_period; in1 <= to_float (-4, in1); wait for clock_period; cmd <= "1011"; -- copy sign in1 <= to_float (2, in1); in2 <= to_float (2, in1); wait for clock_period; in1 <= to_float (-3, in1); in2 <= to_float (3, in1); wait for clock_period; in1 <= to_float (4, in1); in2 <= to_float (-4, in1); wait for clock_period; in1 <= to_float (-5, in1); in2 <= to_float (-5, in1); wait for clock_period; cmd <= "1100"; -- compare test in1 <= to_float (15, in1); in2 <= to_float (15, in1); wait for clock_period; in1 <= to_float (15.5, in1); in2 <= to_float (-2, in1); wait for clock_period; in1 <= to_float (-2, in1); in2 <= to_float (2, in1); wait for clock_period; in1 <= "01111111100000000000000000000000"; -- + inf in2 <= to_float (-2, in1); wait for clock_period; in1 <= "01111111100000000000000000000001"; -- NAN in2 <= to_float (-2, in1); wait for clock_period; cmd <= "1101"; -- boolean test in1 <= "01111111100000000000000000000000"; -- + inf in2 <= "00111111100000000000000000000000"; -- command 0 , not wait for clock_period; in1 <= "01111111100000000000000000000000"; -- + inf in2 <= "00111111100000000000000000000001"; -- command 1, and wait for clock_period; in1 <= "01111111000000000000000000000000"; -- + inf in2 <= "00111111000000000000000000000010"; -- command 2, or wait for clock_period; in1 <= "01111111100000000000000000000000"; -- + inf in2 <= "00111111100000000000000000000011"; -- command 3, nand wait for clock_period; in1 <= "01111111100000000000000000000000"; -- + inf in2 <= "00111111100000000000000000000100"; -- command 4, nor wait for clock_period; in1 <= "01111111100000000000000000000000"; -- + inf in2 <= "00111111100000000000000000000101"; -- command 5, xor wait for clock_period; in1 <= "01111111100000000000000000000000"; -- + inf in2 <= "00111111100000000000000000000110"; -- command 6, xnor wait for clock_period; in1 <= "01111111100000000000000000000000"; -- + inf in2 <= "00111111100000000000000000000111"; -- command 7, xor '1' wait for clock_period; cmd <= "1110"; -- reduce and vector test test in1 <= "01111111100000000000000000000000"; -- + inf in2 <= "00111111100000000000000000000000"; -- command 0, wait for clock_period; in1 <= "11111111111111111111111111111111"; -- all 1 wait for clock_period; in1 <= "10000000000000000000000000000000"; -- -0 wait for clock_period; in1 <= "00000000000000000000000000000000"; -- 0 wait for clock_period; in1 <= "01111111100000000000000000000000"; -- + inf in2 <= "00111111100000000000000000000001"; -- command 1, and '0' wait for clock_period; in2 <= "10111111100000000000000000000001"; -- command 1, and '1' wait for clock_period; in2 <= "00111111100000000000000000000010"; -- command 2, or '0' wait for clock_period; in2 <= "10111111100000000000000000000010"; -- command 2, or '1' wait for clock_period; in2 <= "00111111100000000000000000000011"; -- command 3, nand '0' wait for clock_period; in2 <= "10111111100000000000000000000011"; -- command 3, nand '1' wait for clock_period; in2 <= "00111111100000000000000000000100"; -- command 4, nor '0' wait for clock_period; in2 <= "10111111100000000000000000000100"; -- command 4, nor '1' wait for clock_period; in2 <= "00111111100000000000000000000101"; -- command 5, xor '0' wait for clock_period; in2 <= "10111111100000000000000000000101"; -- command 5, xor '1' wait for clock_period; in2 <= "00111111100000000000000000000110"; -- command 6, xnor '0' wait for clock_period; in2 <= "10111111100000000000000000000110"; -- command 6, xnor '1' wait for clock_period; in2 <= "00111111100000000000000000000111"; -- command 7, and '0' wait for clock_period; in2 <= "10111111100000000000000000000111"; -- command 7, and '1' wait for clock_period; cmd <= "1111"; -- add and mult by constant in2 <= "10111111100000000000000000000000"; -- command 0, + 1 in1 <= to_float (2, in1); wait for clock_period; in2 <= "10111111100000000000000000000001"; -- command 1, 1 + wait for clock_period; in2 <= "10111111100000000000000000000010"; -- command 2, + 1.0 wait for clock_period; in2 <= "10111111100000000000000000000011"; -- command 3, 1.0 + wait for clock_period; in2 <= "10111111100000000000000000000100"; -- command 4, * 1 wait for clock_period; in2 <= "10111111100000000000000000000101"; -- command 5, 1 * wait for clock_period; in2 <= "10111111100000000000000000000110"; -- command 6, * 1.0 wait for clock_period; in2 <= "10111111100000000000000000000111"; -- command 7, 1.0 * wait for clock_period; wait for clock_period; cmd <= "0000"; -- add mode in1 <= (others => '0'); in2 <= (others => '0'); wait for clock_period; wait for clock_period; wait for clock_period; wait for clock_period; wait for clock_period; wait for clock_period; wait for clock_period; wait for clock_period; wait; end process tester; -- purpose: check the output of the tester -- type : combinational -- inputs : -- outputs: checktest : process is variable out16, out16t : fp16; -- 16 bit fp variables variable out1t, out2t : float32; -- 32 bit float variable s16, s16t : SIGNED(7 downto 0); -- 7 bit SIGNED variable latency : INTEGER := 0; begin -- process checktest wait for clock_period/2.0; floop3: for i in 1 to 100 loop wait for clock_period; end loop floop3; latency := 0; out2t := "01000000100010101100000000000000"; wl1: while out1 /= out2t loop wait for clock_period; latency := latency + 1; assert latency /= 100 report "After 100 loops, pattern never found" severity failure; end loop wl1; report "Latency was " & INTEGER'image(latency) severity note; floop4: for i in 1 to 100 loop wait for clock_period; end loop floop4; report_error ("42 * 6.5 error", out1, to_float (273, out1)); wait for clock_period; report_error ("6.5 * 42 error", out1, to_float (273, out1'high, -out1'low)); wait for clock_period; report_error ("Multiply 42.25 miscompare", out1, "01000010001010010000000000000000"); -- 42.25 wait for clock_period; report_error ("Multiply 84 miscompare", out1, "01000010101010000000000000000000"); -- 84 wait for clock_period; report_error ("Multiply 2/3 miscompare", out1, "00111111001010101010101010101011"); -- 2/3 wait for clock_period; report_error ("Multiply -273 miscompare", out1, "11000011100010001000000000000000"); -- -273 wait for clock_period; report_error ("mult 2**-148 test miscompare", out1, reverse("01000000000000000000000000000001")); -- -2*-148 wait for clock_period; report_error ("Multiply 2**-129 miscompare", out1, reverse("00000000000000000000100000000000")); -- 2**-129 wait for clock_period; report_error ("6.5 * 42 error", out1, to_float (273, out1)); wait for clock_period; report_error ("Subtract 30303033 - 30303030 miscompare", out1, "01000000000000000000000000000000"); -- 2 (not 3, rounding) wait for clock_period; report_error ("Subtract 6.5 - 4 miscompare", out1, "01000000001000000000000000000000"); -- 2.5 wait for clock_period; report_error ("Subtract 4 - 6.5 miscompare", out1, "11000000001000000000000000000000"); -- -2.5 wait for clock_period; report_error ("Subtract 4.333 - 1/3 miscompare", out1, "01000000100000000000000000000000"); -- 4 wait for clock_period; report_error ("Add 2.333 miscompare", out1, "01000000000101010101010101010101"); -- 2.333333 wait for clock_period; report_error ("Add 2.333 rev miscompare", out1, "01000000000101010101010101010101"); -- 2.333333 wait for clock_period; report_error ("Add 4 + miscompare", out1, "01000000100000000000000000000001"); -- 4 wait for clock_period; report_error ("div 1/3 test miscompare", out1, "00111110101010101010101010101011"); -- 1/3 wait for clock_period; report_error ("div 369297/2**-126 test miscompare", out1, "01111111100000000000000000000000"); wait for clock_period; report_error ("-1/6 test miscompare", out1, "10111110001010101010101010101011"); -- -1/6 wait for clock_period; -- resize function out16 := to_float (to_slv (out1(-8 downto -23)), 6, 9); out16t := to_float (1, out16t); report_error16 ("1.0 fp16 converserion", out16, out16t); wait for clock_period; out16 := to_float (to_slv (out1(-8 downto -23)), 6, 9); out16t := to_float (arg => -1.0/3.0, size_res => out16t, round_style => round_zero); report_error16 ("-1/3 not rounded fp16 converserion", out16, out16t); wait for clock_period; out16 := to_float (to_slv (out1(-8 downto -23)), 6, 9); out16t := to_float (-1.0/3.0, out16t); report_error16 ("-1/3 fp16 converserion", out16, out16t); -- conversion test wait for clock_period; report_error ("1.0 to unsigned miscompare", out1, "00000000000000000000000000000001"); wait for clock_period; report_error ("42 to unsigned miscompare", out1, "00100000000000000000000000101010"); wait for clock_period; report_error ("-1.0 to signed miscompare", out1, "01000000000000001111111111111111"); wait for clock_period; report_error ("1.0 to signed miscompare", out1, "01100000000000000000000000000001"); wait for clock_period; report_error ("4.33 to ufixed miscompare", out1, "10000000000000000000001000101011"); wait for clock_period; report_error ("1.0 to ufixed miscompare", out1, "10100000000000000000000010000000"); wait for clock_period; report_error ("4.333 to sfixed miscompare", out1, "11000000000000001111110111010101"); wait for clock_period; report_error ("1.0 to sfixed miscompare", out1, "11100000000000000000000010000000"); wait for clock_period; report_error ("unsigned 3 to float miscompare", out1, to_float(3, out1)); wait for clock_period; report_error ("unsigned 4 to float miscompare", out1, to_float(4, out1)); wait for clock_period; report_error ("signed -2 to float miscompare", out1, to_float(-2, out1)); wait for clock_period; report_error ("signed 4 to float miscompare", out1, to_float(4, out1)); wait for clock_period; report_error ("ufixed 4.333 to float miscompare", out1, "01000000100010101100000000000000"); -- 4.333333 wait for clock_period; report_error ("ufixed 1.0 to float miscompare", out1, "00111111100000000000000000000000"); -- 1.0 wait for clock_period; report_error ("sfixed -4.333 to float miscompare", out1, "11000000100010101100000000000000"); -- -4.333333 wait for clock_period; report_error ("sfixed 1.0 to float miscompare", out1, "00111111100000000000000000000000"); -- 1.0 wait for clock_period; report_error ("denormal mod denormal miscompare", out1, zero0); wait for clock_period; report_error ("large mod large miscompare", out1, zero0); wait for clock_period; report_error ("-4.333 mod 4 miscompare", out1, from_string ("01000000011010101010101010101010", out1)); wait for clock_period; report_error ("denormal rem denormal miscompare", out1, zero0); wait for clock_period; report_error ("large rem large miscompare", out1, zero0); wait for clock_period; out1t := "10111110101010101010101010110000"; report_error ("-4.333 rem 4 miscompare", out1, out1t); wait for clock_period; report_error ("to_float(0) miscompare", out1, zero0); wait for clock_period; report_error ("to_float(0.0) miscompare", out1, zero0); wait for clock_period; report_error ("to_float(8) miscompare", out1, to_float(8.0, out1)); wait for clock_period; report_error ("to_float(8.0) miscompare", out1, to_float(8, out1)); wait for clock_period; report_error ("to_float(-8) miscompare", out1, to_float(-8.0, out1)); wait for clock_period; report_error ("to_float(-8.0) miscompare", out1, to_float(-8, out1)); wait for clock_period; report_error ("to_float(27000) miscompare", out1, to_float(27000.0, out1)); wait for clock_period; report_error ("to_float(PI) miscompare", out1, to_float(3.141592653589, out1)); -- Conversion test wait for clock_period; report_error ("-1 miscompare", out1, to_float(-1, out1)); wait for clock_period; report_error ("-(-2) miscompare", out1, to_float(2, out1)); wait for clock_period; report_error ("abs(-2) miscompare", out1, to_float(2, out1)); wait for clock_period; report_error ("abs(1) miscompare", out1, to_float(1, out1)); wait for clock_period; report_error ("scalb (1, 1) miscompare", out1, to_float(2, out1)); wait for clock_period; report_error ("scalb (1, -1) miscompare", out1, to_float(0.5, out1)); wait for clock_period; s16 := SIGNED (to_slv (out1(-16 downto -23))); assert (s16 = 0) report "logb (1) returned " & to_string(to_sfixed(s16)) severity error; wait for clock_period; s16 := SIGNED (to_slv (out1(-16 downto -23))); assert (s16 = -2) report "logb (0.25) returned " & to_string(to_sfixed(s16)) severity error; wait for clock_period; out1t := "00111111100000000000000000000001"; report_error ("nextafter (1, 1.5)", out1, out1t); wait for clock_period; out1t := "01000000011111111111111111111111"; report_error ("nextafter (4, 1.5)", out1, out1t); wait for clock_period; out1t := "00111111011111111111111111111111"; report_error ("nextafter (1, -1.5)", out1, out1t); wait for clock_period; out1t := "11000000011111111111111111111111"; report_error ("nextafter (-4, -1.5)", out1, out1t); wait for clock_period; out1t := "00111111100000000000000000000001"; report_error ("nextafter (1, inf)", out1, out1t); wait for clock_period; out1t := "01000000100000000000000000000001"; report_error ("nextafter (4, inf)", out1, out1t); wait for clock_period; out1t := "00111111011111111111111111111111"; report_error ("nextafter (1, neginf)", out1, out1t); wait for clock_period; out1t := "11000000100000000000000000000001"; report_error ("nextafter (-4, neginf)", out1, out1t); wait for clock_period; report_error ("Copysign (2,2)", out1, to_float(2, out1)); wait for clock_period; report_error ("Copysign (-3,3)", out1, to_float(3, out1)); wait for clock_period; report_error ("Copysign (4,-4)", out1, to_float(-4, out1)); wait for clock_period; report_error ("Copysign (-5,-5)", out1, to_float(-5, out1)); wait for clock_period; out1t := "10001110000000000000000000000000"; report_error ("compare test 15, 15", out1, out1t); wait for clock_period; out1t := "01101001000000000000000000000000"; report_error ("compare test 15.5, -2", out1, out1t); wait for clock_period; out1t := "01010100000000000000000000000000"; report_error ("compare test -2, 2", out1, out1t); wait for clock_period; out1t := "01101000010000000000000000000000"; report_error ("compare test inf, -2", out1, out1t); wait for clock_period; out1t := "01000000101000000000000000000000"; report_error ("compare test NAN, -2", out1, out1t); wait for clock_period; out1t := "10000000011111111111111111111111"; -- not + inf report_error ("not +inf", out1, out1t); wait for clock_period; out1t := "00111111100000000000000000000000"; -- and report_error ("and +inf", out1, out1t); wait for clock_period; out1t := "01111111000000000000000000000010"; -- or report_error ("or +inf", out1, out1t); wait for clock_period; out1t := "11000000011111111111111111111111"; -- nand report_error ("nand +inf", out1, out1t); wait for clock_period; out1t := "10000000011111111111111111111011"; -- nor report_error ("nor +inf", out1, out1t); wait for clock_period; out1t := "01000000000000000000000000000101"; -- xor report_error ("xor +inf", out1, out1t); wait for clock_period; out1t := "10111111111111111111111111111001"; -- xnor report_error ("xnor +inf", out1, out1t); wait for clock_period; out1t := "10000000011111111111111111111111"; -- xnor '1' report_error ("+inf xor '1'", out1, out1t); wait for clock_period; out1t := "01100100000000000000000000000000"; -- reduce test report_error ("_reduce test", out1, out1t); wait for clock_period; out1t := "10100100000000000000000000000000"; -- reduce test report_error ("_reduce all 1 test", out1, out1t); wait for clock_period; out1t := "01101000000000000000000000000000"; -- reduce test report_error ("_reduce -0 test", out1, out1t); wait for clock_period; out1t := "01010100000000000000000000000000"; -- reduce test report_error ("_reduce 0 test", out1, out1t); wait for clock_period; out1t := "00000000000000000000000000000000"; -- 0 report_error ("and 0 test", out1, out1t); wait for clock_period; out1t := "01111111100000000000000000000000"; -- + inf report_error ("and 1 test", out1, out1t); wait for clock_period; out1t := "01111111100000000000000000000000"; -- + inf report_error ("or 0 test", out1, out1t); wait for clock_period; out1t := "11111111111111111111111111111111"; -- all 1 assert (to_slv (out1) = to_slv (out1t)) report "or 1 test error " & to_string (out1) & " /= " & to_string (out1t) severity error; wait for clock_period; out1t := "11111111111111111111111111111111"; -- all 1 assert (to_slv (out1) = to_slv (out1t)) report "nand 0 test error " & to_string (out1) & " /= " & to_string (out1t) severity error; wait for clock_period; out1t := "10000000011111111111111111111111"; -- - denormal report_error ("nand 1 test", out1, out1t); wait for clock_period; out1t := "10000000011111111111111111111111"; -- - denormal report_error ("nor 0 test", out1, out1t); wait for clock_period; out1t := "00000000000000000000000000000000"; -- 0 report_error ("nor 1 test", out1, out1t); wait for clock_period; out1t := "01111111100000000000000000000000"; -- + inf report_error ("xor 0 test", out1, out1t); wait for clock_period; out1t := "10000000011111111111111111111111"; -- - denormal report_error ("xor 1 test", out1, out1t); wait for clock_period; out1t := "10000000011111111111111111111111"; -- - denormal report_error ("xnor 0 test", out1, out1t); wait for clock_period; out1t := "01111111100000000000000000000000"; -- + inf report_error ("xnor 1 test", out1, out1t); wait for clock_period; out1t := "00000000000000000000000000000000"; -- 0 report_error ("and 0 test", out1, out1t); wait for clock_period; out1t := "01111111100000000000000000000000"; -- + inf report_error ("and 1 test", out1, out1t); wait for clock_period; out1t := to_float(3, out1t); report_error ("2 + 1 test", out1, out1t); wait for clock_period; report_error ("1 + 2 test", out1, out1t); wait for clock_period; report_error ("2 + 1.0 test", out1, out1t); wait for clock_period; report_error ("1.0 + 2 test", out1, out1t); wait for clock_period; out1t := to_float(2, out1t); report_error ("2 * 1 test", out1, out1t); wait for clock_period; report_error ("1 * 2 test", out1, out1t); wait for clock_period; report_error ("2 * 1.0 test", out1, out1t); wait for clock_period; report_error ("1.0 * 2 test", out1, out1t); wait for clock_period; assert (false) report "Testing complete" severity note; stop_clock <= true; wait; end process checktest; end architecture testbench;
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.std_logic_unsigned.all; use ieee.math_real.all; -- todo: optimize entity Ram is Port ( clk : in std_logic; re : in std_logic_vector (1 downto 0); we : in std_logic_vector (1 downto 0); addr : in integer range 0 to 16#1FFFF#; dat_r : out std_logic_vector (15 downto 0); dat_w : in std_logic_vector (15 downto 0) ); end Ram; architecture Behavioral of Ram is component Mem is port ( clka : in std_logic; ena : in std_logic; wea : in std_logic_vector(0 downto 0); addra : in std_logic_vector(16 downto 0); dina : in std_logic_vector(7 downto 0); douta : out std_logic_vector(7 downto 0) ); end component; signal ram_en : std_logic := '0'; signal ram_we : std_logic := '0'; signal ram_addr : std_logic_vector(16 downto 0) := (others => '0'); signal ram_dat_in : std_logic_vector(7 downto 0) := (others => '0'); signal ram_dat_out : std_logic_vector(7 downto 0) := (others => '0'); begin mem0: Mem port map ( clka => clk, ena => ram_en, wea(0) => ram_we, addra => ram_addr, dina => ram_dat_in, douta => ram_dat_out ); --process (clk) -- type state_type is (S0, S1, S2, S3); -- variable state : state_type := S0;-- The current/next state -- variable tmp : std_logic_vector(15 downto 0) := (others => '0'); --begin -- if rising_edge(clk) then -- ram_en <= '1'; -- ram_we <= '0'; -- case state is -- when S0 => -- state := S1; -- when S1 => -- ram_addr <= conv_std_logic_vector(addr, 17); -- state := S2; -- when S2 => -- ram_addr <= conv_std_logic_vector(addr + 1, 17); -- -- Write first byte if required -- if we(1) = '1' then -- ram_we <= '1'; -- ram_dat_in <= dat_w(15 downto 8); -- end if; -- -- Read fist byte if required -- if re(1) = '1' then -- tmp(15 downto 8) := ram_dat_out; -- else -- tmp(15 downto 8) := (others => 'U'); -- end if; -- state := S3; -- when S3 => -- -- Write second byte if required -- if we(0) = '1' then -- ram_we <= '1'; -- ram_dat_in <= dat_w(7 downto 0); -- end if; -- -- Read second byte if required -- if re(0) = '1' then -- tmp(7 downto 0) := ram_dat_out; -- else -- tmp(7 downto 0) := (others => 'U'); -- end if; -- dat_r <= tmp; -- state := S0; -- end case; -- end if; --end process; process (clk) type state_type is (S0, S1, S2, S3, S4, S5); variable state : state_type := S0;-- The current/next state variable tmp : std_logic_vector(15 downto 0) := (others => '0'); begin if rising_edge(clk) then ram_en <= '1'; ram_we <= '0'; case state is when S0 => state := S1; when S1 => dat_r <= (others => 'U'); ram_addr <= conv_std_logic_vector(addr, 17); state := S2; when S2 => -- Write first byte if required if we(1) = '1' then ram_we <= '1'; ram_dat_in <= dat_w(15 downto 8); end if; state := S3; when S3 => -- Read fist byte if required if re(1) = '1' then tmp(15 downto 8) := ram_dat_out; else tmp(15 downto 8) := (others => 'U'); end if; state := S4; ram_addr <= conv_std_logic_vector(addr + 1, 17); when S4 => -- Write second byte if required if we(0) = '1' then ram_we <= '1'; ram_dat_in <= dat_w(7 downto 0); end if; state := S5; when S5 => -- Read second byte if required if re(0) = '1' then tmp(7 downto 0) := ram_dat_out; else tmp(7 downto 0) := (others => 'U'); end if; dat_r <= tmp; state := S0; end case; end if; end process; end Behavioral;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc1598.vhd,v 1.2 2001-10-26 16:29:42 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c08s11b00x00p03n01i01598ent IS END c08s11b00x00p03n01i01598ent; ARCHITECTURE c08s11b00x00p03n01i01598arch OF c08s11b00x00p03n01i01598ent IS BEGIN TESTING: PROCESS variable p : integer := 0; variable done : boolean := false; BEGIN L1 : for i in boolean loop while not done loop done := true ; exit ; p := 0; end loop ; p := p + 1; end loop L1; assert NOT( p=2 ) report "***PASSED TEST: c08s11b00x00p03n01i01598" severity NOTE; assert ( p=2 ) report "***FAILED TEST: c08s11b00x00p03n01i01598 - An exit statement used without a loop label only occurs within a loop and refers only to the lowest level, or innermost, loop." severity ERROR; wait; END PROCESS TESTING; END c08s11b00x00p03n01i01598arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc1598.vhd,v 1.2 2001-10-26 16:29:42 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c08s11b00x00p03n01i01598ent IS END c08s11b00x00p03n01i01598ent; ARCHITECTURE c08s11b00x00p03n01i01598arch OF c08s11b00x00p03n01i01598ent IS BEGIN TESTING: PROCESS variable p : integer := 0; variable done : boolean := false; BEGIN L1 : for i in boolean loop while not done loop done := true ; exit ; p := 0; end loop ; p := p + 1; end loop L1; assert NOT( p=2 ) report "***PASSED TEST: c08s11b00x00p03n01i01598" severity NOTE; assert ( p=2 ) report "***FAILED TEST: c08s11b00x00p03n01i01598 - An exit statement used without a loop label only occurs within a loop and refers only to the lowest level, or innermost, loop." severity ERROR; wait; END PROCESS TESTING; END c08s11b00x00p03n01i01598arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc1598.vhd,v 1.2 2001-10-26 16:29:42 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c08s11b00x00p03n01i01598ent IS END c08s11b00x00p03n01i01598ent; ARCHITECTURE c08s11b00x00p03n01i01598arch OF c08s11b00x00p03n01i01598ent IS BEGIN TESTING: PROCESS variable p : integer := 0; variable done : boolean := false; BEGIN L1 : for i in boolean loop while not done loop done := true ; exit ; p := 0; end loop ; p := p + 1; end loop L1; assert NOT( p=2 ) report "***PASSED TEST: c08s11b00x00p03n01i01598" severity NOTE; assert ( p=2 ) report "***FAILED TEST: c08s11b00x00p03n01i01598 - An exit statement used without a loop label only occurs within a loop and refers only to the lowest level, or innermost, loop." severity ERROR; wait; END PROCESS TESTING; END c08s11b00x00p03n01i01598arch;
-- ------------------------------------------------------------- -- -- Generated Configuration for vgca_top -- -- Generated -- by: wig -- on: Wed Aug 18 12:40:14 2004 -- cmd: H:/work/mix_new/MIX/mix_0.pl -strip -nodelta ../../bugver.xls -- -- !!! Do not edit this file! Autogenerated by MIX !!! -- $Author: wig $ -- $Id: vgca_top-struct-conf-c.vhd,v 1.2 2004/08/18 10:46:56 wig Exp $ -- $Date: 2004/08/18 10:46:56 $ -- $Log: vgca_top-struct-conf-c.vhd,v $ -- Revision 1.2 2004/08/18 10:46:56 wig -- reworked some testcases -- -- -- Based on Mix Entity Template built into RCSfile: MixWriter.pm,v -- Id: MixWriter.pm,v 1.45 2004/08/09 15:48:14 wig Exp -- -- Generator: mix_0.pl Version: Revision: 1.32 , [email protected] -- (C) 2003 Micronas GmbH -- -- -------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; -- No project specific VHDL libraries/conf -- -- Start of Generated Configuration vgca_top_struct_conf / vgca_top -- configuration vgca_top_struct_conf of vgca_top is for struct -- Generated Configuration for i_adc : vgca_video_adcs use configuration work.vgca_video_adcs_struct_conf; end for; for i_cadc : vgca_cadc8_vin6 use configuration work.vgca_cadc8_vin6_struct_conf; end for; for i_dac : vgca_dac use configuration work.vgca_dac_struct_conf; end for; for i_padframe : padframe use configuration work.padframe_empty_conf; end for; for i_vgca : vgca use configuration work.vgca_struct_conf; end for; for i_vgca_dcs_shell : vgca_dcs_shell use configuration work.vgca_dcs_shell_struct_cfg; end for; for i_vgca_mcc_shell : vgca_mcc_shell use configuration work.vgca_mcc_shell_struct_cfg; end for; for i_vgca_tc : vgca_tc use configuration work.vgca_tc_struct_conf; end for; end for; end vgca_top_struct_conf; -- -- End of Generated Configuration vgca_top_struct_conf -- -- --!End of Configuration/ies -- --------------------------------------------------------------
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA package int_types is type small_int is range 0 to 255; end package int_types;