content
stringlengths
1
1.04M
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA package int_types is type small_int is range 0 to 255; end package int_types;
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA package int_types is type small_int is range 0 to 255; end package int_types;
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block W8BTxozyUsN2F6BjwRpQ42E+TujraEVKRNxlVQMmjMjEwSUb+2s/9r7M9+9lqZchtUOesX9+piND 1wcCUUCy7Q== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block oI/LTdi3eVpOco6HQnYXoyfPCEuo/LfAXbvrO0tV9YGGwVCn2CUNzYl3JN7CQL/xe4pLyAKZQaMj HAa2pW7ncGmkLUidKmMK24fK1s6TXopE8cF7YxREGtgRC7aJOibofX9Ogcrru41CTCbdJgWCFHos suR57vjMoIlgGJQ4W7c= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block bHl/QJUYlA/ScW0xSwRrs5EF1Jk46e66BBLINgQIFTDiS6wQLsM9W8ubvHql8w7h3EDwrvDybQzy bgO51YsncDymBOShwtuoUUI1xKcF4+HxMrP26tcJwdDWr1DOjPZJvhn+yTssqx46K+ZLZY5JJ5kL +JFdyogxAsyJ8pZHJi6KSceHjqKYqpSgRbG60TFe5iewx7soVGPJiYWNbvWKstrZFPmvUZRYceLp JWJp83yJPrfuuIklMGOwXkZaqsHkjQNeeJuVyNH2M5mDpERHSk5ZK0EKbynVWx9OeUJTwN1JK5xk xNdmB9KGoUNCXmPLRfgUmpjGp367VWZGqvrSDg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block nJ45HTbnUaxsP5OcIUbGi+R3kyoohlxuB1IwzMnBuG9f6vydAS5bqyYwD/Axcx17UOHXWIZB/ZZT t1oa80cuA7F0vNHqRo3ONsL4Us1WlC/zQJTR3G9zx4GZ7dbXyb44eoGMOS2vIFErztGt/M1M+09+ rrKNXvcUFD261fFA/nU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block dyvaUtYZ9xilQ/zyjGw7jv/udjaIjl41Kz3OxJOVoVlSEnWNI/m9jMn9f4aHC/GbxsI2xkNakKFQ EGOxvB0qNsnGrES16l4WuuaWrtg360YLYOHvWQRh/iauBb5c/JAN1fb0TQyX+7f/z0CPAg+5L3h/ ubYn0iWaxt8JG+6Y4I8ADgM8N6CzGq/8lJw4/3f6SxioSiORIzpzSiEdLNUAHWBLaigVvMK3vkhH RoB0pQzlaI5PDkpi7SlefyeEcA9L37TBBo4O34g8jrraNDwjdJt3rXgOtZKAYLZoxx4L2OMqQf91 kxAEfmTV81CWBR7YiAWk+slie1cpyqBSlBiEGg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 30848) `protect data_block KV1RooykKxUVVP22XhI6BYdwHEwv1s/Fayg/EvsgOFSdLJ38EL1wvzQij2QAUYLIOzcj+DfnCpBf Uvf5izii8DlunQsARQB5UKzYZLAdyNBLGgl62o8c45tDeFnZBkbu1JNrwJ/J8njeD1u2FTNKpTlA yThrUdrdICtyCr6uziUR/3NGcklzKNnx9xaD6Uuslx3975UmOYdjGvLbUDt4tOle0hHIqFUBnSE9 XHhJyNH2yAMdw1yoQu2rx+pP3MTPsA+4DRzLgv+CCvAEm1+2NsDm3ksPb4X4M6Fnq/tYxmDu/SNL G0FGOkmcVun+ui78cI5HDCKU8sE2GM/IjKEBbMISkGDSZMNUsmRNP8rJDAjEhjdq+mG0nes3eXC0 RVmEAiI/W83fXVJjHFtiADPFaCUV8XOeCvdKwuVA0YFLEXzHqjjWP6xWf22Iqn9zSdWOzrNbV6GK hvgTFQH9ISMKHqG+3NNV73xW1zA/6N60BACUpu4Y3Uf2IsbSBoWeU90EjBV+sJSaA/hsqqdcJ8ki RnqYdBcrJ1k/HRIF8qmD0SAfepKmqHwUzI926opuQ2TIucOOAEOFtDXWANdrTGnwYsYnWOVq/NqY PYlWwC2fEUUc3idjMpEfW7vk74TCXOqk+uqrdXRo76PVE2vvBAJwXdDdPfGI/mNqte0JM8HCyxPG rrvQ1G4KpxwB1kETZlVpqFblDMxXavZJUtx2t1HLTo+lAut0i9jwHXUUbUoCWRSh4wgnUwzIuxIj /4hqspQIRyW4rJg1wgA/6wiuDHZqRON9FJjCgDsfuXBWL99hqVqzTuCdWQ2qcCPq8ZuvBZHMqzru 6XarPp6XTJuYRWP6kgCJ2eY1UUKQA0f2QEz4FoLtCHzTqbgZuou6IHxxoj1hRWcWn0FuhgCibrGT jxn9iOyPra98562L+ZyJoEw7HsCgowRltIWqxKlpiqolJ6w4FnH2MW10nPsraBQ1rSJzZ3PLEPWG pRdRHBicF1jBrNeXQ1nuUUSgcBfHMbHVoXjSjZ4FE089QBTOHl2aYA1heDdDMQUaq2vk8EDpPuR0 CPjHDFlQJ2T5eWlpPeuRFclqzZSFZZBNG2Llh29PoWWisS+FAXuWmKrHe5K7URA06cl+1+dof99n Exl96GgN97/fXCQiy1tRyauxolAVhkO1Ytm9qRWowAJyQCJnITFlrYvG4UwqcLoX6ZqIp9NFPGZU lWG90jNJep1UjtHVf3z6ey4SNtd8TqSPYZx9IQVJYXQk1jD5uzU9X0TO2rQREc2xHviHUS6QSKTW DXc4272oqo8VXw+TmzgQuNVAszCPTDMMhBQ25mw+Lft2iCnzjOvu9zzBwS0awkzs72c2GaKtR+wB X8Fko8xrycHlmo6d6/hzDkUe6H6Hrsil51jp2w6/SU8wHesSgEJfeDzXzeBEyUKz/AkMjjxFkrNv BKffimb4QxomCpbDizFlhbN3/iV1K7+acOCNyICPrpdAF44Z3JmutVLCu0+tZJojAFrMk4c7jei9 LhMDpNrV9BoYtIc2nPOsot0iM0AFI8vHmh6OmtNteLkV0Oy5SIlcOLcwFhLoCPzcYYFsOA2+ztYL 4wemKjeNgGdxnS5IKVAz/67gy0JYK6t5MT5U1Sz4QLtwXRVwIl2cnzbKCGFyq7PYpXiUk+xR6HP3 D6mYkc1yqcbEe1QNZTh59CvgBcsjPTbFlX36h8jKnolNGaPTWIPc6uq1LGVYK6i/lsCm5Jlz3zBi KkxIA6XM4p7DqB5EzrbF1wc0S7vr1nAQcMqNb2MaqV2lhipBwC7h1gosRjVMiFpK/ETB1oBHY9ix HyMVk6RWfNJ8htyT3y8fXpiP6a+btexSyGfXU53o28z5675cmhlghA5VDi1abUgSGJ+e3ObpGh8g aLxrTk7xG4hArl2XL/6Mf/EBq7oYgIL01MXTMtkop0FnNTyx7A+gc1PUXQ5NxnCMDNJhYD0DaLkV PDlXXr5gHAfNhYW9zPUOJHE898NLqpMQPnyBO4cBxalcnnbRXKT5C4c6Oc1RnoH+FHSKyLcG4C6o cfzKCBEHcH1x2K/cHCYbwEiDI1RJOnM9sBskOTuI9/M0mDoWSML4uVaYFO+GF7T7eSJ7DWeJ0EtW JoWX5eWmcaxb+/tobbyjm/fUo4Xy6UxlSaoQy9aNjkw+2rX8zsXEsnQYLzjwdxmSf2uVcbzhYa1C NjHQ+vynqwGnIVMe56J5SN3kOKwVjCw2B+g/HJmF+4GkrU2artd0V9DQ1v15j8pK0Z7yNpNO6gOn Xw+XesS+OxKW6f7nEf8UgxzHOf2JzIggLCvRKbCBJyqd/q77B1pa96eApLMqwMdvlOMMxGSlPNpM 1pRP/nhWOZixDxdkIvf1W0K4wRJJ3nOfKrZZ0FPn8KKiVX2Zw8dtVUc4S6x2GX98rr5F8TlIAr5q cr7ZbGHCWJS3hJ1kHFttW6MO/cTHULZZYTGW8qHxFW/wqoclsQnbsEHl7lJqIL2C7lCZ6A7rd1Kp fQ6uFX3UU4AscNnbjXWnnSnODj0VBqXeDKBBHOFaOHBxwzb0OGIjkjV1zwtUlBz1+0dPCzZZGobW 93LV6ZxTR44EdBrpCvPFoDKLAR14oHgf/2lybIysoFpHAS9DuA5VQ+daVEJojx6ul65cX0FKKrSJ 62K/is7K+3MPc6EAlq/RQnFc9HJbBmd5uvxUxW9k5ZVPXPPmrqRb9M8XRWn22pUpl4Z8CK+QM+w3 S8uvw1FhYspPFMY2pyWA9sT9Jd42VNnpwpBIqSXYVBh4l5lSEIaQYDaembmUNT2/UbVsRfmLEbWp PI31NfxIG7Qczovoiu1s2CeaA59Qau32hzBOs6PiBCF4b1Ts839hKw9qOjbTozLQTURkBxQcvhk9 4uXwsZg1nrF3dZ62fip7dDZiwHdnxWMucQuDK2ji6qpU9hb9ud2s5MivZvM1c1BVRaRG3WNKzlte g/VlYO0G6UbxSgjo8k82TipQhho/3dw7xf2MWqjoolzTR98JpSFDta4laGK+m5UC5zZJK2/pEbnv NCIH05L7Lox2Hhgd0m7wZRElaKiu8jkCAD43ROHzfgyjqUYCq3x5mMeuIhwIVoyQbfXgPWfLRp+A 1+CAVrGfn8mf1TS4Pf0yzsMFUhTJdfz1n4s0NOROzN1Mk37GVdGMQf6bpUTUfVu567rHXtedM/Yj m+MvdGmOXlHj0qlaOIh/oX2cXj9b6zP7VqLAvgqdu6BaG+e83NwPayVaDIMppjNs6QOQ3k/HaBF9 G7h0btk3j/wbXAFL/wTYeifkf99z7ctJtxicuNab8acglGiezsyb9bYTpbzSEfezRDv8t3tSpOmQ sA0RVF1on0JcwwR5YQ8QXLfNNjGeZu559zwjeydGt/KlZqVJ5B0UfvK5fU3alGYPUEdjJ+n+Yewk sfCnV1ljjRd2FtBQtylJ+tKrUbltlTqH80g22Atvy0DG6MuDt1Je3pCk55v3e+T+mTLJ7iWlfVIc 9VFh/XYqvu4JX/WpsWRbTuJn+3AnIvoGZ6XE06FlwR9WwC6uwTMTAuU2BsRC4JM6aYhYDlfsfIRB N0NNpiwyen9Rewg0j3N6YvF8drfikheaJVaVAeEiPyWHOy1nRcdzpTMTDgvLKeO4uSp3TlKg2UnK aNf0LEGyAl0qD9KukTV4gGM/y4qT2pyvYGlqtSdGUrP2vDdqAKiRVTbAMbX/pq4dQnhQX8/w32d3 VoCTRSnwXUegjxWdEU5dDF3MOKYMFAShiTOz0zb0ZaH8W+kQFXhyMvBGq46Avns6GV4HumDjEiQM kvhWaJcgmFEwWj0kvlDZ+qFo4t7BCXINUc/1HoRizIBz5fZcwCVLDlXSMJBVWWeaPEDotXQATBXs rfMsDsnCnrOiNgAVd+XM/ye1MfxKJQJ+5KZZof4kO0pG/Jk21JMYY36SH8mbwqkf0Ztmb8k6gEqn KGM87Omt2FC5UQiRKo3EKBGx41Ru2nKO3QJ3VIBofDGbU+kdSgHwOxdqTQFqyDrWj/4k+kvML3J4 Y9+/I/fp+TT87ZcDpcc1tIil3y1fNFWhsqLh05TJgvhozk3z73psTnCwuD9PlSwbD/rRGcCFojjg W8Thw0tW2ITb5/b4LuDfydycfYqQRw0Ojw+k1qIodNTQtZfbMRUKDF+Mnc3W8rJ0rzdWRk3DVp+m pY1yOuKf2Ym3Hqirqi/r0hcIyHzOVIqGaplkzOBX6MBxAa/tokXBrw7s/6YpuqaqLRKjOarAB+dg VXxoCAXQMXHXYVTLrjX9HZcdKtbx6FgfyFfMnqMTd6ffFuq+7jnxuldig5SUD84gRLx8MAgF9MpL VQ2U5DUOSIRJjGYmy/W1IVGcSXUCDSoBnUUlUzH/wYAexaxtzS2R8EutpToZQAZ9dZcVw5tBeXAH kTiDxeYhOTrHnHTvKf9oyJHIWLsrPknriv+sSsHmeLhR2AHGTKHPM4AF1TedlsfJM/E/nQaRxP9O YcYtOb5FZFQbEi3dg6dNYB/3vbOVfv4lOBOOvj6sIzPg+h+eywjpLXBS+chSHMreeM66wE1iMxqR huaDWS3ATZgfhNoSXOLrT+3I7S1g7HTopNXUXpy0/JTjKIX+XXxfyF20QQe8D/keRydINdNfMeVB GpPv13zoctZMZYObxN/cFXJ2dU7t9WT40yBviS0IsrSiDxP/ZyCBKPihP6heycO3l7i2mXhQN14k djp6H+3sBxecsL+kaZ/56/rd/gX/9kcHfQ/33U4eCrzUrn4uynMaKapbvOuPMp7921JmxsvpwRg0 oi63lME7toFIj8RLL2uJNBlOmgD0j6KovslbI27Vw3uZ6874d7q2ltVgR9+meyw9QNE+gq8xSpoi 3ltTVNPf1UnTCFoWn+2IF/D5ycghRuK9XhuWp4Q0I+P1gdnJFDlgKyKfmVHhyHSGTRt4zovBXBxQ a8hAe4SI3PKPo0Y0ZdhRu4ex+gv9oauy8CAyuEFY0QxBf1SQORMp+L6IACT0w04cfvOcKXMQ6aUl Y1mKQjyy/tqQD6dnB6MhD9SMUF6ALOSO4laSDngWvXsEUlS9PpsFRWYXx1nQFnz7TWkRCVcaFjMf gwHnZVi0WbfX0kvaRaYzkTFs7MHHEV0/ORXkBifHI0/3MofrA+NCr0VJCx4FYSBHrctO2EyXYcnm FVu5LdK75+p1MPkQ/TciT/mgDPLiwstEAGBnDlHOyMUwjea77so8uCh+e3BqeYO2ZGmhYWF5wjfM 40akdQD3/e9hvAnhzk8vGrE6eRdschHhVw+fPv9Ksuw/zWAE2rvTvoK2rGWWdGnPtSUfEwHmTLez 5IHI3oMAylVttlKXz5L6YGh2aY/W8KpCcm2aacvMq9Big91hKo8IJiQKYP2o43z76GdqU8GF/Els XbPnj4QAcNb1pWsnQ5KTyzB2DKe77rZK7p7CeRiFFa3WCxZDENovS+VBVR7r1IO2QTWq+OJ+i3wV ndNae5WPKym+AOj8eMZBYkpMASCJWcTVkbXDOSG5DFtucOMnsywlGujXZfPHnVov8WaM9fj8TGoz SHSNsCMPRMXb4rPE7+sF+61V9C+Y0K1+NUq3Sxlu9z2SU/vOAsHxPyQROGwySf2VbbCzCWs0aSPg 6l/fKqe4pTO7uIUADjRLplI1fQbrDZDuse+Nw1gT8+M9aqn1vKOmFFTMtr0zRQUd0hxoweQpjfjE HirzD81RMGIgpG4B+aqvu8gQrAI4iaiDK9YZBkAhXvAy3UZiwal8wyFxc+y3KAt/nZaCUrPtiHO6 hCKzMXXA15NJGd9P8/8zypIp2BL2kHRw9LsPxXJhYvX8e/2mrhzVUcDIlnLOMj3R0B/x4CpEGTye fD5OEjD8tqIhBQNHMeMxxgwofex+UhrXlzwiqLV/OLFjDriCOc489t9KEzAeFiDXA1SVO+I/2J4B lLfi196UQATgWVr9exksHFSxUqvwNT1p40R35DEzQMm51SZLz83h961L5JUAet4BDK/JGmYr2l4G 5gjQ4/HMC8d4rgbs3FQBI6CPhAqqhkNMrje+FFmn4OCsqFWqlemfuJO8bsggWU1q6ea7/plD859I 15uoE1wPZtt6+5v1cmyU61vhdNWdoWR0YIIFD9SezMVUILrA4C72nSu9liWBgcdJTPt1x6N07ZaF 27/bNu8/b2IuwLBbu1swGd6aUQiV+D9VI2XNRuMRfK9Po5EfWKOmQasT1u4stengVrAMEqLdN4gV C21koMol5zf0deF+RVAYSO1uTxlpEa4YN9JANlPkbk3hvG8SiL0JCiuyhemHRurQWMNI3JVrE3rw frknRzmtCTjdW3aa4H16fM/lUwzNidJeqaEQRokeI4dmaq2v0RWP4f273dxD2nyZ0dtIXlVwQnhC S4wZeAmY/UQQpu/wcL4+f73Un7cqPJAzR4i43sKDNV/Et1vXK6f/ScrUnidwIZgC4pLkcJKCTDYi sYVSzQ43MTXVsYPPtX2gh+SriyX94S76ekUSEJKi7JmRvl58yBGlikdleqlR66Dpg6KmUekcI/V/ Ze1lCFi6H1+rmVjfq9zYxRj6S0RjnYwr4WPqVow41JcKJ20Os5lnkBM5hPwEUO7H0iJ28iPzQel3 xy3Y4FIh1v0vkyAGA+VryJmlEW+C0O7urbPQr0oMCD4bJTVGnyHNDfe1Tdc0aYwo87KNCMV2eGvC atksv18Wx69huYefQwiJwjwF5itZ9U+mUzNTJyoPwvSVfDzpKoc99BUUig5R8uScLuztKCp5YXtf jxivKd8lvFm9aE9U56Ghti/RbohYiP7c4vTkbuSJlQgmJ4ntPvTe1ONQNMDa8UhXCs0+HtCczPRv XHH6mMHvIzjjJ0CWmO3xAd5PKd2YT197uPS8jNHVBnX3oJjuGNAvq36ORsc2OU7Rc8fJqY3IUF7w kA4ZyWVRBR5+L/9+DVAMbtR/BBNjIhqGwxNRc0K4+hzDl0VDCCIcOO8Q+rQO50XYUP8QK6DZBAgB vs0Zx/0mHrJxsta/Uyp2Ae5IttK7cezbvjVMj0G2X0/lInJ92YhWlfrbCkjUGCtiSIYDb0/bDAWM Zs4h6PX5HB1EH7kEt3dfgD4xVg5JOHb4eQd5amnj6qqLxhDEN8vbFD3mEFwgNSVmMZMmTcajs9MO vYrWu1Yn/SVa+I0L9JQ35HegRaOom+muyxCD3KjeuIh6y2ZIShEsaXamqjpMT53yOh93jYDi491X stjdTizKZoB55usi1sEuB00jtLbvFCrZW6Hy+UvzRR5ksBi5PxryurU98SoqbWyOogQRR4FTyNmV e4yT5nZ/m5kOAiRJ6AJw66WfArK/g0A9P0rp3ExUjLhr1Ar0ZkgU91ItjGuWQSwObXHXXNoi8OmR TQcp15zasrVBl0DCW6fD1yVvSjTg1ENVZxUoU0apb6zIv89CdXsdq26Tb7ijFaMJ531Ns29gyWeq IT4pzJndezXi3Ow+mrwA/h+d4TGVfCcN27e6jP8ThuwyixmDNDKacUzk6HUKB30PNvyPa2BXzYC5 gLlLkUTQtQ0l5PnA6+/Qf/e58L5G2YZxHkT0YYRT/B3QtnrisJ5CyQY14JlO4GjDMlPNgvTUTutw ydkh7pHNt/pQVZIU2VcoBxZ68x5yNeSi/mLvJjXvG3VuswyNuw4EavJ/Jcm4XxIva6a6TM1tKfTl 2h+WzrbUCRQ01wd/nWzjnLkMWrbuHqjLodzrX6+Nso22qeD6aY7iKzkjcmaHDHHALYnZFjXZtKyx chF7XbqqD0gsPGryCbZVcUp6LGzi9h1BksLMMYLGtD6kyylJ+mb4511IBsjSNIZdWPZQK/yMaLs2 kiHaVdJRuTkTu9vAByW5cVjsL0FktnGnr+XFLcpLilTmS2+blH4thWrqw3WQa0fEA94t35Ax22Af p9WJ3HfEgziSaFT9C7xC/uYjNDGoxqdBtepvyU6WJhr1TCE5Ej6c2W0xkqUHddWpHhVBxOpfyNw4 GVUcq4MHIardLtiqO9DMF9SaQp/kVOIi7TFjYybD2oQs05AIiEyy3ATzOn5lZ46s04IgPRkBYN2T QWtw6F7MdW8p4p4Ox/vxcXEUZFw2JaAC04RS5l82cJtyF43pPs9iAodylprrIYsurIhPeZnsvGeH bQu1VngQLt1l4TTPgxXMYKHrT7ZcnMYSEDToOvRlyt2kuz9Tthm21TN8ZIe+ndtq6TT5r585wNzN kfPTW1qHK67HFVosRVIhl9HpS8t06LdKwpmGbCv3L0wFcDHbKDnRvCw94GrOrizY4salRo+Qjuvz w9nnBvYZyjNbzZYHOZ21yfXneCULWHT0vQgHPC1mbZApM6J8pOmosgGUlshOxzN1vmAv4A457ZMV DUy9VRds3XJl1mqEqWluXb0vWE10WGED/83ZskFIyZ6iliy/oMhQN7uTMZxf55M1xvdzA5XiUSqm RUHB5/Y8vsGwNLeq5FzNt4jxOzn9aQMEd20t01GoC1oHwDHL1ltigF9YvjPBL18n4OA6QSpW4bt1 f2UJ9EC+s6WusDOTV0Q0c6h69qNFLqSe1BhdLzf72E2AbyEkmgCpkCEaT02C+nabUeB/29K5JPkl Hd1mtBr51NRzxRW/nyKX4Z8m5zPwYCzsbSsdDyQBUYfERUdRScoFdavEKzRLdctMWmmGhg+rQvN4 B8WNJoBC8H3PQb4rUsiEIMxeWHyLnF1HN+EUr+hhFlKAiPwn15zrtd3z0UWtuJoXkuuC+qALAbwj 4FBaKQRpqRwRhhu+SVAZNJDKqekE8Pew2Nr2tUXYqXNpb4frtcwOlYwmrMX/IEWdSRImddDv6/pI PUt1GC33gRXr+nsP/z574CVguo/Gbn7Rx7yfEeDGhKkig1Eabn/2yuNNVA4eJi8wrYcskJlRmQ6g sbkgOBJ2Q1HDonqoCodLIuCReT+oRRDHwFENq3iz4GL0BEHGrL1Rt5Vt52R4BQqzAqfRL+BTcTEV fGB2ksEoH8RBI1p2eZ6yHGB2xPSbsUFzc3c7XHWtd03yzjoli2+vD5Xk3xCThmI2dqlv4v5GHgc+ SY1F6DQVOJqMKYYEorFP+OhaXe/hnGhcJFiuuQeCdy004PglAmFTN8nDgm2iVTUU0pTuOVQ5a6OY T2lr3MoYhqIwbDXwLfOgJg54TQf+60RJ5/L2z5DtZhYROFJs1MrUk8ChMVfVym4drUvrjEnL9SQ5 Ll8T+yayL+EuYlcdtdyDDwF3AqRBnqH1CDvEwO+f5hU86htfkQ/1lTgVC2dP+lRXYt1TQ4jb5D0a XuvV//Wjm44FjFNIHcO7tjfu0ddHvIr/8xH2dGpJczK+M0vLYy7TjEqh/wIvm9wcm6PAxMViU6fs eYShQsztZ3yzD+L2Z/rIJqGeIgl6OE/HcI+J7VETQmvjI6QmtJ7gZ7mbMfHkZpUesvDsEAFlfiBG wmdM0RDMT7XNynmGzOq26ZTb9JkLaXS/bb7EHNjLj4EKT+zkOq0uS0VenIhDScnw2XePFRUPSpMQ xJrtnb4rperm2S8eKBhp7IBO60EYNCMCydls0IS2E56O7Gl6LOsi3yhrSDlhb3qZUeZcZU7EZVId 7s2Iqb19YI4UktYB+YMnts67S3eTIBosoXP0C2jsLzJTCQdjZcX8/ZcWVWNP1ezLSdp++i2/efM9 ZmtwXHGONHgw5WwagKapjmpZPr/u/mpDhEws4qsEvV84e1Fey8FciKasG6sHQHM4HYP+zhRNvtgf QBuGv06lNYOdhWbqrY4VLAcYzVmA/VETu/Sc1UiEUJtuIRlmhhHri3FzxQWdxTdpyWZ7fCOEtlQY irUIOmsHtmCnp/1Enez56GQOQfaDYrivuyDCH0PIFJ/tOkD8Yx826DXN4anED8tjDXOAL158qQdQ MKpKTYiIGy5E7p8gmAa+GqQJVrv9M/4pEtJ1aNwq+AmOOXaXcEO+ZbPK/XccEwsYpcZ6SciHbL9p eKSpl6tk+nVtuM8Wadl9NFk6gV6Grka3LvdS7p/+FxypH9CEeedFD2dcHqUDtcSgE8YDHM5qDDTB yWi3gAWpJmS3eHgDsmTenTJXvtVAm8/OnYlSAaaJ8DJUBJnCQg+Lc20AscM776tFuUZxarlX1miS GdvQvhUUNQTiDQOOeZhviXTO8l4SGHR8z4xWqxosqsaz4xmnKnNcreL50IOzRFx/qP5wZprEyZpe JV00FlLLKrLW5x167awZ+6lFn0aO9rgMIUgY5PAtRQRvQ+zc9aKGdG+J5tKLwM6QDQCCE6jh/ARl zf9Vrf9S8xTXDYVzjF4RC7Q1DAcb0oJDj3fx4Q/gXjxWXYJBrUc5d3dWWi8esO7TVn5NhoVC0tLc zpA/9B5ZEJpqdjKgp4bvTG2sJ6/zMU1ffeuddJ/xHJViQcaXVEVZIaPEC7zPbRgKCjg0aft0h+m3 0EN2RLwZbLtGnrBQb6RVFGyBhmHGpTNIXTeNlgq+Y/pilyu62IAQAo75mXR1+pI7gPrTkkSfWh+j oZF81L8as3fBm46LtwFPxZCEILZ4rS5ctTIS7aoA7BtZg/Kjr2UYwP5djjoeDeMjTAGnDfO3JYvQ RKkgAuBw80iD12I51tSg8xZHgc/0S+mqIham7QgKloJsoklhotIiqlJ+vqmbE2nqjzL8XT56sHtC eNnvoB0L+/vGoE8Nn9C1Jku2yAiQgo2l6QKxdr3h9l6TIeaQd8ROZPspEpfJ5766DZ120o1pN/cY AC3l0tcacLnPytQ8ms45NPt3Gxe1CrZ7UMPk1+KihQjKV3lDiN+ER7rEsLrkji8h+LNXGSPSD+/m WDeZ7MVRIuud4mi9HkSOcgE/CclNXEJP6X6AdRLMeHDcNJPz5uJoGTdbXKB7iYjEBJYQxB9u6zq5 jqM1UbxrZnpuCTeZqSeH3WpOSQ+7kmE2vziOSPnwWqDK/e3KXJ2glkw+dp9Kt+DF6u9Pog3rexWu 3UIGjjWDgdrU4l0jcM1JEspqddlmyru9BYdyZ0lauhGOHltP1I5dT6Ktp0VBXfiWnQuaZje8JYG6 gGH+/7zG0JqmJhHwl5Vbw35f62KZ8RrV/XJtcvmLDANtf1t1xk0o93NmvbKlerfwcZDIAfLgMA5p XV5oDZwpxMMveuQanAMfB1rkmgFHcfzXM5fAzhJQNo6Z/OA/11VA4zYs6zQ40SEJDXjfvaYGhWRv n0CGH/tGOTtOGamligxGJLbMy6Fl28C9RGBaCy3grUSFc/AqzNhjjbmPO0vIRmv4YeCyIk76nhaJ FTssnZpKBunplXhtNs3TQYT8RnEQFCkFUFOIF8QKgLnw3J2N994cvheCxcMjVBkpm6aVmEtWpiz6 cZitWPOC0WTYoxS2YMTb108rct1hyUgFxiAJoEW/4JAtUhvQsFAZkcVOp45yIoRHjDeGMRkkI+g4 7JFicXyojIUj4RnbthXsSPOExCX8HnYpBu3l6ABI1Fe0lrcIZDVs6NaGIOvyEVLR6yBo/Q2YTyuh vP1uJeQi4f8At5Bq37w8KORCvxB/MQAPyY3sf9GasWNU0AxL96/jaJQyMFhuXbS5l9cqL80TKU13 URBB3XoawQrAib0zUfa38mkL8g5rL2vAKI8eOEVUQZYbP73C79pqOjRmCWRseFtyh17+lrNjRGH3 aO8DlnYok1m8bHR8a7qErTUhUN0Ds1Z1VARzTxTZz2HifmMwDQy4LvddonRCV1htlreF+4SJjnVL PY9xLHiqRLUTwS8JDZt6JEytFNq6dJw15v+y1uaC1yZU8n/G+cwrCyou9e6NXUoTmc4Q3k5YzWFN 01tPzV71lTc4t4d4jllYEkW/9O0n7UYmJgG5fIvb0975DNLfJu1tl/4XQZf9NyJCTk/f+7s3bGRh 6EgVz4SZ9licOHzxT4AiGbL2L+FAJcqG6WPVvcbYyjW+WqIlZhTS2lKEESq75YrlvTH9C2g+CdkK 1Q4sNy2V7K/IrYC2b0/RBYOR+OAnohZdbri3AF8otQsuaIbIwwSaKvfI7zXkVi0n4dHAd3ufP0Dc oWOk2nLlgqQB01bRrKGnqqB9QJJViOhvIC3rMxrrSH0jjq1X6LFpPiPEQ77L1oPJYC94zT62m72O ROllxCgaOTVHflPlNXD4yeth5EKGwumE0zF7UszLt8ZNHKuNXsVW0/zkh7UOdWX/pwUK1BpJUXHH IUMJtgqBywZZBVgP0G6bj5AyTJTyiJVDazlCXVDrBlGcGD/lt3pCefpX5IyPU3ZaLfdLHzGblHgD erJTWju2ValOzUIt/U2SysP0SMGoeEs3yO39kfDnQMYMlH1401RL3SWeoZ3sno5305L5ifO8J0OR ILkogAe0ek/Mpv5VPXfHh7PUTBis8iW1rDDRfe+7gQAaC/BPGQX6XhWFh1ifsfVYDjiibFrFf7aY Mph/GIYLvGU3LjVVNGly4myZBWAnRVcTNcNtyjSVDAOz/xXxwWLsCveZOezzZ2Ws8wiaxIX9o8Mn qIMpIUz2XMtEyp6pAABouZmkmHF3qgd45xTfl5NYQWGsD0VIRnxSljIeKH8538ndBd65jjs/uyk3 OxRkAeuos1ExlrmM5YD+eB8KRRYVIB/gmSnpoigmsE4Bwy+BF+agb1Y2atAgB+jWU2k7EV30K11K 7EfMsK72oPgISz3vb/XfS8bcyEx3bcjpf5qTsZC4uk0X5sGm+mCNLq9HW+hKCrQQOUA+ktoPKPlZ +aor1m++zCsH3txsQSiNRUy4m25UJ/T/9Hc+Z2wez/Lqs+B6PSCDgVoCmhu5anqoyPNtMJ7F/rfL pBnRc9Ltvr5HpUMwfyk5oGRTXisRHS8bw9k3Irj7ZVytByiyx6BhSAFST7UkzADZlRxzvm6Qfxvw QLeKYGQoZas9r8ZrdP0hFf/FsgDHAfpAl8Djk/0esVHSbfbXQps3v81i1v53J3vgirdfkA0KFu9/ xgW8kyfLmJ61uBwt59c7IsS2s7WRLcFlnrxAgT+NdOvBIoNAE9AykKfiqLEHM1tUIbo7PD98HNLy DUrhvpa6n++9RCfL28tjfBDpPKI23mBpgN1aRVjDrN8F46HM5OCQivdXyHsjice7Pn+D3TSLm/Hz sk0vb2SWBCqDvG3F4NZ9Ee5BVsxHJGO0eJl5tKNBiE/LJewmCW0op7jTsysb1UDmWtLCo43tP/WR FbSKqFMCXooc1VwY4ZsPBQD7wJdX6qAf/OoxKP/WC7NPKwj5YYNptgBYEh4IW7Qf5oFidax/X/Ng zQvOg6Srrj9wMR8VFoSiTimMye889eeT1GHNmAn2hlsgtTLHkyUL6gejADfAAGEbCzNMwI7m9D5Z /sGEp8PD5Xf6m2NC/KT5oNQqIJT21pw/c+TjZPgbVWbt0hxBkv6kXtlYigK7VdABUYwSQiMcYI0J K84pat/R3+3K5WQfqMV0TerI+nXxjJSpGeVrhrwlf9+NIp+1icbuativgwuZ797cO0CJ8SB7BJgy kqjd+Ejh12qIm+LMrJ7HbR4yh3MJaZHwkHcf8xcHWVVAc3DcG5aSkHPPGyl7lfAx1Ihdv0cCrSNf 9hWSNm8RZZO1AK/RfEA6iY8hiK/muPgK8VKWt8Q+Quk0Jey7Ixm2HmwUPiQ76Pj3rmEhMiVF3oP8 sW6LYie9HGtfogKg7ek2jfwnRfAtC3mypuoagu+9T84y3t2+PJJqOUkrgG3Z7c0XdRrTJRwj/wTd SMTkRPcVfqS8KdqX9s+vBBYftXQsa/jmUp2Ih3e+zcEcsze/Q1a6RKwnjQhb0CG+mtHFRVZgiH70 dPGYGa5tYJN40KfToeyAbCJ2jbbSWc7q/cI4u6BVqWE6Df1WDx9YzbpN0+Gh8z7KlhUvBdLAUovP Q8E2J7chW6b/zCg8IRmaHYrZKcahtJJVo5ESpOlJvICXLWw9TTSi9WUSvgGCMrzlSwsZCpkDwBPl jtRDfwQxIYCoc9w7vxZ/p3FWd+DsbTnto2NJ691rBwIgVid1Ek8YhBJSYhfUFa8ImAS06pA9ogMS AKdGllXzvqmo2NxgnLw52Zx8xkShZ6x1cU4cutz6FX4lYWVVbqBLZesbvNANkuN00ausF7nvKmVG Zm6g5KOut40ycmrewHrg8qT6yRqKdkNvTzO9s5lN1ZMBMMoHa6afCsgwaYc332y0SsR3BZp3/Yvn zxAAG7jJkTexW6SAIMkHSiErbukDWopfGwIVkpCYAX7/rTIDaFzbmfajma8QpUlYw00BpuU0/7N4 DYRiBCTEe8SJl/3Qt30XHi66iDJOQnRQTLvmnGPlRykyfq3W1uuEUwnO5AtxJQXF54mJ2FDQVZmf 1Cv5dozwa+oFniEgdSSK3GE/zzvFuUd12oZio/LN6UBu4OPASOxZJNO3EY7YWR8O+8mew/HGzyTL gPzGeHf7NP0+zq7Q/G5AYOfTK3ZDH3xFZ/zGUm/+F6oTTfDRDRpDec5vwwX1dlQ4YLQK0GILtye8 hhCnibu/H2KMXPvLcKG/94k17VgUpV5utwanmmKJkofX6uSk46zmI3ixFgBAj5X0NKN4SewLKjMy EkR+70LPKyojlQCzU/Ru0LzND6wtO4CgwiqkwCp5g4WZcL+c5/HNEP/3uQR2w3AXYZGAejNxrmps eEsbs2K5I2qp5q/oxiG+zkrg1qRPgTvrFpRQXtJBU3FYwhEe0/HOCGjroAj56PqC0I9/wdIUaNd1 s3Vy1uJQHHU7MhJ49FGE7OVdVDgpm+vcu/svfNArMu8lD/CkTBEqxYjuYk+FmaFJGoTuoy669N5F +qdOWBoYnMk94lnwZmo3pwNudB25hoMw1NMy5aojVWfpo8OFcgUgpa2lFx3rHn1otX+sO4cy58mj RvVsMBOC3yCF9BH0TLtGaJqDnVt6+XpMTnDI22AJ21QXB5ZsBoi1LaXHPZbqW8pCDJMTejg0oZz0 5+pqQVWUs37b8mku0USN2/aj/K22oq3TtguPvGH4E4qVmWy6PYSaZQz+qOkyMzJ2Ux00EfBOSDHY /q6x0TUph4ESqCU6IzozsYulsUIa7GvoCYt5SSWgXrkqt0MhTwRC0BEIzmgVGvzG2aIOcZ5+mo78 Ijawl9K1evWFFenskl8171tAtV+hZuBc2KK3s7fc2D1m1gvhJelfUTMPmJqgHptG0n0UdTmu9LNC yLvNSt9W3zQuLyKOnX4ZgYXeOtI4IcABkriRJybM2wEeFQtE7IQ3olnE7Qp1ygLID03b7im9kynL GhhW5yqgR7Pd604gzQ+EBGeRheOQhVe5ngcI8reUf8LOtBXmnwE8+dIDw2L8gatatlicwHloqgdw /eDnFn7gN6GfntQ4KkCnLAvdG2ufZVqeQUHybVOYOJJccMc/OpjLnPJhmZAZSroOlg3mDGO4/Cgi 0ZMpWoQb6EjzHnQodr0xhKCGoshehEyTv5w44ooyGVjUqSUhcF0LN/nvv2zK0U1nvXjNUYYiY1Bq Gzw3ZvGYrLXXtEKKVLSghIscXPKCxf8aEE7LcSFIZi6awWRiUG0Nj1IzDMyT7tX3TfWnF4oS0CUN vpDLzOzwbMaTKleClA3/gRY4f1Ar7dpaaAdZ6s9Y2p/xUCGcAUprDuZa67444U4lHHTE3V4hcT3Z NejwRV1JrgADrShQQsEAktJ3KL9nIZztp5DLV2iyMxVkZgI4hkstoOBNlJuON7ZqXYhnqVmC3DOU Q+cqiCdnQVbyf6jnIlutTH+d5DKCsg+gb8RoIv9sA3sbm+oyt97Vof79+htgeEAn+056s8lARU2U bcJSstMggBMx9HWNbCM+FrxwhOjHRk/O0NLjCmAvthF7xNBLLu4GAYeFVaE5jrTsm2ArupPJk82h pZju0KFVCc8tXZoKOCNxBu5Q46dkk50gBP3ScdZZvouQRzf1jcTuZqsDcv3XqpNsOCKjYq9XK8e2 HhkKv1D6zGEZ2yplIpHheDMHJT87aLJdlagGXZ9z9fBvSKSvCBbbkmfHwDNiz08XYxdZS3wK2bjf Lg+cd3DO3f6g1dyBv4y/YibHVpDwLCvVl8qw8JFlE0RPpr6buVTI2C5F2LGYU07P5vARdu/zO11b MZxHxfR9u1GindjcoQXoGyT5gCn6sZeBznY7L1Kq3R2+3eOiQ9rHF8TQJCGdO+Ezk10rwOrM5FDR Kpjl7sopSTJMcZVl/numv2NQWd7kPNqlGwJPMiynYLs/gYzWFItdt/yftr7B2JNo4UjZ2JbhzksV PCV2creR6QHCjSahs65g4b8tESXjJD1ldzH3wrHOKmvF34o2SXkTkGOclJQAh/Rwrpb0Salg54ZL rQ5L9Y7IaK1oFLj5YE2N4UCWGHdDJoPGSCdG6sCgpVuIHbvxRWR2z2V8NT0EUgq+oOHoUkIVkPTZ dxKso/xFojNnQCzTPVQ23LxnrfUBventyo3m6eRk4Xb8Ri8bPslFeqoZJEwanUbc/QN7i+vRZjN5 cpaluk5jKlxtVw0Bw0iuHN9ydEmmjFNwPg7PqBpHb4xLy9ZkxgeQlagUZRfTW7fNR+ys2JWF/5Wr O+h6+wI5bgnpC7lGoibC5QZ9GlCTRKFCUvW0nS9bVrwro4J4dyZYOgvVyFwkxtI0CmnPBNS1IlO7 uxOX5mVwNbXCCUIXrhTSFjNxRoIPmSxF31WmZawSwhu57U6mCcp3ryd4b2JdgPz7YNKVT9HuG4Yt ZvY68Z7noD2UGkbXsT0CaoXsk+dLx/nc5lokTMNd/a94r18bNwjoeF5JhRJOUTTmDG99JGeygGE8 7gLUeUp5QGlBXyBt3THi/WIhWWFI5rQBuYsUEEPjqZSKnmTakvdnvnDsBoWwQL5b8jN8+/wragk/ ipXHXirVW3kVU81xjmre92UIOoiHVBkzQLc4xFdPkD3ruvgJMYIVOruDeZspki+WJ4UKKK/S25D9 Td+E4567JrFv0PA1H0ARNuABOopG1M+tkN+Tk4MAdLu0kjSs2ECWqYvj5i7qThdwKc5u5pM0sxbC 15tpRuSt1TCSlf3gpQnNvGTC8LeO21Kz6cwGQnA5fJakbpj/j9DismcfXQgor2wFFE1o+u/02L2z 2DZOrVT8j/tpkg2PbHUFJZOth+m5YBqh0cAr7N/OrwTloM1pi8Ah/qUVbfFvDutqwCVkxb9YsdqJ 4KfnRcm0W1Eo1MpdbB7YihG8wK3St8dgDh6GmIy0AuUoOHlRZFOx2wBM/6nThs+moHGmxrXY1Wh5 Jt+nsagdiwBozkOW5Jai5IKsML/ihL2QLnKCQrU8qUzAUY/vbv7xHLqoJMilll6p9qhoElB2XPgM M8DeploBRcTzUbwG6HfPneiwTXrJsTl0vFIIqR8/fy9dZ3KO4V1GjluFZJ5m/ctnDE94jNKxSRYS /vGZWJuUHhX+6MkF/gV6WRqko9y7FkSTG1EZkZ/UqahDC1T5+wZD//vXmQwx4nhHabqjODkicStA TQC/JTUttbqLFV/LATqmr55reqLm+hTLi1Qj4CB115XidaN32TSP9SOv3V3XBKoq/82TPlmWvvy+ 9E74BUjqawz5bQnJ6BH6nmwE2AF+NfK82vQRmN6PJpPMT8rwewt1krh5WS9xGwP1+Uy5i5FhiVhp RnVrJJKnlGEXwl/fJIf7ESuPDa+sJytxzWfy/GT0xHDGAMLltr8994D9CrEiMAd2w1ZRMwZYm+rP aIOXc+Om9fEMHQ6KY0EyRbASyBgIyX5vP6sTUWjvhztrPnkK54OfrMocLK0FDLyc1RDTGKQ/jncW Tvws3cqNxXnMApxxaJMLX3PVJHs+rdxBPqJawNrtVSa61d+9DGY0zwTM2Qeb1pUWtsCZ1VpRvdD6 +Qvyewnyb+EDXFvQBOXEzj3JKY7DNuIFA2favVEdRPqoBQrCId7OqR4HO/TF5jVpGUeDrsXnhY+m v0afUav1YGxy6R5E3BwHj8ee02X2m2KmGz17K09xkQYb+z+8jIZvwjyH4Dy+0/90XrhfIc8nUvYc ZLyhSSMqKZVE0xUuu+wsfHxk/l7Mtbf/IAdHj7p1oti6SVnMsqGdlVbhwz4222ZQ/MCV3XA/01yl dkcUYRA2Q2vnf0OeVkKgKbla9Yac12OlKFKFa3MceG0p5Jto5AjJiYQUx797pLM5svS2GN/+X/SL 5DEJlrZce8gMQpmwdU8JGdWWHJrf3fWSQQBgiIYdhpLEOswQnfQl8fLEFamcooTaPIScaALb43F6 ZriVAC8TGCSEk/zaJkA9lxTBjMYuTRYrB0reDa/cnP2mNphmj5K1vpcsS5R5140r4WlCqzY/dBmM Y9tIKU6g6VL/Z+ZDxkw7edCrcbPJ35okse/Hh7Yx2IJ/pmq/f1ZVEuxWQYOOuw4/McPcBFhY7kTF xed/I2CavOV4MqUuZ4Io9yNrbN7BscwS4wG2whxq9xuOFaNezLApXaKENZGeEVQ8PsRwWHErNwf+ SuFr+tkXNsfmt2x2jBos5c2u2X2QbgX9K2kOT1Nh6sh5zvhWMxbSYQAiR2oFAO1LRFJpQJlRL/br U5EXPWzgSUsu+kFjGX5C95COsuTq8HG4eWKXrZzt5mIB0T5pLs8NFzZkBQgng/z5gHaCPs2szopE xIaAekqzawjSFX3/uuyqeIfm+7/0ont2Sy86v/xyLXRf+Wt+3yZvTle0b+/yKsPAADSEmdNlVBDF H8DQyigzqCH1u5JjLGrvZhyYDV5op4n8mcciprqH1Z8j9bOZfw/Yt580cqmHVhSBDFEeNgGHl14w GdLH289HfF8pC9P3Xzil6J+GyTj6eqJRDq2RwjWdqglk05umnvWegAu+NSjprMktmYcrWFKOxtW+ 30wmT/i2qRd3y6cf8OVVh84QWDdkdejHjRXvP9EWZjJBIFbCTusMC6md+uRCaCkLYjD8nfyv4WfS aMbqGXIv1eI/tycXp2fVRxDOTCQJRsf3kDI31NDDYSMlj9XCJ61PuResmZq36s2t5rn9mGy5zHrI Xm1XyLpkv/L7W18j5XC90DPWWE7tOEHPjzit5aS+mrW2AUfWObo1PMwSKN3ZAA254Npd0KCoVvjk d3HCzcDbUW2EmM4bLEJLU+CfeC6KXXc1Jvx9OUw1x2sIi5vGYiW7TYdGUWFpFB9zSZCzMPIfH+jz PncufGRg3LR4iaKumc0gjAzrTCAsdAuzKV6D0lomAc2Q7Zta6KpfcC1z1YGN7bSZxQydbNENKS6X 4sQzJIjLAWdxjWWNooV+/j1EtsNW+I/76o2pMGAm0YdSX91NETmI891e6PyPt3Wq7bVHAptSUwvt aaFPvLKsbcnaH/oiybcuANkKpK/JX4ENRna3D3R42sv/L97poknW9/5nqAddzAmXfkreQT90gija wwAQvQvHkZI7EKgAk/0vdB5E+hrnNBcplydx+5E2FJX2r3Ed7rBGRlyA3um4bqJ3vipHF8pZvPVW 8KHUgZH44YMUfFa7Yc8R5GfNd/wER9cWtP9S8wvOfWOEHwUfokPzvfp1H24x2EK41O0JTPfjsmQ+ 5ComRvrYERr3YqRgwMOHK7mUIJBvx4Opyo5Z5K6afb3ZUeIppGz4I0ZoEbnjOfFxvE7Jyr1lVYwZ 1UI5Y776v6vc8ylzZU4pHjEBaDagoxMhvpEr7RRxhbLZ8asHO8cOEeB6XlxLQ4ur+ekauRl7UbXm HM4ta9tBuHENDr1cyP6HSiUm+Ddc+uPSPdmZ5P6M5vIchizKd5gk9FFPjVeJujXsbvsbDUcit30t ePoBT7MXHvR0riIjmBDrr+Drcp6veIqDefFVLLyGPSwbusEay86ajNpQHMDbFIogn9VWM+QgxQ0R Js+sEu7wjcy5BPUPa50LmgKpSlg2yi/I8zRf3Y8RyGxKW64DbiNl0NMeKEvz5jD28ITGneSEX+NT hkxAMLkJ8A8I7IeqRrOsVy2AEpn8DtY7QzJEmHqIbeZoyA4EqGNt10XMnxFm2ugDSd8RKr8LmocK Jm68abYX0Q5FTL4ldw7UazQqmBFCrKIAnuTt5McJBIlzEDxh6UajPBMcg5hnOUI6xzX9L1YIFtnn k0dTwYkVrwlEXwtNh0kUrfBccxlLRc5mB3axRpqr7Go53tq1CsGhY+umOAy/4xowAaryGY5pERP5 WwBs1poar5PHG67jTP57K9GGmalyinvSvGhCuRwy8sayOZix0wJ3LYMqOw+nqqkHoQPVekeQpgBa 6k905evqNPcx8M9W0ASzqXLIckb3SX2pL5Ec1938AkveahyDJzPAvAVv/dty8IisoQxMOxrqJML9 LZONwZ+1jXvwsc64utZukTODhBJSiwRyq3Nv5S7I7T///tPjqIam58i5+xHZEiHxviAa8TTWVhiv tFcRZe51xtgbkiIo3QHLRoY9czpAvueFHbRv5iMbxLDUJaSoYuXXkU9CQF/mzAeCJn7uTsyVwxmy GkIuWqfx4sjAMgKto9bOfHO5jfzZDFjyrECMRane5KzaKWvMswy3urlCJP8SkEEWTbwsoeGw5/Hr 10YjGMJOZFfFjTR8+8+Lp3vCd5GXNXG1QQOWJBh3uILI7ZqDH1IT+R0/TUDjYhw+uTJt9NOskjiN oauHV427IEh7DYej79vcP8R6gmxeHBBtzL1iq2slLrcsA1NNFQSUTiX3ajngOhDoX0zyP7ZuFpcM LTpY0WslFUJOyukFkgKMnsR3Xb73abRee7kiM0sOOLYG48+b0ap3uSdUuvySYjrTJJeNmPsyttJj PpnzfhkonAWozyopWWrkdAont08gCit9a0UWJ2dFhnIc3Kp85YTJk3D8xXG8g4ycSYm/1AG7/E0q f0l5D9DHPZO34Iw1rgCH7By6ylMb4E4pMb9Ldz8aublvIsulWEfMjUSxMm9XZLnkOqeFbLD3UjO1 IiYEGg6y/xNB+bUHJAjFY0Bi2y6UU5gPqTa6wFWm+sMmKRax+ZhEYaZOTlwGb+DemzZlVeKYi9Dt x6tCPu8+w4GjkWIoedZJcrLDeDuUKLThGo5uJW9FNAsfP2XU75yWBo/TGhy0YKIgKcmDNtwPJSeO uKmAKmG0YiXviLEw+89fdLsQR8jZluL9jktsjo9klGfPC+ybTQSVv4Sv0pKZwexusONWzy0IWH7b Sct5kXCqbD6yghgJ4XUrX00ufIXAXf6/DY/zQjYYKZO9uz0BjlLli0xqFsTB2QYqHCXS0pbVPq+a PWwmEdccSstLejx1W8ECsjn4W/va1ewux6qYLCcFJ6HJ8fC+BEmgtIa7bQi4T0b7SzanOc2q4qWV OVI11rLd2xsKxNO6JnYOKItA3ECXuf6Mm5uxxyYMRwTPISB4SHEhFVMgrBh/Jkl9l453XlM2c4Cr dtygJU9fN6y1M30GgOzoQFrOW6uUmZjKAlnc22Uqb8xOqatjJWbZKYE2ypPMu/8+Ttdysi3kEUrz 8tzWKjG4Sd86rN6nscw8ljHqx5yZyNDtdJbtWykia/YxVYLh4XJ4L0PecZc+enBOwoITkHow7Cdm CgmzM8QmUVNf6Rat8IMGdxlaeLn9vSVzg+fpxJLtnt24Fa0Oo0U7XZ74khMf4VwH2JndiEOMNS/3 k5GEF1aQvbSPoMPaAMSpr6l1KGRQqtegXyiZ6XIpUWxypdTervJJD7ITXFNQLjBoraEc5VKqQcDo +S2fhFdoKIpK3jalM1rJpUAWtbctc06ezFmyBiUaI29/WMe8RJriGCCKWj3E8GRubnlVDuTOs05q vzULyWClZ7rzrqfeayfLdRyRs6xbz4VxpvMYdDWdXYxAaY5e6xLfSEQwZZYapD+69nU7EkjLdiVU J/+dsPn1BNMTDbZn/K2LoR6XDCChA0p5oPvqxUmQAVhQq9/1zA3bJczVsqotfzmEmwGxeCTqmse0 OjCMbxEAUH5Ue4f/JlV0y6Sul/s0FtAjpinnoiuPgrUS5AxkQYybN9YjV+Uj+ZryWngIBL/UJQa/ sYSTiSvmhoiSL90uBEIdVBxXg5sCznfFw8DtUjeIVagLDBAOXo3JxJ20zn6zGjGHmPPATQP+hqf0 BW1d+JOiMgJCgc0v7WH3yIQI9gdK/N9gV3RTt2abRZNSeE6I5fru/6kII3etHlRaklhhgLc9Rct/ wdctVKPtL9m9tehSTKVe5zuiwrUQ5Nlf4y23oi6NZLujirHSDUOEUq0Plwkv7R7sEle/V4RIQhpF 4GPzouqJg9wLzWtejsv0Ffyh0evbjU06l7x00q2lbUqfxGDpLgIyzU58kCLZOXUDAxNYsV9YTkQf yjVZJQHz/8AZoVNLWro/vMbly44dJHrUrbtmTYKha66Puant6E+9iD1ST+sY4N8uThFkL4IvpgLK PQ4GN9RKLBlfBs6+IZev9FIHERUJ4e4lCBmalB3ofPQXx8i06JGjS129zLQzgYdq9KtvTV1s+mng eHKjkbtcjk5uL/7HS6cz1SxorH4XxGu9IevM3BJX74S48AKtyHwJmHN2GnB+f22ffVHh1QIV9t2q YjUtLHCqVkRLTFctWCBmAaPWVqY/jOmAn+Rm7x6f5hrWly0S6rIbHnaWVp8wto9ge2e87Nzis9Jb VVm/jARigS9J4o+fklIYjQ1BqMV3br4W1FYAc2vyAZINEFCxCvdsc306IBzRX328BGNHvT643hEl Q+boWT3zUubvJ1b6sLJeqhHKwjPczuOaHdmPl1IrP2zSyMHbBN94T3pnTS+P6YmCE3nMKesbKnUz fBVJmoPfCdJxTACa45Cf9bUiLFzaa0LKs1g4zG2pnnvWnsUKnhj/BpUwKImkSlZxxSsTob6mtkGH hLvWlWqnvgszlQiPnBxzD3sf3njG6cmTXgitTjXK/RcFtMbH7u8edWonxv93Js+UVhSXEzP0s3kx Vy9smwb1Xy1vdeZb4uMTOdivmbby8jG7wtXhsfoNxH82rTVcCIHEvZfv/EgJoXsVFPS0/SewHE2h 4HyKDkXBIgxVPHiXrC54QJjMcMl2/BZp495+h+sm//07TQthDEaNMtL4/bPvvhUQjEf3LaXeQpw8 BIyhnzWUMOXvQ4ZXjHnJAgU5Cv+vVxy1iKHiqHZsD5RpsfDrK9Qf9ty+DPYMBHAtQQHq2d2pqgoS Ms6QsPFr1GLoxQHbRBpm9Ux7Aq+FcS/zuCTkry0PDLldbfatOGm+eDUFPKKtYj6W7xFlmiq+JYMM y4HcUE480tx7Xe1towEDiBywpf6kkmph9PGzdGl1jemCAi/SBCMRnJ338MU6CyrTAgmlc4dwQFUv s8mn5yWtGmZznJQ7HZWjLTpvTlRhEi1g1esjxNH3sqB+YsJdwyhXy2t4KwliRMuNRKPtQERsGy/f za2/7uqP+dbjV4seAQezbW9EYN8/fjTcI0DzhG4lzlHgyU5s/5DNTskt31BAF+FE4GGTwuClx0sQ mfbwyGCNm2p7GhC2JjFuHD5k792+EzBMfbN4uiLCuW6Tg2BN8OpuyQx/lGCqxzstgdJdAbMomtqG xNKpNQJhq1IZT7ZIgcbYVVRLGyHeep+qM0T8Ckz0Qm2Xm13MDRqMq0ZXgNmU5P44rdDAeSzLHMzN iVBpxCX2qP8DF+m7CeBuw3mduqEnxcXnEyQv12tW20/TGQ9BJTWYltwU3gXGC/IzXkb1fij46v81 amVo1K10jmh9VNmS1La1JuqHrX6j2lzBMhn15Npu+7sNpB4kaDGL03Bj9Oxd6S5o1VcLREnmHNXx YG17RGB1PhxLLP46UgGTzBLlJN0q2WgXhYSnY7JnWM8PTyVAjCo38IuXt2NZojdJhHJDk3vYUAxA rIllDELromApNLOCCe/vnLe4wQqDQJtuzvt10m21H7tsyhS+fbfOycVd+erZvqM9zVpNR7x9vH0K +ZFaWHuIBt6Bl78viPalF+qSpmPM4+CH41bSEAfzRPpaAi8172iiYRR4Cfq47pfX7NtkHVWJ5O3m fZs7iFSSV7CmFuZJK3Xi6d84x0X70Lpl/VTn67BycvqWTtEjfgZTc56TFt6dkOjsou0yb4fhTXV0 SyOVWPOTGa4pl34i6HFHfmL2/Ta2lN1C38IHXAjYeLfODvRScTLfJb24v6K3rHVo1HonqDlkRgXb omcqRDUd4OqMiNeMVlkGboMf/6yLdTiPs4DRLXaxv3aB5hEpBtilX/JoZzAloSCQeb+q4XiMlfPw HZ6Bn55D0QJ+ggacT6t8X9xT5cr7D0jvm3JBB8hwtij4ydroDfBDc+uNwhNN+FaVk8sTjQSCBH18 yCkLjyohNn6EyNroygIy5eYxnayIAd1SrzkgKjuuw3QRbsntbNgzZLEculNEEAQfXfp6Da5wI5Oo VOGnrFhyO9940bURg6alrVMSGGH0uFKCbrtXpEnLWningPzKq5MFepmf2eWbNBdSHJszT+Vd2ELp iLqJklZBaX/cb4QLAfw5xt/y1s9+uMk+SNljlxwGpk1zsr2KpumCSE+aqizB/tLL9SYjy8ZGjvnn PuJPIJAlUDJBDGuCiYgRshzqIxwUAo+gUqL4/SDt66T6K3/jXXBOTH2WcopLI9w4VVi71nwjTWaz RYgOay+qvupDn4SmjkMDv/Lz6KZ6cK7s6n+ky7X1sAD90hh0uh088MFq/YC2AUKTbphc7zWEfc7F m/DKvIbwC91glq07FWtUI2vdcAV4AIZ1uUZfUiloOZxjcKjIdyr/M1ovJDSXkD1Ol7mEH9qgEzh7 qcuwJ+X/JrskAhxMOOOYLaaoCLbQVKWv0BOIQxl1QR06MMUiw6FbRdMWanKVG9cdqAzO0f7nrVSh Q8ro7sj3qFCoQ8c50JIB+YM/N3NS9LBE50PprFNUhynQL6u/7FM+HftBIyLzmOVJXCYg+fA7nJ7y ZYYECxukKCMECcAC2hoNN25b8t0x9JhChen6LUrFllPEaG0svpIVGXwRDKUcqwcfckHEpB94vdo4 w09yJQjyn8ELYRGR9N0kidgDTxyInswAjNa2123Hflc5Ul1Dct0r8wMFB4TOipIzA+XxzyLoXtRb d4r3oeSBpLpwp38bRPdJm0DYXWkoaK4uritTlKVKN9AuPDP1OBAIJnaYS0Ot4vSsAspbTT04RHSZ XEZw/WgcDqiW4dV+3xWVB6/kIDNiXD0njLI/SbRUa4QvLqTwfsXS65bnAS/07LLhPub7KVn+ZR0F GVkLy36+mYx+eyGJ920Vc7pEznOtGYA5vOqc9ZihdBS/ouT86rb+6xBsQLY1frmTv60mJZWP67LG OMvxPVdT0hbb/aHS1ZsLId1301nbk4mP08SAfAljG1Y3jB7fj6wivh9xczn7X1JjNw9/qeeIb4Qo A4E/oR1MQzNmtaMyzQacaYOHShtnR8x3s90PsA56BiuBsec/oxEvLC9q5mOYfCOhxgsKMVqx7RPX EF80ZmvcXmHwzfjWDbVj5YdGM0FJVdhO84vPf+9gv4TKwXEvuBcVOOljhEnU72V6AwBmpNbBLr+j g8ntMnlxMKd46loQfCUvZKQa4I3bOYUB+G7WxUxIFsHcSrOCCZdSUcEnDvj0qPEXrfkH0oYbOuZY yiBlASbnVXn54bnpBrQSPFAlidlIkC6sK4mv0F+cNcIIJdPEyenKoLVAuVIYHkDt+g5B0f9AKq3L IguYPyA2vX2edfZAbeDjX2BkvC8iXm9XcVTctkmxsGSBB9YiPubvsUh7Iw5OUCz06haqBs+m6/A0 Wd7KFzqn3sEE3WPpcnpQNKwvO9xCoTCjVBLSNXalkeOI22wc978uqL/LlvlhX/GBLXbj3TfoAEVX 6EkG1g0/M8Ny/jV1lO+CubtYhmxHoUW5tsRwTcaSZ+Ym11z9o2sOP/4UhJOpW0xvnchy//mxXfrz nn2xE1o9v0ulPb9UIYRiQEL+7ZWIRJui+TtvrPVWTZ8Glms3Ia/TnyZ5v+UPhtcEcGUF7wqbMSHf 3KG8eZnOcd+RTUZR7+MON6677TxUhfhgoBQ1OPW5FRbn/Rmebz8+kUs02zjSZDbSwTnLze9cvpJT pgPRsP/rzUsxCgCz/mLwYFb5pdactJbfkqlXEu/5dPDBZ/1WBjZXjDRhi4YextunVzggsxzF0Wu4 D9hASRVfaM+bbyFO6/xVH/JlDOFvIHTaf5m16gUr4RvPpkENfzsVp/im/P9NAdi5UwQ1ZMaeb+Ii 0LKC5/jQdpErlUrei08NNg49eeW8+5qNFKL3n9WUT3LSgVXGXBY2MeAGdXmu5Ox4l9CoeNq7NE0p rIN2J6mSc1e4e0/GoQeXccJ21nKGXl1XNIXJniwb9yofpxtfM4QvUK8c1u/WRB8Smn4fOkzqEy/k EEz/8dOtD33Zoz7vutsisRLhVkZEG7xXJBn+xXJ+zOP9Vo/IXnl44DVRxIhOfkMoBVCWMADplI3T nYMsDppOLfWU3mkQGERseA32eGums7y+CLMlUTnA8MQzS8xUJdyloKcsY57uQJJ2gJIv3GqBqIdT 5q6/PlYMGtnda63IUjeKyGwdzwuod+eoIZNwpK8+4fnDvmhpmSu+zN5bXHAnrtOa87/fxt35GeiD J+V3ubIGFD3eBe2so2k0ZHjbZpZfVnqwdM7pjAJfwDYAScKNRtyalp8LV/kZYfAVImAFZP7DPzAw lNH3kEHFI4V5R9OmNDN3T9St/q1QtVY+Rg9wlvZTJPB4A3QA8r9SfVB6okOolzepyTCcRjvAeeAs SzfTRRhdppapxolyQe50dUir7RmMw8l6/ImksdoGvLEY4mJBPANQDPIjXBNl/Uoc8vJAPmjYfbcz gaUt1tpq6I8jTmguuvQvbYfVPAsXaSLWeOyEZr1q7tqMqZ7+MX++Wemxv1ZSunyUhX0nHGf2TfzK vM9RTK8srda3zjKqHS1nlbjNTzANH3ZAyYKbHSGwzu8B8+HgSdiVG7pMMP2E4KRqmX7Qp4AgL5Dm Eqx/9pANRIOcmHMyC93NKonuupHepQ8Nx59XPbtCdoAeS/eKxhFavraIhxiJAI6JOmlpFj0Y/FB8 cGlaepwTmqnKkDiUbueiNyMeUXY5dfkqRwBVuyJfihJ9WkMIgy/g0zPQ7ONmLPsCfh+y2gIcgIQX offKjkJYQxFxOMOfjWam9Edxsr5/BuNU5y8XMeygBbkE6cZPv4yJDuVjDtaSp+Ggc4HnY+OQkESD Ne8/Wp6Kig3xWcITNtRS2ifttproxM4YcpyLSiUVOeHqg5RoJlBsCc5yvpBQFSdth3LRmhL8DKw1 MqsivKj8/x6adMkVfrRzl+1IJC/c9rWWk79OTW0sZ60A1FiGjxoOvbP29ZZDkRCgDGlxJvG/2esq RBQAormlkfTTGZYWL7kJFfen9XO1nxjYA83nwI30zt0d3OXyf9LgtP5cUyWxfb4QuTCh7ZMDEwUc 6et+Uhcsa6GPZKojGV3pmytCg5BmnlM3RoCUS+pkkEt18uQREySdOLvvIG30QIfMr+flPLr9MmvC lYJxIQ5yAgfRCcq38oTZwRGLFex+EuaBvQW+K/dJkB9IPCpKuWE1LFLr9ksT91rxd/HDN/XvFAA9 owOmVSst+e0xW0WiEPWgOkOzzxQ8y8+8dWFlV6wzTkWj6+99c2LpM3LBlzyHSYDy5Ubn81lxTGBa WCsiKG1RgHLwZfisEZ9L0JbIyPsgwQ19vARuWemfqg9Hm1j2+yflbnXAuf4zRSKZZXb9SQAfYQ9Y lZ0e61NZDyuoz9Zrfi7zKV9Sp8bGcAafHC4HGOGzEaWQ9ZYoyR8/zsCFprEudvgryWpnTCH1upRW YgX7mz4W/WYHUW3TM2NP4977wsbAJ2G2NO7dXtCj8cNn6immYq76+fpMPq53DKLkRnt/9CAfOsle E8vGXejraZy7UWY6yuQ90XWjif1zuhmeb7NPx2nKyROdToga9cLfmTViieNuzSzTqywNM9PN37tc 6lNJaiqbIl01dyKUs5s18ge3PcMtOupy1+QcYI4Rbah41G2onY6h0ixXsUqVIJLo1SnhLJgSpDD2 EwyXZAX2g0MwfGGaDdbAIX/Lcfw/5H+smmKoTVSdiNIR0DV+68MbA1JnIgkU8p7R1p/JYVedNdeE Yp+Xo0LaEyNP22J8152SSqJteeBPoOp1gIeDiFHoRT7vSqJ+ALU1zPAmFwhJwespSJqwnpkIqgCv 6MFRITD0/vC2p7uhjwZlXkUKNuqZwlFfTh/xXNBDXaew6/9l1vtlz9w3Z6Ubzubp82CSNpoAgcye dnuzq2Zc/sME7L0jvy7dbX9XUe+HINugiyo4ejI7ZJnBlfVyWy+XgM8Rw/LiP0bok9HrI+NdnXP4 08cKYMoGkgum45AO0xktRF6cWuFKal/YoFx+csBF+sgiy6kyqNAYP4Sio4QspCbubnfVub6RRYW1 m3CG3twdx4Lr+ymqS5AhfGRVGWq+xqZOxpIDTcsTk3axeYkSXJyXmugnQJX21WjYadL1qsjO3khg b813CyHiqVpuveCfLkLFVlXU3FemmwpVOLBPSA4Didmxp9oY+mGNMHYfRE9xesHZ2TgcEQoeQXnX ZgXuaZRqi8r8vwYEYs5zIYzN3KEvJK5cSE1N/xdDWwlxnsqMszl6EcnYanuNwTMFz5PUwkbDwzf1 CcHQfoOj8E8xbQy/HJaTTV44Mlr5CK5DwROfycpWmeGSbAGinTd45iPJ2Gyx0GGpT9E8Ijo2vTNN all2GUThCJ8071mexDiWcPhQFIGtXyFTrzmKkKYn7bFbpqYX4uGTsYoT9l13EOLSHg1ms+J4Y/Wq 325ogQGNSP25Ds96W/0pJtJHJKzw+0u9/mrCOHqA6a3CQA7dLE6HraIS9OUvMLpABO82qwFzrj1Z ycbFFMEDshyOWHdFbL/Th58jALiZiYH1OEFX1rIULluunkE8uh8h8KzvS6+F/ft7VE5KfhtIF34q E1c4jMO5tzPA07jSBjFULPsBO/fwF2DZbgD61e5Bggw8PdQ1TYnPj0VuodeMgDs2MmgVt/53xN1M yj3ogslsG8l7KbZons054PBzZKrjX5KBjviZ0+QKf4NmVUUCve4QVTdPl5i+CU43H9iXcC1MqQ7V cE3w0i09/j9gGger3ckEOYXnlmg3HWLIdmo3zxEqA4iqBi9FEBW79DcZUk0AaJ6uA6cWQGi/yoL0 3pEOQVaDiKZVH4Pa6uAFyFRB2/OibDDs8ZtAh16IYAQkxXYfqIJ4dMtaXUeBEgMFiGxq8jNWz1um 17Hee9PKEQuEVni5nUHu5MlMST0DXAIJs47ySlnCDrdy4o/DZJiHgA+0cFhWsBLpUTxi6/+U8CEn OALuQAqXnKCYxk4wSm/snJNsNL9lakPEF8NWnfzLO0JvRjd6BLVRMSGd6DwxY2QOyYO0YhAG4+D9 LaQmYKbySTlLc+3EWPn6JZdhFiiiXcljLpFn2UkpY5Sgjk3gzc6nRGPhux54uEeesApSf1GuTLL7 g2Eqy5a2Mx3vtfPUPWtVs4ok6dhZfJ6XLqNCT+TZD1Pk9cZ64CuoWgMEn6atlcTwdOT4V4oePpQl ZC7/GOcGm+UWiQaLxw9jJXaudBamd3BsPOsk6qLX2jso8lhI+SrJnIiE8JjSqZhw9LPjKYbjsR7W 53viP1zjvdWAygiTwZcLjnFw62oumawTAmXXFITjSvRH0gITJyupTcqPIbFeK2xNZCX47Q6b4Bxu KSieYYLH5azd0aRvZ8dagDWw1o4CBEB3RadPdh6yEDZUQUAZqjppJdy1urSVpIvvfnT8z3JrIV9s wC/GwBrPbtm5kQf/U3Cao13a14OXjMAfr64pKcmT/ohmymNxWn0oHCh3iUrkIfrxEwa6BJG1+XlA aRi3Ko6J0KghCSmEi3VZ4QXUXC1ZVLfq4xoFFujYhjbub/zmgszfhl4CafQ7aQYjIDjmr8qzv6rZ mswliSEwASz7LxNHvQ/Ym+0z/bRisarwevuCLxO4fPjao+Aoj8N7ehWJtI32mTeVIpPUV3HGBAOb mECSWCn2WJZ5/kQcjCJkj/hMS1rzMP5Hrr+F43eF4PC8E2G2s+jWR9WP8MffukjsidmjE93N5zgY Uz97lvjOd7z+IqiMCVqeMBSf10TBYLm4Ok/dhh5k6lMkjqfDhNrOEdcfoYO1WENBhv4wwylPlNUn aNkjLtfABhcEJ35WXq2ZsrB/fYQwZHGU1/gMbmPQHLo5bjZTzWni50zoY8W417QOgIfcbMzhGFls cyTronY2e+hb6QLU+nzdVfhrylHtEofg32cI6cqOdMxT6bixmrU3haPSMYXW3GMpaRoDVVPhbAqK oM9uyvmnD9F3JElrznhx4QZiOHy2giQyJJ8UN32mshIiUkee9LwG2V0Kr2gl8naZG4jtM7LsLMLq xjK8e+nRf0b2gdLid5Xw4ekzIJ883YKo3ulmSjuE6AceD2Yznpx8lKnbutJ7Gnyxwq6bUqBKFfBB HTiPNRy67b5+FcA5WGWq7GHtzzFHV3RjxA34bcQNEKwNpY2vAYoSLPfO0h6GLo4mlatuQoqApZFF BmUySztR7tUeQowQOV+Y9rfvIKLpkPIIcbcaxqdoDD8ZhDrCp2ETmFlTJOGGTIry/mMjcOyu/r8P rU4jUNtA4uYWA+ted3DEFEvud+kigdHkX897xb/O3SPQVxpiLcceNJCnRujmXLGZkiF4dPhZoFXH /F0ZjSndwVaTg/m7BNufEihrDApcz4X21m45fPNiSeFqHTf/35lSf+sfJrwtPev6l4M9xYJeJ2ua 2ABB3R8Q9DktfXSbv+DM4lZ62tRC/9pOMXh5vqd4TrLC/PSBae+L5L1VGAglEweaDuOjYIePBA7R ar8XHHsvkZH3ZPY6bMrWxe/DWyqVkWohPrDLQJ7mO99nOHPLldyNFHUaO3KlCHaasmn6nDV0SXMK qt0MLN8v2Xm+ecbegZlZFpR1wE1rKOVFqX2PTpDgUsn2+WTETdLvWgp1dI9fpeZPUtc9F5R3duLn fEpH2DAUALVLUB2X/SGPUu+TtLF5OVn/6oA3QfjnftBrn0SZ9me3vFc8LNCg4JchsD81T9IzjzaL CSe8/e/CapCPFt9DMw3rEfRCnkxuQXmsRgT/kjr+NHNc9wIFGc2mgNKFmH44k8k/Re5/akmmPz73 1Jyhv7o3aRa7etadjbWcnXU9dfF5xut54dg2PDCra+ynfA/Z99rd+ywSBTszzJSh5aJAmqdKmuy5 CwgRYuBUna7bRxsd4TFDPWB7Kb03q8xBFqtOwAGyCVDnfyBj7kLk+EJor45Ft0xgtqD5aICDsoJo 7U7aOcE2bfY0kAuBenpXp0wVx4s7/BQw9xu3pqCe3yX37vGZCszoPbAcuL9a8ktAvibQqwjW/5Dg Qfb4KN93G3C2nv8hN0sUBl3DVkss3S5M1/y+VtIf/XHqVCz2cPEOcG2phrTBr4kOGpaWT/acuPRq wiN7tuwV5btbktvKyHz/2dXpZOiu2XfiB46knIrU8X6y6m7IC9V7N1QtfZxfxxxb4RZzibzWJomc Gnv/h5G1F8xQsNvBiAwo1IbAwpHCnEq3Hy7eM6k1vZ/C6ktXUkbagc2KtFjC8dC08x+cpSwhLzCE XFflgYv1bWQKHzwaY7+ekmvxTrKYTHGmxIs229cpoOjSwR6hvK82W48s/GB6/ebWi9RA3d7XRdkF 85V0i36CmawWPd1DcjH4qNRmo4gV6ImgBvR+CuqxZ1BmW6SN1sSU6zJjBTXhJM9i/hnf2XRcvZuc dHREbJtPt4+5oQkyKVB7IFPiZtP0XO1qiv6vYNutVd6LZe4rP4/A36qp/6G5sSB5e0B8+MN8Oe19 qoNGH/CBLd3IHvpF0kAovQNWw5WRRNOWigIUFsSQ2nRpOpbbsvCk2MG+pDmrK+ZnsiI0ByhMqTg1 Rw+KYygSImMX//4B4yv6lUWSUjGg+JM6tDUyQJyjMSRJk+NEC9nGxBvbiIOrjkH8b7kIrua6Thdt Qj11h9qv2V+iYiBAzGOKX7eEaK7uKMVpSiokqHDH+N88L8/e09L74LFKgLuNwIOY24UbenhkFWCp hDzelRPTmb6TMRM+gR9jjr++Or9hmFGNdJmIyU3sm44lVFyN3nKcaxQV2+L1MDPszaA3bceISsEl ho18ckxcGZ54C2mGg3AYEPYIC5EQekYy2D8x0Wb3afe9/zMPQPG4IjBj2yxF2JEbZ/xx9/zWodmo sw6gPVfhM7/nqMUJmE1z1HRC5JatX99umapGC3CBODiWsJrEOcu4TzON1/7ZYNHrrA4bR+ogUp+w kVDoC/VP5mZ+0HVN+9bIxT3lhMphbli1elGPth7iVq2p+6mLQcopi7MATSqMscZ2o1ZkNRUuutKH wT3vtFf48SMfDny1rAu6siAnzwItE71IgBKRWpgYd07+oFnF0Tpb4uzKHpvJ+qEqVh6DF+ZgRGLy FU/ccqNES5nO+8dpd8bmN1i+7dBhfgzXuaHxUtJY+brt983x2O00cID4hAV5cKbdotAQdBktNb4f dOJssZ+rYXcPSbXlqKQUSHpQDWbI6CeXMtp6rbjLfORZ6bp4ct4CTAMMz7+LY5Cs6ropixwEhC6w rkpvvmjQkFobepE8iO1eM+YtdIFbwwEXn/EAVykHR5jwS+DPCIaBfJ606nHLZWSvlqXpx3kLalB1 7mA6Y9NLD8KN+RxHQyHYjr9frnKgvA38iEy0XVmIBPkQIhBmAOIDdPAjmKIfiNL9VBalZBGjkqAq nK84BCMCm+NY+eReoh/8DxFqIAoojfMUu4q4q1EYeyKkEC41wORoRI6DS4bjjunRfd5Pl0H3k2A2 DBqypfOvuhcgJ6N+8MmeyonPaYw0j6GXLGOZoRdSY1Fl6I0DZ2eaPrPvP7Ukl3i1V9HCdxBjsbG+ GVgJyK9tdsUA0roC1flXNuwJzyFJNtghDbOmB3wJwBVXvFfJ6qsZGCVM+QCyprh0nri6nFSYtSgJ 1M71sySd/77ORtSma9dOLr1KTOwqwz+aY3qVfph2cTFadrg4Gtexbch51avsmc0iL00oNPux8ocW t9DUxMdBohMqys7TLtVkZXwl8gImJ7NJ/LSnbkmDfT/owBt/r1lpasd4ms7D20oYjPRtxk49h+7n fiSOlLYteY9znyP43ESUtG7Q3vrM6UaGWLjHJp2PY/CYbE+vDGhKf3+EFasJxx6kSthsI2TUa8LT SqVuYfwvqKe+KiR/lNLgU2XZ6YyBUxzLC9bKDiTdDHDNri4faxxttqZC9UfL/puWAwV2JHhN0vzb +r+pcAmXYsvlZS3qZEDc4Bhs0N0FfBX0EE1G8QNERrbM7qDOTM4rsIuhZaLmRGNx/wDsFcE4CUeN 7y2qfOckMBz8XVKvCx7wBn2K918cQFd08QMcHUfYeETPm5clnIlBnyzvQhIX97P7UzoRZC6lr+J0 NSzZeXQl0rWqHtczDzHzurF3/n3fxXJm/15nDNmK5jnjjgRscyWL2hmz39VHnY2kZPJ/KAv0ExZ8 k8Bs9Abno0jKDGJxHTyvMz1n0IsG7XLgma/r+L76JEzIScRRnVaPexWRClK3wMIAkf7YLpesUaIh hXFLT9lkIodZTzoqUWfiJTLXDttLjBgAwB/Tq56wmwsnumPSVR6xTKF4td/9UVLMf+O0pBxRUHkM yl7I82Zd8FdMnbWc9EVtSSVwCV0fvN+l+J9NKXgX0UrMQQ0DgjOxgckkXjpJYYdMGX87HxmLG2fR u0pPEZIJCvKzx12R/+0HdsZSbTJ/YTCll/n/9WP4DWnXM6BQSvEmSNJmeN7FfQQScBLc50fH8WqJ ntcrdPk7heHVbhIG4dfhOyFaqH5HApjooAPOMSIwhwWxM/JMDA5GJXeGRdnCUCSNDRn4ULLr1T6b Cd2kDs8iOmupOYFZIsKjra2igZCUZlWfz2eE1M/FNSPG5DOav6YF5JpZgaHzewbpLtflklbqVFwa nL8lOzkh/MYHjPFJnIEFbZ6EQv71Th6YYqmxqwjszzKRyXb6LOu5HBdt30r/USDYxJxbZj0BTd/j kfpEUmoD8FfORzBZbG1EuKM/3ltbPp1Wr1JwKPXZMestrylLHCfqMSDzY7ykKlisyEVML9gHdFmO 0c0HbBS+FZ4BMX2ficsx4Kbl7EDfmSNYLbpw3YE2mR5Ga0iTiwJFCjUw3t/fvJ2uN5789suNMDis epzQl7aUVGvxFVMdXYTEg9ZC9CcshZtCJ2hmxsLqeiHJMNPorAF8mGagdTyFDO2+eA4TL8PVYwab 6L2rEu5B8b1FI65MdRH+OIt0zmOsLtSHX78DFE3bwlJouCM2he382ZrlG+yV6ZGSqz1gj4SzDuLX 0lHxEdqiRfTfHvT4/L45sOprd5wL0Yl+GN4426lwUlSoktAB4APFiJwSRUbgNUFGWe5dvEy4WpLk HV3FA7s8LN5cZbx/svO5U3iEOOXB4TtGtbT9UL+QkwuoqiQYrkKmDo10D9ricyjzbKiEa9j698/x BCVzxq0OqpEPgBY0OGpaaNp+O5J+I5c2+PLUl/KzFYuTZTmAf17guuRinpTK13ni2ESx21R5fpKs +nlsorRtCog3dr451H/fACUR7Rk2xm/wHvbaOz4uK72gCaxWezO3UCpl6JAG6ycLsBX/UaHMqPAw AW0LPt/5o6zOIxj358UL6j6btkqycUpcAoiGYGFXTT8kxjyWjBuBBeirMxOUypqJl8KIO8TEZoSY ozaNc5u2gHjNGTwGy425b/79d5LiPb3tLixE34AyuR8dfBCLzwfFjdaoajtWwHDukPDAuLUxWwvc CoktXJuRdklDdcVZEjn9f6gP8hIJgXpU6Jv89A7xQvY/3ndG/ofo15wOCf1GaAVlZZzYeOJExpr+ Ksm4s3zkJyygG1hthMB3a1gjUAjUwKcIW3xtZ8mvAyZKzcMebnmvu34te/o2k9Jz58wbKIAaatH+ QsB/PYV/DkF6gg92d3/LIcfxOsAlMYWErNuX/PbMNYA51JD9YEZvadHih6DEBuR088LQH4nkKH89 C1QKBuZWnj6jc/ueQsv5RAmtDKAq+SVa9mv2x+KDV1LU+xPSn4nlrRCTIt1X+31NTnfZoKoIS2c0 8irrSgoeawfEQ7wMSmYYZvknnRuz7UIhN90/PK5wnwGiZcdhpBxoa5mwvLyBVGnB8Sr+2p3ZGsII f1a8nYxrFrckMkVMsGK6zQPN4abA/gz/SwsIjxKH33FhhODJXBLt3MLCTgUpG8piyPz91NM6TS7O Fj+Qem5r4+nNucbeu880rnimQpbzE+DQ8k3So+DW66MFp90bNd3n5+2Psc2CxwZM1eFIKlwr+FBn oUISmaoHj96hsSzwejqxdyInJlL+NJoz8ZyOizpdNXGYZi4dsiNxw3I/F8hgMEYe6+wvcTVE7Xz7 oCnWptq0dacDkrb4qM5Or5rq8Xne8n8SYRgrkYg3MMSaF35FXtsiLP5H8E+P4w2I1zM7pf5jfo8T YtWfZMIM7THjmAEey3DLjJvgGlJS0MQ9eTqBTco2Ey5qfaqSsCt4L6B/Xa5fK9GrDSW+8b1kOb6n MBpRCjz4YKYqWaYs+lG0vVVAwlLC84jBOLOBUAxEasR+DH+o8uuhZeiEnhLuG8d26zSnKzG5/cDx uw4Gn8uJL358wofZBQXxxTvd7f5A2izrdRH3H/KSuoeJMgtb/FqFN/+ePWWLj4xoaDk2QaB7esSg FsCoXvAcCPRmoHbz0AmxgP7R9POCh1rel2bhw3tQErWjySxaK/ZxOCPuyS4jMxEGvl+vB1Ef91fv yP4fPuHeWy/xKhMrvEWmA9zNEtI5eaOFXgG3tnb48TNUiDo91G+lppSX+i15JV4IUo+QGLkhAa/i Ap7U7J1smKrFStyg3pqG6H0ewwp/y5FuO0zHuhaIt81QNPl9nTul7qT5MPQkIHzcUH+vMIUMIljH 8s5jGZ1LjqbcUne5S1IPWQK43C8Lygt0SuTRnevrzHreVPXndHkuqVU0fap0g7Y6UOM0ovJRAW8l g6j0t6XmV0qE4RfrSRB93k+2GHhuKpxepSDPDz2b2F+DmZS9qnZ2+Azl6Lkzd4vhgCSS8gIMMefF KsHfItiA1Y7C9FxO1Kcb50/bsC+bSlCyUoYXIQ2iR4ngxyAmdINOBX1q0kcH9zAj/f/l5g6AybBu Od6n/oB2ZSFqVl8GjvwRaOWv1alrs+0b6Bwmqx4KX7UT8xg1atWT905LOG7YdYJ6BdCzJfj3M2Xl DMNXbncDwDHW6WXcUdcHGsje7Bz4IRRQArmd2M9QUoWr6rEFEhPsg7ZtPoVATdLgRk3OmUCoY+6e bSgKGyXYuOw0/r1LTNKYXKIIJFYQMSE3z7FT4grDJID+ICTk7QbI3wftskRGn9qUq55z/M4FLZdo nbAefnIe159LHFmlUw9jZm4pz25/ZUXTnc1Px900hA53wBuP7E8BY5/uaedwlqvzN0nc0jyq+XWC Xku0T/rCigGQa4GYPXLZrN41qpcFm0AvumxDLushERrTHfcKrlRmqoNbvAA3tsQjxPoWquPZ5LrT B6+vN9Hmsw6Rl8ieSQjd4q5wxXMprJKedIiKxbGneHgnVfzKT95AZ/UY7e3da9Oes++MJXJulZdh BGJZZq2OMZE7D6mNnN2qNi3KvwwSQGNOl79FhI2t/N+0dCKtphgcM8yAI9m042QBmIhYVA0Yd0EZ R4c2A+hNSqQ/LDPEWmWDmt3nJGgIO119v5HC0mnOkLxdFCYOqN72GBQV6LvzYZ9boyjNBtq9/c4e RXDyXstp2hfN9mLudzk0xw5T2AyR3XCDc55fpaQSP2jOsetcSkeLWRlMTd2egh5njTho9DKMXMDn l5LTPFOsAwt102pkvMo767BgBGIglbK7Lq3Rgj9cdnyLrSmXldQe32aWMDth+qJRa37WKP51lUQe FlQbgIpt+0a104bhn7hpXQ2gHXWYN7I12+CSx/zXvo9bZvWndyWCFl7COFKKl72IywxzU58cFznW Dh1Z+ch7Ho/i1mrh+WjVL45wLXvXPj3O1NUWBOitHnzJRDMwDlFtKqZKXRW+dBy3fapw8382b7QE xFPigbRObBPVIlHeXxXqw9362UiupKhnwtN3PMdj4O2TJa1D7z87hShVxqK/i5GGb3RaePCUlqfY 3tCmniEjCr/DO9C+W6DBcgi6tDn4wtqyxbtXnBmuul/lDUf+VdtM/JILUMdwPSTeMZ51JbFDYee+ a777+YztOBc/wzmqOkKngvdAHuPhyJaZN1H+OpOwCQEIZdAeONF5fnHUrCIR3UJ4fA2zU9BDOiRe 74MX3HqsxfKtTlzmpGrkSab4FXJm1WZZ2oYQ1JXeIcYn5rZWp4pR7sadpTeVybxbfYiqDCu28QZE vOktT4mbbq6CylJWl7ir8FbqGmLH40flikhLZ/ZrZBebVT0Zwzie2EYISJzGffso1wMvGVwJPrmL 72AxS+2nZq35auYxTe5HwNki9bQ19ojeoLdm7prfW0cjKsygocfKLF5eIlt9bwncwRj5QvqCi4n7 ZmRhEHyJ3n+UqJH4CVU5n68O31y1Zv70xv7udXTGES3M3EFYsiF3DWusO1HjfK9ApHmCDC1GnG7n kvreRCRpw6paFVWC8vRwFEbzKmRpseZPFIvYSw3YR27SyImISgUf032i2zwy1/kquykhKNQ1Ql0z eZ35+5gJv1bVwEJRo/H2jU0zHTkP5WA5V/3Pfi1i6O5prRfEeCYhydkiqDhUK+BQy62t+FOqc29d deGRyWrGKOUuILXWI6xoL2DABipXMkdAboOVFU3c7yJcvE2lSyLe8iRnBNTwKc3G4uMITdRdwVad HaBnPwA0RtT/ELYRim/or8/r2Bny44ir2PfVBHKYQRqn8khIGXKweT/tG+TTm00LVpdRc9I6DkkA 8MTJjkcvC/REu5k/o3hJvg55Cqmvgz8kZOmdaHCpJPqjidVBSXm0sJV3S7rLL3kuKwiyoTTCTOi+ ZtA4PQ2TaUNCocc469raGGVJSkx6zVWV/mXWVjxoQPOOLXyIzy8ACbDPMAWbt8K0BYmgEQeBnNg/ MU76fDJ5BNk3PTCEIpcI2GOvUjTpTfB45gZeXPVFT/Putusyh7SmtPk5sYcKuN1u9A52hd64gFI+ LX+p6laKRLAGhlntaHAY0D/J+lRqMUGOIjEjU9RJ5QkYqcHB274DT3jUjWb2Bs4bi1Ui2ziQsHjM 3eQdcF6+Zz4Hrj0nkHMfcF7N/mc3te4rkLcZmdRYK8BVFaix9noEFBgKHP9DZCBqdDY6dT6lyJoY rG0UlVeu3wvLg/dpL7w1juovFp9CyOaMUXC4Lis5n/tgA2hYzskQXXMUmyhy7o+BM957iQgJbl0G dnbrMjl9wFNCAw/OYMe1rS1obpBJA+s9WWWjwF3+4aO4a83wrOkB28I46m/FpAxRrTRsAGMAqEva b8KV4/CI0+yDO73FhQ8IHjXeqZI8fbIylJkItlNqV5eBuyFw3NhDUt2HzbzNTti9mFInQoqQMsmI FbUWUSRC5pqzbqPkjzWhFy0PvMA7fEImfGbdh9Pjn5iJJOy6aRydDSjGfqvrAcS9Q+WcM6KZKzpU 7KD4HQtFwFthpbQcvfbB3LhdIdzMDa0CY5sfMf6b4Qp4HUc9UYzLLUXO0vIvx0LDYf2UXMxus8vm k3s2BCcphrVCfJWSWSfMFUqSE2Ji0oytLRV61CTR45fa4eZdTURYx4rBakmaNCv+LuphlJRZKF0/ YiALs9/ffDmUmukP86Ts99KadmQwJCbfNOmy2V4c5SlMb1JxaXnlGTVAMNeBGdrWzVlLFmXV4zhn uR1tNCgSBL+QjSX0N408HUBa+EcdTbHfPafwfZjOM9EqkZSAg0ONSoEuhjSbc+wn5YXygJ/RKlC/ cpQHICUqcZeB162pXqE6f6c43R3Ij0B3UkGNEgEekmbDek0ZOkTJj6JtK8wQzZpmMh5hHzRpj2EH d/wsqxNTQmg74n92ppBr+X0RDGdj4TihwdnAlLuBrCreKbePtkU7O9iJ9QB6tDVrhudh3xoBxWKC DM2vlqxvDbNC9EGkG2YaG9SvShIVahQp0nkHHRMQMXGjOzYiXYYdX8/+L8tLRFgw8T9F72iWNTIK /UdUXESLpoocTPLz2OtsWN3zEtjFzP511fCCZRAH0zlB8enjsKV6VDcPc6H6s2IuIaNDkV/79Pjr lSecQkan5Pdh4qjnvdOtlOMIAmZP0q+8pGqkpb3+0XzR8YKRGwndDyCnhKZaxY41KZ8Rj7P8CAsr uPN9XmqzcJhMq7qBak5zRnNxR9Irn5XYWzEIm/VxU7JVsMtB71kr0/5HGG49rMl3LWf7tZH6aQOg QL9w7W4XLgMnGCxLaC+72U8iCy10i56xTpJTSciBWYfw7LCPS8CaE38oL/5O7zbgsbLemEVJN0TX SN3sSf3ov3/gC6n96eaUkAofzptXB2e+Ziwx0p22E60Ex4drgjhyUNLyWaZA7Dn8YYba1xRFCCez OhpYJJO2OD5Ixn4BjBWttsvxKLn0K5NIRVZyqKYSMrgwMCJCiJ+t5aoWuH3PT14MAYvMzOt0v9Hs nz4+mvUynfnLwHmW4u0JLwjgK1EycZhF3QvWMltjy2v/aTY5f9SkcXTQfAlwHLenZ+qEha6MCDAC jxKL9Knyk58VpdhF+GtCepeZgCbYcI438vtDgOf0BNK+Emt27/A6ffzJh81uUMQj46592CYMRkrX P3JFIVh8EGJV4O49BEIseaIPkQSXstSwFksamuX7QvUnPDW8KOlnx+PFYCIyUdvbD7lgGXPZGO0q EiN+vqQyA9vAqFWbai16mYv2Kj3xIQkCLeLvBDNdLRLpCSldPok2WPPwKdyQ/5cL16bhlheYl4lK WMvfLgLF/0c3SJ3vFizY8HvJ/gJeTRsVOLpbrvODZCjAVyAfI8SF5KYL32ynOGcOB4JLce3r9xye fXc+WxuBNNnhG7rqAHGvmyApveRnQJh4xIswyiVlkcYlf7Jy6Map7cSTJnOGRO5mlBYZ6wx2WPq/ Xpbr1f1cNmbbOFUhRZkL7upCsq9TqsfYYiTCeRvRwa2RJXI4jHvm/cPv9T5Rfv9zrW8pl1Yrcn8m klFmDncr7omuss9gL5KOTBV4LYdyJcXIqkBAJcG83+P52NqNXcu80dHfkGZENyLbGAdeuT5UDbkQ BdQPCVRk7NIbdfoyRhK4BxohAmCnQIJKLgEPdO7ZElZrs/9PnoJSvYViTCXExy/gsgj2gYGHFQ+0 20dpYZMvfGXu3UV8MA3BppVTBTbxE/C/saZGirovhoBbdYKRNuRdz37nIEGS5uM8fqQMmcRR/0AU HfCiNrnhT6aIfxX+filUxE8cJb2Jd3IFQvDzkGw5epudugswTq+7oC+gkEavPwq5FgFygpQObMuV Bq7RY/+xjQCUj0+g5sdMRfT5iPQ/H+jPbZ0ZKI092PhEYNVPmPt8AdPOl8ms7ELYirk1OV6TUVL+ C9aoQxH3SS8qTqpbQkLOeYFHg8EhYob81Tn/QR8AakA9ItzbPfy0Tm4d4qDqDbxuXzwiAkQt1dzq fWDFPAslNUKkYAEJsKAPdFB0g0dvsZeqYZ1dyoRmj5U1qWavh1pejJ7NMU5P17eYqCiQrCGlyWo4 MLgO1uYbbvgDkxjhH3+7peSfWxtFRdzNhodGCZhJpqR2djSJ3k+oq0qTM1RDBkmCbYYd59uJQ6ge EUVXJnJDb2WyawA7ssnLwtxe+EG2f1m2h3qG29P/StLmuy4Jl4JytWX3cvGasSJojwJouL/khjhe sojAfQKCW991tdQGV9SsZEItX3UCYVwk4Z7SiI5cHvwqtjN3/mkMvws2e+C8YZkPrA6CgcwqFPdn 8MSWnA0VSND32vuAFsGXZ+eXaEhGAWQcZo1WcTaydnbJ2tzP4iRQw+0E+9fbSXsoZb3RP7RjsJA5 4a5Ph6q+mNH93dJnNGsIqKnjb0SySHV9YUcVeWzzjE7Q5MsVLPMcIQP/7AgbZiaLgQYXlcWvMFIi zY77dHFjCmNpli6PRvAV9/WCyI5Hd3zWqnvguRYfSyfR1Rc3KyuisKMXcQ9LHkMCNOYm5nPAz6jV ztaDuRWycoXdQya/T3f3pc1Rr8gAmtAiefRjPI+MphQaWLdkIdwCoeLAIeSwFp1Arhz5TIkIcjM2 z6QAYXY55JmDrR2UpZFX4mUZFLXWPbBGbIanSjib6+i7/XpQ4IgAFtZGcsSKAr5aaW/dDl3U4j8w eUk47bdGUux+M/A= `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block W8BTxozyUsN2F6BjwRpQ42E+TujraEVKRNxlVQMmjMjEwSUb+2s/9r7M9+9lqZchtUOesX9+piND 1wcCUUCy7Q== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block oI/LTdi3eVpOco6HQnYXoyfPCEuo/LfAXbvrO0tV9YGGwVCn2CUNzYl3JN7CQL/xe4pLyAKZQaMj HAa2pW7ncGmkLUidKmMK24fK1s6TXopE8cF7YxREGtgRC7aJOibofX9Ogcrru41CTCbdJgWCFHos suR57vjMoIlgGJQ4W7c= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block bHl/QJUYlA/ScW0xSwRrs5EF1Jk46e66BBLINgQIFTDiS6wQLsM9W8ubvHql8w7h3EDwrvDybQzy bgO51YsncDymBOShwtuoUUI1xKcF4+HxMrP26tcJwdDWr1DOjPZJvhn+yTssqx46K+ZLZY5JJ5kL +JFdyogxAsyJ8pZHJi6KSceHjqKYqpSgRbG60TFe5iewx7soVGPJiYWNbvWKstrZFPmvUZRYceLp JWJp83yJPrfuuIklMGOwXkZaqsHkjQNeeJuVyNH2M5mDpERHSk5ZK0EKbynVWx9OeUJTwN1JK5xk xNdmB9KGoUNCXmPLRfgUmpjGp367VWZGqvrSDg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block nJ45HTbnUaxsP5OcIUbGi+R3kyoohlxuB1IwzMnBuG9f6vydAS5bqyYwD/Axcx17UOHXWIZB/ZZT t1oa80cuA7F0vNHqRo3ONsL4Us1WlC/zQJTR3G9zx4GZ7dbXyb44eoGMOS2vIFErztGt/M1M+09+ rrKNXvcUFD261fFA/nU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block dyvaUtYZ9xilQ/zyjGw7jv/udjaIjl41Kz3OxJOVoVlSEnWNI/m9jMn9f4aHC/GbxsI2xkNakKFQ EGOxvB0qNsnGrES16l4WuuaWrtg360YLYOHvWQRh/iauBb5c/JAN1fb0TQyX+7f/z0CPAg+5L3h/ ubYn0iWaxt8JG+6Y4I8ADgM8N6CzGq/8lJw4/3f6SxioSiORIzpzSiEdLNUAHWBLaigVvMK3vkhH RoB0pQzlaI5PDkpi7SlefyeEcA9L37TBBo4O34g8jrraNDwjdJt3rXgOtZKAYLZoxx4L2OMqQf91 kxAEfmTV81CWBR7YiAWk+slie1cpyqBSlBiEGg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 30848) `protect data_block KV1RooykKxUVVP22XhI6BYdwHEwv1s/Fayg/EvsgOFSdLJ38EL1wvzQij2QAUYLIOzcj+DfnCpBf Uvf5izii8DlunQsARQB5UKzYZLAdyNBLGgl62o8c45tDeFnZBkbu1JNrwJ/J8njeD1u2FTNKpTlA yThrUdrdICtyCr6uziUR/3NGcklzKNnx9xaD6Uuslx3975UmOYdjGvLbUDt4tOle0hHIqFUBnSE9 XHhJyNH2yAMdw1yoQu2rx+pP3MTPsA+4DRzLgv+CCvAEm1+2NsDm3ksPb4X4M6Fnq/tYxmDu/SNL G0FGOkmcVun+ui78cI5HDCKU8sE2GM/IjKEBbMISkGDSZMNUsmRNP8rJDAjEhjdq+mG0nes3eXC0 RVmEAiI/W83fXVJjHFtiADPFaCUV8XOeCvdKwuVA0YFLEXzHqjjWP6xWf22Iqn9zSdWOzrNbV6GK hvgTFQH9ISMKHqG+3NNV73xW1zA/6N60BACUpu4Y3Uf2IsbSBoWeU90EjBV+sJSaA/hsqqdcJ8ki RnqYdBcrJ1k/HRIF8qmD0SAfepKmqHwUzI926opuQ2TIucOOAEOFtDXWANdrTGnwYsYnWOVq/NqY PYlWwC2fEUUc3idjMpEfW7vk74TCXOqk+uqrdXRo76PVE2vvBAJwXdDdPfGI/mNqte0JM8HCyxPG rrvQ1G4KpxwB1kETZlVpqFblDMxXavZJUtx2t1HLTo+lAut0i9jwHXUUbUoCWRSh4wgnUwzIuxIj /4hqspQIRyW4rJg1wgA/6wiuDHZqRON9FJjCgDsfuXBWL99hqVqzTuCdWQ2qcCPq8ZuvBZHMqzru 6XarPp6XTJuYRWP6kgCJ2eY1UUKQA0f2QEz4FoLtCHzTqbgZuou6IHxxoj1hRWcWn0FuhgCibrGT jxn9iOyPra98562L+ZyJoEw7HsCgowRltIWqxKlpiqolJ6w4FnH2MW10nPsraBQ1rSJzZ3PLEPWG pRdRHBicF1jBrNeXQ1nuUUSgcBfHMbHVoXjSjZ4FE089QBTOHl2aYA1heDdDMQUaq2vk8EDpPuR0 CPjHDFlQJ2T5eWlpPeuRFclqzZSFZZBNG2Llh29PoWWisS+FAXuWmKrHe5K7URA06cl+1+dof99n Exl96GgN97/fXCQiy1tRyauxolAVhkO1Ytm9qRWowAJyQCJnITFlrYvG4UwqcLoX6ZqIp9NFPGZU lWG90jNJep1UjtHVf3z6ey4SNtd8TqSPYZx9IQVJYXQk1jD5uzU9X0TO2rQREc2xHviHUS6QSKTW DXc4272oqo8VXw+TmzgQuNVAszCPTDMMhBQ25mw+Lft2iCnzjOvu9zzBwS0awkzs72c2GaKtR+wB X8Fko8xrycHlmo6d6/hzDkUe6H6Hrsil51jp2w6/SU8wHesSgEJfeDzXzeBEyUKz/AkMjjxFkrNv BKffimb4QxomCpbDizFlhbN3/iV1K7+acOCNyICPrpdAF44Z3JmutVLCu0+tZJojAFrMk4c7jei9 LhMDpNrV9BoYtIc2nPOsot0iM0AFI8vHmh6OmtNteLkV0Oy5SIlcOLcwFhLoCPzcYYFsOA2+ztYL 4wemKjeNgGdxnS5IKVAz/67gy0JYK6t5MT5U1Sz4QLtwXRVwIl2cnzbKCGFyq7PYpXiUk+xR6HP3 D6mYkc1yqcbEe1QNZTh59CvgBcsjPTbFlX36h8jKnolNGaPTWIPc6uq1LGVYK6i/lsCm5Jlz3zBi KkxIA6XM4p7DqB5EzrbF1wc0S7vr1nAQcMqNb2MaqV2lhipBwC7h1gosRjVMiFpK/ETB1oBHY9ix HyMVk6RWfNJ8htyT3y8fXpiP6a+btexSyGfXU53o28z5675cmhlghA5VDi1abUgSGJ+e3ObpGh8g aLxrTk7xG4hArl2XL/6Mf/EBq7oYgIL01MXTMtkop0FnNTyx7A+gc1PUXQ5NxnCMDNJhYD0DaLkV PDlXXr5gHAfNhYW9zPUOJHE898NLqpMQPnyBO4cBxalcnnbRXKT5C4c6Oc1RnoH+FHSKyLcG4C6o cfzKCBEHcH1x2K/cHCYbwEiDI1RJOnM9sBskOTuI9/M0mDoWSML4uVaYFO+GF7T7eSJ7DWeJ0EtW JoWX5eWmcaxb+/tobbyjm/fUo4Xy6UxlSaoQy9aNjkw+2rX8zsXEsnQYLzjwdxmSf2uVcbzhYa1C NjHQ+vynqwGnIVMe56J5SN3kOKwVjCw2B+g/HJmF+4GkrU2artd0V9DQ1v15j8pK0Z7yNpNO6gOn Xw+XesS+OxKW6f7nEf8UgxzHOf2JzIggLCvRKbCBJyqd/q77B1pa96eApLMqwMdvlOMMxGSlPNpM 1pRP/nhWOZixDxdkIvf1W0K4wRJJ3nOfKrZZ0FPn8KKiVX2Zw8dtVUc4S6x2GX98rr5F8TlIAr5q cr7ZbGHCWJS3hJ1kHFttW6MO/cTHULZZYTGW8qHxFW/wqoclsQnbsEHl7lJqIL2C7lCZ6A7rd1Kp fQ6uFX3UU4AscNnbjXWnnSnODj0VBqXeDKBBHOFaOHBxwzb0OGIjkjV1zwtUlBz1+0dPCzZZGobW 93LV6ZxTR44EdBrpCvPFoDKLAR14oHgf/2lybIysoFpHAS9DuA5VQ+daVEJojx6ul65cX0FKKrSJ 62K/is7K+3MPc6EAlq/RQnFc9HJbBmd5uvxUxW9k5ZVPXPPmrqRb9M8XRWn22pUpl4Z8CK+QM+w3 S8uvw1FhYspPFMY2pyWA9sT9Jd42VNnpwpBIqSXYVBh4l5lSEIaQYDaembmUNT2/UbVsRfmLEbWp PI31NfxIG7Qczovoiu1s2CeaA59Qau32hzBOs6PiBCF4b1Ts839hKw9qOjbTozLQTURkBxQcvhk9 4uXwsZg1nrF3dZ62fip7dDZiwHdnxWMucQuDK2ji6qpU9hb9ud2s5MivZvM1c1BVRaRG3WNKzlte g/VlYO0G6UbxSgjo8k82TipQhho/3dw7xf2MWqjoolzTR98JpSFDta4laGK+m5UC5zZJK2/pEbnv NCIH05L7Lox2Hhgd0m7wZRElaKiu8jkCAD43ROHzfgyjqUYCq3x5mMeuIhwIVoyQbfXgPWfLRp+A 1+CAVrGfn8mf1TS4Pf0yzsMFUhTJdfz1n4s0NOROzN1Mk37GVdGMQf6bpUTUfVu567rHXtedM/Yj m+MvdGmOXlHj0qlaOIh/oX2cXj9b6zP7VqLAvgqdu6BaG+e83NwPayVaDIMppjNs6QOQ3k/HaBF9 G7h0btk3j/wbXAFL/wTYeifkf99z7ctJtxicuNab8acglGiezsyb9bYTpbzSEfezRDv8t3tSpOmQ sA0RVF1on0JcwwR5YQ8QXLfNNjGeZu559zwjeydGt/KlZqVJ5B0UfvK5fU3alGYPUEdjJ+n+Yewk sfCnV1ljjRd2FtBQtylJ+tKrUbltlTqH80g22Atvy0DG6MuDt1Je3pCk55v3e+T+mTLJ7iWlfVIc 9VFh/XYqvu4JX/WpsWRbTuJn+3AnIvoGZ6XE06FlwR9WwC6uwTMTAuU2BsRC4JM6aYhYDlfsfIRB N0NNpiwyen9Rewg0j3N6YvF8drfikheaJVaVAeEiPyWHOy1nRcdzpTMTDgvLKeO4uSp3TlKg2UnK aNf0LEGyAl0qD9KukTV4gGM/y4qT2pyvYGlqtSdGUrP2vDdqAKiRVTbAMbX/pq4dQnhQX8/w32d3 VoCTRSnwXUegjxWdEU5dDF3MOKYMFAShiTOz0zb0ZaH8W+kQFXhyMvBGq46Avns6GV4HumDjEiQM kvhWaJcgmFEwWj0kvlDZ+qFo4t7BCXINUc/1HoRizIBz5fZcwCVLDlXSMJBVWWeaPEDotXQATBXs rfMsDsnCnrOiNgAVd+XM/ye1MfxKJQJ+5KZZof4kO0pG/Jk21JMYY36SH8mbwqkf0Ztmb8k6gEqn KGM87Omt2FC5UQiRKo3EKBGx41Ru2nKO3QJ3VIBofDGbU+kdSgHwOxdqTQFqyDrWj/4k+kvML3J4 Y9+/I/fp+TT87ZcDpcc1tIil3y1fNFWhsqLh05TJgvhozk3z73psTnCwuD9PlSwbD/rRGcCFojjg W8Thw0tW2ITb5/b4LuDfydycfYqQRw0Ojw+k1qIodNTQtZfbMRUKDF+Mnc3W8rJ0rzdWRk3DVp+m pY1yOuKf2Ym3Hqirqi/r0hcIyHzOVIqGaplkzOBX6MBxAa/tokXBrw7s/6YpuqaqLRKjOarAB+dg VXxoCAXQMXHXYVTLrjX9HZcdKtbx6FgfyFfMnqMTd6ffFuq+7jnxuldig5SUD84gRLx8MAgF9MpL VQ2U5DUOSIRJjGYmy/W1IVGcSXUCDSoBnUUlUzH/wYAexaxtzS2R8EutpToZQAZ9dZcVw5tBeXAH kTiDxeYhOTrHnHTvKf9oyJHIWLsrPknriv+sSsHmeLhR2AHGTKHPM4AF1TedlsfJM/E/nQaRxP9O YcYtOb5FZFQbEi3dg6dNYB/3vbOVfv4lOBOOvj6sIzPg+h+eywjpLXBS+chSHMreeM66wE1iMxqR huaDWS3ATZgfhNoSXOLrT+3I7S1g7HTopNXUXpy0/JTjKIX+XXxfyF20QQe8D/keRydINdNfMeVB GpPv13zoctZMZYObxN/cFXJ2dU7t9WT40yBviS0IsrSiDxP/ZyCBKPihP6heycO3l7i2mXhQN14k djp6H+3sBxecsL+kaZ/56/rd/gX/9kcHfQ/33U4eCrzUrn4uynMaKapbvOuPMp7921JmxsvpwRg0 oi63lME7toFIj8RLL2uJNBlOmgD0j6KovslbI27Vw3uZ6874d7q2ltVgR9+meyw9QNE+gq8xSpoi 3ltTVNPf1UnTCFoWn+2IF/D5ycghRuK9XhuWp4Q0I+P1gdnJFDlgKyKfmVHhyHSGTRt4zovBXBxQ a8hAe4SI3PKPo0Y0ZdhRu4ex+gv9oauy8CAyuEFY0QxBf1SQORMp+L6IACT0w04cfvOcKXMQ6aUl Y1mKQjyy/tqQD6dnB6MhD9SMUF6ALOSO4laSDngWvXsEUlS9PpsFRWYXx1nQFnz7TWkRCVcaFjMf gwHnZVi0WbfX0kvaRaYzkTFs7MHHEV0/ORXkBifHI0/3MofrA+NCr0VJCx4FYSBHrctO2EyXYcnm FVu5LdK75+p1MPkQ/TciT/mgDPLiwstEAGBnDlHOyMUwjea77so8uCh+e3BqeYO2ZGmhYWF5wjfM 40akdQD3/e9hvAnhzk8vGrE6eRdschHhVw+fPv9Ksuw/zWAE2rvTvoK2rGWWdGnPtSUfEwHmTLez 5IHI3oMAylVttlKXz5L6YGh2aY/W8KpCcm2aacvMq9Big91hKo8IJiQKYP2o43z76GdqU8GF/Els XbPnj4QAcNb1pWsnQ5KTyzB2DKe77rZK7p7CeRiFFa3WCxZDENovS+VBVR7r1IO2QTWq+OJ+i3wV ndNae5WPKym+AOj8eMZBYkpMASCJWcTVkbXDOSG5DFtucOMnsywlGujXZfPHnVov8WaM9fj8TGoz SHSNsCMPRMXb4rPE7+sF+61V9C+Y0K1+NUq3Sxlu9z2SU/vOAsHxPyQROGwySf2VbbCzCWs0aSPg 6l/fKqe4pTO7uIUADjRLplI1fQbrDZDuse+Nw1gT8+M9aqn1vKOmFFTMtr0zRQUd0hxoweQpjfjE HirzD81RMGIgpG4B+aqvu8gQrAI4iaiDK9YZBkAhXvAy3UZiwal8wyFxc+y3KAt/nZaCUrPtiHO6 hCKzMXXA15NJGd9P8/8zypIp2BL2kHRw9LsPxXJhYvX8e/2mrhzVUcDIlnLOMj3R0B/x4CpEGTye fD5OEjD8tqIhBQNHMeMxxgwofex+UhrXlzwiqLV/OLFjDriCOc489t9KEzAeFiDXA1SVO+I/2J4B lLfi196UQATgWVr9exksHFSxUqvwNT1p40R35DEzQMm51SZLz83h961L5JUAet4BDK/JGmYr2l4G 5gjQ4/HMC8d4rgbs3FQBI6CPhAqqhkNMrje+FFmn4OCsqFWqlemfuJO8bsggWU1q6ea7/plD859I 15uoE1wPZtt6+5v1cmyU61vhdNWdoWR0YIIFD9SezMVUILrA4C72nSu9liWBgcdJTPt1x6N07ZaF 27/bNu8/b2IuwLBbu1swGd6aUQiV+D9VI2XNRuMRfK9Po5EfWKOmQasT1u4stengVrAMEqLdN4gV C21koMol5zf0deF+RVAYSO1uTxlpEa4YN9JANlPkbk3hvG8SiL0JCiuyhemHRurQWMNI3JVrE3rw frknRzmtCTjdW3aa4H16fM/lUwzNidJeqaEQRokeI4dmaq2v0RWP4f273dxD2nyZ0dtIXlVwQnhC S4wZeAmY/UQQpu/wcL4+f73Un7cqPJAzR4i43sKDNV/Et1vXK6f/ScrUnidwIZgC4pLkcJKCTDYi sYVSzQ43MTXVsYPPtX2gh+SriyX94S76ekUSEJKi7JmRvl58yBGlikdleqlR66Dpg6KmUekcI/V/ Ze1lCFi6H1+rmVjfq9zYxRj6S0RjnYwr4WPqVow41JcKJ20Os5lnkBM5hPwEUO7H0iJ28iPzQel3 xy3Y4FIh1v0vkyAGA+VryJmlEW+C0O7urbPQr0oMCD4bJTVGnyHNDfe1Tdc0aYwo87KNCMV2eGvC atksv18Wx69huYefQwiJwjwF5itZ9U+mUzNTJyoPwvSVfDzpKoc99BUUig5R8uScLuztKCp5YXtf jxivKd8lvFm9aE9U56Ghti/RbohYiP7c4vTkbuSJlQgmJ4ntPvTe1ONQNMDa8UhXCs0+HtCczPRv XHH6mMHvIzjjJ0CWmO3xAd5PKd2YT197uPS8jNHVBnX3oJjuGNAvq36ORsc2OU7Rc8fJqY3IUF7w kA4ZyWVRBR5+L/9+DVAMbtR/BBNjIhqGwxNRc0K4+hzDl0VDCCIcOO8Q+rQO50XYUP8QK6DZBAgB vs0Zx/0mHrJxsta/Uyp2Ae5IttK7cezbvjVMj0G2X0/lInJ92YhWlfrbCkjUGCtiSIYDb0/bDAWM Zs4h6PX5HB1EH7kEt3dfgD4xVg5JOHb4eQd5amnj6qqLxhDEN8vbFD3mEFwgNSVmMZMmTcajs9MO vYrWu1Yn/SVa+I0L9JQ35HegRaOom+muyxCD3KjeuIh6y2ZIShEsaXamqjpMT53yOh93jYDi491X stjdTizKZoB55usi1sEuB00jtLbvFCrZW6Hy+UvzRR5ksBi5PxryurU98SoqbWyOogQRR4FTyNmV e4yT5nZ/m5kOAiRJ6AJw66WfArK/g0A9P0rp3ExUjLhr1Ar0ZkgU91ItjGuWQSwObXHXXNoi8OmR TQcp15zasrVBl0DCW6fD1yVvSjTg1ENVZxUoU0apb6zIv89CdXsdq26Tb7ijFaMJ531Ns29gyWeq IT4pzJndezXi3Ow+mrwA/h+d4TGVfCcN27e6jP8ThuwyixmDNDKacUzk6HUKB30PNvyPa2BXzYC5 gLlLkUTQtQ0l5PnA6+/Qf/e58L5G2YZxHkT0YYRT/B3QtnrisJ5CyQY14JlO4GjDMlPNgvTUTutw ydkh7pHNt/pQVZIU2VcoBxZ68x5yNeSi/mLvJjXvG3VuswyNuw4EavJ/Jcm4XxIva6a6TM1tKfTl 2h+WzrbUCRQ01wd/nWzjnLkMWrbuHqjLodzrX6+Nso22qeD6aY7iKzkjcmaHDHHALYnZFjXZtKyx chF7XbqqD0gsPGryCbZVcUp6LGzi9h1BksLMMYLGtD6kyylJ+mb4511IBsjSNIZdWPZQK/yMaLs2 kiHaVdJRuTkTu9vAByW5cVjsL0FktnGnr+XFLcpLilTmS2+blH4thWrqw3WQa0fEA94t35Ax22Af p9WJ3HfEgziSaFT9C7xC/uYjNDGoxqdBtepvyU6WJhr1TCE5Ej6c2W0xkqUHddWpHhVBxOpfyNw4 GVUcq4MHIardLtiqO9DMF9SaQp/kVOIi7TFjYybD2oQs05AIiEyy3ATzOn5lZ46s04IgPRkBYN2T QWtw6F7MdW8p4p4Ox/vxcXEUZFw2JaAC04RS5l82cJtyF43pPs9iAodylprrIYsurIhPeZnsvGeH bQu1VngQLt1l4TTPgxXMYKHrT7ZcnMYSEDToOvRlyt2kuz9Tthm21TN8ZIe+ndtq6TT5r585wNzN kfPTW1qHK67HFVosRVIhl9HpS8t06LdKwpmGbCv3L0wFcDHbKDnRvCw94GrOrizY4salRo+Qjuvz w9nnBvYZyjNbzZYHOZ21yfXneCULWHT0vQgHPC1mbZApM6J8pOmosgGUlshOxzN1vmAv4A457ZMV DUy9VRds3XJl1mqEqWluXb0vWE10WGED/83ZskFIyZ6iliy/oMhQN7uTMZxf55M1xvdzA5XiUSqm RUHB5/Y8vsGwNLeq5FzNt4jxOzn9aQMEd20t01GoC1oHwDHL1ltigF9YvjPBL18n4OA6QSpW4bt1 f2UJ9EC+s6WusDOTV0Q0c6h69qNFLqSe1BhdLzf72E2AbyEkmgCpkCEaT02C+nabUeB/29K5JPkl Hd1mtBr51NRzxRW/nyKX4Z8m5zPwYCzsbSsdDyQBUYfERUdRScoFdavEKzRLdctMWmmGhg+rQvN4 B8WNJoBC8H3PQb4rUsiEIMxeWHyLnF1HN+EUr+hhFlKAiPwn15zrtd3z0UWtuJoXkuuC+qALAbwj 4FBaKQRpqRwRhhu+SVAZNJDKqekE8Pew2Nr2tUXYqXNpb4frtcwOlYwmrMX/IEWdSRImddDv6/pI PUt1GC33gRXr+nsP/z574CVguo/Gbn7Rx7yfEeDGhKkig1Eabn/2yuNNVA4eJi8wrYcskJlRmQ6g sbkgOBJ2Q1HDonqoCodLIuCReT+oRRDHwFENq3iz4GL0BEHGrL1Rt5Vt52R4BQqzAqfRL+BTcTEV fGB2ksEoH8RBI1p2eZ6yHGB2xPSbsUFzc3c7XHWtd03yzjoli2+vD5Xk3xCThmI2dqlv4v5GHgc+ SY1F6DQVOJqMKYYEorFP+OhaXe/hnGhcJFiuuQeCdy004PglAmFTN8nDgm2iVTUU0pTuOVQ5a6OY T2lr3MoYhqIwbDXwLfOgJg54TQf+60RJ5/L2z5DtZhYROFJs1MrUk8ChMVfVym4drUvrjEnL9SQ5 Ll8T+yayL+EuYlcdtdyDDwF3AqRBnqH1CDvEwO+f5hU86htfkQ/1lTgVC2dP+lRXYt1TQ4jb5D0a XuvV//Wjm44FjFNIHcO7tjfu0ddHvIr/8xH2dGpJczK+M0vLYy7TjEqh/wIvm9wcm6PAxMViU6fs eYShQsztZ3yzD+L2Z/rIJqGeIgl6OE/HcI+J7VETQmvjI6QmtJ7gZ7mbMfHkZpUesvDsEAFlfiBG wmdM0RDMT7XNynmGzOq26ZTb9JkLaXS/bb7EHNjLj4EKT+zkOq0uS0VenIhDScnw2XePFRUPSpMQ xJrtnb4rperm2S8eKBhp7IBO60EYNCMCydls0IS2E56O7Gl6LOsi3yhrSDlhb3qZUeZcZU7EZVId 7s2Iqb19YI4UktYB+YMnts67S3eTIBosoXP0C2jsLzJTCQdjZcX8/ZcWVWNP1ezLSdp++i2/efM9 ZmtwXHGONHgw5WwagKapjmpZPr/u/mpDhEws4qsEvV84e1Fey8FciKasG6sHQHM4HYP+zhRNvtgf QBuGv06lNYOdhWbqrY4VLAcYzVmA/VETu/Sc1UiEUJtuIRlmhhHri3FzxQWdxTdpyWZ7fCOEtlQY irUIOmsHtmCnp/1Enez56GQOQfaDYrivuyDCH0PIFJ/tOkD8Yx826DXN4anED8tjDXOAL158qQdQ MKpKTYiIGy5E7p8gmAa+GqQJVrv9M/4pEtJ1aNwq+AmOOXaXcEO+ZbPK/XccEwsYpcZ6SciHbL9p eKSpl6tk+nVtuM8Wadl9NFk6gV6Grka3LvdS7p/+FxypH9CEeedFD2dcHqUDtcSgE8YDHM5qDDTB yWi3gAWpJmS3eHgDsmTenTJXvtVAm8/OnYlSAaaJ8DJUBJnCQg+Lc20AscM776tFuUZxarlX1miS GdvQvhUUNQTiDQOOeZhviXTO8l4SGHR8z4xWqxosqsaz4xmnKnNcreL50IOzRFx/qP5wZprEyZpe JV00FlLLKrLW5x167awZ+6lFn0aO9rgMIUgY5PAtRQRvQ+zc9aKGdG+J5tKLwM6QDQCCE6jh/ARl zf9Vrf9S8xTXDYVzjF4RC7Q1DAcb0oJDj3fx4Q/gXjxWXYJBrUc5d3dWWi8esO7TVn5NhoVC0tLc zpA/9B5ZEJpqdjKgp4bvTG2sJ6/zMU1ffeuddJ/xHJViQcaXVEVZIaPEC7zPbRgKCjg0aft0h+m3 0EN2RLwZbLtGnrBQb6RVFGyBhmHGpTNIXTeNlgq+Y/pilyu62IAQAo75mXR1+pI7gPrTkkSfWh+j oZF81L8as3fBm46LtwFPxZCEILZ4rS5ctTIS7aoA7BtZg/Kjr2UYwP5djjoeDeMjTAGnDfO3JYvQ RKkgAuBw80iD12I51tSg8xZHgc/0S+mqIham7QgKloJsoklhotIiqlJ+vqmbE2nqjzL8XT56sHtC eNnvoB0L+/vGoE8Nn9C1Jku2yAiQgo2l6QKxdr3h9l6TIeaQd8ROZPspEpfJ5766DZ120o1pN/cY AC3l0tcacLnPytQ8ms45NPt3Gxe1CrZ7UMPk1+KihQjKV3lDiN+ER7rEsLrkji8h+LNXGSPSD+/m WDeZ7MVRIuud4mi9HkSOcgE/CclNXEJP6X6AdRLMeHDcNJPz5uJoGTdbXKB7iYjEBJYQxB9u6zq5 jqM1UbxrZnpuCTeZqSeH3WpOSQ+7kmE2vziOSPnwWqDK/e3KXJ2glkw+dp9Kt+DF6u9Pog3rexWu 3UIGjjWDgdrU4l0jcM1JEspqddlmyru9BYdyZ0lauhGOHltP1I5dT6Ktp0VBXfiWnQuaZje8JYG6 gGH+/7zG0JqmJhHwl5Vbw35f62KZ8RrV/XJtcvmLDANtf1t1xk0o93NmvbKlerfwcZDIAfLgMA5p XV5oDZwpxMMveuQanAMfB1rkmgFHcfzXM5fAzhJQNo6Z/OA/11VA4zYs6zQ40SEJDXjfvaYGhWRv n0CGH/tGOTtOGamligxGJLbMy6Fl28C9RGBaCy3grUSFc/AqzNhjjbmPO0vIRmv4YeCyIk76nhaJ FTssnZpKBunplXhtNs3TQYT8RnEQFCkFUFOIF8QKgLnw3J2N994cvheCxcMjVBkpm6aVmEtWpiz6 cZitWPOC0WTYoxS2YMTb108rct1hyUgFxiAJoEW/4JAtUhvQsFAZkcVOp45yIoRHjDeGMRkkI+g4 7JFicXyojIUj4RnbthXsSPOExCX8HnYpBu3l6ABI1Fe0lrcIZDVs6NaGIOvyEVLR6yBo/Q2YTyuh vP1uJeQi4f8At5Bq37w8KORCvxB/MQAPyY3sf9GasWNU0AxL96/jaJQyMFhuXbS5l9cqL80TKU13 URBB3XoawQrAib0zUfa38mkL8g5rL2vAKI8eOEVUQZYbP73C79pqOjRmCWRseFtyh17+lrNjRGH3 aO8DlnYok1m8bHR8a7qErTUhUN0Ds1Z1VARzTxTZz2HifmMwDQy4LvddonRCV1htlreF+4SJjnVL PY9xLHiqRLUTwS8JDZt6JEytFNq6dJw15v+y1uaC1yZU8n/G+cwrCyou9e6NXUoTmc4Q3k5YzWFN 01tPzV71lTc4t4d4jllYEkW/9O0n7UYmJgG5fIvb0975DNLfJu1tl/4XQZf9NyJCTk/f+7s3bGRh 6EgVz4SZ9licOHzxT4AiGbL2L+FAJcqG6WPVvcbYyjW+WqIlZhTS2lKEESq75YrlvTH9C2g+CdkK 1Q4sNy2V7K/IrYC2b0/RBYOR+OAnohZdbri3AF8otQsuaIbIwwSaKvfI7zXkVi0n4dHAd3ufP0Dc oWOk2nLlgqQB01bRrKGnqqB9QJJViOhvIC3rMxrrSH0jjq1X6LFpPiPEQ77L1oPJYC94zT62m72O ROllxCgaOTVHflPlNXD4yeth5EKGwumE0zF7UszLt8ZNHKuNXsVW0/zkh7UOdWX/pwUK1BpJUXHH IUMJtgqBywZZBVgP0G6bj5AyTJTyiJVDazlCXVDrBlGcGD/lt3pCefpX5IyPU3ZaLfdLHzGblHgD erJTWju2ValOzUIt/U2SysP0SMGoeEs3yO39kfDnQMYMlH1401RL3SWeoZ3sno5305L5ifO8J0OR ILkogAe0ek/Mpv5VPXfHh7PUTBis8iW1rDDRfe+7gQAaC/BPGQX6XhWFh1ifsfVYDjiibFrFf7aY Mph/GIYLvGU3LjVVNGly4myZBWAnRVcTNcNtyjSVDAOz/xXxwWLsCveZOezzZ2Ws8wiaxIX9o8Mn qIMpIUz2XMtEyp6pAABouZmkmHF3qgd45xTfl5NYQWGsD0VIRnxSljIeKH8538ndBd65jjs/uyk3 OxRkAeuos1ExlrmM5YD+eB8KRRYVIB/gmSnpoigmsE4Bwy+BF+agb1Y2atAgB+jWU2k7EV30K11K 7EfMsK72oPgISz3vb/XfS8bcyEx3bcjpf5qTsZC4uk0X5sGm+mCNLq9HW+hKCrQQOUA+ktoPKPlZ +aor1m++zCsH3txsQSiNRUy4m25UJ/T/9Hc+Z2wez/Lqs+B6PSCDgVoCmhu5anqoyPNtMJ7F/rfL pBnRc9Ltvr5HpUMwfyk5oGRTXisRHS8bw9k3Irj7ZVytByiyx6BhSAFST7UkzADZlRxzvm6Qfxvw QLeKYGQoZas9r8ZrdP0hFf/FsgDHAfpAl8Djk/0esVHSbfbXQps3v81i1v53J3vgirdfkA0KFu9/ xgW8kyfLmJ61uBwt59c7IsS2s7WRLcFlnrxAgT+NdOvBIoNAE9AykKfiqLEHM1tUIbo7PD98HNLy DUrhvpa6n++9RCfL28tjfBDpPKI23mBpgN1aRVjDrN8F46HM5OCQivdXyHsjice7Pn+D3TSLm/Hz sk0vb2SWBCqDvG3F4NZ9Ee5BVsxHJGO0eJl5tKNBiE/LJewmCW0op7jTsysb1UDmWtLCo43tP/WR FbSKqFMCXooc1VwY4ZsPBQD7wJdX6qAf/OoxKP/WC7NPKwj5YYNptgBYEh4IW7Qf5oFidax/X/Ng zQvOg6Srrj9wMR8VFoSiTimMye889eeT1GHNmAn2hlsgtTLHkyUL6gejADfAAGEbCzNMwI7m9D5Z /sGEp8PD5Xf6m2NC/KT5oNQqIJT21pw/c+TjZPgbVWbt0hxBkv6kXtlYigK7VdABUYwSQiMcYI0J K84pat/R3+3K5WQfqMV0TerI+nXxjJSpGeVrhrwlf9+NIp+1icbuativgwuZ797cO0CJ8SB7BJgy kqjd+Ejh12qIm+LMrJ7HbR4yh3MJaZHwkHcf8xcHWVVAc3DcG5aSkHPPGyl7lfAx1Ihdv0cCrSNf 9hWSNm8RZZO1AK/RfEA6iY8hiK/muPgK8VKWt8Q+Quk0Jey7Ixm2HmwUPiQ76Pj3rmEhMiVF3oP8 sW6LYie9HGtfogKg7ek2jfwnRfAtC3mypuoagu+9T84y3t2+PJJqOUkrgG3Z7c0XdRrTJRwj/wTd SMTkRPcVfqS8KdqX9s+vBBYftXQsa/jmUp2Ih3e+zcEcsze/Q1a6RKwnjQhb0CG+mtHFRVZgiH70 dPGYGa5tYJN40KfToeyAbCJ2jbbSWc7q/cI4u6BVqWE6Df1WDx9YzbpN0+Gh8z7KlhUvBdLAUovP Q8E2J7chW6b/zCg8IRmaHYrZKcahtJJVo5ESpOlJvICXLWw9TTSi9WUSvgGCMrzlSwsZCpkDwBPl jtRDfwQxIYCoc9w7vxZ/p3FWd+DsbTnto2NJ691rBwIgVid1Ek8YhBJSYhfUFa8ImAS06pA9ogMS AKdGllXzvqmo2NxgnLw52Zx8xkShZ6x1cU4cutz6FX4lYWVVbqBLZesbvNANkuN00ausF7nvKmVG Zm6g5KOut40ycmrewHrg8qT6yRqKdkNvTzO9s5lN1ZMBMMoHa6afCsgwaYc332y0SsR3BZp3/Yvn zxAAG7jJkTexW6SAIMkHSiErbukDWopfGwIVkpCYAX7/rTIDaFzbmfajma8QpUlYw00BpuU0/7N4 DYRiBCTEe8SJl/3Qt30XHi66iDJOQnRQTLvmnGPlRykyfq3W1uuEUwnO5AtxJQXF54mJ2FDQVZmf 1Cv5dozwa+oFniEgdSSK3GE/zzvFuUd12oZio/LN6UBu4OPASOxZJNO3EY7YWR8O+8mew/HGzyTL gPzGeHf7NP0+zq7Q/G5AYOfTK3ZDH3xFZ/zGUm/+F6oTTfDRDRpDec5vwwX1dlQ4YLQK0GILtye8 hhCnibu/H2KMXPvLcKG/94k17VgUpV5utwanmmKJkofX6uSk46zmI3ixFgBAj5X0NKN4SewLKjMy EkR+70LPKyojlQCzU/Ru0LzND6wtO4CgwiqkwCp5g4WZcL+c5/HNEP/3uQR2w3AXYZGAejNxrmps eEsbs2K5I2qp5q/oxiG+zkrg1qRPgTvrFpRQXtJBU3FYwhEe0/HOCGjroAj56PqC0I9/wdIUaNd1 s3Vy1uJQHHU7MhJ49FGE7OVdVDgpm+vcu/svfNArMu8lD/CkTBEqxYjuYk+FmaFJGoTuoy669N5F +qdOWBoYnMk94lnwZmo3pwNudB25hoMw1NMy5aojVWfpo8OFcgUgpa2lFx3rHn1otX+sO4cy58mj RvVsMBOC3yCF9BH0TLtGaJqDnVt6+XpMTnDI22AJ21QXB5ZsBoi1LaXHPZbqW8pCDJMTejg0oZz0 5+pqQVWUs37b8mku0USN2/aj/K22oq3TtguPvGH4E4qVmWy6PYSaZQz+qOkyMzJ2Ux00EfBOSDHY /q6x0TUph4ESqCU6IzozsYulsUIa7GvoCYt5SSWgXrkqt0MhTwRC0BEIzmgVGvzG2aIOcZ5+mo78 Ijawl9K1evWFFenskl8171tAtV+hZuBc2KK3s7fc2D1m1gvhJelfUTMPmJqgHptG0n0UdTmu9LNC yLvNSt9W3zQuLyKOnX4ZgYXeOtI4IcABkriRJybM2wEeFQtE7IQ3olnE7Qp1ygLID03b7im9kynL GhhW5yqgR7Pd604gzQ+EBGeRheOQhVe5ngcI8reUf8LOtBXmnwE8+dIDw2L8gatatlicwHloqgdw /eDnFn7gN6GfntQ4KkCnLAvdG2ufZVqeQUHybVOYOJJccMc/OpjLnPJhmZAZSroOlg3mDGO4/Cgi 0ZMpWoQb6EjzHnQodr0xhKCGoshehEyTv5w44ooyGVjUqSUhcF0LN/nvv2zK0U1nvXjNUYYiY1Bq Gzw3ZvGYrLXXtEKKVLSghIscXPKCxf8aEE7LcSFIZi6awWRiUG0Nj1IzDMyT7tX3TfWnF4oS0CUN vpDLzOzwbMaTKleClA3/gRY4f1Ar7dpaaAdZ6s9Y2p/xUCGcAUprDuZa67444U4lHHTE3V4hcT3Z NejwRV1JrgADrShQQsEAktJ3KL9nIZztp5DLV2iyMxVkZgI4hkstoOBNlJuON7ZqXYhnqVmC3DOU Q+cqiCdnQVbyf6jnIlutTH+d5DKCsg+gb8RoIv9sA3sbm+oyt97Vof79+htgeEAn+056s8lARU2U bcJSstMggBMx9HWNbCM+FrxwhOjHRk/O0NLjCmAvthF7xNBLLu4GAYeFVaE5jrTsm2ArupPJk82h pZju0KFVCc8tXZoKOCNxBu5Q46dkk50gBP3ScdZZvouQRzf1jcTuZqsDcv3XqpNsOCKjYq9XK8e2 HhkKv1D6zGEZ2yplIpHheDMHJT87aLJdlagGXZ9z9fBvSKSvCBbbkmfHwDNiz08XYxdZS3wK2bjf Lg+cd3DO3f6g1dyBv4y/YibHVpDwLCvVl8qw8JFlE0RPpr6buVTI2C5F2LGYU07P5vARdu/zO11b MZxHxfR9u1GindjcoQXoGyT5gCn6sZeBznY7L1Kq3R2+3eOiQ9rHF8TQJCGdO+Ezk10rwOrM5FDR Kpjl7sopSTJMcZVl/numv2NQWd7kPNqlGwJPMiynYLs/gYzWFItdt/yftr7B2JNo4UjZ2JbhzksV PCV2creR6QHCjSahs65g4b8tESXjJD1ldzH3wrHOKmvF34o2SXkTkGOclJQAh/Rwrpb0Salg54ZL rQ5L9Y7IaK1oFLj5YE2N4UCWGHdDJoPGSCdG6sCgpVuIHbvxRWR2z2V8NT0EUgq+oOHoUkIVkPTZ dxKso/xFojNnQCzTPVQ23LxnrfUBventyo3m6eRk4Xb8Ri8bPslFeqoZJEwanUbc/QN7i+vRZjN5 cpaluk5jKlxtVw0Bw0iuHN9ydEmmjFNwPg7PqBpHb4xLy9ZkxgeQlagUZRfTW7fNR+ys2JWF/5Wr O+h6+wI5bgnpC7lGoibC5QZ9GlCTRKFCUvW0nS9bVrwro4J4dyZYOgvVyFwkxtI0CmnPBNS1IlO7 uxOX5mVwNbXCCUIXrhTSFjNxRoIPmSxF31WmZawSwhu57U6mCcp3ryd4b2JdgPz7YNKVT9HuG4Yt ZvY68Z7noD2UGkbXsT0CaoXsk+dLx/nc5lokTMNd/a94r18bNwjoeF5JhRJOUTTmDG99JGeygGE8 7gLUeUp5QGlBXyBt3THi/WIhWWFI5rQBuYsUEEPjqZSKnmTakvdnvnDsBoWwQL5b8jN8+/wragk/ ipXHXirVW3kVU81xjmre92UIOoiHVBkzQLc4xFdPkD3ruvgJMYIVOruDeZspki+WJ4UKKK/S25D9 Td+E4567JrFv0PA1H0ARNuABOopG1M+tkN+Tk4MAdLu0kjSs2ECWqYvj5i7qThdwKc5u5pM0sxbC 15tpRuSt1TCSlf3gpQnNvGTC8LeO21Kz6cwGQnA5fJakbpj/j9DismcfXQgor2wFFE1o+u/02L2z 2DZOrVT8j/tpkg2PbHUFJZOth+m5YBqh0cAr7N/OrwTloM1pi8Ah/qUVbfFvDutqwCVkxb9YsdqJ 4KfnRcm0W1Eo1MpdbB7YihG8wK3St8dgDh6GmIy0AuUoOHlRZFOx2wBM/6nThs+moHGmxrXY1Wh5 Jt+nsagdiwBozkOW5Jai5IKsML/ihL2QLnKCQrU8qUzAUY/vbv7xHLqoJMilll6p9qhoElB2XPgM M8DeploBRcTzUbwG6HfPneiwTXrJsTl0vFIIqR8/fy9dZ3KO4V1GjluFZJ5m/ctnDE94jNKxSRYS /vGZWJuUHhX+6MkF/gV6WRqko9y7FkSTG1EZkZ/UqahDC1T5+wZD//vXmQwx4nhHabqjODkicStA TQC/JTUttbqLFV/LATqmr55reqLm+hTLi1Qj4CB115XidaN32TSP9SOv3V3XBKoq/82TPlmWvvy+ 9E74BUjqawz5bQnJ6BH6nmwE2AF+NfK82vQRmN6PJpPMT8rwewt1krh5WS9xGwP1+Uy5i5FhiVhp RnVrJJKnlGEXwl/fJIf7ESuPDa+sJytxzWfy/GT0xHDGAMLltr8994D9CrEiMAd2w1ZRMwZYm+rP aIOXc+Om9fEMHQ6KY0EyRbASyBgIyX5vP6sTUWjvhztrPnkK54OfrMocLK0FDLyc1RDTGKQ/jncW Tvws3cqNxXnMApxxaJMLX3PVJHs+rdxBPqJawNrtVSa61d+9DGY0zwTM2Qeb1pUWtsCZ1VpRvdD6 +Qvyewnyb+EDXFvQBOXEzj3JKY7DNuIFA2favVEdRPqoBQrCId7OqR4HO/TF5jVpGUeDrsXnhY+m v0afUav1YGxy6R5E3BwHj8ee02X2m2KmGz17K09xkQYb+z+8jIZvwjyH4Dy+0/90XrhfIc8nUvYc ZLyhSSMqKZVE0xUuu+wsfHxk/l7Mtbf/IAdHj7p1oti6SVnMsqGdlVbhwz4222ZQ/MCV3XA/01yl dkcUYRA2Q2vnf0OeVkKgKbla9Yac12OlKFKFa3MceG0p5Jto5AjJiYQUx797pLM5svS2GN/+X/SL 5DEJlrZce8gMQpmwdU8JGdWWHJrf3fWSQQBgiIYdhpLEOswQnfQl8fLEFamcooTaPIScaALb43F6 ZriVAC8TGCSEk/zaJkA9lxTBjMYuTRYrB0reDa/cnP2mNphmj5K1vpcsS5R5140r4WlCqzY/dBmM Y9tIKU6g6VL/Z+ZDxkw7edCrcbPJ35okse/Hh7Yx2IJ/pmq/f1ZVEuxWQYOOuw4/McPcBFhY7kTF xed/I2CavOV4MqUuZ4Io9yNrbN7BscwS4wG2whxq9xuOFaNezLApXaKENZGeEVQ8PsRwWHErNwf+ SuFr+tkXNsfmt2x2jBos5c2u2X2QbgX9K2kOT1Nh6sh5zvhWMxbSYQAiR2oFAO1LRFJpQJlRL/br U5EXPWzgSUsu+kFjGX5C95COsuTq8HG4eWKXrZzt5mIB0T5pLs8NFzZkBQgng/z5gHaCPs2szopE xIaAekqzawjSFX3/uuyqeIfm+7/0ont2Sy86v/xyLXRf+Wt+3yZvTle0b+/yKsPAADSEmdNlVBDF H8DQyigzqCH1u5JjLGrvZhyYDV5op4n8mcciprqH1Z8j9bOZfw/Yt580cqmHVhSBDFEeNgGHl14w GdLH289HfF8pC9P3Xzil6J+GyTj6eqJRDq2RwjWdqglk05umnvWegAu+NSjprMktmYcrWFKOxtW+ 30wmT/i2qRd3y6cf8OVVh84QWDdkdejHjRXvP9EWZjJBIFbCTusMC6md+uRCaCkLYjD8nfyv4WfS aMbqGXIv1eI/tycXp2fVRxDOTCQJRsf3kDI31NDDYSMlj9XCJ61PuResmZq36s2t5rn9mGy5zHrI Xm1XyLpkv/L7W18j5XC90DPWWE7tOEHPjzit5aS+mrW2AUfWObo1PMwSKN3ZAA254Npd0KCoVvjk d3HCzcDbUW2EmM4bLEJLU+CfeC6KXXc1Jvx9OUw1x2sIi5vGYiW7TYdGUWFpFB9zSZCzMPIfH+jz PncufGRg3LR4iaKumc0gjAzrTCAsdAuzKV6D0lomAc2Q7Zta6KpfcC1z1YGN7bSZxQydbNENKS6X 4sQzJIjLAWdxjWWNooV+/j1EtsNW+I/76o2pMGAm0YdSX91NETmI891e6PyPt3Wq7bVHAptSUwvt aaFPvLKsbcnaH/oiybcuANkKpK/JX4ENRna3D3R42sv/L97poknW9/5nqAddzAmXfkreQT90gija wwAQvQvHkZI7EKgAk/0vdB5E+hrnNBcplydx+5E2FJX2r3Ed7rBGRlyA3um4bqJ3vipHF8pZvPVW 8KHUgZH44YMUfFa7Yc8R5GfNd/wER9cWtP9S8wvOfWOEHwUfokPzvfp1H24x2EK41O0JTPfjsmQ+ 5ComRvrYERr3YqRgwMOHK7mUIJBvx4Opyo5Z5K6afb3ZUeIppGz4I0ZoEbnjOfFxvE7Jyr1lVYwZ 1UI5Y776v6vc8ylzZU4pHjEBaDagoxMhvpEr7RRxhbLZ8asHO8cOEeB6XlxLQ4ur+ekauRl7UbXm HM4ta9tBuHENDr1cyP6HSiUm+Ddc+uPSPdmZ5P6M5vIchizKd5gk9FFPjVeJujXsbvsbDUcit30t ePoBT7MXHvR0riIjmBDrr+Drcp6veIqDefFVLLyGPSwbusEay86ajNpQHMDbFIogn9VWM+QgxQ0R Js+sEu7wjcy5BPUPa50LmgKpSlg2yi/I8zRf3Y8RyGxKW64DbiNl0NMeKEvz5jD28ITGneSEX+NT hkxAMLkJ8A8I7IeqRrOsVy2AEpn8DtY7QzJEmHqIbeZoyA4EqGNt10XMnxFm2ugDSd8RKr8LmocK Jm68abYX0Q5FTL4ldw7UazQqmBFCrKIAnuTt5McJBIlzEDxh6UajPBMcg5hnOUI6xzX9L1YIFtnn k0dTwYkVrwlEXwtNh0kUrfBccxlLRc5mB3axRpqr7Go53tq1CsGhY+umOAy/4xowAaryGY5pERP5 WwBs1poar5PHG67jTP57K9GGmalyinvSvGhCuRwy8sayOZix0wJ3LYMqOw+nqqkHoQPVekeQpgBa 6k905evqNPcx8M9W0ASzqXLIckb3SX2pL5Ec1938AkveahyDJzPAvAVv/dty8IisoQxMOxrqJML9 LZONwZ+1jXvwsc64utZukTODhBJSiwRyq3Nv5S7I7T///tPjqIam58i5+xHZEiHxviAa8TTWVhiv tFcRZe51xtgbkiIo3QHLRoY9czpAvueFHbRv5iMbxLDUJaSoYuXXkU9CQF/mzAeCJn7uTsyVwxmy GkIuWqfx4sjAMgKto9bOfHO5jfzZDFjyrECMRane5KzaKWvMswy3urlCJP8SkEEWTbwsoeGw5/Hr 10YjGMJOZFfFjTR8+8+Lp3vCd5GXNXG1QQOWJBh3uILI7ZqDH1IT+R0/TUDjYhw+uTJt9NOskjiN oauHV427IEh7DYej79vcP8R6gmxeHBBtzL1iq2slLrcsA1NNFQSUTiX3ajngOhDoX0zyP7ZuFpcM LTpY0WslFUJOyukFkgKMnsR3Xb73abRee7kiM0sOOLYG48+b0ap3uSdUuvySYjrTJJeNmPsyttJj PpnzfhkonAWozyopWWrkdAont08gCit9a0UWJ2dFhnIc3Kp85YTJk3D8xXG8g4ycSYm/1AG7/E0q f0l5D9DHPZO34Iw1rgCH7By6ylMb4E4pMb9Ldz8aublvIsulWEfMjUSxMm9XZLnkOqeFbLD3UjO1 IiYEGg6y/xNB+bUHJAjFY0Bi2y6UU5gPqTa6wFWm+sMmKRax+ZhEYaZOTlwGb+DemzZlVeKYi9Dt x6tCPu8+w4GjkWIoedZJcrLDeDuUKLThGo5uJW9FNAsfP2XU75yWBo/TGhy0YKIgKcmDNtwPJSeO uKmAKmG0YiXviLEw+89fdLsQR8jZluL9jktsjo9klGfPC+ybTQSVv4Sv0pKZwexusONWzy0IWH7b Sct5kXCqbD6yghgJ4XUrX00ufIXAXf6/DY/zQjYYKZO9uz0BjlLli0xqFsTB2QYqHCXS0pbVPq+a PWwmEdccSstLejx1W8ECsjn4W/va1ewux6qYLCcFJ6HJ8fC+BEmgtIa7bQi4T0b7SzanOc2q4qWV OVI11rLd2xsKxNO6JnYOKItA3ECXuf6Mm5uxxyYMRwTPISB4SHEhFVMgrBh/Jkl9l453XlM2c4Cr dtygJU9fN6y1M30GgOzoQFrOW6uUmZjKAlnc22Uqb8xOqatjJWbZKYE2ypPMu/8+Ttdysi3kEUrz 8tzWKjG4Sd86rN6nscw8ljHqx5yZyNDtdJbtWykia/YxVYLh4XJ4L0PecZc+enBOwoITkHow7Cdm CgmzM8QmUVNf6Rat8IMGdxlaeLn9vSVzg+fpxJLtnt24Fa0Oo0U7XZ74khMf4VwH2JndiEOMNS/3 k5GEF1aQvbSPoMPaAMSpr6l1KGRQqtegXyiZ6XIpUWxypdTervJJD7ITXFNQLjBoraEc5VKqQcDo +S2fhFdoKIpK3jalM1rJpUAWtbctc06ezFmyBiUaI29/WMe8RJriGCCKWj3E8GRubnlVDuTOs05q vzULyWClZ7rzrqfeayfLdRyRs6xbz4VxpvMYdDWdXYxAaY5e6xLfSEQwZZYapD+69nU7EkjLdiVU J/+dsPn1BNMTDbZn/K2LoR6XDCChA0p5oPvqxUmQAVhQq9/1zA3bJczVsqotfzmEmwGxeCTqmse0 OjCMbxEAUH5Ue4f/JlV0y6Sul/s0FtAjpinnoiuPgrUS5AxkQYybN9YjV+Uj+ZryWngIBL/UJQa/ sYSTiSvmhoiSL90uBEIdVBxXg5sCznfFw8DtUjeIVagLDBAOXo3JxJ20zn6zGjGHmPPATQP+hqf0 BW1d+JOiMgJCgc0v7WH3yIQI9gdK/N9gV3RTt2abRZNSeE6I5fru/6kII3etHlRaklhhgLc9Rct/ wdctVKPtL9m9tehSTKVe5zuiwrUQ5Nlf4y23oi6NZLujirHSDUOEUq0Plwkv7R7sEle/V4RIQhpF 4GPzouqJg9wLzWtejsv0Ffyh0evbjU06l7x00q2lbUqfxGDpLgIyzU58kCLZOXUDAxNYsV9YTkQf yjVZJQHz/8AZoVNLWro/vMbly44dJHrUrbtmTYKha66Puant6E+9iD1ST+sY4N8uThFkL4IvpgLK PQ4GN9RKLBlfBs6+IZev9FIHERUJ4e4lCBmalB3ofPQXx8i06JGjS129zLQzgYdq9KtvTV1s+mng eHKjkbtcjk5uL/7HS6cz1SxorH4XxGu9IevM3BJX74S48AKtyHwJmHN2GnB+f22ffVHh1QIV9t2q YjUtLHCqVkRLTFctWCBmAaPWVqY/jOmAn+Rm7x6f5hrWly0S6rIbHnaWVp8wto9ge2e87Nzis9Jb VVm/jARigS9J4o+fklIYjQ1BqMV3br4W1FYAc2vyAZINEFCxCvdsc306IBzRX328BGNHvT643hEl Q+boWT3zUubvJ1b6sLJeqhHKwjPczuOaHdmPl1IrP2zSyMHbBN94T3pnTS+P6YmCE3nMKesbKnUz fBVJmoPfCdJxTACa45Cf9bUiLFzaa0LKs1g4zG2pnnvWnsUKnhj/BpUwKImkSlZxxSsTob6mtkGH hLvWlWqnvgszlQiPnBxzD3sf3njG6cmTXgitTjXK/RcFtMbH7u8edWonxv93Js+UVhSXEzP0s3kx Vy9smwb1Xy1vdeZb4uMTOdivmbby8jG7wtXhsfoNxH82rTVcCIHEvZfv/EgJoXsVFPS0/SewHE2h 4HyKDkXBIgxVPHiXrC54QJjMcMl2/BZp495+h+sm//07TQthDEaNMtL4/bPvvhUQjEf3LaXeQpw8 BIyhnzWUMOXvQ4ZXjHnJAgU5Cv+vVxy1iKHiqHZsD5RpsfDrK9Qf9ty+DPYMBHAtQQHq2d2pqgoS Ms6QsPFr1GLoxQHbRBpm9Ux7Aq+FcS/zuCTkry0PDLldbfatOGm+eDUFPKKtYj6W7xFlmiq+JYMM y4HcUE480tx7Xe1towEDiBywpf6kkmph9PGzdGl1jemCAi/SBCMRnJ338MU6CyrTAgmlc4dwQFUv s8mn5yWtGmZznJQ7HZWjLTpvTlRhEi1g1esjxNH3sqB+YsJdwyhXy2t4KwliRMuNRKPtQERsGy/f za2/7uqP+dbjV4seAQezbW9EYN8/fjTcI0DzhG4lzlHgyU5s/5DNTskt31BAF+FE4GGTwuClx0sQ mfbwyGCNm2p7GhC2JjFuHD5k792+EzBMfbN4uiLCuW6Tg2BN8OpuyQx/lGCqxzstgdJdAbMomtqG xNKpNQJhq1IZT7ZIgcbYVVRLGyHeep+qM0T8Ckz0Qm2Xm13MDRqMq0ZXgNmU5P44rdDAeSzLHMzN iVBpxCX2qP8DF+m7CeBuw3mduqEnxcXnEyQv12tW20/TGQ9BJTWYltwU3gXGC/IzXkb1fij46v81 amVo1K10jmh9VNmS1La1JuqHrX6j2lzBMhn15Npu+7sNpB4kaDGL03Bj9Oxd6S5o1VcLREnmHNXx YG17RGB1PhxLLP46UgGTzBLlJN0q2WgXhYSnY7JnWM8PTyVAjCo38IuXt2NZojdJhHJDk3vYUAxA rIllDELromApNLOCCe/vnLe4wQqDQJtuzvt10m21H7tsyhS+fbfOycVd+erZvqM9zVpNR7x9vH0K +ZFaWHuIBt6Bl78viPalF+qSpmPM4+CH41bSEAfzRPpaAi8172iiYRR4Cfq47pfX7NtkHVWJ5O3m fZs7iFSSV7CmFuZJK3Xi6d84x0X70Lpl/VTn67BycvqWTtEjfgZTc56TFt6dkOjsou0yb4fhTXV0 SyOVWPOTGa4pl34i6HFHfmL2/Ta2lN1C38IHXAjYeLfODvRScTLfJb24v6K3rHVo1HonqDlkRgXb omcqRDUd4OqMiNeMVlkGboMf/6yLdTiPs4DRLXaxv3aB5hEpBtilX/JoZzAloSCQeb+q4XiMlfPw HZ6Bn55D0QJ+ggacT6t8X9xT5cr7D0jvm3JBB8hwtij4ydroDfBDc+uNwhNN+FaVk8sTjQSCBH18 yCkLjyohNn6EyNroygIy5eYxnayIAd1SrzkgKjuuw3QRbsntbNgzZLEculNEEAQfXfp6Da5wI5Oo VOGnrFhyO9940bURg6alrVMSGGH0uFKCbrtXpEnLWningPzKq5MFepmf2eWbNBdSHJszT+Vd2ELp iLqJklZBaX/cb4QLAfw5xt/y1s9+uMk+SNljlxwGpk1zsr2KpumCSE+aqizB/tLL9SYjy8ZGjvnn PuJPIJAlUDJBDGuCiYgRshzqIxwUAo+gUqL4/SDt66T6K3/jXXBOTH2WcopLI9w4VVi71nwjTWaz RYgOay+qvupDn4SmjkMDv/Lz6KZ6cK7s6n+ky7X1sAD90hh0uh088MFq/YC2AUKTbphc7zWEfc7F m/DKvIbwC91glq07FWtUI2vdcAV4AIZ1uUZfUiloOZxjcKjIdyr/M1ovJDSXkD1Ol7mEH9qgEzh7 qcuwJ+X/JrskAhxMOOOYLaaoCLbQVKWv0BOIQxl1QR06MMUiw6FbRdMWanKVG9cdqAzO0f7nrVSh Q8ro7sj3qFCoQ8c50JIB+YM/N3NS9LBE50PprFNUhynQL6u/7FM+HftBIyLzmOVJXCYg+fA7nJ7y ZYYECxukKCMECcAC2hoNN25b8t0x9JhChen6LUrFllPEaG0svpIVGXwRDKUcqwcfckHEpB94vdo4 w09yJQjyn8ELYRGR9N0kidgDTxyInswAjNa2123Hflc5Ul1Dct0r8wMFB4TOipIzA+XxzyLoXtRb d4r3oeSBpLpwp38bRPdJm0DYXWkoaK4uritTlKVKN9AuPDP1OBAIJnaYS0Ot4vSsAspbTT04RHSZ XEZw/WgcDqiW4dV+3xWVB6/kIDNiXD0njLI/SbRUa4QvLqTwfsXS65bnAS/07LLhPub7KVn+ZR0F GVkLy36+mYx+eyGJ920Vc7pEznOtGYA5vOqc9ZihdBS/ouT86rb+6xBsQLY1frmTv60mJZWP67LG OMvxPVdT0hbb/aHS1ZsLId1301nbk4mP08SAfAljG1Y3jB7fj6wivh9xczn7X1JjNw9/qeeIb4Qo A4E/oR1MQzNmtaMyzQacaYOHShtnR8x3s90PsA56BiuBsec/oxEvLC9q5mOYfCOhxgsKMVqx7RPX EF80ZmvcXmHwzfjWDbVj5YdGM0FJVdhO84vPf+9gv4TKwXEvuBcVOOljhEnU72V6AwBmpNbBLr+j g8ntMnlxMKd46loQfCUvZKQa4I3bOYUB+G7WxUxIFsHcSrOCCZdSUcEnDvj0qPEXrfkH0oYbOuZY yiBlASbnVXn54bnpBrQSPFAlidlIkC6sK4mv0F+cNcIIJdPEyenKoLVAuVIYHkDt+g5B0f9AKq3L IguYPyA2vX2edfZAbeDjX2BkvC8iXm9XcVTctkmxsGSBB9YiPubvsUh7Iw5OUCz06haqBs+m6/A0 Wd7KFzqn3sEE3WPpcnpQNKwvO9xCoTCjVBLSNXalkeOI22wc978uqL/LlvlhX/GBLXbj3TfoAEVX 6EkG1g0/M8Ny/jV1lO+CubtYhmxHoUW5tsRwTcaSZ+Ym11z9o2sOP/4UhJOpW0xvnchy//mxXfrz nn2xE1o9v0ulPb9UIYRiQEL+7ZWIRJui+TtvrPVWTZ8Glms3Ia/TnyZ5v+UPhtcEcGUF7wqbMSHf 3KG8eZnOcd+RTUZR7+MON6677TxUhfhgoBQ1OPW5FRbn/Rmebz8+kUs02zjSZDbSwTnLze9cvpJT pgPRsP/rzUsxCgCz/mLwYFb5pdactJbfkqlXEu/5dPDBZ/1WBjZXjDRhi4YextunVzggsxzF0Wu4 D9hASRVfaM+bbyFO6/xVH/JlDOFvIHTaf5m16gUr4RvPpkENfzsVp/im/P9NAdi5UwQ1ZMaeb+Ii 0LKC5/jQdpErlUrei08NNg49eeW8+5qNFKL3n9WUT3LSgVXGXBY2MeAGdXmu5Ox4l9CoeNq7NE0p rIN2J6mSc1e4e0/GoQeXccJ21nKGXl1XNIXJniwb9yofpxtfM4QvUK8c1u/WRB8Smn4fOkzqEy/k EEz/8dOtD33Zoz7vutsisRLhVkZEG7xXJBn+xXJ+zOP9Vo/IXnl44DVRxIhOfkMoBVCWMADplI3T nYMsDppOLfWU3mkQGERseA32eGums7y+CLMlUTnA8MQzS8xUJdyloKcsY57uQJJ2gJIv3GqBqIdT 5q6/PlYMGtnda63IUjeKyGwdzwuod+eoIZNwpK8+4fnDvmhpmSu+zN5bXHAnrtOa87/fxt35GeiD J+V3ubIGFD3eBe2so2k0ZHjbZpZfVnqwdM7pjAJfwDYAScKNRtyalp8LV/kZYfAVImAFZP7DPzAw lNH3kEHFI4V5R9OmNDN3T9St/q1QtVY+Rg9wlvZTJPB4A3QA8r9SfVB6okOolzepyTCcRjvAeeAs SzfTRRhdppapxolyQe50dUir7RmMw8l6/ImksdoGvLEY4mJBPANQDPIjXBNl/Uoc8vJAPmjYfbcz gaUt1tpq6I8jTmguuvQvbYfVPAsXaSLWeOyEZr1q7tqMqZ7+MX++Wemxv1ZSunyUhX0nHGf2TfzK vM9RTK8srda3zjKqHS1nlbjNTzANH3ZAyYKbHSGwzu8B8+HgSdiVG7pMMP2E4KRqmX7Qp4AgL5Dm Eqx/9pANRIOcmHMyC93NKonuupHepQ8Nx59XPbtCdoAeS/eKxhFavraIhxiJAI6JOmlpFj0Y/FB8 cGlaepwTmqnKkDiUbueiNyMeUXY5dfkqRwBVuyJfihJ9WkMIgy/g0zPQ7ONmLPsCfh+y2gIcgIQX offKjkJYQxFxOMOfjWam9Edxsr5/BuNU5y8XMeygBbkE6cZPv4yJDuVjDtaSp+Ggc4HnY+OQkESD Ne8/Wp6Kig3xWcITNtRS2ifttproxM4YcpyLSiUVOeHqg5RoJlBsCc5yvpBQFSdth3LRmhL8DKw1 MqsivKj8/x6adMkVfrRzl+1IJC/c9rWWk79OTW0sZ60A1FiGjxoOvbP29ZZDkRCgDGlxJvG/2esq RBQAormlkfTTGZYWL7kJFfen9XO1nxjYA83nwI30zt0d3OXyf9LgtP5cUyWxfb4QuTCh7ZMDEwUc 6et+Uhcsa6GPZKojGV3pmytCg5BmnlM3RoCUS+pkkEt18uQREySdOLvvIG30QIfMr+flPLr9MmvC lYJxIQ5yAgfRCcq38oTZwRGLFex+EuaBvQW+K/dJkB9IPCpKuWE1LFLr9ksT91rxd/HDN/XvFAA9 owOmVSst+e0xW0WiEPWgOkOzzxQ8y8+8dWFlV6wzTkWj6+99c2LpM3LBlzyHSYDy5Ubn81lxTGBa WCsiKG1RgHLwZfisEZ9L0JbIyPsgwQ19vARuWemfqg9Hm1j2+yflbnXAuf4zRSKZZXb9SQAfYQ9Y lZ0e61NZDyuoz9Zrfi7zKV9Sp8bGcAafHC4HGOGzEaWQ9ZYoyR8/zsCFprEudvgryWpnTCH1upRW YgX7mz4W/WYHUW3TM2NP4977wsbAJ2G2NO7dXtCj8cNn6immYq76+fpMPq53DKLkRnt/9CAfOsle E8vGXejraZy7UWY6yuQ90XWjif1zuhmeb7NPx2nKyROdToga9cLfmTViieNuzSzTqywNM9PN37tc 6lNJaiqbIl01dyKUs5s18ge3PcMtOupy1+QcYI4Rbah41G2onY6h0ixXsUqVIJLo1SnhLJgSpDD2 EwyXZAX2g0MwfGGaDdbAIX/Lcfw/5H+smmKoTVSdiNIR0DV+68MbA1JnIgkU8p7R1p/JYVedNdeE Yp+Xo0LaEyNP22J8152SSqJteeBPoOp1gIeDiFHoRT7vSqJ+ALU1zPAmFwhJwespSJqwnpkIqgCv 6MFRITD0/vC2p7uhjwZlXkUKNuqZwlFfTh/xXNBDXaew6/9l1vtlz9w3Z6Ubzubp82CSNpoAgcye dnuzq2Zc/sME7L0jvy7dbX9XUe+HINugiyo4ejI7ZJnBlfVyWy+XgM8Rw/LiP0bok9HrI+NdnXP4 08cKYMoGkgum45AO0xktRF6cWuFKal/YoFx+csBF+sgiy6kyqNAYP4Sio4QspCbubnfVub6RRYW1 m3CG3twdx4Lr+ymqS5AhfGRVGWq+xqZOxpIDTcsTk3axeYkSXJyXmugnQJX21WjYadL1qsjO3khg b813CyHiqVpuveCfLkLFVlXU3FemmwpVOLBPSA4Didmxp9oY+mGNMHYfRE9xesHZ2TgcEQoeQXnX ZgXuaZRqi8r8vwYEYs5zIYzN3KEvJK5cSE1N/xdDWwlxnsqMszl6EcnYanuNwTMFz5PUwkbDwzf1 CcHQfoOj8E8xbQy/HJaTTV44Mlr5CK5DwROfycpWmeGSbAGinTd45iPJ2Gyx0GGpT9E8Ijo2vTNN all2GUThCJ8071mexDiWcPhQFIGtXyFTrzmKkKYn7bFbpqYX4uGTsYoT9l13EOLSHg1ms+J4Y/Wq 325ogQGNSP25Ds96W/0pJtJHJKzw+0u9/mrCOHqA6a3CQA7dLE6HraIS9OUvMLpABO82qwFzrj1Z ycbFFMEDshyOWHdFbL/Th58jALiZiYH1OEFX1rIULluunkE8uh8h8KzvS6+F/ft7VE5KfhtIF34q E1c4jMO5tzPA07jSBjFULPsBO/fwF2DZbgD61e5Bggw8PdQ1TYnPj0VuodeMgDs2MmgVt/53xN1M yj3ogslsG8l7KbZons054PBzZKrjX5KBjviZ0+QKf4NmVUUCve4QVTdPl5i+CU43H9iXcC1MqQ7V cE3w0i09/j9gGger3ckEOYXnlmg3HWLIdmo3zxEqA4iqBi9FEBW79DcZUk0AaJ6uA6cWQGi/yoL0 3pEOQVaDiKZVH4Pa6uAFyFRB2/OibDDs8ZtAh16IYAQkxXYfqIJ4dMtaXUeBEgMFiGxq8jNWz1um 17Hee9PKEQuEVni5nUHu5MlMST0DXAIJs47ySlnCDrdy4o/DZJiHgA+0cFhWsBLpUTxi6/+U8CEn OALuQAqXnKCYxk4wSm/snJNsNL9lakPEF8NWnfzLO0JvRjd6BLVRMSGd6DwxY2QOyYO0YhAG4+D9 LaQmYKbySTlLc+3EWPn6JZdhFiiiXcljLpFn2UkpY5Sgjk3gzc6nRGPhux54uEeesApSf1GuTLL7 g2Eqy5a2Mx3vtfPUPWtVs4ok6dhZfJ6XLqNCT+TZD1Pk9cZ64CuoWgMEn6atlcTwdOT4V4oePpQl ZC7/GOcGm+UWiQaLxw9jJXaudBamd3BsPOsk6qLX2jso8lhI+SrJnIiE8JjSqZhw9LPjKYbjsR7W 53viP1zjvdWAygiTwZcLjnFw62oumawTAmXXFITjSvRH0gITJyupTcqPIbFeK2xNZCX47Q6b4Bxu KSieYYLH5azd0aRvZ8dagDWw1o4CBEB3RadPdh6yEDZUQUAZqjppJdy1urSVpIvvfnT8z3JrIV9s wC/GwBrPbtm5kQf/U3Cao13a14OXjMAfr64pKcmT/ohmymNxWn0oHCh3iUrkIfrxEwa6BJG1+XlA aRi3Ko6J0KghCSmEi3VZ4QXUXC1ZVLfq4xoFFujYhjbub/zmgszfhl4CafQ7aQYjIDjmr8qzv6rZ mswliSEwASz7LxNHvQ/Ym+0z/bRisarwevuCLxO4fPjao+Aoj8N7ehWJtI32mTeVIpPUV3HGBAOb mECSWCn2WJZ5/kQcjCJkj/hMS1rzMP5Hrr+F43eF4PC8E2G2s+jWR9WP8MffukjsidmjE93N5zgY Uz97lvjOd7z+IqiMCVqeMBSf10TBYLm4Ok/dhh5k6lMkjqfDhNrOEdcfoYO1WENBhv4wwylPlNUn aNkjLtfABhcEJ35WXq2ZsrB/fYQwZHGU1/gMbmPQHLo5bjZTzWni50zoY8W417QOgIfcbMzhGFls cyTronY2e+hb6QLU+nzdVfhrylHtEofg32cI6cqOdMxT6bixmrU3haPSMYXW3GMpaRoDVVPhbAqK oM9uyvmnD9F3JElrznhx4QZiOHy2giQyJJ8UN32mshIiUkee9LwG2V0Kr2gl8naZG4jtM7LsLMLq xjK8e+nRf0b2gdLid5Xw4ekzIJ883YKo3ulmSjuE6AceD2Yznpx8lKnbutJ7Gnyxwq6bUqBKFfBB HTiPNRy67b5+FcA5WGWq7GHtzzFHV3RjxA34bcQNEKwNpY2vAYoSLPfO0h6GLo4mlatuQoqApZFF BmUySztR7tUeQowQOV+Y9rfvIKLpkPIIcbcaxqdoDD8ZhDrCp2ETmFlTJOGGTIry/mMjcOyu/r8P rU4jUNtA4uYWA+ted3DEFEvud+kigdHkX897xb/O3SPQVxpiLcceNJCnRujmXLGZkiF4dPhZoFXH /F0ZjSndwVaTg/m7BNufEihrDApcz4X21m45fPNiSeFqHTf/35lSf+sfJrwtPev6l4M9xYJeJ2ua 2ABB3R8Q9DktfXSbv+DM4lZ62tRC/9pOMXh5vqd4TrLC/PSBae+L5L1VGAglEweaDuOjYIePBA7R ar8XHHsvkZH3ZPY6bMrWxe/DWyqVkWohPrDLQJ7mO99nOHPLldyNFHUaO3KlCHaasmn6nDV0SXMK qt0MLN8v2Xm+ecbegZlZFpR1wE1rKOVFqX2PTpDgUsn2+WTETdLvWgp1dI9fpeZPUtc9F5R3duLn fEpH2DAUALVLUB2X/SGPUu+TtLF5OVn/6oA3QfjnftBrn0SZ9me3vFc8LNCg4JchsD81T9IzjzaL CSe8/e/CapCPFt9DMw3rEfRCnkxuQXmsRgT/kjr+NHNc9wIFGc2mgNKFmH44k8k/Re5/akmmPz73 1Jyhv7o3aRa7etadjbWcnXU9dfF5xut54dg2PDCra+ynfA/Z99rd+ywSBTszzJSh5aJAmqdKmuy5 CwgRYuBUna7bRxsd4TFDPWB7Kb03q8xBFqtOwAGyCVDnfyBj7kLk+EJor45Ft0xgtqD5aICDsoJo 7U7aOcE2bfY0kAuBenpXp0wVx4s7/BQw9xu3pqCe3yX37vGZCszoPbAcuL9a8ktAvibQqwjW/5Dg Qfb4KN93G3C2nv8hN0sUBl3DVkss3S5M1/y+VtIf/XHqVCz2cPEOcG2phrTBr4kOGpaWT/acuPRq wiN7tuwV5btbktvKyHz/2dXpZOiu2XfiB46knIrU8X6y6m7IC9V7N1QtfZxfxxxb4RZzibzWJomc Gnv/h5G1F8xQsNvBiAwo1IbAwpHCnEq3Hy7eM6k1vZ/C6ktXUkbagc2KtFjC8dC08x+cpSwhLzCE XFflgYv1bWQKHzwaY7+ekmvxTrKYTHGmxIs229cpoOjSwR6hvK82W48s/GB6/ebWi9RA3d7XRdkF 85V0i36CmawWPd1DcjH4qNRmo4gV6ImgBvR+CuqxZ1BmW6SN1sSU6zJjBTXhJM9i/hnf2XRcvZuc dHREbJtPt4+5oQkyKVB7IFPiZtP0XO1qiv6vYNutVd6LZe4rP4/A36qp/6G5sSB5e0B8+MN8Oe19 qoNGH/CBLd3IHvpF0kAovQNWw5WRRNOWigIUFsSQ2nRpOpbbsvCk2MG+pDmrK+ZnsiI0ByhMqTg1 Rw+KYygSImMX//4B4yv6lUWSUjGg+JM6tDUyQJyjMSRJk+NEC9nGxBvbiIOrjkH8b7kIrua6Thdt Qj11h9qv2V+iYiBAzGOKX7eEaK7uKMVpSiokqHDH+N88L8/e09L74LFKgLuNwIOY24UbenhkFWCp hDzelRPTmb6TMRM+gR9jjr++Or9hmFGNdJmIyU3sm44lVFyN3nKcaxQV2+L1MDPszaA3bceISsEl ho18ckxcGZ54C2mGg3AYEPYIC5EQekYy2D8x0Wb3afe9/zMPQPG4IjBj2yxF2JEbZ/xx9/zWodmo sw6gPVfhM7/nqMUJmE1z1HRC5JatX99umapGC3CBODiWsJrEOcu4TzON1/7ZYNHrrA4bR+ogUp+w kVDoC/VP5mZ+0HVN+9bIxT3lhMphbli1elGPth7iVq2p+6mLQcopi7MATSqMscZ2o1ZkNRUuutKH wT3vtFf48SMfDny1rAu6siAnzwItE71IgBKRWpgYd07+oFnF0Tpb4uzKHpvJ+qEqVh6DF+ZgRGLy FU/ccqNES5nO+8dpd8bmN1i+7dBhfgzXuaHxUtJY+brt983x2O00cID4hAV5cKbdotAQdBktNb4f dOJssZ+rYXcPSbXlqKQUSHpQDWbI6CeXMtp6rbjLfORZ6bp4ct4CTAMMz7+LY5Cs6ropixwEhC6w rkpvvmjQkFobepE8iO1eM+YtdIFbwwEXn/EAVykHR5jwS+DPCIaBfJ606nHLZWSvlqXpx3kLalB1 7mA6Y9NLD8KN+RxHQyHYjr9frnKgvA38iEy0XVmIBPkQIhBmAOIDdPAjmKIfiNL9VBalZBGjkqAq nK84BCMCm+NY+eReoh/8DxFqIAoojfMUu4q4q1EYeyKkEC41wORoRI6DS4bjjunRfd5Pl0H3k2A2 DBqypfOvuhcgJ6N+8MmeyonPaYw0j6GXLGOZoRdSY1Fl6I0DZ2eaPrPvP7Ukl3i1V9HCdxBjsbG+ GVgJyK9tdsUA0roC1flXNuwJzyFJNtghDbOmB3wJwBVXvFfJ6qsZGCVM+QCyprh0nri6nFSYtSgJ 1M71sySd/77ORtSma9dOLr1KTOwqwz+aY3qVfph2cTFadrg4Gtexbch51avsmc0iL00oNPux8ocW t9DUxMdBohMqys7TLtVkZXwl8gImJ7NJ/LSnbkmDfT/owBt/r1lpasd4ms7D20oYjPRtxk49h+7n fiSOlLYteY9znyP43ESUtG7Q3vrM6UaGWLjHJp2PY/CYbE+vDGhKf3+EFasJxx6kSthsI2TUa8LT SqVuYfwvqKe+KiR/lNLgU2XZ6YyBUxzLC9bKDiTdDHDNri4faxxttqZC9UfL/puWAwV2JHhN0vzb +r+pcAmXYsvlZS3qZEDc4Bhs0N0FfBX0EE1G8QNERrbM7qDOTM4rsIuhZaLmRGNx/wDsFcE4CUeN 7y2qfOckMBz8XVKvCx7wBn2K918cQFd08QMcHUfYeETPm5clnIlBnyzvQhIX97P7UzoRZC6lr+J0 NSzZeXQl0rWqHtczDzHzurF3/n3fxXJm/15nDNmK5jnjjgRscyWL2hmz39VHnY2kZPJ/KAv0ExZ8 k8Bs9Abno0jKDGJxHTyvMz1n0IsG7XLgma/r+L76JEzIScRRnVaPexWRClK3wMIAkf7YLpesUaIh hXFLT9lkIodZTzoqUWfiJTLXDttLjBgAwB/Tq56wmwsnumPSVR6xTKF4td/9UVLMf+O0pBxRUHkM yl7I82Zd8FdMnbWc9EVtSSVwCV0fvN+l+J9NKXgX0UrMQQ0DgjOxgckkXjpJYYdMGX87HxmLG2fR u0pPEZIJCvKzx12R/+0HdsZSbTJ/YTCll/n/9WP4DWnXM6BQSvEmSNJmeN7FfQQScBLc50fH8WqJ ntcrdPk7heHVbhIG4dfhOyFaqH5HApjooAPOMSIwhwWxM/JMDA5GJXeGRdnCUCSNDRn4ULLr1T6b Cd2kDs8iOmupOYFZIsKjra2igZCUZlWfz2eE1M/FNSPG5DOav6YF5JpZgaHzewbpLtflklbqVFwa nL8lOzkh/MYHjPFJnIEFbZ6EQv71Th6YYqmxqwjszzKRyXb6LOu5HBdt30r/USDYxJxbZj0BTd/j kfpEUmoD8FfORzBZbG1EuKM/3ltbPp1Wr1JwKPXZMestrylLHCfqMSDzY7ykKlisyEVML9gHdFmO 0c0HbBS+FZ4BMX2ficsx4Kbl7EDfmSNYLbpw3YE2mR5Ga0iTiwJFCjUw3t/fvJ2uN5789suNMDis epzQl7aUVGvxFVMdXYTEg9ZC9CcshZtCJ2hmxsLqeiHJMNPorAF8mGagdTyFDO2+eA4TL8PVYwab 6L2rEu5B8b1FI65MdRH+OIt0zmOsLtSHX78DFE3bwlJouCM2he382ZrlG+yV6ZGSqz1gj4SzDuLX 0lHxEdqiRfTfHvT4/L45sOprd5wL0Yl+GN4426lwUlSoktAB4APFiJwSRUbgNUFGWe5dvEy4WpLk HV3FA7s8LN5cZbx/svO5U3iEOOXB4TtGtbT9UL+QkwuoqiQYrkKmDo10D9ricyjzbKiEa9j698/x BCVzxq0OqpEPgBY0OGpaaNp+O5J+I5c2+PLUl/KzFYuTZTmAf17guuRinpTK13ni2ESx21R5fpKs +nlsorRtCog3dr451H/fACUR7Rk2xm/wHvbaOz4uK72gCaxWezO3UCpl6JAG6ycLsBX/UaHMqPAw AW0LPt/5o6zOIxj358UL6j6btkqycUpcAoiGYGFXTT8kxjyWjBuBBeirMxOUypqJl8KIO8TEZoSY ozaNc5u2gHjNGTwGy425b/79d5LiPb3tLixE34AyuR8dfBCLzwfFjdaoajtWwHDukPDAuLUxWwvc CoktXJuRdklDdcVZEjn9f6gP8hIJgXpU6Jv89A7xQvY/3ndG/ofo15wOCf1GaAVlZZzYeOJExpr+ Ksm4s3zkJyygG1hthMB3a1gjUAjUwKcIW3xtZ8mvAyZKzcMebnmvu34te/o2k9Jz58wbKIAaatH+ QsB/PYV/DkF6gg92d3/LIcfxOsAlMYWErNuX/PbMNYA51JD9YEZvadHih6DEBuR088LQH4nkKH89 C1QKBuZWnj6jc/ueQsv5RAmtDKAq+SVa9mv2x+KDV1LU+xPSn4nlrRCTIt1X+31NTnfZoKoIS2c0 8irrSgoeawfEQ7wMSmYYZvknnRuz7UIhN90/PK5wnwGiZcdhpBxoa5mwvLyBVGnB8Sr+2p3ZGsII f1a8nYxrFrckMkVMsGK6zQPN4abA/gz/SwsIjxKH33FhhODJXBLt3MLCTgUpG8piyPz91NM6TS7O Fj+Qem5r4+nNucbeu880rnimQpbzE+DQ8k3So+DW66MFp90bNd3n5+2Psc2CxwZM1eFIKlwr+FBn oUISmaoHj96hsSzwejqxdyInJlL+NJoz8ZyOizpdNXGYZi4dsiNxw3I/F8hgMEYe6+wvcTVE7Xz7 oCnWptq0dacDkrb4qM5Or5rq8Xne8n8SYRgrkYg3MMSaF35FXtsiLP5H8E+P4w2I1zM7pf5jfo8T YtWfZMIM7THjmAEey3DLjJvgGlJS0MQ9eTqBTco2Ey5qfaqSsCt4L6B/Xa5fK9GrDSW+8b1kOb6n MBpRCjz4YKYqWaYs+lG0vVVAwlLC84jBOLOBUAxEasR+DH+o8uuhZeiEnhLuG8d26zSnKzG5/cDx uw4Gn8uJL358wofZBQXxxTvd7f5A2izrdRH3H/KSuoeJMgtb/FqFN/+ePWWLj4xoaDk2QaB7esSg FsCoXvAcCPRmoHbz0AmxgP7R9POCh1rel2bhw3tQErWjySxaK/ZxOCPuyS4jMxEGvl+vB1Ef91fv yP4fPuHeWy/xKhMrvEWmA9zNEtI5eaOFXgG3tnb48TNUiDo91G+lppSX+i15JV4IUo+QGLkhAa/i Ap7U7J1smKrFStyg3pqG6H0ewwp/y5FuO0zHuhaIt81QNPl9nTul7qT5MPQkIHzcUH+vMIUMIljH 8s5jGZ1LjqbcUne5S1IPWQK43C8Lygt0SuTRnevrzHreVPXndHkuqVU0fap0g7Y6UOM0ovJRAW8l g6j0t6XmV0qE4RfrSRB93k+2GHhuKpxepSDPDz2b2F+DmZS9qnZ2+Azl6Lkzd4vhgCSS8gIMMefF KsHfItiA1Y7C9FxO1Kcb50/bsC+bSlCyUoYXIQ2iR4ngxyAmdINOBX1q0kcH9zAj/f/l5g6AybBu Od6n/oB2ZSFqVl8GjvwRaOWv1alrs+0b6Bwmqx4KX7UT8xg1atWT905LOG7YdYJ6BdCzJfj3M2Xl DMNXbncDwDHW6WXcUdcHGsje7Bz4IRRQArmd2M9QUoWr6rEFEhPsg7ZtPoVATdLgRk3OmUCoY+6e bSgKGyXYuOw0/r1LTNKYXKIIJFYQMSE3z7FT4grDJID+ICTk7QbI3wftskRGn9qUq55z/M4FLZdo nbAefnIe159LHFmlUw9jZm4pz25/ZUXTnc1Px900hA53wBuP7E8BY5/uaedwlqvzN0nc0jyq+XWC Xku0T/rCigGQa4GYPXLZrN41qpcFm0AvumxDLushERrTHfcKrlRmqoNbvAA3tsQjxPoWquPZ5LrT B6+vN9Hmsw6Rl8ieSQjd4q5wxXMprJKedIiKxbGneHgnVfzKT95AZ/UY7e3da9Oes++MJXJulZdh BGJZZq2OMZE7D6mNnN2qNi3KvwwSQGNOl79FhI2t/N+0dCKtphgcM8yAI9m042QBmIhYVA0Yd0EZ R4c2A+hNSqQ/LDPEWmWDmt3nJGgIO119v5HC0mnOkLxdFCYOqN72GBQV6LvzYZ9boyjNBtq9/c4e RXDyXstp2hfN9mLudzk0xw5T2AyR3XCDc55fpaQSP2jOsetcSkeLWRlMTd2egh5njTho9DKMXMDn l5LTPFOsAwt102pkvMo767BgBGIglbK7Lq3Rgj9cdnyLrSmXldQe32aWMDth+qJRa37WKP51lUQe FlQbgIpt+0a104bhn7hpXQ2gHXWYN7I12+CSx/zXvo9bZvWndyWCFl7COFKKl72IywxzU58cFznW Dh1Z+ch7Ho/i1mrh+WjVL45wLXvXPj3O1NUWBOitHnzJRDMwDlFtKqZKXRW+dBy3fapw8382b7QE xFPigbRObBPVIlHeXxXqw9362UiupKhnwtN3PMdj4O2TJa1D7z87hShVxqK/i5GGb3RaePCUlqfY 3tCmniEjCr/DO9C+W6DBcgi6tDn4wtqyxbtXnBmuul/lDUf+VdtM/JILUMdwPSTeMZ51JbFDYee+ a777+YztOBc/wzmqOkKngvdAHuPhyJaZN1H+OpOwCQEIZdAeONF5fnHUrCIR3UJ4fA2zU9BDOiRe 74MX3HqsxfKtTlzmpGrkSab4FXJm1WZZ2oYQ1JXeIcYn5rZWp4pR7sadpTeVybxbfYiqDCu28QZE vOktT4mbbq6CylJWl7ir8FbqGmLH40flikhLZ/ZrZBebVT0Zwzie2EYISJzGffso1wMvGVwJPrmL 72AxS+2nZq35auYxTe5HwNki9bQ19ojeoLdm7prfW0cjKsygocfKLF5eIlt9bwncwRj5QvqCi4n7 ZmRhEHyJ3n+UqJH4CVU5n68O31y1Zv70xv7udXTGES3M3EFYsiF3DWusO1HjfK9ApHmCDC1GnG7n kvreRCRpw6paFVWC8vRwFEbzKmRpseZPFIvYSw3YR27SyImISgUf032i2zwy1/kquykhKNQ1Ql0z eZ35+5gJv1bVwEJRo/H2jU0zHTkP5WA5V/3Pfi1i6O5prRfEeCYhydkiqDhUK+BQy62t+FOqc29d deGRyWrGKOUuILXWI6xoL2DABipXMkdAboOVFU3c7yJcvE2lSyLe8iRnBNTwKc3G4uMITdRdwVad HaBnPwA0RtT/ELYRim/or8/r2Bny44ir2PfVBHKYQRqn8khIGXKweT/tG+TTm00LVpdRc9I6DkkA 8MTJjkcvC/REu5k/o3hJvg55Cqmvgz8kZOmdaHCpJPqjidVBSXm0sJV3S7rLL3kuKwiyoTTCTOi+ ZtA4PQ2TaUNCocc469raGGVJSkx6zVWV/mXWVjxoQPOOLXyIzy8ACbDPMAWbt8K0BYmgEQeBnNg/ MU76fDJ5BNk3PTCEIpcI2GOvUjTpTfB45gZeXPVFT/Putusyh7SmtPk5sYcKuN1u9A52hd64gFI+ LX+p6laKRLAGhlntaHAY0D/J+lRqMUGOIjEjU9RJ5QkYqcHB274DT3jUjWb2Bs4bi1Ui2ziQsHjM 3eQdcF6+Zz4Hrj0nkHMfcF7N/mc3te4rkLcZmdRYK8BVFaix9noEFBgKHP9DZCBqdDY6dT6lyJoY rG0UlVeu3wvLg/dpL7w1juovFp9CyOaMUXC4Lis5n/tgA2hYzskQXXMUmyhy7o+BM957iQgJbl0G dnbrMjl9wFNCAw/OYMe1rS1obpBJA+s9WWWjwF3+4aO4a83wrOkB28I46m/FpAxRrTRsAGMAqEva b8KV4/CI0+yDO73FhQ8IHjXeqZI8fbIylJkItlNqV5eBuyFw3NhDUt2HzbzNTti9mFInQoqQMsmI FbUWUSRC5pqzbqPkjzWhFy0PvMA7fEImfGbdh9Pjn5iJJOy6aRydDSjGfqvrAcS9Q+WcM6KZKzpU 7KD4HQtFwFthpbQcvfbB3LhdIdzMDa0CY5sfMf6b4Qp4HUc9UYzLLUXO0vIvx0LDYf2UXMxus8vm k3s2BCcphrVCfJWSWSfMFUqSE2Ji0oytLRV61CTR45fa4eZdTURYx4rBakmaNCv+LuphlJRZKF0/ YiALs9/ffDmUmukP86Ts99KadmQwJCbfNOmy2V4c5SlMb1JxaXnlGTVAMNeBGdrWzVlLFmXV4zhn uR1tNCgSBL+QjSX0N408HUBa+EcdTbHfPafwfZjOM9EqkZSAg0ONSoEuhjSbc+wn5YXygJ/RKlC/ cpQHICUqcZeB162pXqE6f6c43R3Ij0B3UkGNEgEekmbDek0ZOkTJj6JtK8wQzZpmMh5hHzRpj2EH d/wsqxNTQmg74n92ppBr+X0RDGdj4TihwdnAlLuBrCreKbePtkU7O9iJ9QB6tDVrhudh3xoBxWKC DM2vlqxvDbNC9EGkG2YaG9SvShIVahQp0nkHHRMQMXGjOzYiXYYdX8/+L8tLRFgw8T9F72iWNTIK /UdUXESLpoocTPLz2OtsWN3zEtjFzP511fCCZRAH0zlB8enjsKV6VDcPc6H6s2IuIaNDkV/79Pjr lSecQkan5Pdh4qjnvdOtlOMIAmZP0q+8pGqkpb3+0XzR8YKRGwndDyCnhKZaxY41KZ8Rj7P8CAsr uPN9XmqzcJhMq7qBak5zRnNxR9Irn5XYWzEIm/VxU7JVsMtB71kr0/5HGG49rMl3LWf7tZH6aQOg QL9w7W4XLgMnGCxLaC+72U8iCy10i56xTpJTSciBWYfw7LCPS8CaE38oL/5O7zbgsbLemEVJN0TX SN3sSf3ov3/gC6n96eaUkAofzptXB2e+Ziwx0p22E60Ex4drgjhyUNLyWaZA7Dn8YYba1xRFCCez OhpYJJO2OD5Ixn4BjBWttsvxKLn0K5NIRVZyqKYSMrgwMCJCiJ+t5aoWuH3PT14MAYvMzOt0v9Hs nz4+mvUynfnLwHmW4u0JLwjgK1EycZhF3QvWMltjy2v/aTY5f9SkcXTQfAlwHLenZ+qEha6MCDAC jxKL9Knyk58VpdhF+GtCepeZgCbYcI438vtDgOf0BNK+Emt27/A6ffzJh81uUMQj46592CYMRkrX P3JFIVh8EGJV4O49BEIseaIPkQSXstSwFksamuX7QvUnPDW8KOlnx+PFYCIyUdvbD7lgGXPZGO0q EiN+vqQyA9vAqFWbai16mYv2Kj3xIQkCLeLvBDNdLRLpCSldPok2WPPwKdyQ/5cL16bhlheYl4lK WMvfLgLF/0c3SJ3vFizY8HvJ/gJeTRsVOLpbrvODZCjAVyAfI8SF5KYL32ynOGcOB4JLce3r9xye fXc+WxuBNNnhG7rqAHGvmyApveRnQJh4xIswyiVlkcYlf7Jy6Map7cSTJnOGRO5mlBYZ6wx2WPq/ Xpbr1f1cNmbbOFUhRZkL7upCsq9TqsfYYiTCeRvRwa2RJXI4jHvm/cPv9T5Rfv9zrW8pl1Yrcn8m klFmDncr7omuss9gL5KOTBV4LYdyJcXIqkBAJcG83+P52NqNXcu80dHfkGZENyLbGAdeuT5UDbkQ BdQPCVRk7NIbdfoyRhK4BxohAmCnQIJKLgEPdO7ZElZrs/9PnoJSvYViTCXExy/gsgj2gYGHFQ+0 20dpYZMvfGXu3UV8MA3BppVTBTbxE/C/saZGirovhoBbdYKRNuRdz37nIEGS5uM8fqQMmcRR/0AU HfCiNrnhT6aIfxX+filUxE8cJb2Jd3IFQvDzkGw5epudugswTq+7oC+gkEavPwq5FgFygpQObMuV Bq7RY/+xjQCUj0+g5sdMRfT5iPQ/H+jPbZ0ZKI092PhEYNVPmPt8AdPOl8ms7ELYirk1OV6TUVL+ C9aoQxH3SS8qTqpbQkLOeYFHg8EhYob81Tn/QR8AakA9ItzbPfy0Tm4d4qDqDbxuXzwiAkQt1dzq fWDFPAslNUKkYAEJsKAPdFB0g0dvsZeqYZ1dyoRmj5U1qWavh1pejJ7NMU5P17eYqCiQrCGlyWo4 MLgO1uYbbvgDkxjhH3+7peSfWxtFRdzNhodGCZhJpqR2djSJ3k+oq0qTM1RDBkmCbYYd59uJQ6ge EUVXJnJDb2WyawA7ssnLwtxe+EG2f1m2h3qG29P/StLmuy4Jl4JytWX3cvGasSJojwJouL/khjhe sojAfQKCW991tdQGV9SsZEItX3UCYVwk4Z7SiI5cHvwqtjN3/mkMvws2e+C8YZkPrA6CgcwqFPdn 8MSWnA0VSND32vuAFsGXZ+eXaEhGAWQcZo1WcTaydnbJ2tzP4iRQw+0E+9fbSXsoZb3RP7RjsJA5 4a5Ph6q+mNH93dJnNGsIqKnjb0SySHV9YUcVeWzzjE7Q5MsVLPMcIQP/7AgbZiaLgQYXlcWvMFIi zY77dHFjCmNpli6PRvAV9/WCyI5Hd3zWqnvguRYfSyfR1Rc3KyuisKMXcQ9LHkMCNOYm5nPAz6jV ztaDuRWycoXdQya/T3f3pc1Rr8gAmtAiefRjPI+MphQaWLdkIdwCoeLAIeSwFp1Arhz5TIkIcjM2 z6QAYXY55JmDrR2UpZFX4mUZFLXWPbBGbIanSjib6+i7/XpQ4IgAFtZGcsSKAr5aaW/dDl3U4j8w eUk47bdGUux+M/A= `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block W8BTxozyUsN2F6BjwRpQ42E+TujraEVKRNxlVQMmjMjEwSUb+2s/9r7M9+9lqZchtUOesX9+piND 1wcCUUCy7Q== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block oI/LTdi3eVpOco6HQnYXoyfPCEuo/LfAXbvrO0tV9YGGwVCn2CUNzYl3JN7CQL/xe4pLyAKZQaMj HAa2pW7ncGmkLUidKmMK24fK1s6TXopE8cF7YxREGtgRC7aJOibofX9Ogcrru41CTCbdJgWCFHos suR57vjMoIlgGJQ4W7c= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block bHl/QJUYlA/ScW0xSwRrs5EF1Jk46e66BBLINgQIFTDiS6wQLsM9W8ubvHql8w7h3EDwrvDybQzy bgO51YsncDymBOShwtuoUUI1xKcF4+HxMrP26tcJwdDWr1DOjPZJvhn+yTssqx46K+ZLZY5JJ5kL +JFdyogxAsyJ8pZHJi6KSceHjqKYqpSgRbG60TFe5iewx7soVGPJiYWNbvWKstrZFPmvUZRYceLp JWJp83yJPrfuuIklMGOwXkZaqsHkjQNeeJuVyNH2M5mDpERHSk5ZK0EKbynVWx9OeUJTwN1JK5xk xNdmB9KGoUNCXmPLRfgUmpjGp367VWZGqvrSDg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block nJ45HTbnUaxsP5OcIUbGi+R3kyoohlxuB1IwzMnBuG9f6vydAS5bqyYwD/Axcx17UOHXWIZB/ZZT t1oa80cuA7F0vNHqRo3ONsL4Us1WlC/zQJTR3G9zx4GZ7dbXyb44eoGMOS2vIFErztGt/M1M+09+ rrKNXvcUFD261fFA/nU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block dyvaUtYZ9xilQ/zyjGw7jv/udjaIjl41Kz3OxJOVoVlSEnWNI/m9jMn9f4aHC/GbxsI2xkNakKFQ EGOxvB0qNsnGrES16l4WuuaWrtg360YLYOHvWQRh/iauBb5c/JAN1fb0TQyX+7f/z0CPAg+5L3h/ ubYn0iWaxt8JG+6Y4I8ADgM8N6CzGq/8lJw4/3f6SxioSiORIzpzSiEdLNUAHWBLaigVvMK3vkhH RoB0pQzlaI5PDkpi7SlefyeEcA9L37TBBo4O34g8jrraNDwjdJt3rXgOtZKAYLZoxx4L2OMqQf91 kxAEfmTV81CWBR7YiAWk+slie1cpyqBSlBiEGg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 30848) `protect data_block KV1RooykKxUVVP22XhI6BYdwHEwv1s/Fayg/EvsgOFSdLJ38EL1wvzQij2QAUYLIOzcj+DfnCpBf Uvf5izii8DlunQsARQB5UKzYZLAdyNBLGgl62o8c45tDeFnZBkbu1JNrwJ/J8njeD1u2FTNKpTlA yThrUdrdICtyCr6uziUR/3NGcklzKNnx9xaD6Uuslx3975UmOYdjGvLbUDt4tOle0hHIqFUBnSE9 XHhJyNH2yAMdw1yoQu2rx+pP3MTPsA+4DRzLgv+CCvAEm1+2NsDm3ksPb4X4M6Fnq/tYxmDu/SNL G0FGOkmcVun+ui78cI5HDCKU8sE2GM/IjKEBbMISkGDSZMNUsmRNP8rJDAjEhjdq+mG0nes3eXC0 RVmEAiI/W83fXVJjHFtiADPFaCUV8XOeCvdKwuVA0YFLEXzHqjjWP6xWf22Iqn9zSdWOzrNbV6GK hvgTFQH9ISMKHqG+3NNV73xW1zA/6N60BACUpu4Y3Uf2IsbSBoWeU90EjBV+sJSaA/hsqqdcJ8ki RnqYdBcrJ1k/HRIF8qmD0SAfepKmqHwUzI926opuQ2TIucOOAEOFtDXWANdrTGnwYsYnWOVq/NqY PYlWwC2fEUUc3idjMpEfW7vk74TCXOqk+uqrdXRo76PVE2vvBAJwXdDdPfGI/mNqte0JM8HCyxPG rrvQ1G4KpxwB1kETZlVpqFblDMxXavZJUtx2t1HLTo+lAut0i9jwHXUUbUoCWRSh4wgnUwzIuxIj /4hqspQIRyW4rJg1wgA/6wiuDHZqRON9FJjCgDsfuXBWL99hqVqzTuCdWQ2qcCPq8ZuvBZHMqzru 6XarPp6XTJuYRWP6kgCJ2eY1UUKQA0f2QEz4FoLtCHzTqbgZuou6IHxxoj1hRWcWn0FuhgCibrGT jxn9iOyPra98562L+ZyJoEw7HsCgowRltIWqxKlpiqolJ6w4FnH2MW10nPsraBQ1rSJzZ3PLEPWG pRdRHBicF1jBrNeXQ1nuUUSgcBfHMbHVoXjSjZ4FE089QBTOHl2aYA1heDdDMQUaq2vk8EDpPuR0 CPjHDFlQJ2T5eWlpPeuRFclqzZSFZZBNG2Llh29PoWWisS+FAXuWmKrHe5K7URA06cl+1+dof99n Exl96GgN97/fXCQiy1tRyauxolAVhkO1Ytm9qRWowAJyQCJnITFlrYvG4UwqcLoX6ZqIp9NFPGZU lWG90jNJep1UjtHVf3z6ey4SNtd8TqSPYZx9IQVJYXQk1jD5uzU9X0TO2rQREc2xHviHUS6QSKTW DXc4272oqo8VXw+TmzgQuNVAszCPTDMMhBQ25mw+Lft2iCnzjOvu9zzBwS0awkzs72c2GaKtR+wB X8Fko8xrycHlmo6d6/hzDkUe6H6Hrsil51jp2w6/SU8wHesSgEJfeDzXzeBEyUKz/AkMjjxFkrNv BKffimb4QxomCpbDizFlhbN3/iV1K7+acOCNyICPrpdAF44Z3JmutVLCu0+tZJojAFrMk4c7jei9 LhMDpNrV9BoYtIc2nPOsot0iM0AFI8vHmh6OmtNteLkV0Oy5SIlcOLcwFhLoCPzcYYFsOA2+ztYL 4wemKjeNgGdxnS5IKVAz/67gy0JYK6t5MT5U1Sz4QLtwXRVwIl2cnzbKCGFyq7PYpXiUk+xR6HP3 D6mYkc1yqcbEe1QNZTh59CvgBcsjPTbFlX36h8jKnolNGaPTWIPc6uq1LGVYK6i/lsCm5Jlz3zBi KkxIA6XM4p7DqB5EzrbF1wc0S7vr1nAQcMqNb2MaqV2lhipBwC7h1gosRjVMiFpK/ETB1oBHY9ix HyMVk6RWfNJ8htyT3y8fXpiP6a+btexSyGfXU53o28z5675cmhlghA5VDi1abUgSGJ+e3ObpGh8g aLxrTk7xG4hArl2XL/6Mf/EBq7oYgIL01MXTMtkop0FnNTyx7A+gc1PUXQ5NxnCMDNJhYD0DaLkV PDlXXr5gHAfNhYW9zPUOJHE898NLqpMQPnyBO4cBxalcnnbRXKT5C4c6Oc1RnoH+FHSKyLcG4C6o cfzKCBEHcH1x2K/cHCYbwEiDI1RJOnM9sBskOTuI9/M0mDoWSML4uVaYFO+GF7T7eSJ7DWeJ0EtW JoWX5eWmcaxb+/tobbyjm/fUo4Xy6UxlSaoQy9aNjkw+2rX8zsXEsnQYLzjwdxmSf2uVcbzhYa1C NjHQ+vynqwGnIVMe56J5SN3kOKwVjCw2B+g/HJmF+4GkrU2artd0V9DQ1v15j8pK0Z7yNpNO6gOn Xw+XesS+OxKW6f7nEf8UgxzHOf2JzIggLCvRKbCBJyqd/q77B1pa96eApLMqwMdvlOMMxGSlPNpM 1pRP/nhWOZixDxdkIvf1W0K4wRJJ3nOfKrZZ0FPn8KKiVX2Zw8dtVUc4S6x2GX98rr5F8TlIAr5q cr7ZbGHCWJS3hJ1kHFttW6MO/cTHULZZYTGW8qHxFW/wqoclsQnbsEHl7lJqIL2C7lCZ6A7rd1Kp fQ6uFX3UU4AscNnbjXWnnSnODj0VBqXeDKBBHOFaOHBxwzb0OGIjkjV1zwtUlBz1+0dPCzZZGobW 93LV6ZxTR44EdBrpCvPFoDKLAR14oHgf/2lybIysoFpHAS9DuA5VQ+daVEJojx6ul65cX0FKKrSJ 62K/is7K+3MPc6EAlq/RQnFc9HJbBmd5uvxUxW9k5ZVPXPPmrqRb9M8XRWn22pUpl4Z8CK+QM+w3 S8uvw1FhYspPFMY2pyWA9sT9Jd42VNnpwpBIqSXYVBh4l5lSEIaQYDaembmUNT2/UbVsRfmLEbWp PI31NfxIG7Qczovoiu1s2CeaA59Qau32hzBOs6PiBCF4b1Ts839hKw9qOjbTozLQTURkBxQcvhk9 4uXwsZg1nrF3dZ62fip7dDZiwHdnxWMucQuDK2ji6qpU9hb9ud2s5MivZvM1c1BVRaRG3WNKzlte g/VlYO0G6UbxSgjo8k82TipQhho/3dw7xf2MWqjoolzTR98JpSFDta4laGK+m5UC5zZJK2/pEbnv NCIH05L7Lox2Hhgd0m7wZRElaKiu8jkCAD43ROHzfgyjqUYCq3x5mMeuIhwIVoyQbfXgPWfLRp+A 1+CAVrGfn8mf1TS4Pf0yzsMFUhTJdfz1n4s0NOROzN1Mk37GVdGMQf6bpUTUfVu567rHXtedM/Yj m+MvdGmOXlHj0qlaOIh/oX2cXj9b6zP7VqLAvgqdu6BaG+e83NwPayVaDIMppjNs6QOQ3k/HaBF9 G7h0btk3j/wbXAFL/wTYeifkf99z7ctJtxicuNab8acglGiezsyb9bYTpbzSEfezRDv8t3tSpOmQ sA0RVF1on0JcwwR5YQ8QXLfNNjGeZu559zwjeydGt/KlZqVJ5B0UfvK5fU3alGYPUEdjJ+n+Yewk sfCnV1ljjRd2FtBQtylJ+tKrUbltlTqH80g22Atvy0DG6MuDt1Je3pCk55v3e+T+mTLJ7iWlfVIc 9VFh/XYqvu4JX/WpsWRbTuJn+3AnIvoGZ6XE06FlwR9WwC6uwTMTAuU2BsRC4JM6aYhYDlfsfIRB N0NNpiwyen9Rewg0j3N6YvF8drfikheaJVaVAeEiPyWHOy1nRcdzpTMTDgvLKeO4uSp3TlKg2UnK aNf0LEGyAl0qD9KukTV4gGM/y4qT2pyvYGlqtSdGUrP2vDdqAKiRVTbAMbX/pq4dQnhQX8/w32d3 VoCTRSnwXUegjxWdEU5dDF3MOKYMFAShiTOz0zb0ZaH8W+kQFXhyMvBGq46Avns6GV4HumDjEiQM kvhWaJcgmFEwWj0kvlDZ+qFo4t7BCXINUc/1HoRizIBz5fZcwCVLDlXSMJBVWWeaPEDotXQATBXs rfMsDsnCnrOiNgAVd+XM/ye1MfxKJQJ+5KZZof4kO0pG/Jk21JMYY36SH8mbwqkf0Ztmb8k6gEqn KGM87Omt2FC5UQiRKo3EKBGx41Ru2nKO3QJ3VIBofDGbU+kdSgHwOxdqTQFqyDrWj/4k+kvML3J4 Y9+/I/fp+TT87ZcDpcc1tIil3y1fNFWhsqLh05TJgvhozk3z73psTnCwuD9PlSwbD/rRGcCFojjg W8Thw0tW2ITb5/b4LuDfydycfYqQRw0Ojw+k1qIodNTQtZfbMRUKDF+Mnc3W8rJ0rzdWRk3DVp+m pY1yOuKf2Ym3Hqirqi/r0hcIyHzOVIqGaplkzOBX6MBxAa/tokXBrw7s/6YpuqaqLRKjOarAB+dg VXxoCAXQMXHXYVTLrjX9HZcdKtbx6FgfyFfMnqMTd6ffFuq+7jnxuldig5SUD84gRLx8MAgF9MpL VQ2U5DUOSIRJjGYmy/W1IVGcSXUCDSoBnUUlUzH/wYAexaxtzS2R8EutpToZQAZ9dZcVw5tBeXAH kTiDxeYhOTrHnHTvKf9oyJHIWLsrPknriv+sSsHmeLhR2AHGTKHPM4AF1TedlsfJM/E/nQaRxP9O YcYtOb5FZFQbEi3dg6dNYB/3vbOVfv4lOBOOvj6sIzPg+h+eywjpLXBS+chSHMreeM66wE1iMxqR huaDWS3ATZgfhNoSXOLrT+3I7S1g7HTopNXUXpy0/JTjKIX+XXxfyF20QQe8D/keRydINdNfMeVB GpPv13zoctZMZYObxN/cFXJ2dU7t9WT40yBviS0IsrSiDxP/ZyCBKPihP6heycO3l7i2mXhQN14k djp6H+3sBxecsL+kaZ/56/rd/gX/9kcHfQ/33U4eCrzUrn4uynMaKapbvOuPMp7921JmxsvpwRg0 oi63lME7toFIj8RLL2uJNBlOmgD0j6KovslbI27Vw3uZ6874d7q2ltVgR9+meyw9QNE+gq8xSpoi 3ltTVNPf1UnTCFoWn+2IF/D5ycghRuK9XhuWp4Q0I+P1gdnJFDlgKyKfmVHhyHSGTRt4zovBXBxQ a8hAe4SI3PKPo0Y0ZdhRu4ex+gv9oauy8CAyuEFY0QxBf1SQORMp+L6IACT0w04cfvOcKXMQ6aUl Y1mKQjyy/tqQD6dnB6MhD9SMUF6ALOSO4laSDngWvXsEUlS9PpsFRWYXx1nQFnz7TWkRCVcaFjMf gwHnZVi0WbfX0kvaRaYzkTFs7MHHEV0/ORXkBifHI0/3MofrA+NCr0VJCx4FYSBHrctO2EyXYcnm FVu5LdK75+p1MPkQ/TciT/mgDPLiwstEAGBnDlHOyMUwjea77so8uCh+e3BqeYO2ZGmhYWF5wjfM 40akdQD3/e9hvAnhzk8vGrE6eRdschHhVw+fPv9Ksuw/zWAE2rvTvoK2rGWWdGnPtSUfEwHmTLez 5IHI3oMAylVttlKXz5L6YGh2aY/W8KpCcm2aacvMq9Big91hKo8IJiQKYP2o43z76GdqU8GF/Els XbPnj4QAcNb1pWsnQ5KTyzB2DKe77rZK7p7CeRiFFa3WCxZDENovS+VBVR7r1IO2QTWq+OJ+i3wV ndNae5WPKym+AOj8eMZBYkpMASCJWcTVkbXDOSG5DFtucOMnsywlGujXZfPHnVov8WaM9fj8TGoz SHSNsCMPRMXb4rPE7+sF+61V9C+Y0K1+NUq3Sxlu9z2SU/vOAsHxPyQROGwySf2VbbCzCWs0aSPg 6l/fKqe4pTO7uIUADjRLplI1fQbrDZDuse+Nw1gT8+M9aqn1vKOmFFTMtr0zRQUd0hxoweQpjfjE HirzD81RMGIgpG4B+aqvu8gQrAI4iaiDK9YZBkAhXvAy3UZiwal8wyFxc+y3KAt/nZaCUrPtiHO6 hCKzMXXA15NJGd9P8/8zypIp2BL2kHRw9LsPxXJhYvX8e/2mrhzVUcDIlnLOMj3R0B/x4CpEGTye fD5OEjD8tqIhBQNHMeMxxgwofex+UhrXlzwiqLV/OLFjDriCOc489t9KEzAeFiDXA1SVO+I/2J4B lLfi196UQATgWVr9exksHFSxUqvwNT1p40R35DEzQMm51SZLz83h961L5JUAet4BDK/JGmYr2l4G 5gjQ4/HMC8d4rgbs3FQBI6CPhAqqhkNMrje+FFmn4OCsqFWqlemfuJO8bsggWU1q6ea7/plD859I 15uoE1wPZtt6+5v1cmyU61vhdNWdoWR0YIIFD9SezMVUILrA4C72nSu9liWBgcdJTPt1x6N07ZaF 27/bNu8/b2IuwLBbu1swGd6aUQiV+D9VI2XNRuMRfK9Po5EfWKOmQasT1u4stengVrAMEqLdN4gV C21koMol5zf0deF+RVAYSO1uTxlpEa4YN9JANlPkbk3hvG8SiL0JCiuyhemHRurQWMNI3JVrE3rw frknRzmtCTjdW3aa4H16fM/lUwzNidJeqaEQRokeI4dmaq2v0RWP4f273dxD2nyZ0dtIXlVwQnhC S4wZeAmY/UQQpu/wcL4+f73Un7cqPJAzR4i43sKDNV/Et1vXK6f/ScrUnidwIZgC4pLkcJKCTDYi sYVSzQ43MTXVsYPPtX2gh+SriyX94S76ekUSEJKi7JmRvl58yBGlikdleqlR66Dpg6KmUekcI/V/ Ze1lCFi6H1+rmVjfq9zYxRj6S0RjnYwr4WPqVow41JcKJ20Os5lnkBM5hPwEUO7H0iJ28iPzQel3 xy3Y4FIh1v0vkyAGA+VryJmlEW+C0O7urbPQr0oMCD4bJTVGnyHNDfe1Tdc0aYwo87KNCMV2eGvC atksv18Wx69huYefQwiJwjwF5itZ9U+mUzNTJyoPwvSVfDzpKoc99BUUig5R8uScLuztKCp5YXtf jxivKd8lvFm9aE9U56Ghti/RbohYiP7c4vTkbuSJlQgmJ4ntPvTe1ONQNMDa8UhXCs0+HtCczPRv XHH6mMHvIzjjJ0CWmO3xAd5PKd2YT197uPS8jNHVBnX3oJjuGNAvq36ORsc2OU7Rc8fJqY3IUF7w kA4ZyWVRBR5+L/9+DVAMbtR/BBNjIhqGwxNRc0K4+hzDl0VDCCIcOO8Q+rQO50XYUP8QK6DZBAgB vs0Zx/0mHrJxsta/Uyp2Ae5IttK7cezbvjVMj0G2X0/lInJ92YhWlfrbCkjUGCtiSIYDb0/bDAWM Zs4h6PX5HB1EH7kEt3dfgD4xVg5JOHb4eQd5amnj6qqLxhDEN8vbFD3mEFwgNSVmMZMmTcajs9MO vYrWu1Yn/SVa+I0L9JQ35HegRaOom+muyxCD3KjeuIh6y2ZIShEsaXamqjpMT53yOh93jYDi491X stjdTizKZoB55usi1sEuB00jtLbvFCrZW6Hy+UvzRR5ksBi5PxryurU98SoqbWyOogQRR4FTyNmV e4yT5nZ/m5kOAiRJ6AJw66WfArK/g0A9P0rp3ExUjLhr1Ar0ZkgU91ItjGuWQSwObXHXXNoi8OmR TQcp15zasrVBl0DCW6fD1yVvSjTg1ENVZxUoU0apb6zIv89CdXsdq26Tb7ijFaMJ531Ns29gyWeq IT4pzJndezXi3Ow+mrwA/h+d4TGVfCcN27e6jP8ThuwyixmDNDKacUzk6HUKB30PNvyPa2BXzYC5 gLlLkUTQtQ0l5PnA6+/Qf/e58L5G2YZxHkT0YYRT/B3QtnrisJ5CyQY14JlO4GjDMlPNgvTUTutw ydkh7pHNt/pQVZIU2VcoBxZ68x5yNeSi/mLvJjXvG3VuswyNuw4EavJ/Jcm4XxIva6a6TM1tKfTl 2h+WzrbUCRQ01wd/nWzjnLkMWrbuHqjLodzrX6+Nso22qeD6aY7iKzkjcmaHDHHALYnZFjXZtKyx chF7XbqqD0gsPGryCbZVcUp6LGzi9h1BksLMMYLGtD6kyylJ+mb4511IBsjSNIZdWPZQK/yMaLs2 kiHaVdJRuTkTu9vAByW5cVjsL0FktnGnr+XFLcpLilTmS2+blH4thWrqw3WQa0fEA94t35Ax22Af p9WJ3HfEgziSaFT9C7xC/uYjNDGoxqdBtepvyU6WJhr1TCE5Ej6c2W0xkqUHddWpHhVBxOpfyNw4 GVUcq4MHIardLtiqO9DMF9SaQp/kVOIi7TFjYybD2oQs05AIiEyy3ATzOn5lZ46s04IgPRkBYN2T QWtw6F7MdW8p4p4Ox/vxcXEUZFw2JaAC04RS5l82cJtyF43pPs9iAodylprrIYsurIhPeZnsvGeH bQu1VngQLt1l4TTPgxXMYKHrT7ZcnMYSEDToOvRlyt2kuz9Tthm21TN8ZIe+ndtq6TT5r585wNzN kfPTW1qHK67HFVosRVIhl9HpS8t06LdKwpmGbCv3L0wFcDHbKDnRvCw94GrOrizY4salRo+Qjuvz w9nnBvYZyjNbzZYHOZ21yfXneCULWHT0vQgHPC1mbZApM6J8pOmosgGUlshOxzN1vmAv4A457ZMV DUy9VRds3XJl1mqEqWluXb0vWE10WGED/83ZskFIyZ6iliy/oMhQN7uTMZxf55M1xvdzA5XiUSqm RUHB5/Y8vsGwNLeq5FzNt4jxOzn9aQMEd20t01GoC1oHwDHL1ltigF9YvjPBL18n4OA6QSpW4bt1 f2UJ9EC+s6WusDOTV0Q0c6h69qNFLqSe1BhdLzf72E2AbyEkmgCpkCEaT02C+nabUeB/29K5JPkl Hd1mtBr51NRzxRW/nyKX4Z8m5zPwYCzsbSsdDyQBUYfERUdRScoFdavEKzRLdctMWmmGhg+rQvN4 B8WNJoBC8H3PQb4rUsiEIMxeWHyLnF1HN+EUr+hhFlKAiPwn15zrtd3z0UWtuJoXkuuC+qALAbwj 4FBaKQRpqRwRhhu+SVAZNJDKqekE8Pew2Nr2tUXYqXNpb4frtcwOlYwmrMX/IEWdSRImddDv6/pI PUt1GC33gRXr+nsP/z574CVguo/Gbn7Rx7yfEeDGhKkig1Eabn/2yuNNVA4eJi8wrYcskJlRmQ6g sbkgOBJ2Q1HDonqoCodLIuCReT+oRRDHwFENq3iz4GL0BEHGrL1Rt5Vt52R4BQqzAqfRL+BTcTEV fGB2ksEoH8RBI1p2eZ6yHGB2xPSbsUFzc3c7XHWtd03yzjoli2+vD5Xk3xCThmI2dqlv4v5GHgc+ SY1F6DQVOJqMKYYEorFP+OhaXe/hnGhcJFiuuQeCdy004PglAmFTN8nDgm2iVTUU0pTuOVQ5a6OY T2lr3MoYhqIwbDXwLfOgJg54TQf+60RJ5/L2z5DtZhYROFJs1MrUk8ChMVfVym4drUvrjEnL9SQ5 Ll8T+yayL+EuYlcdtdyDDwF3AqRBnqH1CDvEwO+f5hU86htfkQ/1lTgVC2dP+lRXYt1TQ4jb5D0a XuvV//Wjm44FjFNIHcO7tjfu0ddHvIr/8xH2dGpJczK+M0vLYy7TjEqh/wIvm9wcm6PAxMViU6fs eYShQsztZ3yzD+L2Z/rIJqGeIgl6OE/HcI+J7VETQmvjI6QmtJ7gZ7mbMfHkZpUesvDsEAFlfiBG wmdM0RDMT7XNynmGzOq26ZTb9JkLaXS/bb7EHNjLj4EKT+zkOq0uS0VenIhDScnw2XePFRUPSpMQ xJrtnb4rperm2S8eKBhp7IBO60EYNCMCydls0IS2E56O7Gl6LOsi3yhrSDlhb3qZUeZcZU7EZVId 7s2Iqb19YI4UktYB+YMnts67S3eTIBosoXP0C2jsLzJTCQdjZcX8/ZcWVWNP1ezLSdp++i2/efM9 ZmtwXHGONHgw5WwagKapjmpZPr/u/mpDhEws4qsEvV84e1Fey8FciKasG6sHQHM4HYP+zhRNvtgf QBuGv06lNYOdhWbqrY4VLAcYzVmA/VETu/Sc1UiEUJtuIRlmhhHri3FzxQWdxTdpyWZ7fCOEtlQY irUIOmsHtmCnp/1Enez56GQOQfaDYrivuyDCH0PIFJ/tOkD8Yx826DXN4anED8tjDXOAL158qQdQ MKpKTYiIGy5E7p8gmAa+GqQJVrv9M/4pEtJ1aNwq+AmOOXaXcEO+ZbPK/XccEwsYpcZ6SciHbL9p eKSpl6tk+nVtuM8Wadl9NFk6gV6Grka3LvdS7p/+FxypH9CEeedFD2dcHqUDtcSgE8YDHM5qDDTB yWi3gAWpJmS3eHgDsmTenTJXvtVAm8/OnYlSAaaJ8DJUBJnCQg+Lc20AscM776tFuUZxarlX1miS GdvQvhUUNQTiDQOOeZhviXTO8l4SGHR8z4xWqxosqsaz4xmnKnNcreL50IOzRFx/qP5wZprEyZpe JV00FlLLKrLW5x167awZ+6lFn0aO9rgMIUgY5PAtRQRvQ+zc9aKGdG+J5tKLwM6QDQCCE6jh/ARl zf9Vrf9S8xTXDYVzjF4RC7Q1DAcb0oJDj3fx4Q/gXjxWXYJBrUc5d3dWWi8esO7TVn5NhoVC0tLc zpA/9B5ZEJpqdjKgp4bvTG2sJ6/zMU1ffeuddJ/xHJViQcaXVEVZIaPEC7zPbRgKCjg0aft0h+m3 0EN2RLwZbLtGnrBQb6RVFGyBhmHGpTNIXTeNlgq+Y/pilyu62IAQAo75mXR1+pI7gPrTkkSfWh+j oZF81L8as3fBm46LtwFPxZCEILZ4rS5ctTIS7aoA7BtZg/Kjr2UYwP5djjoeDeMjTAGnDfO3JYvQ RKkgAuBw80iD12I51tSg8xZHgc/0S+mqIham7QgKloJsoklhotIiqlJ+vqmbE2nqjzL8XT56sHtC eNnvoB0L+/vGoE8Nn9C1Jku2yAiQgo2l6QKxdr3h9l6TIeaQd8ROZPspEpfJ5766DZ120o1pN/cY AC3l0tcacLnPytQ8ms45NPt3Gxe1CrZ7UMPk1+KihQjKV3lDiN+ER7rEsLrkji8h+LNXGSPSD+/m WDeZ7MVRIuud4mi9HkSOcgE/CclNXEJP6X6AdRLMeHDcNJPz5uJoGTdbXKB7iYjEBJYQxB9u6zq5 jqM1UbxrZnpuCTeZqSeH3WpOSQ+7kmE2vziOSPnwWqDK/e3KXJ2glkw+dp9Kt+DF6u9Pog3rexWu 3UIGjjWDgdrU4l0jcM1JEspqddlmyru9BYdyZ0lauhGOHltP1I5dT6Ktp0VBXfiWnQuaZje8JYG6 gGH+/7zG0JqmJhHwl5Vbw35f62KZ8RrV/XJtcvmLDANtf1t1xk0o93NmvbKlerfwcZDIAfLgMA5p XV5oDZwpxMMveuQanAMfB1rkmgFHcfzXM5fAzhJQNo6Z/OA/11VA4zYs6zQ40SEJDXjfvaYGhWRv n0CGH/tGOTtOGamligxGJLbMy6Fl28C9RGBaCy3grUSFc/AqzNhjjbmPO0vIRmv4YeCyIk76nhaJ FTssnZpKBunplXhtNs3TQYT8RnEQFCkFUFOIF8QKgLnw3J2N994cvheCxcMjVBkpm6aVmEtWpiz6 cZitWPOC0WTYoxS2YMTb108rct1hyUgFxiAJoEW/4JAtUhvQsFAZkcVOp45yIoRHjDeGMRkkI+g4 7JFicXyojIUj4RnbthXsSPOExCX8HnYpBu3l6ABI1Fe0lrcIZDVs6NaGIOvyEVLR6yBo/Q2YTyuh vP1uJeQi4f8At5Bq37w8KORCvxB/MQAPyY3sf9GasWNU0AxL96/jaJQyMFhuXbS5l9cqL80TKU13 URBB3XoawQrAib0zUfa38mkL8g5rL2vAKI8eOEVUQZYbP73C79pqOjRmCWRseFtyh17+lrNjRGH3 aO8DlnYok1m8bHR8a7qErTUhUN0Ds1Z1VARzTxTZz2HifmMwDQy4LvddonRCV1htlreF+4SJjnVL PY9xLHiqRLUTwS8JDZt6JEytFNq6dJw15v+y1uaC1yZU8n/G+cwrCyou9e6NXUoTmc4Q3k5YzWFN 01tPzV71lTc4t4d4jllYEkW/9O0n7UYmJgG5fIvb0975DNLfJu1tl/4XQZf9NyJCTk/f+7s3bGRh 6EgVz4SZ9licOHzxT4AiGbL2L+FAJcqG6WPVvcbYyjW+WqIlZhTS2lKEESq75YrlvTH9C2g+CdkK 1Q4sNy2V7K/IrYC2b0/RBYOR+OAnohZdbri3AF8otQsuaIbIwwSaKvfI7zXkVi0n4dHAd3ufP0Dc oWOk2nLlgqQB01bRrKGnqqB9QJJViOhvIC3rMxrrSH0jjq1X6LFpPiPEQ77L1oPJYC94zT62m72O ROllxCgaOTVHflPlNXD4yeth5EKGwumE0zF7UszLt8ZNHKuNXsVW0/zkh7UOdWX/pwUK1BpJUXHH IUMJtgqBywZZBVgP0G6bj5AyTJTyiJVDazlCXVDrBlGcGD/lt3pCefpX5IyPU3ZaLfdLHzGblHgD erJTWju2ValOzUIt/U2SysP0SMGoeEs3yO39kfDnQMYMlH1401RL3SWeoZ3sno5305L5ifO8J0OR ILkogAe0ek/Mpv5VPXfHh7PUTBis8iW1rDDRfe+7gQAaC/BPGQX6XhWFh1ifsfVYDjiibFrFf7aY Mph/GIYLvGU3LjVVNGly4myZBWAnRVcTNcNtyjSVDAOz/xXxwWLsCveZOezzZ2Ws8wiaxIX9o8Mn qIMpIUz2XMtEyp6pAABouZmkmHF3qgd45xTfl5NYQWGsD0VIRnxSljIeKH8538ndBd65jjs/uyk3 OxRkAeuos1ExlrmM5YD+eB8KRRYVIB/gmSnpoigmsE4Bwy+BF+agb1Y2atAgB+jWU2k7EV30K11K 7EfMsK72oPgISz3vb/XfS8bcyEx3bcjpf5qTsZC4uk0X5sGm+mCNLq9HW+hKCrQQOUA+ktoPKPlZ +aor1m++zCsH3txsQSiNRUy4m25UJ/T/9Hc+Z2wez/Lqs+B6PSCDgVoCmhu5anqoyPNtMJ7F/rfL pBnRc9Ltvr5HpUMwfyk5oGRTXisRHS8bw9k3Irj7ZVytByiyx6BhSAFST7UkzADZlRxzvm6Qfxvw QLeKYGQoZas9r8ZrdP0hFf/FsgDHAfpAl8Djk/0esVHSbfbXQps3v81i1v53J3vgirdfkA0KFu9/ xgW8kyfLmJ61uBwt59c7IsS2s7WRLcFlnrxAgT+NdOvBIoNAE9AykKfiqLEHM1tUIbo7PD98HNLy DUrhvpa6n++9RCfL28tjfBDpPKI23mBpgN1aRVjDrN8F46HM5OCQivdXyHsjice7Pn+D3TSLm/Hz sk0vb2SWBCqDvG3F4NZ9Ee5BVsxHJGO0eJl5tKNBiE/LJewmCW0op7jTsysb1UDmWtLCo43tP/WR FbSKqFMCXooc1VwY4ZsPBQD7wJdX6qAf/OoxKP/WC7NPKwj5YYNptgBYEh4IW7Qf5oFidax/X/Ng zQvOg6Srrj9wMR8VFoSiTimMye889eeT1GHNmAn2hlsgtTLHkyUL6gejADfAAGEbCzNMwI7m9D5Z /sGEp8PD5Xf6m2NC/KT5oNQqIJT21pw/c+TjZPgbVWbt0hxBkv6kXtlYigK7VdABUYwSQiMcYI0J K84pat/R3+3K5WQfqMV0TerI+nXxjJSpGeVrhrwlf9+NIp+1icbuativgwuZ797cO0CJ8SB7BJgy kqjd+Ejh12qIm+LMrJ7HbR4yh3MJaZHwkHcf8xcHWVVAc3DcG5aSkHPPGyl7lfAx1Ihdv0cCrSNf 9hWSNm8RZZO1AK/RfEA6iY8hiK/muPgK8VKWt8Q+Quk0Jey7Ixm2HmwUPiQ76Pj3rmEhMiVF3oP8 sW6LYie9HGtfogKg7ek2jfwnRfAtC3mypuoagu+9T84y3t2+PJJqOUkrgG3Z7c0XdRrTJRwj/wTd SMTkRPcVfqS8KdqX9s+vBBYftXQsa/jmUp2Ih3e+zcEcsze/Q1a6RKwnjQhb0CG+mtHFRVZgiH70 dPGYGa5tYJN40KfToeyAbCJ2jbbSWc7q/cI4u6BVqWE6Df1WDx9YzbpN0+Gh8z7KlhUvBdLAUovP Q8E2J7chW6b/zCg8IRmaHYrZKcahtJJVo5ESpOlJvICXLWw9TTSi9WUSvgGCMrzlSwsZCpkDwBPl jtRDfwQxIYCoc9w7vxZ/p3FWd+DsbTnto2NJ691rBwIgVid1Ek8YhBJSYhfUFa8ImAS06pA9ogMS AKdGllXzvqmo2NxgnLw52Zx8xkShZ6x1cU4cutz6FX4lYWVVbqBLZesbvNANkuN00ausF7nvKmVG Zm6g5KOut40ycmrewHrg8qT6yRqKdkNvTzO9s5lN1ZMBMMoHa6afCsgwaYc332y0SsR3BZp3/Yvn zxAAG7jJkTexW6SAIMkHSiErbukDWopfGwIVkpCYAX7/rTIDaFzbmfajma8QpUlYw00BpuU0/7N4 DYRiBCTEe8SJl/3Qt30XHi66iDJOQnRQTLvmnGPlRykyfq3W1uuEUwnO5AtxJQXF54mJ2FDQVZmf 1Cv5dozwa+oFniEgdSSK3GE/zzvFuUd12oZio/LN6UBu4OPASOxZJNO3EY7YWR8O+8mew/HGzyTL gPzGeHf7NP0+zq7Q/G5AYOfTK3ZDH3xFZ/zGUm/+F6oTTfDRDRpDec5vwwX1dlQ4YLQK0GILtye8 hhCnibu/H2KMXPvLcKG/94k17VgUpV5utwanmmKJkofX6uSk46zmI3ixFgBAj5X0NKN4SewLKjMy EkR+70LPKyojlQCzU/Ru0LzND6wtO4CgwiqkwCp5g4WZcL+c5/HNEP/3uQR2w3AXYZGAejNxrmps eEsbs2K5I2qp5q/oxiG+zkrg1qRPgTvrFpRQXtJBU3FYwhEe0/HOCGjroAj56PqC0I9/wdIUaNd1 s3Vy1uJQHHU7MhJ49FGE7OVdVDgpm+vcu/svfNArMu8lD/CkTBEqxYjuYk+FmaFJGoTuoy669N5F +qdOWBoYnMk94lnwZmo3pwNudB25hoMw1NMy5aojVWfpo8OFcgUgpa2lFx3rHn1otX+sO4cy58mj RvVsMBOC3yCF9BH0TLtGaJqDnVt6+XpMTnDI22AJ21QXB5ZsBoi1LaXHPZbqW8pCDJMTejg0oZz0 5+pqQVWUs37b8mku0USN2/aj/K22oq3TtguPvGH4E4qVmWy6PYSaZQz+qOkyMzJ2Ux00EfBOSDHY /q6x0TUph4ESqCU6IzozsYulsUIa7GvoCYt5SSWgXrkqt0MhTwRC0BEIzmgVGvzG2aIOcZ5+mo78 Ijawl9K1evWFFenskl8171tAtV+hZuBc2KK3s7fc2D1m1gvhJelfUTMPmJqgHptG0n0UdTmu9LNC yLvNSt9W3zQuLyKOnX4ZgYXeOtI4IcABkriRJybM2wEeFQtE7IQ3olnE7Qp1ygLID03b7im9kynL GhhW5yqgR7Pd604gzQ+EBGeRheOQhVe5ngcI8reUf8LOtBXmnwE8+dIDw2L8gatatlicwHloqgdw /eDnFn7gN6GfntQ4KkCnLAvdG2ufZVqeQUHybVOYOJJccMc/OpjLnPJhmZAZSroOlg3mDGO4/Cgi 0ZMpWoQb6EjzHnQodr0xhKCGoshehEyTv5w44ooyGVjUqSUhcF0LN/nvv2zK0U1nvXjNUYYiY1Bq Gzw3ZvGYrLXXtEKKVLSghIscXPKCxf8aEE7LcSFIZi6awWRiUG0Nj1IzDMyT7tX3TfWnF4oS0CUN vpDLzOzwbMaTKleClA3/gRY4f1Ar7dpaaAdZ6s9Y2p/xUCGcAUprDuZa67444U4lHHTE3V4hcT3Z NejwRV1JrgADrShQQsEAktJ3KL9nIZztp5DLV2iyMxVkZgI4hkstoOBNlJuON7ZqXYhnqVmC3DOU Q+cqiCdnQVbyf6jnIlutTH+d5DKCsg+gb8RoIv9sA3sbm+oyt97Vof79+htgeEAn+056s8lARU2U bcJSstMggBMx9HWNbCM+FrxwhOjHRk/O0NLjCmAvthF7xNBLLu4GAYeFVaE5jrTsm2ArupPJk82h pZju0KFVCc8tXZoKOCNxBu5Q46dkk50gBP3ScdZZvouQRzf1jcTuZqsDcv3XqpNsOCKjYq9XK8e2 HhkKv1D6zGEZ2yplIpHheDMHJT87aLJdlagGXZ9z9fBvSKSvCBbbkmfHwDNiz08XYxdZS3wK2bjf Lg+cd3DO3f6g1dyBv4y/YibHVpDwLCvVl8qw8JFlE0RPpr6buVTI2C5F2LGYU07P5vARdu/zO11b MZxHxfR9u1GindjcoQXoGyT5gCn6sZeBznY7L1Kq3R2+3eOiQ9rHF8TQJCGdO+Ezk10rwOrM5FDR Kpjl7sopSTJMcZVl/numv2NQWd7kPNqlGwJPMiynYLs/gYzWFItdt/yftr7B2JNo4UjZ2JbhzksV PCV2creR6QHCjSahs65g4b8tESXjJD1ldzH3wrHOKmvF34o2SXkTkGOclJQAh/Rwrpb0Salg54ZL rQ5L9Y7IaK1oFLj5YE2N4UCWGHdDJoPGSCdG6sCgpVuIHbvxRWR2z2V8NT0EUgq+oOHoUkIVkPTZ dxKso/xFojNnQCzTPVQ23LxnrfUBventyo3m6eRk4Xb8Ri8bPslFeqoZJEwanUbc/QN7i+vRZjN5 cpaluk5jKlxtVw0Bw0iuHN9ydEmmjFNwPg7PqBpHb4xLy9ZkxgeQlagUZRfTW7fNR+ys2JWF/5Wr O+h6+wI5bgnpC7lGoibC5QZ9GlCTRKFCUvW0nS9bVrwro4J4dyZYOgvVyFwkxtI0CmnPBNS1IlO7 uxOX5mVwNbXCCUIXrhTSFjNxRoIPmSxF31WmZawSwhu57U6mCcp3ryd4b2JdgPz7YNKVT9HuG4Yt ZvY68Z7noD2UGkbXsT0CaoXsk+dLx/nc5lokTMNd/a94r18bNwjoeF5JhRJOUTTmDG99JGeygGE8 7gLUeUp5QGlBXyBt3THi/WIhWWFI5rQBuYsUEEPjqZSKnmTakvdnvnDsBoWwQL5b8jN8+/wragk/ ipXHXirVW3kVU81xjmre92UIOoiHVBkzQLc4xFdPkD3ruvgJMYIVOruDeZspki+WJ4UKKK/S25D9 Td+E4567JrFv0PA1H0ARNuABOopG1M+tkN+Tk4MAdLu0kjSs2ECWqYvj5i7qThdwKc5u5pM0sxbC 15tpRuSt1TCSlf3gpQnNvGTC8LeO21Kz6cwGQnA5fJakbpj/j9DismcfXQgor2wFFE1o+u/02L2z 2DZOrVT8j/tpkg2PbHUFJZOth+m5YBqh0cAr7N/OrwTloM1pi8Ah/qUVbfFvDutqwCVkxb9YsdqJ 4KfnRcm0W1Eo1MpdbB7YihG8wK3St8dgDh6GmIy0AuUoOHlRZFOx2wBM/6nThs+moHGmxrXY1Wh5 Jt+nsagdiwBozkOW5Jai5IKsML/ihL2QLnKCQrU8qUzAUY/vbv7xHLqoJMilll6p9qhoElB2XPgM M8DeploBRcTzUbwG6HfPneiwTXrJsTl0vFIIqR8/fy9dZ3KO4V1GjluFZJ5m/ctnDE94jNKxSRYS /vGZWJuUHhX+6MkF/gV6WRqko9y7FkSTG1EZkZ/UqahDC1T5+wZD//vXmQwx4nhHabqjODkicStA TQC/JTUttbqLFV/LATqmr55reqLm+hTLi1Qj4CB115XidaN32TSP9SOv3V3XBKoq/82TPlmWvvy+ 9E74BUjqawz5bQnJ6BH6nmwE2AF+NfK82vQRmN6PJpPMT8rwewt1krh5WS9xGwP1+Uy5i5FhiVhp RnVrJJKnlGEXwl/fJIf7ESuPDa+sJytxzWfy/GT0xHDGAMLltr8994D9CrEiMAd2w1ZRMwZYm+rP aIOXc+Om9fEMHQ6KY0EyRbASyBgIyX5vP6sTUWjvhztrPnkK54OfrMocLK0FDLyc1RDTGKQ/jncW Tvws3cqNxXnMApxxaJMLX3PVJHs+rdxBPqJawNrtVSa61d+9DGY0zwTM2Qeb1pUWtsCZ1VpRvdD6 +Qvyewnyb+EDXFvQBOXEzj3JKY7DNuIFA2favVEdRPqoBQrCId7OqR4HO/TF5jVpGUeDrsXnhY+m v0afUav1YGxy6R5E3BwHj8ee02X2m2KmGz17K09xkQYb+z+8jIZvwjyH4Dy+0/90XrhfIc8nUvYc ZLyhSSMqKZVE0xUuu+wsfHxk/l7Mtbf/IAdHj7p1oti6SVnMsqGdlVbhwz4222ZQ/MCV3XA/01yl dkcUYRA2Q2vnf0OeVkKgKbla9Yac12OlKFKFa3MceG0p5Jto5AjJiYQUx797pLM5svS2GN/+X/SL 5DEJlrZce8gMQpmwdU8JGdWWHJrf3fWSQQBgiIYdhpLEOswQnfQl8fLEFamcooTaPIScaALb43F6 ZriVAC8TGCSEk/zaJkA9lxTBjMYuTRYrB0reDa/cnP2mNphmj5K1vpcsS5R5140r4WlCqzY/dBmM Y9tIKU6g6VL/Z+ZDxkw7edCrcbPJ35okse/Hh7Yx2IJ/pmq/f1ZVEuxWQYOOuw4/McPcBFhY7kTF xed/I2CavOV4MqUuZ4Io9yNrbN7BscwS4wG2whxq9xuOFaNezLApXaKENZGeEVQ8PsRwWHErNwf+ SuFr+tkXNsfmt2x2jBos5c2u2X2QbgX9K2kOT1Nh6sh5zvhWMxbSYQAiR2oFAO1LRFJpQJlRL/br U5EXPWzgSUsu+kFjGX5C95COsuTq8HG4eWKXrZzt5mIB0T5pLs8NFzZkBQgng/z5gHaCPs2szopE xIaAekqzawjSFX3/uuyqeIfm+7/0ont2Sy86v/xyLXRf+Wt+3yZvTle0b+/yKsPAADSEmdNlVBDF H8DQyigzqCH1u5JjLGrvZhyYDV5op4n8mcciprqH1Z8j9bOZfw/Yt580cqmHVhSBDFEeNgGHl14w GdLH289HfF8pC9P3Xzil6J+GyTj6eqJRDq2RwjWdqglk05umnvWegAu+NSjprMktmYcrWFKOxtW+ 30wmT/i2qRd3y6cf8OVVh84QWDdkdejHjRXvP9EWZjJBIFbCTusMC6md+uRCaCkLYjD8nfyv4WfS aMbqGXIv1eI/tycXp2fVRxDOTCQJRsf3kDI31NDDYSMlj9XCJ61PuResmZq36s2t5rn9mGy5zHrI Xm1XyLpkv/L7W18j5XC90DPWWE7tOEHPjzit5aS+mrW2AUfWObo1PMwSKN3ZAA254Npd0KCoVvjk d3HCzcDbUW2EmM4bLEJLU+CfeC6KXXc1Jvx9OUw1x2sIi5vGYiW7TYdGUWFpFB9zSZCzMPIfH+jz PncufGRg3LR4iaKumc0gjAzrTCAsdAuzKV6D0lomAc2Q7Zta6KpfcC1z1YGN7bSZxQydbNENKS6X 4sQzJIjLAWdxjWWNooV+/j1EtsNW+I/76o2pMGAm0YdSX91NETmI891e6PyPt3Wq7bVHAptSUwvt aaFPvLKsbcnaH/oiybcuANkKpK/JX4ENRna3D3R42sv/L97poknW9/5nqAddzAmXfkreQT90gija wwAQvQvHkZI7EKgAk/0vdB5E+hrnNBcplydx+5E2FJX2r3Ed7rBGRlyA3um4bqJ3vipHF8pZvPVW 8KHUgZH44YMUfFa7Yc8R5GfNd/wER9cWtP9S8wvOfWOEHwUfokPzvfp1H24x2EK41O0JTPfjsmQ+ 5ComRvrYERr3YqRgwMOHK7mUIJBvx4Opyo5Z5K6afb3ZUeIppGz4I0ZoEbnjOfFxvE7Jyr1lVYwZ 1UI5Y776v6vc8ylzZU4pHjEBaDagoxMhvpEr7RRxhbLZ8asHO8cOEeB6XlxLQ4ur+ekauRl7UbXm HM4ta9tBuHENDr1cyP6HSiUm+Ddc+uPSPdmZ5P6M5vIchizKd5gk9FFPjVeJujXsbvsbDUcit30t ePoBT7MXHvR0riIjmBDrr+Drcp6veIqDefFVLLyGPSwbusEay86ajNpQHMDbFIogn9VWM+QgxQ0R Js+sEu7wjcy5BPUPa50LmgKpSlg2yi/I8zRf3Y8RyGxKW64DbiNl0NMeKEvz5jD28ITGneSEX+NT hkxAMLkJ8A8I7IeqRrOsVy2AEpn8DtY7QzJEmHqIbeZoyA4EqGNt10XMnxFm2ugDSd8RKr8LmocK Jm68abYX0Q5FTL4ldw7UazQqmBFCrKIAnuTt5McJBIlzEDxh6UajPBMcg5hnOUI6xzX9L1YIFtnn k0dTwYkVrwlEXwtNh0kUrfBccxlLRc5mB3axRpqr7Go53tq1CsGhY+umOAy/4xowAaryGY5pERP5 WwBs1poar5PHG67jTP57K9GGmalyinvSvGhCuRwy8sayOZix0wJ3LYMqOw+nqqkHoQPVekeQpgBa 6k905evqNPcx8M9W0ASzqXLIckb3SX2pL5Ec1938AkveahyDJzPAvAVv/dty8IisoQxMOxrqJML9 LZONwZ+1jXvwsc64utZukTODhBJSiwRyq3Nv5S7I7T///tPjqIam58i5+xHZEiHxviAa8TTWVhiv tFcRZe51xtgbkiIo3QHLRoY9czpAvueFHbRv5iMbxLDUJaSoYuXXkU9CQF/mzAeCJn7uTsyVwxmy GkIuWqfx4sjAMgKto9bOfHO5jfzZDFjyrECMRane5KzaKWvMswy3urlCJP8SkEEWTbwsoeGw5/Hr 10YjGMJOZFfFjTR8+8+Lp3vCd5GXNXG1QQOWJBh3uILI7ZqDH1IT+R0/TUDjYhw+uTJt9NOskjiN oauHV427IEh7DYej79vcP8R6gmxeHBBtzL1iq2slLrcsA1NNFQSUTiX3ajngOhDoX0zyP7ZuFpcM LTpY0WslFUJOyukFkgKMnsR3Xb73abRee7kiM0sOOLYG48+b0ap3uSdUuvySYjrTJJeNmPsyttJj PpnzfhkonAWozyopWWrkdAont08gCit9a0UWJ2dFhnIc3Kp85YTJk3D8xXG8g4ycSYm/1AG7/E0q f0l5D9DHPZO34Iw1rgCH7By6ylMb4E4pMb9Ldz8aublvIsulWEfMjUSxMm9XZLnkOqeFbLD3UjO1 IiYEGg6y/xNB+bUHJAjFY0Bi2y6UU5gPqTa6wFWm+sMmKRax+ZhEYaZOTlwGb+DemzZlVeKYi9Dt x6tCPu8+w4GjkWIoedZJcrLDeDuUKLThGo5uJW9FNAsfP2XU75yWBo/TGhy0YKIgKcmDNtwPJSeO uKmAKmG0YiXviLEw+89fdLsQR8jZluL9jktsjo9klGfPC+ybTQSVv4Sv0pKZwexusONWzy0IWH7b Sct5kXCqbD6yghgJ4XUrX00ufIXAXf6/DY/zQjYYKZO9uz0BjlLli0xqFsTB2QYqHCXS0pbVPq+a PWwmEdccSstLejx1W8ECsjn4W/va1ewux6qYLCcFJ6HJ8fC+BEmgtIa7bQi4T0b7SzanOc2q4qWV OVI11rLd2xsKxNO6JnYOKItA3ECXuf6Mm5uxxyYMRwTPISB4SHEhFVMgrBh/Jkl9l453XlM2c4Cr dtygJU9fN6y1M30GgOzoQFrOW6uUmZjKAlnc22Uqb8xOqatjJWbZKYE2ypPMu/8+Ttdysi3kEUrz 8tzWKjG4Sd86rN6nscw8ljHqx5yZyNDtdJbtWykia/YxVYLh4XJ4L0PecZc+enBOwoITkHow7Cdm CgmzM8QmUVNf6Rat8IMGdxlaeLn9vSVzg+fpxJLtnt24Fa0Oo0U7XZ74khMf4VwH2JndiEOMNS/3 k5GEF1aQvbSPoMPaAMSpr6l1KGRQqtegXyiZ6XIpUWxypdTervJJD7ITXFNQLjBoraEc5VKqQcDo +S2fhFdoKIpK3jalM1rJpUAWtbctc06ezFmyBiUaI29/WMe8RJriGCCKWj3E8GRubnlVDuTOs05q vzULyWClZ7rzrqfeayfLdRyRs6xbz4VxpvMYdDWdXYxAaY5e6xLfSEQwZZYapD+69nU7EkjLdiVU J/+dsPn1BNMTDbZn/K2LoR6XDCChA0p5oPvqxUmQAVhQq9/1zA3bJczVsqotfzmEmwGxeCTqmse0 OjCMbxEAUH5Ue4f/JlV0y6Sul/s0FtAjpinnoiuPgrUS5AxkQYybN9YjV+Uj+ZryWngIBL/UJQa/ sYSTiSvmhoiSL90uBEIdVBxXg5sCznfFw8DtUjeIVagLDBAOXo3JxJ20zn6zGjGHmPPATQP+hqf0 BW1d+JOiMgJCgc0v7WH3yIQI9gdK/N9gV3RTt2abRZNSeE6I5fru/6kII3etHlRaklhhgLc9Rct/ wdctVKPtL9m9tehSTKVe5zuiwrUQ5Nlf4y23oi6NZLujirHSDUOEUq0Plwkv7R7sEle/V4RIQhpF 4GPzouqJg9wLzWtejsv0Ffyh0evbjU06l7x00q2lbUqfxGDpLgIyzU58kCLZOXUDAxNYsV9YTkQf yjVZJQHz/8AZoVNLWro/vMbly44dJHrUrbtmTYKha66Puant6E+9iD1ST+sY4N8uThFkL4IvpgLK PQ4GN9RKLBlfBs6+IZev9FIHERUJ4e4lCBmalB3ofPQXx8i06JGjS129zLQzgYdq9KtvTV1s+mng eHKjkbtcjk5uL/7HS6cz1SxorH4XxGu9IevM3BJX74S48AKtyHwJmHN2GnB+f22ffVHh1QIV9t2q YjUtLHCqVkRLTFctWCBmAaPWVqY/jOmAn+Rm7x6f5hrWly0S6rIbHnaWVp8wto9ge2e87Nzis9Jb VVm/jARigS9J4o+fklIYjQ1BqMV3br4W1FYAc2vyAZINEFCxCvdsc306IBzRX328BGNHvT643hEl Q+boWT3zUubvJ1b6sLJeqhHKwjPczuOaHdmPl1IrP2zSyMHbBN94T3pnTS+P6YmCE3nMKesbKnUz fBVJmoPfCdJxTACa45Cf9bUiLFzaa0LKs1g4zG2pnnvWnsUKnhj/BpUwKImkSlZxxSsTob6mtkGH hLvWlWqnvgszlQiPnBxzD3sf3njG6cmTXgitTjXK/RcFtMbH7u8edWonxv93Js+UVhSXEzP0s3kx Vy9smwb1Xy1vdeZb4uMTOdivmbby8jG7wtXhsfoNxH82rTVcCIHEvZfv/EgJoXsVFPS0/SewHE2h 4HyKDkXBIgxVPHiXrC54QJjMcMl2/BZp495+h+sm//07TQthDEaNMtL4/bPvvhUQjEf3LaXeQpw8 BIyhnzWUMOXvQ4ZXjHnJAgU5Cv+vVxy1iKHiqHZsD5RpsfDrK9Qf9ty+DPYMBHAtQQHq2d2pqgoS Ms6QsPFr1GLoxQHbRBpm9Ux7Aq+FcS/zuCTkry0PDLldbfatOGm+eDUFPKKtYj6W7xFlmiq+JYMM y4HcUE480tx7Xe1towEDiBywpf6kkmph9PGzdGl1jemCAi/SBCMRnJ338MU6CyrTAgmlc4dwQFUv s8mn5yWtGmZznJQ7HZWjLTpvTlRhEi1g1esjxNH3sqB+YsJdwyhXy2t4KwliRMuNRKPtQERsGy/f za2/7uqP+dbjV4seAQezbW9EYN8/fjTcI0DzhG4lzlHgyU5s/5DNTskt31BAF+FE4GGTwuClx0sQ mfbwyGCNm2p7GhC2JjFuHD5k792+EzBMfbN4uiLCuW6Tg2BN8OpuyQx/lGCqxzstgdJdAbMomtqG xNKpNQJhq1IZT7ZIgcbYVVRLGyHeep+qM0T8Ckz0Qm2Xm13MDRqMq0ZXgNmU5P44rdDAeSzLHMzN iVBpxCX2qP8DF+m7CeBuw3mduqEnxcXnEyQv12tW20/TGQ9BJTWYltwU3gXGC/IzXkb1fij46v81 amVo1K10jmh9VNmS1La1JuqHrX6j2lzBMhn15Npu+7sNpB4kaDGL03Bj9Oxd6S5o1VcLREnmHNXx YG17RGB1PhxLLP46UgGTzBLlJN0q2WgXhYSnY7JnWM8PTyVAjCo38IuXt2NZojdJhHJDk3vYUAxA rIllDELromApNLOCCe/vnLe4wQqDQJtuzvt10m21H7tsyhS+fbfOycVd+erZvqM9zVpNR7x9vH0K +ZFaWHuIBt6Bl78viPalF+qSpmPM4+CH41bSEAfzRPpaAi8172iiYRR4Cfq47pfX7NtkHVWJ5O3m fZs7iFSSV7CmFuZJK3Xi6d84x0X70Lpl/VTn67BycvqWTtEjfgZTc56TFt6dkOjsou0yb4fhTXV0 SyOVWPOTGa4pl34i6HFHfmL2/Ta2lN1C38IHXAjYeLfODvRScTLfJb24v6K3rHVo1HonqDlkRgXb omcqRDUd4OqMiNeMVlkGboMf/6yLdTiPs4DRLXaxv3aB5hEpBtilX/JoZzAloSCQeb+q4XiMlfPw HZ6Bn55D0QJ+ggacT6t8X9xT5cr7D0jvm3JBB8hwtij4ydroDfBDc+uNwhNN+FaVk8sTjQSCBH18 yCkLjyohNn6EyNroygIy5eYxnayIAd1SrzkgKjuuw3QRbsntbNgzZLEculNEEAQfXfp6Da5wI5Oo VOGnrFhyO9940bURg6alrVMSGGH0uFKCbrtXpEnLWningPzKq5MFepmf2eWbNBdSHJszT+Vd2ELp iLqJklZBaX/cb4QLAfw5xt/y1s9+uMk+SNljlxwGpk1zsr2KpumCSE+aqizB/tLL9SYjy8ZGjvnn PuJPIJAlUDJBDGuCiYgRshzqIxwUAo+gUqL4/SDt66T6K3/jXXBOTH2WcopLI9w4VVi71nwjTWaz RYgOay+qvupDn4SmjkMDv/Lz6KZ6cK7s6n+ky7X1sAD90hh0uh088MFq/YC2AUKTbphc7zWEfc7F m/DKvIbwC91glq07FWtUI2vdcAV4AIZ1uUZfUiloOZxjcKjIdyr/M1ovJDSXkD1Ol7mEH9qgEzh7 qcuwJ+X/JrskAhxMOOOYLaaoCLbQVKWv0BOIQxl1QR06MMUiw6FbRdMWanKVG9cdqAzO0f7nrVSh Q8ro7sj3qFCoQ8c50JIB+YM/N3NS9LBE50PprFNUhynQL6u/7FM+HftBIyLzmOVJXCYg+fA7nJ7y ZYYECxukKCMECcAC2hoNN25b8t0x9JhChen6LUrFllPEaG0svpIVGXwRDKUcqwcfckHEpB94vdo4 w09yJQjyn8ELYRGR9N0kidgDTxyInswAjNa2123Hflc5Ul1Dct0r8wMFB4TOipIzA+XxzyLoXtRb d4r3oeSBpLpwp38bRPdJm0DYXWkoaK4uritTlKVKN9AuPDP1OBAIJnaYS0Ot4vSsAspbTT04RHSZ XEZw/WgcDqiW4dV+3xWVB6/kIDNiXD0njLI/SbRUa4QvLqTwfsXS65bnAS/07LLhPub7KVn+ZR0F GVkLy36+mYx+eyGJ920Vc7pEznOtGYA5vOqc9ZihdBS/ouT86rb+6xBsQLY1frmTv60mJZWP67LG OMvxPVdT0hbb/aHS1ZsLId1301nbk4mP08SAfAljG1Y3jB7fj6wivh9xczn7X1JjNw9/qeeIb4Qo A4E/oR1MQzNmtaMyzQacaYOHShtnR8x3s90PsA56BiuBsec/oxEvLC9q5mOYfCOhxgsKMVqx7RPX EF80ZmvcXmHwzfjWDbVj5YdGM0FJVdhO84vPf+9gv4TKwXEvuBcVOOljhEnU72V6AwBmpNbBLr+j g8ntMnlxMKd46loQfCUvZKQa4I3bOYUB+G7WxUxIFsHcSrOCCZdSUcEnDvj0qPEXrfkH0oYbOuZY yiBlASbnVXn54bnpBrQSPFAlidlIkC6sK4mv0F+cNcIIJdPEyenKoLVAuVIYHkDt+g5B0f9AKq3L IguYPyA2vX2edfZAbeDjX2BkvC8iXm9XcVTctkmxsGSBB9YiPubvsUh7Iw5OUCz06haqBs+m6/A0 Wd7KFzqn3sEE3WPpcnpQNKwvO9xCoTCjVBLSNXalkeOI22wc978uqL/LlvlhX/GBLXbj3TfoAEVX 6EkG1g0/M8Ny/jV1lO+CubtYhmxHoUW5tsRwTcaSZ+Ym11z9o2sOP/4UhJOpW0xvnchy//mxXfrz nn2xE1o9v0ulPb9UIYRiQEL+7ZWIRJui+TtvrPVWTZ8Glms3Ia/TnyZ5v+UPhtcEcGUF7wqbMSHf 3KG8eZnOcd+RTUZR7+MON6677TxUhfhgoBQ1OPW5FRbn/Rmebz8+kUs02zjSZDbSwTnLze9cvpJT pgPRsP/rzUsxCgCz/mLwYFb5pdactJbfkqlXEu/5dPDBZ/1WBjZXjDRhi4YextunVzggsxzF0Wu4 D9hASRVfaM+bbyFO6/xVH/JlDOFvIHTaf5m16gUr4RvPpkENfzsVp/im/P9NAdi5UwQ1ZMaeb+Ii 0LKC5/jQdpErlUrei08NNg49eeW8+5qNFKL3n9WUT3LSgVXGXBY2MeAGdXmu5Ox4l9CoeNq7NE0p rIN2J6mSc1e4e0/GoQeXccJ21nKGXl1XNIXJniwb9yofpxtfM4QvUK8c1u/WRB8Smn4fOkzqEy/k EEz/8dOtD33Zoz7vutsisRLhVkZEG7xXJBn+xXJ+zOP9Vo/IXnl44DVRxIhOfkMoBVCWMADplI3T nYMsDppOLfWU3mkQGERseA32eGums7y+CLMlUTnA8MQzS8xUJdyloKcsY57uQJJ2gJIv3GqBqIdT 5q6/PlYMGtnda63IUjeKyGwdzwuod+eoIZNwpK8+4fnDvmhpmSu+zN5bXHAnrtOa87/fxt35GeiD J+V3ubIGFD3eBe2so2k0ZHjbZpZfVnqwdM7pjAJfwDYAScKNRtyalp8LV/kZYfAVImAFZP7DPzAw lNH3kEHFI4V5R9OmNDN3T9St/q1QtVY+Rg9wlvZTJPB4A3QA8r9SfVB6okOolzepyTCcRjvAeeAs SzfTRRhdppapxolyQe50dUir7RmMw8l6/ImksdoGvLEY4mJBPANQDPIjXBNl/Uoc8vJAPmjYfbcz gaUt1tpq6I8jTmguuvQvbYfVPAsXaSLWeOyEZr1q7tqMqZ7+MX++Wemxv1ZSunyUhX0nHGf2TfzK vM9RTK8srda3zjKqHS1nlbjNTzANH3ZAyYKbHSGwzu8B8+HgSdiVG7pMMP2E4KRqmX7Qp4AgL5Dm Eqx/9pANRIOcmHMyC93NKonuupHepQ8Nx59XPbtCdoAeS/eKxhFavraIhxiJAI6JOmlpFj0Y/FB8 cGlaepwTmqnKkDiUbueiNyMeUXY5dfkqRwBVuyJfihJ9WkMIgy/g0zPQ7ONmLPsCfh+y2gIcgIQX offKjkJYQxFxOMOfjWam9Edxsr5/BuNU5y8XMeygBbkE6cZPv4yJDuVjDtaSp+Ggc4HnY+OQkESD Ne8/Wp6Kig3xWcITNtRS2ifttproxM4YcpyLSiUVOeHqg5RoJlBsCc5yvpBQFSdth3LRmhL8DKw1 MqsivKj8/x6adMkVfrRzl+1IJC/c9rWWk79OTW0sZ60A1FiGjxoOvbP29ZZDkRCgDGlxJvG/2esq RBQAormlkfTTGZYWL7kJFfen9XO1nxjYA83nwI30zt0d3OXyf9LgtP5cUyWxfb4QuTCh7ZMDEwUc 6et+Uhcsa6GPZKojGV3pmytCg5BmnlM3RoCUS+pkkEt18uQREySdOLvvIG30QIfMr+flPLr9MmvC lYJxIQ5yAgfRCcq38oTZwRGLFex+EuaBvQW+K/dJkB9IPCpKuWE1LFLr9ksT91rxd/HDN/XvFAA9 owOmVSst+e0xW0WiEPWgOkOzzxQ8y8+8dWFlV6wzTkWj6+99c2LpM3LBlzyHSYDy5Ubn81lxTGBa WCsiKG1RgHLwZfisEZ9L0JbIyPsgwQ19vARuWemfqg9Hm1j2+yflbnXAuf4zRSKZZXb9SQAfYQ9Y lZ0e61NZDyuoz9Zrfi7zKV9Sp8bGcAafHC4HGOGzEaWQ9ZYoyR8/zsCFprEudvgryWpnTCH1upRW YgX7mz4W/WYHUW3TM2NP4977wsbAJ2G2NO7dXtCj8cNn6immYq76+fpMPq53DKLkRnt/9CAfOsle E8vGXejraZy7UWY6yuQ90XWjif1zuhmeb7NPx2nKyROdToga9cLfmTViieNuzSzTqywNM9PN37tc 6lNJaiqbIl01dyKUs5s18ge3PcMtOupy1+QcYI4Rbah41G2onY6h0ixXsUqVIJLo1SnhLJgSpDD2 EwyXZAX2g0MwfGGaDdbAIX/Lcfw/5H+smmKoTVSdiNIR0DV+68MbA1JnIgkU8p7R1p/JYVedNdeE Yp+Xo0LaEyNP22J8152SSqJteeBPoOp1gIeDiFHoRT7vSqJ+ALU1zPAmFwhJwespSJqwnpkIqgCv 6MFRITD0/vC2p7uhjwZlXkUKNuqZwlFfTh/xXNBDXaew6/9l1vtlz9w3Z6Ubzubp82CSNpoAgcye dnuzq2Zc/sME7L0jvy7dbX9XUe+HINugiyo4ejI7ZJnBlfVyWy+XgM8Rw/LiP0bok9HrI+NdnXP4 08cKYMoGkgum45AO0xktRF6cWuFKal/YoFx+csBF+sgiy6kyqNAYP4Sio4QspCbubnfVub6RRYW1 m3CG3twdx4Lr+ymqS5AhfGRVGWq+xqZOxpIDTcsTk3axeYkSXJyXmugnQJX21WjYadL1qsjO3khg b813CyHiqVpuveCfLkLFVlXU3FemmwpVOLBPSA4Didmxp9oY+mGNMHYfRE9xesHZ2TgcEQoeQXnX ZgXuaZRqi8r8vwYEYs5zIYzN3KEvJK5cSE1N/xdDWwlxnsqMszl6EcnYanuNwTMFz5PUwkbDwzf1 CcHQfoOj8E8xbQy/HJaTTV44Mlr5CK5DwROfycpWmeGSbAGinTd45iPJ2Gyx0GGpT9E8Ijo2vTNN all2GUThCJ8071mexDiWcPhQFIGtXyFTrzmKkKYn7bFbpqYX4uGTsYoT9l13EOLSHg1ms+J4Y/Wq 325ogQGNSP25Ds96W/0pJtJHJKzw+0u9/mrCOHqA6a3CQA7dLE6HraIS9OUvMLpABO82qwFzrj1Z ycbFFMEDshyOWHdFbL/Th58jALiZiYH1OEFX1rIULluunkE8uh8h8KzvS6+F/ft7VE5KfhtIF34q E1c4jMO5tzPA07jSBjFULPsBO/fwF2DZbgD61e5Bggw8PdQ1TYnPj0VuodeMgDs2MmgVt/53xN1M yj3ogslsG8l7KbZons054PBzZKrjX5KBjviZ0+QKf4NmVUUCve4QVTdPl5i+CU43H9iXcC1MqQ7V cE3w0i09/j9gGger3ckEOYXnlmg3HWLIdmo3zxEqA4iqBi9FEBW79DcZUk0AaJ6uA6cWQGi/yoL0 3pEOQVaDiKZVH4Pa6uAFyFRB2/OibDDs8ZtAh16IYAQkxXYfqIJ4dMtaXUeBEgMFiGxq8jNWz1um 17Hee9PKEQuEVni5nUHu5MlMST0DXAIJs47ySlnCDrdy4o/DZJiHgA+0cFhWsBLpUTxi6/+U8CEn OALuQAqXnKCYxk4wSm/snJNsNL9lakPEF8NWnfzLO0JvRjd6BLVRMSGd6DwxY2QOyYO0YhAG4+D9 LaQmYKbySTlLc+3EWPn6JZdhFiiiXcljLpFn2UkpY5Sgjk3gzc6nRGPhux54uEeesApSf1GuTLL7 g2Eqy5a2Mx3vtfPUPWtVs4ok6dhZfJ6XLqNCT+TZD1Pk9cZ64CuoWgMEn6atlcTwdOT4V4oePpQl ZC7/GOcGm+UWiQaLxw9jJXaudBamd3BsPOsk6qLX2jso8lhI+SrJnIiE8JjSqZhw9LPjKYbjsR7W 53viP1zjvdWAygiTwZcLjnFw62oumawTAmXXFITjSvRH0gITJyupTcqPIbFeK2xNZCX47Q6b4Bxu KSieYYLH5azd0aRvZ8dagDWw1o4CBEB3RadPdh6yEDZUQUAZqjppJdy1urSVpIvvfnT8z3JrIV9s wC/GwBrPbtm5kQf/U3Cao13a14OXjMAfr64pKcmT/ohmymNxWn0oHCh3iUrkIfrxEwa6BJG1+XlA aRi3Ko6J0KghCSmEi3VZ4QXUXC1ZVLfq4xoFFujYhjbub/zmgszfhl4CafQ7aQYjIDjmr8qzv6rZ mswliSEwASz7LxNHvQ/Ym+0z/bRisarwevuCLxO4fPjao+Aoj8N7ehWJtI32mTeVIpPUV3HGBAOb mECSWCn2WJZ5/kQcjCJkj/hMS1rzMP5Hrr+F43eF4PC8E2G2s+jWR9WP8MffukjsidmjE93N5zgY Uz97lvjOd7z+IqiMCVqeMBSf10TBYLm4Ok/dhh5k6lMkjqfDhNrOEdcfoYO1WENBhv4wwylPlNUn aNkjLtfABhcEJ35WXq2ZsrB/fYQwZHGU1/gMbmPQHLo5bjZTzWni50zoY8W417QOgIfcbMzhGFls cyTronY2e+hb6QLU+nzdVfhrylHtEofg32cI6cqOdMxT6bixmrU3haPSMYXW3GMpaRoDVVPhbAqK oM9uyvmnD9F3JElrznhx4QZiOHy2giQyJJ8UN32mshIiUkee9LwG2V0Kr2gl8naZG4jtM7LsLMLq xjK8e+nRf0b2gdLid5Xw4ekzIJ883YKo3ulmSjuE6AceD2Yznpx8lKnbutJ7Gnyxwq6bUqBKFfBB HTiPNRy67b5+FcA5WGWq7GHtzzFHV3RjxA34bcQNEKwNpY2vAYoSLPfO0h6GLo4mlatuQoqApZFF BmUySztR7tUeQowQOV+Y9rfvIKLpkPIIcbcaxqdoDD8ZhDrCp2ETmFlTJOGGTIry/mMjcOyu/r8P rU4jUNtA4uYWA+ted3DEFEvud+kigdHkX897xb/O3SPQVxpiLcceNJCnRujmXLGZkiF4dPhZoFXH /F0ZjSndwVaTg/m7BNufEihrDApcz4X21m45fPNiSeFqHTf/35lSf+sfJrwtPev6l4M9xYJeJ2ua 2ABB3R8Q9DktfXSbv+DM4lZ62tRC/9pOMXh5vqd4TrLC/PSBae+L5L1VGAglEweaDuOjYIePBA7R ar8XHHsvkZH3ZPY6bMrWxe/DWyqVkWohPrDLQJ7mO99nOHPLldyNFHUaO3KlCHaasmn6nDV0SXMK qt0MLN8v2Xm+ecbegZlZFpR1wE1rKOVFqX2PTpDgUsn2+WTETdLvWgp1dI9fpeZPUtc9F5R3duLn fEpH2DAUALVLUB2X/SGPUu+TtLF5OVn/6oA3QfjnftBrn0SZ9me3vFc8LNCg4JchsD81T9IzjzaL CSe8/e/CapCPFt9DMw3rEfRCnkxuQXmsRgT/kjr+NHNc9wIFGc2mgNKFmH44k8k/Re5/akmmPz73 1Jyhv7o3aRa7etadjbWcnXU9dfF5xut54dg2PDCra+ynfA/Z99rd+ywSBTszzJSh5aJAmqdKmuy5 CwgRYuBUna7bRxsd4TFDPWB7Kb03q8xBFqtOwAGyCVDnfyBj7kLk+EJor45Ft0xgtqD5aICDsoJo 7U7aOcE2bfY0kAuBenpXp0wVx4s7/BQw9xu3pqCe3yX37vGZCszoPbAcuL9a8ktAvibQqwjW/5Dg Qfb4KN93G3C2nv8hN0sUBl3DVkss3S5M1/y+VtIf/XHqVCz2cPEOcG2phrTBr4kOGpaWT/acuPRq wiN7tuwV5btbktvKyHz/2dXpZOiu2XfiB46knIrU8X6y6m7IC9V7N1QtfZxfxxxb4RZzibzWJomc Gnv/h5G1F8xQsNvBiAwo1IbAwpHCnEq3Hy7eM6k1vZ/C6ktXUkbagc2KtFjC8dC08x+cpSwhLzCE XFflgYv1bWQKHzwaY7+ekmvxTrKYTHGmxIs229cpoOjSwR6hvK82W48s/GB6/ebWi9RA3d7XRdkF 85V0i36CmawWPd1DcjH4qNRmo4gV6ImgBvR+CuqxZ1BmW6SN1sSU6zJjBTXhJM9i/hnf2XRcvZuc dHREbJtPt4+5oQkyKVB7IFPiZtP0XO1qiv6vYNutVd6LZe4rP4/A36qp/6G5sSB5e0B8+MN8Oe19 qoNGH/CBLd3IHvpF0kAovQNWw5WRRNOWigIUFsSQ2nRpOpbbsvCk2MG+pDmrK+ZnsiI0ByhMqTg1 Rw+KYygSImMX//4B4yv6lUWSUjGg+JM6tDUyQJyjMSRJk+NEC9nGxBvbiIOrjkH8b7kIrua6Thdt Qj11h9qv2V+iYiBAzGOKX7eEaK7uKMVpSiokqHDH+N88L8/e09L74LFKgLuNwIOY24UbenhkFWCp hDzelRPTmb6TMRM+gR9jjr++Or9hmFGNdJmIyU3sm44lVFyN3nKcaxQV2+L1MDPszaA3bceISsEl ho18ckxcGZ54C2mGg3AYEPYIC5EQekYy2D8x0Wb3afe9/zMPQPG4IjBj2yxF2JEbZ/xx9/zWodmo sw6gPVfhM7/nqMUJmE1z1HRC5JatX99umapGC3CBODiWsJrEOcu4TzON1/7ZYNHrrA4bR+ogUp+w kVDoC/VP5mZ+0HVN+9bIxT3lhMphbli1elGPth7iVq2p+6mLQcopi7MATSqMscZ2o1ZkNRUuutKH wT3vtFf48SMfDny1rAu6siAnzwItE71IgBKRWpgYd07+oFnF0Tpb4uzKHpvJ+qEqVh6DF+ZgRGLy FU/ccqNES5nO+8dpd8bmN1i+7dBhfgzXuaHxUtJY+brt983x2O00cID4hAV5cKbdotAQdBktNb4f dOJssZ+rYXcPSbXlqKQUSHpQDWbI6CeXMtp6rbjLfORZ6bp4ct4CTAMMz7+LY5Cs6ropixwEhC6w rkpvvmjQkFobepE8iO1eM+YtdIFbwwEXn/EAVykHR5jwS+DPCIaBfJ606nHLZWSvlqXpx3kLalB1 7mA6Y9NLD8KN+RxHQyHYjr9frnKgvA38iEy0XVmIBPkQIhBmAOIDdPAjmKIfiNL9VBalZBGjkqAq nK84BCMCm+NY+eReoh/8DxFqIAoojfMUu4q4q1EYeyKkEC41wORoRI6DS4bjjunRfd5Pl0H3k2A2 DBqypfOvuhcgJ6N+8MmeyonPaYw0j6GXLGOZoRdSY1Fl6I0DZ2eaPrPvP7Ukl3i1V9HCdxBjsbG+ GVgJyK9tdsUA0roC1flXNuwJzyFJNtghDbOmB3wJwBVXvFfJ6qsZGCVM+QCyprh0nri6nFSYtSgJ 1M71sySd/77ORtSma9dOLr1KTOwqwz+aY3qVfph2cTFadrg4Gtexbch51avsmc0iL00oNPux8ocW t9DUxMdBohMqys7TLtVkZXwl8gImJ7NJ/LSnbkmDfT/owBt/r1lpasd4ms7D20oYjPRtxk49h+7n fiSOlLYteY9znyP43ESUtG7Q3vrM6UaGWLjHJp2PY/CYbE+vDGhKf3+EFasJxx6kSthsI2TUa8LT SqVuYfwvqKe+KiR/lNLgU2XZ6YyBUxzLC9bKDiTdDHDNri4faxxttqZC9UfL/puWAwV2JHhN0vzb +r+pcAmXYsvlZS3qZEDc4Bhs0N0FfBX0EE1G8QNERrbM7qDOTM4rsIuhZaLmRGNx/wDsFcE4CUeN 7y2qfOckMBz8XVKvCx7wBn2K918cQFd08QMcHUfYeETPm5clnIlBnyzvQhIX97P7UzoRZC6lr+J0 NSzZeXQl0rWqHtczDzHzurF3/n3fxXJm/15nDNmK5jnjjgRscyWL2hmz39VHnY2kZPJ/KAv0ExZ8 k8Bs9Abno0jKDGJxHTyvMz1n0IsG7XLgma/r+L76JEzIScRRnVaPexWRClK3wMIAkf7YLpesUaIh hXFLT9lkIodZTzoqUWfiJTLXDttLjBgAwB/Tq56wmwsnumPSVR6xTKF4td/9UVLMf+O0pBxRUHkM yl7I82Zd8FdMnbWc9EVtSSVwCV0fvN+l+J9NKXgX0UrMQQ0DgjOxgckkXjpJYYdMGX87HxmLG2fR u0pPEZIJCvKzx12R/+0HdsZSbTJ/YTCll/n/9WP4DWnXM6BQSvEmSNJmeN7FfQQScBLc50fH8WqJ ntcrdPk7heHVbhIG4dfhOyFaqH5HApjooAPOMSIwhwWxM/JMDA5GJXeGRdnCUCSNDRn4ULLr1T6b Cd2kDs8iOmupOYFZIsKjra2igZCUZlWfz2eE1M/FNSPG5DOav6YF5JpZgaHzewbpLtflklbqVFwa nL8lOzkh/MYHjPFJnIEFbZ6EQv71Th6YYqmxqwjszzKRyXb6LOu5HBdt30r/USDYxJxbZj0BTd/j kfpEUmoD8FfORzBZbG1EuKM/3ltbPp1Wr1JwKPXZMestrylLHCfqMSDzY7ykKlisyEVML9gHdFmO 0c0HbBS+FZ4BMX2ficsx4Kbl7EDfmSNYLbpw3YE2mR5Ga0iTiwJFCjUw3t/fvJ2uN5789suNMDis epzQl7aUVGvxFVMdXYTEg9ZC9CcshZtCJ2hmxsLqeiHJMNPorAF8mGagdTyFDO2+eA4TL8PVYwab 6L2rEu5B8b1FI65MdRH+OIt0zmOsLtSHX78DFE3bwlJouCM2he382ZrlG+yV6ZGSqz1gj4SzDuLX 0lHxEdqiRfTfHvT4/L45sOprd5wL0Yl+GN4426lwUlSoktAB4APFiJwSRUbgNUFGWe5dvEy4WpLk HV3FA7s8LN5cZbx/svO5U3iEOOXB4TtGtbT9UL+QkwuoqiQYrkKmDo10D9ricyjzbKiEa9j698/x BCVzxq0OqpEPgBY0OGpaaNp+O5J+I5c2+PLUl/KzFYuTZTmAf17guuRinpTK13ni2ESx21R5fpKs +nlsorRtCog3dr451H/fACUR7Rk2xm/wHvbaOz4uK72gCaxWezO3UCpl6JAG6ycLsBX/UaHMqPAw AW0LPt/5o6zOIxj358UL6j6btkqycUpcAoiGYGFXTT8kxjyWjBuBBeirMxOUypqJl8KIO8TEZoSY ozaNc5u2gHjNGTwGy425b/79d5LiPb3tLixE34AyuR8dfBCLzwfFjdaoajtWwHDukPDAuLUxWwvc CoktXJuRdklDdcVZEjn9f6gP8hIJgXpU6Jv89A7xQvY/3ndG/ofo15wOCf1GaAVlZZzYeOJExpr+ Ksm4s3zkJyygG1hthMB3a1gjUAjUwKcIW3xtZ8mvAyZKzcMebnmvu34te/o2k9Jz58wbKIAaatH+ QsB/PYV/DkF6gg92d3/LIcfxOsAlMYWErNuX/PbMNYA51JD9YEZvadHih6DEBuR088LQH4nkKH89 C1QKBuZWnj6jc/ueQsv5RAmtDKAq+SVa9mv2x+KDV1LU+xPSn4nlrRCTIt1X+31NTnfZoKoIS2c0 8irrSgoeawfEQ7wMSmYYZvknnRuz7UIhN90/PK5wnwGiZcdhpBxoa5mwvLyBVGnB8Sr+2p3ZGsII f1a8nYxrFrckMkVMsGK6zQPN4abA/gz/SwsIjxKH33FhhODJXBLt3MLCTgUpG8piyPz91NM6TS7O Fj+Qem5r4+nNucbeu880rnimQpbzE+DQ8k3So+DW66MFp90bNd3n5+2Psc2CxwZM1eFIKlwr+FBn oUISmaoHj96hsSzwejqxdyInJlL+NJoz8ZyOizpdNXGYZi4dsiNxw3I/F8hgMEYe6+wvcTVE7Xz7 oCnWptq0dacDkrb4qM5Or5rq8Xne8n8SYRgrkYg3MMSaF35FXtsiLP5H8E+P4w2I1zM7pf5jfo8T YtWfZMIM7THjmAEey3DLjJvgGlJS0MQ9eTqBTco2Ey5qfaqSsCt4L6B/Xa5fK9GrDSW+8b1kOb6n MBpRCjz4YKYqWaYs+lG0vVVAwlLC84jBOLOBUAxEasR+DH+o8uuhZeiEnhLuG8d26zSnKzG5/cDx uw4Gn8uJL358wofZBQXxxTvd7f5A2izrdRH3H/KSuoeJMgtb/FqFN/+ePWWLj4xoaDk2QaB7esSg FsCoXvAcCPRmoHbz0AmxgP7R9POCh1rel2bhw3tQErWjySxaK/ZxOCPuyS4jMxEGvl+vB1Ef91fv yP4fPuHeWy/xKhMrvEWmA9zNEtI5eaOFXgG3tnb48TNUiDo91G+lppSX+i15JV4IUo+QGLkhAa/i Ap7U7J1smKrFStyg3pqG6H0ewwp/y5FuO0zHuhaIt81QNPl9nTul7qT5MPQkIHzcUH+vMIUMIljH 8s5jGZ1LjqbcUne5S1IPWQK43C8Lygt0SuTRnevrzHreVPXndHkuqVU0fap0g7Y6UOM0ovJRAW8l g6j0t6XmV0qE4RfrSRB93k+2GHhuKpxepSDPDz2b2F+DmZS9qnZ2+Azl6Lkzd4vhgCSS8gIMMefF KsHfItiA1Y7C9FxO1Kcb50/bsC+bSlCyUoYXIQ2iR4ngxyAmdINOBX1q0kcH9zAj/f/l5g6AybBu Od6n/oB2ZSFqVl8GjvwRaOWv1alrs+0b6Bwmqx4KX7UT8xg1atWT905LOG7YdYJ6BdCzJfj3M2Xl DMNXbncDwDHW6WXcUdcHGsje7Bz4IRRQArmd2M9QUoWr6rEFEhPsg7ZtPoVATdLgRk3OmUCoY+6e bSgKGyXYuOw0/r1LTNKYXKIIJFYQMSE3z7FT4grDJID+ICTk7QbI3wftskRGn9qUq55z/M4FLZdo nbAefnIe159LHFmlUw9jZm4pz25/ZUXTnc1Px900hA53wBuP7E8BY5/uaedwlqvzN0nc0jyq+XWC Xku0T/rCigGQa4GYPXLZrN41qpcFm0AvumxDLushERrTHfcKrlRmqoNbvAA3tsQjxPoWquPZ5LrT B6+vN9Hmsw6Rl8ieSQjd4q5wxXMprJKedIiKxbGneHgnVfzKT95AZ/UY7e3da9Oes++MJXJulZdh BGJZZq2OMZE7D6mNnN2qNi3KvwwSQGNOl79FhI2t/N+0dCKtphgcM8yAI9m042QBmIhYVA0Yd0EZ R4c2A+hNSqQ/LDPEWmWDmt3nJGgIO119v5HC0mnOkLxdFCYOqN72GBQV6LvzYZ9boyjNBtq9/c4e RXDyXstp2hfN9mLudzk0xw5T2AyR3XCDc55fpaQSP2jOsetcSkeLWRlMTd2egh5njTho9DKMXMDn l5LTPFOsAwt102pkvMo767BgBGIglbK7Lq3Rgj9cdnyLrSmXldQe32aWMDth+qJRa37WKP51lUQe FlQbgIpt+0a104bhn7hpXQ2gHXWYN7I12+CSx/zXvo9bZvWndyWCFl7COFKKl72IywxzU58cFznW Dh1Z+ch7Ho/i1mrh+WjVL45wLXvXPj3O1NUWBOitHnzJRDMwDlFtKqZKXRW+dBy3fapw8382b7QE xFPigbRObBPVIlHeXxXqw9362UiupKhnwtN3PMdj4O2TJa1D7z87hShVxqK/i5GGb3RaePCUlqfY 3tCmniEjCr/DO9C+W6DBcgi6tDn4wtqyxbtXnBmuul/lDUf+VdtM/JILUMdwPSTeMZ51JbFDYee+ a777+YztOBc/wzmqOkKngvdAHuPhyJaZN1H+OpOwCQEIZdAeONF5fnHUrCIR3UJ4fA2zU9BDOiRe 74MX3HqsxfKtTlzmpGrkSab4FXJm1WZZ2oYQ1JXeIcYn5rZWp4pR7sadpTeVybxbfYiqDCu28QZE vOktT4mbbq6CylJWl7ir8FbqGmLH40flikhLZ/ZrZBebVT0Zwzie2EYISJzGffso1wMvGVwJPrmL 72AxS+2nZq35auYxTe5HwNki9bQ19ojeoLdm7prfW0cjKsygocfKLF5eIlt9bwncwRj5QvqCi4n7 ZmRhEHyJ3n+UqJH4CVU5n68O31y1Zv70xv7udXTGES3M3EFYsiF3DWusO1HjfK9ApHmCDC1GnG7n kvreRCRpw6paFVWC8vRwFEbzKmRpseZPFIvYSw3YR27SyImISgUf032i2zwy1/kquykhKNQ1Ql0z eZ35+5gJv1bVwEJRo/H2jU0zHTkP5WA5V/3Pfi1i6O5prRfEeCYhydkiqDhUK+BQy62t+FOqc29d deGRyWrGKOUuILXWI6xoL2DABipXMkdAboOVFU3c7yJcvE2lSyLe8iRnBNTwKc3G4uMITdRdwVad HaBnPwA0RtT/ELYRim/or8/r2Bny44ir2PfVBHKYQRqn8khIGXKweT/tG+TTm00LVpdRc9I6DkkA 8MTJjkcvC/REu5k/o3hJvg55Cqmvgz8kZOmdaHCpJPqjidVBSXm0sJV3S7rLL3kuKwiyoTTCTOi+ ZtA4PQ2TaUNCocc469raGGVJSkx6zVWV/mXWVjxoQPOOLXyIzy8ACbDPMAWbt8K0BYmgEQeBnNg/ MU76fDJ5BNk3PTCEIpcI2GOvUjTpTfB45gZeXPVFT/Putusyh7SmtPk5sYcKuN1u9A52hd64gFI+ LX+p6laKRLAGhlntaHAY0D/J+lRqMUGOIjEjU9RJ5QkYqcHB274DT3jUjWb2Bs4bi1Ui2ziQsHjM 3eQdcF6+Zz4Hrj0nkHMfcF7N/mc3te4rkLcZmdRYK8BVFaix9noEFBgKHP9DZCBqdDY6dT6lyJoY rG0UlVeu3wvLg/dpL7w1juovFp9CyOaMUXC4Lis5n/tgA2hYzskQXXMUmyhy7o+BM957iQgJbl0G dnbrMjl9wFNCAw/OYMe1rS1obpBJA+s9WWWjwF3+4aO4a83wrOkB28I46m/FpAxRrTRsAGMAqEva b8KV4/CI0+yDO73FhQ8IHjXeqZI8fbIylJkItlNqV5eBuyFw3NhDUt2HzbzNTti9mFInQoqQMsmI FbUWUSRC5pqzbqPkjzWhFy0PvMA7fEImfGbdh9Pjn5iJJOy6aRydDSjGfqvrAcS9Q+WcM6KZKzpU 7KD4HQtFwFthpbQcvfbB3LhdIdzMDa0CY5sfMf6b4Qp4HUc9UYzLLUXO0vIvx0LDYf2UXMxus8vm k3s2BCcphrVCfJWSWSfMFUqSE2Ji0oytLRV61CTR45fa4eZdTURYx4rBakmaNCv+LuphlJRZKF0/ YiALs9/ffDmUmukP86Ts99KadmQwJCbfNOmy2V4c5SlMb1JxaXnlGTVAMNeBGdrWzVlLFmXV4zhn uR1tNCgSBL+QjSX0N408HUBa+EcdTbHfPafwfZjOM9EqkZSAg0ONSoEuhjSbc+wn5YXygJ/RKlC/ cpQHICUqcZeB162pXqE6f6c43R3Ij0B3UkGNEgEekmbDek0ZOkTJj6JtK8wQzZpmMh5hHzRpj2EH d/wsqxNTQmg74n92ppBr+X0RDGdj4TihwdnAlLuBrCreKbePtkU7O9iJ9QB6tDVrhudh3xoBxWKC DM2vlqxvDbNC9EGkG2YaG9SvShIVahQp0nkHHRMQMXGjOzYiXYYdX8/+L8tLRFgw8T9F72iWNTIK /UdUXESLpoocTPLz2OtsWN3zEtjFzP511fCCZRAH0zlB8enjsKV6VDcPc6H6s2IuIaNDkV/79Pjr lSecQkan5Pdh4qjnvdOtlOMIAmZP0q+8pGqkpb3+0XzR8YKRGwndDyCnhKZaxY41KZ8Rj7P8CAsr uPN9XmqzcJhMq7qBak5zRnNxR9Irn5XYWzEIm/VxU7JVsMtB71kr0/5HGG49rMl3LWf7tZH6aQOg QL9w7W4XLgMnGCxLaC+72U8iCy10i56xTpJTSciBWYfw7LCPS8CaE38oL/5O7zbgsbLemEVJN0TX SN3sSf3ov3/gC6n96eaUkAofzptXB2e+Ziwx0p22E60Ex4drgjhyUNLyWaZA7Dn8YYba1xRFCCez OhpYJJO2OD5Ixn4BjBWttsvxKLn0K5NIRVZyqKYSMrgwMCJCiJ+t5aoWuH3PT14MAYvMzOt0v9Hs nz4+mvUynfnLwHmW4u0JLwjgK1EycZhF3QvWMltjy2v/aTY5f9SkcXTQfAlwHLenZ+qEha6MCDAC jxKL9Knyk58VpdhF+GtCepeZgCbYcI438vtDgOf0BNK+Emt27/A6ffzJh81uUMQj46592CYMRkrX P3JFIVh8EGJV4O49BEIseaIPkQSXstSwFksamuX7QvUnPDW8KOlnx+PFYCIyUdvbD7lgGXPZGO0q EiN+vqQyA9vAqFWbai16mYv2Kj3xIQkCLeLvBDNdLRLpCSldPok2WPPwKdyQ/5cL16bhlheYl4lK WMvfLgLF/0c3SJ3vFizY8HvJ/gJeTRsVOLpbrvODZCjAVyAfI8SF5KYL32ynOGcOB4JLce3r9xye fXc+WxuBNNnhG7rqAHGvmyApveRnQJh4xIswyiVlkcYlf7Jy6Map7cSTJnOGRO5mlBYZ6wx2WPq/ Xpbr1f1cNmbbOFUhRZkL7upCsq9TqsfYYiTCeRvRwa2RJXI4jHvm/cPv9T5Rfv9zrW8pl1Yrcn8m klFmDncr7omuss9gL5KOTBV4LYdyJcXIqkBAJcG83+P52NqNXcu80dHfkGZENyLbGAdeuT5UDbkQ BdQPCVRk7NIbdfoyRhK4BxohAmCnQIJKLgEPdO7ZElZrs/9PnoJSvYViTCXExy/gsgj2gYGHFQ+0 20dpYZMvfGXu3UV8MA3BppVTBTbxE/C/saZGirovhoBbdYKRNuRdz37nIEGS5uM8fqQMmcRR/0AU HfCiNrnhT6aIfxX+filUxE8cJb2Jd3IFQvDzkGw5epudugswTq+7oC+gkEavPwq5FgFygpQObMuV Bq7RY/+xjQCUj0+g5sdMRfT5iPQ/H+jPbZ0ZKI092PhEYNVPmPt8AdPOl8ms7ELYirk1OV6TUVL+ C9aoQxH3SS8qTqpbQkLOeYFHg8EhYob81Tn/QR8AakA9ItzbPfy0Tm4d4qDqDbxuXzwiAkQt1dzq fWDFPAslNUKkYAEJsKAPdFB0g0dvsZeqYZ1dyoRmj5U1qWavh1pejJ7NMU5P17eYqCiQrCGlyWo4 MLgO1uYbbvgDkxjhH3+7peSfWxtFRdzNhodGCZhJpqR2djSJ3k+oq0qTM1RDBkmCbYYd59uJQ6ge EUVXJnJDb2WyawA7ssnLwtxe+EG2f1m2h3qG29P/StLmuy4Jl4JytWX3cvGasSJojwJouL/khjhe sojAfQKCW991tdQGV9SsZEItX3UCYVwk4Z7SiI5cHvwqtjN3/mkMvws2e+C8YZkPrA6CgcwqFPdn 8MSWnA0VSND32vuAFsGXZ+eXaEhGAWQcZo1WcTaydnbJ2tzP4iRQw+0E+9fbSXsoZb3RP7RjsJA5 4a5Ph6q+mNH93dJnNGsIqKnjb0SySHV9YUcVeWzzjE7Q5MsVLPMcIQP/7AgbZiaLgQYXlcWvMFIi zY77dHFjCmNpli6PRvAV9/WCyI5Hd3zWqnvguRYfSyfR1Rc3KyuisKMXcQ9LHkMCNOYm5nPAz6jV ztaDuRWycoXdQya/T3f3pc1Rr8gAmtAiefRjPI+MphQaWLdkIdwCoeLAIeSwFp1Arhz5TIkIcjM2 z6QAYXY55JmDrR2UpZFX4mUZFLXWPbBGbIanSjib6+i7/XpQ4IgAFtZGcsSKAr5aaW/dDl3U4j8w eUk47bdGUux+M/A= `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block W8BTxozyUsN2F6BjwRpQ42E+TujraEVKRNxlVQMmjMjEwSUb+2s/9r7M9+9lqZchtUOesX9+piND 1wcCUUCy7Q== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block oI/LTdi3eVpOco6HQnYXoyfPCEuo/LfAXbvrO0tV9YGGwVCn2CUNzYl3JN7CQL/xe4pLyAKZQaMj HAa2pW7ncGmkLUidKmMK24fK1s6TXopE8cF7YxREGtgRC7aJOibofX9Ogcrru41CTCbdJgWCFHos suR57vjMoIlgGJQ4W7c= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block bHl/QJUYlA/ScW0xSwRrs5EF1Jk46e66BBLINgQIFTDiS6wQLsM9W8ubvHql8w7h3EDwrvDybQzy bgO51YsncDymBOShwtuoUUI1xKcF4+HxMrP26tcJwdDWr1DOjPZJvhn+yTssqx46K+ZLZY5JJ5kL +JFdyogxAsyJ8pZHJi6KSceHjqKYqpSgRbG60TFe5iewx7soVGPJiYWNbvWKstrZFPmvUZRYceLp JWJp83yJPrfuuIklMGOwXkZaqsHkjQNeeJuVyNH2M5mDpERHSk5ZK0EKbynVWx9OeUJTwN1JK5xk xNdmB9KGoUNCXmPLRfgUmpjGp367VWZGqvrSDg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block nJ45HTbnUaxsP5OcIUbGi+R3kyoohlxuB1IwzMnBuG9f6vydAS5bqyYwD/Axcx17UOHXWIZB/ZZT t1oa80cuA7F0vNHqRo3ONsL4Us1WlC/zQJTR3G9zx4GZ7dbXyb44eoGMOS2vIFErztGt/M1M+09+ rrKNXvcUFD261fFA/nU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block dyvaUtYZ9xilQ/zyjGw7jv/udjaIjl41Kz3OxJOVoVlSEnWNI/m9jMn9f4aHC/GbxsI2xkNakKFQ EGOxvB0qNsnGrES16l4WuuaWrtg360YLYOHvWQRh/iauBb5c/JAN1fb0TQyX+7f/z0CPAg+5L3h/ ubYn0iWaxt8JG+6Y4I8ADgM8N6CzGq/8lJw4/3f6SxioSiORIzpzSiEdLNUAHWBLaigVvMK3vkhH RoB0pQzlaI5PDkpi7SlefyeEcA9L37TBBo4O34g8jrraNDwjdJt3rXgOtZKAYLZoxx4L2OMqQf91 kxAEfmTV81CWBR7YiAWk+slie1cpyqBSlBiEGg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 30848) `protect data_block KV1RooykKxUVVP22XhI6BYdwHEwv1s/Fayg/EvsgOFSdLJ38EL1wvzQij2QAUYLIOzcj+DfnCpBf Uvf5izii8DlunQsARQB5UKzYZLAdyNBLGgl62o8c45tDeFnZBkbu1JNrwJ/J8njeD1u2FTNKpTlA yThrUdrdICtyCr6uziUR/3NGcklzKNnx9xaD6Uuslx3975UmOYdjGvLbUDt4tOle0hHIqFUBnSE9 XHhJyNH2yAMdw1yoQu2rx+pP3MTPsA+4DRzLgv+CCvAEm1+2NsDm3ksPb4X4M6Fnq/tYxmDu/SNL G0FGOkmcVun+ui78cI5HDCKU8sE2GM/IjKEBbMISkGDSZMNUsmRNP8rJDAjEhjdq+mG0nes3eXC0 RVmEAiI/W83fXVJjHFtiADPFaCUV8XOeCvdKwuVA0YFLEXzHqjjWP6xWf22Iqn9zSdWOzrNbV6GK hvgTFQH9ISMKHqG+3NNV73xW1zA/6N60BACUpu4Y3Uf2IsbSBoWeU90EjBV+sJSaA/hsqqdcJ8ki RnqYdBcrJ1k/HRIF8qmD0SAfepKmqHwUzI926opuQ2TIucOOAEOFtDXWANdrTGnwYsYnWOVq/NqY PYlWwC2fEUUc3idjMpEfW7vk74TCXOqk+uqrdXRo76PVE2vvBAJwXdDdPfGI/mNqte0JM8HCyxPG rrvQ1G4KpxwB1kETZlVpqFblDMxXavZJUtx2t1HLTo+lAut0i9jwHXUUbUoCWRSh4wgnUwzIuxIj /4hqspQIRyW4rJg1wgA/6wiuDHZqRON9FJjCgDsfuXBWL99hqVqzTuCdWQ2qcCPq8ZuvBZHMqzru 6XarPp6XTJuYRWP6kgCJ2eY1UUKQA0f2QEz4FoLtCHzTqbgZuou6IHxxoj1hRWcWn0FuhgCibrGT jxn9iOyPra98562L+ZyJoEw7HsCgowRltIWqxKlpiqolJ6w4FnH2MW10nPsraBQ1rSJzZ3PLEPWG pRdRHBicF1jBrNeXQ1nuUUSgcBfHMbHVoXjSjZ4FE089QBTOHl2aYA1heDdDMQUaq2vk8EDpPuR0 CPjHDFlQJ2T5eWlpPeuRFclqzZSFZZBNG2Llh29PoWWisS+FAXuWmKrHe5K7URA06cl+1+dof99n Exl96GgN97/fXCQiy1tRyauxolAVhkO1Ytm9qRWowAJyQCJnITFlrYvG4UwqcLoX6ZqIp9NFPGZU lWG90jNJep1UjtHVf3z6ey4SNtd8TqSPYZx9IQVJYXQk1jD5uzU9X0TO2rQREc2xHviHUS6QSKTW DXc4272oqo8VXw+TmzgQuNVAszCPTDMMhBQ25mw+Lft2iCnzjOvu9zzBwS0awkzs72c2GaKtR+wB X8Fko8xrycHlmo6d6/hzDkUe6H6Hrsil51jp2w6/SU8wHesSgEJfeDzXzeBEyUKz/AkMjjxFkrNv BKffimb4QxomCpbDizFlhbN3/iV1K7+acOCNyICPrpdAF44Z3JmutVLCu0+tZJojAFrMk4c7jei9 LhMDpNrV9BoYtIc2nPOsot0iM0AFI8vHmh6OmtNteLkV0Oy5SIlcOLcwFhLoCPzcYYFsOA2+ztYL 4wemKjeNgGdxnS5IKVAz/67gy0JYK6t5MT5U1Sz4QLtwXRVwIl2cnzbKCGFyq7PYpXiUk+xR6HP3 D6mYkc1yqcbEe1QNZTh59CvgBcsjPTbFlX36h8jKnolNGaPTWIPc6uq1LGVYK6i/lsCm5Jlz3zBi KkxIA6XM4p7DqB5EzrbF1wc0S7vr1nAQcMqNb2MaqV2lhipBwC7h1gosRjVMiFpK/ETB1oBHY9ix HyMVk6RWfNJ8htyT3y8fXpiP6a+btexSyGfXU53o28z5675cmhlghA5VDi1abUgSGJ+e3ObpGh8g aLxrTk7xG4hArl2XL/6Mf/EBq7oYgIL01MXTMtkop0FnNTyx7A+gc1PUXQ5NxnCMDNJhYD0DaLkV PDlXXr5gHAfNhYW9zPUOJHE898NLqpMQPnyBO4cBxalcnnbRXKT5C4c6Oc1RnoH+FHSKyLcG4C6o cfzKCBEHcH1x2K/cHCYbwEiDI1RJOnM9sBskOTuI9/M0mDoWSML4uVaYFO+GF7T7eSJ7DWeJ0EtW JoWX5eWmcaxb+/tobbyjm/fUo4Xy6UxlSaoQy9aNjkw+2rX8zsXEsnQYLzjwdxmSf2uVcbzhYa1C NjHQ+vynqwGnIVMe56J5SN3kOKwVjCw2B+g/HJmF+4GkrU2artd0V9DQ1v15j8pK0Z7yNpNO6gOn Xw+XesS+OxKW6f7nEf8UgxzHOf2JzIggLCvRKbCBJyqd/q77B1pa96eApLMqwMdvlOMMxGSlPNpM 1pRP/nhWOZixDxdkIvf1W0K4wRJJ3nOfKrZZ0FPn8KKiVX2Zw8dtVUc4S6x2GX98rr5F8TlIAr5q cr7ZbGHCWJS3hJ1kHFttW6MO/cTHULZZYTGW8qHxFW/wqoclsQnbsEHl7lJqIL2C7lCZ6A7rd1Kp fQ6uFX3UU4AscNnbjXWnnSnODj0VBqXeDKBBHOFaOHBxwzb0OGIjkjV1zwtUlBz1+0dPCzZZGobW 93LV6ZxTR44EdBrpCvPFoDKLAR14oHgf/2lybIysoFpHAS9DuA5VQ+daVEJojx6ul65cX0FKKrSJ 62K/is7K+3MPc6EAlq/RQnFc9HJbBmd5uvxUxW9k5ZVPXPPmrqRb9M8XRWn22pUpl4Z8CK+QM+w3 S8uvw1FhYspPFMY2pyWA9sT9Jd42VNnpwpBIqSXYVBh4l5lSEIaQYDaembmUNT2/UbVsRfmLEbWp PI31NfxIG7Qczovoiu1s2CeaA59Qau32hzBOs6PiBCF4b1Ts839hKw9qOjbTozLQTURkBxQcvhk9 4uXwsZg1nrF3dZ62fip7dDZiwHdnxWMucQuDK2ji6qpU9hb9ud2s5MivZvM1c1BVRaRG3WNKzlte g/VlYO0G6UbxSgjo8k82TipQhho/3dw7xf2MWqjoolzTR98JpSFDta4laGK+m5UC5zZJK2/pEbnv NCIH05L7Lox2Hhgd0m7wZRElaKiu8jkCAD43ROHzfgyjqUYCq3x5mMeuIhwIVoyQbfXgPWfLRp+A 1+CAVrGfn8mf1TS4Pf0yzsMFUhTJdfz1n4s0NOROzN1Mk37GVdGMQf6bpUTUfVu567rHXtedM/Yj m+MvdGmOXlHj0qlaOIh/oX2cXj9b6zP7VqLAvgqdu6BaG+e83NwPayVaDIMppjNs6QOQ3k/HaBF9 G7h0btk3j/wbXAFL/wTYeifkf99z7ctJtxicuNab8acglGiezsyb9bYTpbzSEfezRDv8t3tSpOmQ sA0RVF1on0JcwwR5YQ8QXLfNNjGeZu559zwjeydGt/KlZqVJ5B0UfvK5fU3alGYPUEdjJ+n+Yewk sfCnV1ljjRd2FtBQtylJ+tKrUbltlTqH80g22Atvy0DG6MuDt1Je3pCk55v3e+T+mTLJ7iWlfVIc 9VFh/XYqvu4JX/WpsWRbTuJn+3AnIvoGZ6XE06FlwR9WwC6uwTMTAuU2BsRC4JM6aYhYDlfsfIRB N0NNpiwyen9Rewg0j3N6YvF8drfikheaJVaVAeEiPyWHOy1nRcdzpTMTDgvLKeO4uSp3TlKg2UnK aNf0LEGyAl0qD9KukTV4gGM/y4qT2pyvYGlqtSdGUrP2vDdqAKiRVTbAMbX/pq4dQnhQX8/w32d3 VoCTRSnwXUegjxWdEU5dDF3MOKYMFAShiTOz0zb0ZaH8W+kQFXhyMvBGq46Avns6GV4HumDjEiQM kvhWaJcgmFEwWj0kvlDZ+qFo4t7BCXINUc/1HoRizIBz5fZcwCVLDlXSMJBVWWeaPEDotXQATBXs rfMsDsnCnrOiNgAVd+XM/ye1MfxKJQJ+5KZZof4kO0pG/Jk21JMYY36SH8mbwqkf0Ztmb8k6gEqn KGM87Omt2FC5UQiRKo3EKBGx41Ru2nKO3QJ3VIBofDGbU+kdSgHwOxdqTQFqyDrWj/4k+kvML3J4 Y9+/I/fp+TT87ZcDpcc1tIil3y1fNFWhsqLh05TJgvhozk3z73psTnCwuD9PlSwbD/rRGcCFojjg W8Thw0tW2ITb5/b4LuDfydycfYqQRw0Ojw+k1qIodNTQtZfbMRUKDF+Mnc3W8rJ0rzdWRk3DVp+m pY1yOuKf2Ym3Hqirqi/r0hcIyHzOVIqGaplkzOBX6MBxAa/tokXBrw7s/6YpuqaqLRKjOarAB+dg VXxoCAXQMXHXYVTLrjX9HZcdKtbx6FgfyFfMnqMTd6ffFuq+7jnxuldig5SUD84gRLx8MAgF9MpL VQ2U5DUOSIRJjGYmy/W1IVGcSXUCDSoBnUUlUzH/wYAexaxtzS2R8EutpToZQAZ9dZcVw5tBeXAH kTiDxeYhOTrHnHTvKf9oyJHIWLsrPknriv+sSsHmeLhR2AHGTKHPM4AF1TedlsfJM/E/nQaRxP9O YcYtOb5FZFQbEi3dg6dNYB/3vbOVfv4lOBOOvj6sIzPg+h+eywjpLXBS+chSHMreeM66wE1iMxqR huaDWS3ATZgfhNoSXOLrT+3I7S1g7HTopNXUXpy0/JTjKIX+XXxfyF20QQe8D/keRydINdNfMeVB GpPv13zoctZMZYObxN/cFXJ2dU7t9WT40yBviS0IsrSiDxP/ZyCBKPihP6heycO3l7i2mXhQN14k djp6H+3sBxecsL+kaZ/56/rd/gX/9kcHfQ/33U4eCrzUrn4uynMaKapbvOuPMp7921JmxsvpwRg0 oi63lME7toFIj8RLL2uJNBlOmgD0j6KovslbI27Vw3uZ6874d7q2ltVgR9+meyw9QNE+gq8xSpoi 3ltTVNPf1UnTCFoWn+2IF/D5ycghRuK9XhuWp4Q0I+P1gdnJFDlgKyKfmVHhyHSGTRt4zovBXBxQ a8hAe4SI3PKPo0Y0ZdhRu4ex+gv9oauy8CAyuEFY0QxBf1SQORMp+L6IACT0w04cfvOcKXMQ6aUl Y1mKQjyy/tqQD6dnB6MhD9SMUF6ALOSO4laSDngWvXsEUlS9PpsFRWYXx1nQFnz7TWkRCVcaFjMf gwHnZVi0WbfX0kvaRaYzkTFs7MHHEV0/ORXkBifHI0/3MofrA+NCr0VJCx4FYSBHrctO2EyXYcnm FVu5LdK75+p1MPkQ/TciT/mgDPLiwstEAGBnDlHOyMUwjea77so8uCh+e3BqeYO2ZGmhYWF5wjfM 40akdQD3/e9hvAnhzk8vGrE6eRdschHhVw+fPv9Ksuw/zWAE2rvTvoK2rGWWdGnPtSUfEwHmTLez 5IHI3oMAylVttlKXz5L6YGh2aY/W8KpCcm2aacvMq9Big91hKo8IJiQKYP2o43z76GdqU8GF/Els XbPnj4QAcNb1pWsnQ5KTyzB2DKe77rZK7p7CeRiFFa3WCxZDENovS+VBVR7r1IO2QTWq+OJ+i3wV ndNae5WPKym+AOj8eMZBYkpMASCJWcTVkbXDOSG5DFtucOMnsywlGujXZfPHnVov8WaM9fj8TGoz SHSNsCMPRMXb4rPE7+sF+61V9C+Y0K1+NUq3Sxlu9z2SU/vOAsHxPyQROGwySf2VbbCzCWs0aSPg 6l/fKqe4pTO7uIUADjRLplI1fQbrDZDuse+Nw1gT8+M9aqn1vKOmFFTMtr0zRQUd0hxoweQpjfjE HirzD81RMGIgpG4B+aqvu8gQrAI4iaiDK9YZBkAhXvAy3UZiwal8wyFxc+y3KAt/nZaCUrPtiHO6 hCKzMXXA15NJGd9P8/8zypIp2BL2kHRw9LsPxXJhYvX8e/2mrhzVUcDIlnLOMj3R0B/x4CpEGTye fD5OEjD8tqIhBQNHMeMxxgwofex+UhrXlzwiqLV/OLFjDriCOc489t9KEzAeFiDXA1SVO+I/2J4B lLfi196UQATgWVr9exksHFSxUqvwNT1p40R35DEzQMm51SZLz83h961L5JUAet4BDK/JGmYr2l4G 5gjQ4/HMC8d4rgbs3FQBI6CPhAqqhkNMrje+FFmn4OCsqFWqlemfuJO8bsggWU1q6ea7/plD859I 15uoE1wPZtt6+5v1cmyU61vhdNWdoWR0YIIFD9SezMVUILrA4C72nSu9liWBgcdJTPt1x6N07ZaF 27/bNu8/b2IuwLBbu1swGd6aUQiV+D9VI2XNRuMRfK9Po5EfWKOmQasT1u4stengVrAMEqLdN4gV C21koMol5zf0deF+RVAYSO1uTxlpEa4YN9JANlPkbk3hvG8SiL0JCiuyhemHRurQWMNI3JVrE3rw frknRzmtCTjdW3aa4H16fM/lUwzNidJeqaEQRokeI4dmaq2v0RWP4f273dxD2nyZ0dtIXlVwQnhC S4wZeAmY/UQQpu/wcL4+f73Un7cqPJAzR4i43sKDNV/Et1vXK6f/ScrUnidwIZgC4pLkcJKCTDYi sYVSzQ43MTXVsYPPtX2gh+SriyX94S76ekUSEJKi7JmRvl58yBGlikdleqlR66Dpg6KmUekcI/V/ Ze1lCFi6H1+rmVjfq9zYxRj6S0RjnYwr4WPqVow41JcKJ20Os5lnkBM5hPwEUO7H0iJ28iPzQel3 xy3Y4FIh1v0vkyAGA+VryJmlEW+C0O7urbPQr0oMCD4bJTVGnyHNDfe1Tdc0aYwo87KNCMV2eGvC atksv18Wx69huYefQwiJwjwF5itZ9U+mUzNTJyoPwvSVfDzpKoc99BUUig5R8uScLuztKCp5YXtf jxivKd8lvFm9aE9U56Ghti/RbohYiP7c4vTkbuSJlQgmJ4ntPvTe1ONQNMDa8UhXCs0+HtCczPRv XHH6mMHvIzjjJ0CWmO3xAd5PKd2YT197uPS8jNHVBnX3oJjuGNAvq36ORsc2OU7Rc8fJqY3IUF7w kA4ZyWVRBR5+L/9+DVAMbtR/BBNjIhqGwxNRc0K4+hzDl0VDCCIcOO8Q+rQO50XYUP8QK6DZBAgB vs0Zx/0mHrJxsta/Uyp2Ae5IttK7cezbvjVMj0G2X0/lInJ92YhWlfrbCkjUGCtiSIYDb0/bDAWM Zs4h6PX5HB1EH7kEt3dfgD4xVg5JOHb4eQd5amnj6qqLxhDEN8vbFD3mEFwgNSVmMZMmTcajs9MO vYrWu1Yn/SVa+I0L9JQ35HegRaOom+muyxCD3KjeuIh6y2ZIShEsaXamqjpMT53yOh93jYDi491X stjdTizKZoB55usi1sEuB00jtLbvFCrZW6Hy+UvzRR5ksBi5PxryurU98SoqbWyOogQRR4FTyNmV e4yT5nZ/m5kOAiRJ6AJw66WfArK/g0A9P0rp3ExUjLhr1Ar0ZkgU91ItjGuWQSwObXHXXNoi8OmR TQcp15zasrVBl0DCW6fD1yVvSjTg1ENVZxUoU0apb6zIv89CdXsdq26Tb7ijFaMJ531Ns29gyWeq IT4pzJndezXi3Ow+mrwA/h+d4TGVfCcN27e6jP8ThuwyixmDNDKacUzk6HUKB30PNvyPa2BXzYC5 gLlLkUTQtQ0l5PnA6+/Qf/e58L5G2YZxHkT0YYRT/B3QtnrisJ5CyQY14JlO4GjDMlPNgvTUTutw ydkh7pHNt/pQVZIU2VcoBxZ68x5yNeSi/mLvJjXvG3VuswyNuw4EavJ/Jcm4XxIva6a6TM1tKfTl 2h+WzrbUCRQ01wd/nWzjnLkMWrbuHqjLodzrX6+Nso22qeD6aY7iKzkjcmaHDHHALYnZFjXZtKyx chF7XbqqD0gsPGryCbZVcUp6LGzi9h1BksLMMYLGtD6kyylJ+mb4511IBsjSNIZdWPZQK/yMaLs2 kiHaVdJRuTkTu9vAByW5cVjsL0FktnGnr+XFLcpLilTmS2+blH4thWrqw3WQa0fEA94t35Ax22Af p9WJ3HfEgziSaFT9C7xC/uYjNDGoxqdBtepvyU6WJhr1TCE5Ej6c2W0xkqUHddWpHhVBxOpfyNw4 GVUcq4MHIardLtiqO9DMF9SaQp/kVOIi7TFjYybD2oQs05AIiEyy3ATzOn5lZ46s04IgPRkBYN2T QWtw6F7MdW8p4p4Ox/vxcXEUZFw2JaAC04RS5l82cJtyF43pPs9iAodylprrIYsurIhPeZnsvGeH bQu1VngQLt1l4TTPgxXMYKHrT7ZcnMYSEDToOvRlyt2kuz9Tthm21TN8ZIe+ndtq6TT5r585wNzN kfPTW1qHK67HFVosRVIhl9HpS8t06LdKwpmGbCv3L0wFcDHbKDnRvCw94GrOrizY4salRo+Qjuvz w9nnBvYZyjNbzZYHOZ21yfXneCULWHT0vQgHPC1mbZApM6J8pOmosgGUlshOxzN1vmAv4A457ZMV DUy9VRds3XJl1mqEqWluXb0vWE10WGED/83ZskFIyZ6iliy/oMhQN7uTMZxf55M1xvdzA5XiUSqm RUHB5/Y8vsGwNLeq5FzNt4jxOzn9aQMEd20t01GoC1oHwDHL1ltigF9YvjPBL18n4OA6QSpW4bt1 f2UJ9EC+s6WusDOTV0Q0c6h69qNFLqSe1BhdLzf72E2AbyEkmgCpkCEaT02C+nabUeB/29K5JPkl Hd1mtBr51NRzxRW/nyKX4Z8m5zPwYCzsbSsdDyQBUYfERUdRScoFdavEKzRLdctMWmmGhg+rQvN4 B8WNJoBC8H3PQb4rUsiEIMxeWHyLnF1HN+EUr+hhFlKAiPwn15zrtd3z0UWtuJoXkuuC+qALAbwj 4FBaKQRpqRwRhhu+SVAZNJDKqekE8Pew2Nr2tUXYqXNpb4frtcwOlYwmrMX/IEWdSRImddDv6/pI PUt1GC33gRXr+nsP/z574CVguo/Gbn7Rx7yfEeDGhKkig1Eabn/2yuNNVA4eJi8wrYcskJlRmQ6g sbkgOBJ2Q1HDonqoCodLIuCReT+oRRDHwFENq3iz4GL0BEHGrL1Rt5Vt52R4BQqzAqfRL+BTcTEV fGB2ksEoH8RBI1p2eZ6yHGB2xPSbsUFzc3c7XHWtd03yzjoli2+vD5Xk3xCThmI2dqlv4v5GHgc+ SY1F6DQVOJqMKYYEorFP+OhaXe/hnGhcJFiuuQeCdy004PglAmFTN8nDgm2iVTUU0pTuOVQ5a6OY T2lr3MoYhqIwbDXwLfOgJg54TQf+60RJ5/L2z5DtZhYROFJs1MrUk8ChMVfVym4drUvrjEnL9SQ5 Ll8T+yayL+EuYlcdtdyDDwF3AqRBnqH1CDvEwO+f5hU86htfkQ/1lTgVC2dP+lRXYt1TQ4jb5D0a XuvV//Wjm44FjFNIHcO7tjfu0ddHvIr/8xH2dGpJczK+M0vLYy7TjEqh/wIvm9wcm6PAxMViU6fs eYShQsztZ3yzD+L2Z/rIJqGeIgl6OE/HcI+J7VETQmvjI6QmtJ7gZ7mbMfHkZpUesvDsEAFlfiBG wmdM0RDMT7XNynmGzOq26ZTb9JkLaXS/bb7EHNjLj4EKT+zkOq0uS0VenIhDScnw2XePFRUPSpMQ xJrtnb4rperm2S8eKBhp7IBO60EYNCMCydls0IS2E56O7Gl6LOsi3yhrSDlhb3qZUeZcZU7EZVId 7s2Iqb19YI4UktYB+YMnts67S3eTIBosoXP0C2jsLzJTCQdjZcX8/ZcWVWNP1ezLSdp++i2/efM9 ZmtwXHGONHgw5WwagKapjmpZPr/u/mpDhEws4qsEvV84e1Fey8FciKasG6sHQHM4HYP+zhRNvtgf QBuGv06lNYOdhWbqrY4VLAcYzVmA/VETu/Sc1UiEUJtuIRlmhhHri3FzxQWdxTdpyWZ7fCOEtlQY irUIOmsHtmCnp/1Enez56GQOQfaDYrivuyDCH0PIFJ/tOkD8Yx826DXN4anED8tjDXOAL158qQdQ MKpKTYiIGy5E7p8gmAa+GqQJVrv9M/4pEtJ1aNwq+AmOOXaXcEO+ZbPK/XccEwsYpcZ6SciHbL9p eKSpl6tk+nVtuM8Wadl9NFk6gV6Grka3LvdS7p/+FxypH9CEeedFD2dcHqUDtcSgE8YDHM5qDDTB yWi3gAWpJmS3eHgDsmTenTJXvtVAm8/OnYlSAaaJ8DJUBJnCQg+Lc20AscM776tFuUZxarlX1miS GdvQvhUUNQTiDQOOeZhviXTO8l4SGHR8z4xWqxosqsaz4xmnKnNcreL50IOzRFx/qP5wZprEyZpe JV00FlLLKrLW5x167awZ+6lFn0aO9rgMIUgY5PAtRQRvQ+zc9aKGdG+J5tKLwM6QDQCCE6jh/ARl zf9Vrf9S8xTXDYVzjF4RC7Q1DAcb0oJDj3fx4Q/gXjxWXYJBrUc5d3dWWi8esO7TVn5NhoVC0tLc zpA/9B5ZEJpqdjKgp4bvTG2sJ6/zMU1ffeuddJ/xHJViQcaXVEVZIaPEC7zPbRgKCjg0aft0h+m3 0EN2RLwZbLtGnrBQb6RVFGyBhmHGpTNIXTeNlgq+Y/pilyu62IAQAo75mXR1+pI7gPrTkkSfWh+j oZF81L8as3fBm46LtwFPxZCEILZ4rS5ctTIS7aoA7BtZg/Kjr2UYwP5djjoeDeMjTAGnDfO3JYvQ RKkgAuBw80iD12I51tSg8xZHgc/0S+mqIham7QgKloJsoklhotIiqlJ+vqmbE2nqjzL8XT56sHtC eNnvoB0L+/vGoE8Nn9C1Jku2yAiQgo2l6QKxdr3h9l6TIeaQd8ROZPspEpfJ5766DZ120o1pN/cY AC3l0tcacLnPytQ8ms45NPt3Gxe1CrZ7UMPk1+KihQjKV3lDiN+ER7rEsLrkji8h+LNXGSPSD+/m WDeZ7MVRIuud4mi9HkSOcgE/CclNXEJP6X6AdRLMeHDcNJPz5uJoGTdbXKB7iYjEBJYQxB9u6zq5 jqM1UbxrZnpuCTeZqSeH3WpOSQ+7kmE2vziOSPnwWqDK/e3KXJ2glkw+dp9Kt+DF6u9Pog3rexWu 3UIGjjWDgdrU4l0jcM1JEspqddlmyru9BYdyZ0lauhGOHltP1I5dT6Ktp0VBXfiWnQuaZje8JYG6 gGH+/7zG0JqmJhHwl5Vbw35f62KZ8RrV/XJtcvmLDANtf1t1xk0o93NmvbKlerfwcZDIAfLgMA5p XV5oDZwpxMMveuQanAMfB1rkmgFHcfzXM5fAzhJQNo6Z/OA/11VA4zYs6zQ40SEJDXjfvaYGhWRv n0CGH/tGOTtOGamligxGJLbMy6Fl28C9RGBaCy3grUSFc/AqzNhjjbmPO0vIRmv4YeCyIk76nhaJ FTssnZpKBunplXhtNs3TQYT8RnEQFCkFUFOIF8QKgLnw3J2N994cvheCxcMjVBkpm6aVmEtWpiz6 cZitWPOC0WTYoxS2YMTb108rct1hyUgFxiAJoEW/4JAtUhvQsFAZkcVOp45yIoRHjDeGMRkkI+g4 7JFicXyojIUj4RnbthXsSPOExCX8HnYpBu3l6ABI1Fe0lrcIZDVs6NaGIOvyEVLR6yBo/Q2YTyuh vP1uJeQi4f8At5Bq37w8KORCvxB/MQAPyY3sf9GasWNU0AxL96/jaJQyMFhuXbS5l9cqL80TKU13 URBB3XoawQrAib0zUfa38mkL8g5rL2vAKI8eOEVUQZYbP73C79pqOjRmCWRseFtyh17+lrNjRGH3 aO8DlnYok1m8bHR8a7qErTUhUN0Ds1Z1VARzTxTZz2HifmMwDQy4LvddonRCV1htlreF+4SJjnVL PY9xLHiqRLUTwS8JDZt6JEytFNq6dJw15v+y1uaC1yZU8n/G+cwrCyou9e6NXUoTmc4Q3k5YzWFN 01tPzV71lTc4t4d4jllYEkW/9O0n7UYmJgG5fIvb0975DNLfJu1tl/4XQZf9NyJCTk/f+7s3bGRh 6EgVz4SZ9licOHzxT4AiGbL2L+FAJcqG6WPVvcbYyjW+WqIlZhTS2lKEESq75YrlvTH9C2g+CdkK 1Q4sNy2V7K/IrYC2b0/RBYOR+OAnohZdbri3AF8otQsuaIbIwwSaKvfI7zXkVi0n4dHAd3ufP0Dc oWOk2nLlgqQB01bRrKGnqqB9QJJViOhvIC3rMxrrSH0jjq1X6LFpPiPEQ77L1oPJYC94zT62m72O ROllxCgaOTVHflPlNXD4yeth5EKGwumE0zF7UszLt8ZNHKuNXsVW0/zkh7UOdWX/pwUK1BpJUXHH IUMJtgqBywZZBVgP0G6bj5AyTJTyiJVDazlCXVDrBlGcGD/lt3pCefpX5IyPU3ZaLfdLHzGblHgD erJTWju2ValOzUIt/U2SysP0SMGoeEs3yO39kfDnQMYMlH1401RL3SWeoZ3sno5305L5ifO8J0OR ILkogAe0ek/Mpv5VPXfHh7PUTBis8iW1rDDRfe+7gQAaC/BPGQX6XhWFh1ifsfVYDjiibFrFf7aY Mph/GIYLvGU3LjVVNGly4myZBWAnRVcTNcNtyjSVDAOz/xXxwWLsCveZOezzZ2Ws8wiaxIX9o8Mn qIMpIUz2XMtEyp6pAABouZmkmHF3qgd45xTfl5NYQWGsD0VIRnxSljIeKH8538ndBd65jjs/uyk3 OxRkAeuos1ExlrmM5YD+eB8KRRYVIB/gmSnpoigmsE4Bwy+BF+agb1Y2atAgB+jWU2k7EV30K11K 7EfMsK72oPgISz3vb/XfS8bcyEx3bcjpf5qTsZC4uk0X5sGm+mCNLq9HW+hKCrQQOUA+ktoPKPlZ +aor1m++zCsH3txsQSiNRUy4m25UJ/T/9Hc+Z2wez/Lqs+B6PSCDgVoCmhu5anqoyPNtMJ7F/rfL pBnRc9Ltvr5HpUMwfyk5oGRTXisRHS8bw9k3Irj7ZVytByiyx6BhSAFST7UkzADZlRxzvm6Qfxvw QLeKYGQoZas9r8ZrdP0hFf/FsgDHAfpAl8Djk/0esVHSbfbXQps3v81i1v53J3vgirdfkA0KFu9/ xgW8kyfLmJ61uBwt59c7IsS2s7WRLcFlnrxAgT+NdOvBIoNAE9AykKfiqLEHM1tUIbo7PD98HNLy DUrhvpa6n++9RCfL28tjfBDpPKI23mBpgN1aRVjDrN8F46HM5OCQivdXyHsjice7Pn+D3TSLm/Hz sk0vb2SWBCqDvG3F4NZ9Ee5BVsxHJGO0eJl5tKNBiE/LJewmCW0op7jTsysb1UDmWtLCo43tP/WR FbSKqFMCXooc1VwY4ZsPBQD7wJdX6qAf/OoxKP/WC7NPKwj5YYNptgBYEh4IW7Qf5oFidax/X/Ng zQvOg6Srrj9wMR8VFoSiTimMye889eeT1GHNmAn2hlsgtTLHkyUL6gejADfAAGEbCzNMwI7m9D5Z /sGEp8PD5Xf6m2NC/KT5oNQqIJT21pw/c+TjZPgbVWbt0hxBkv6kXtlYigK7VdABUYwSQiMcYI0J K84pat/R3+3K5WQfqMV0TerI+nXxjJSpGeVrhrwlf9+NIp+1icbuativgwuZ797cO0CJ8SB7BJgy kqjd+Ejh12qIm+LMrJ7HbR4yh3MJaZHwkHcf8xcHWVVAc3DcG5aSkHPPGyl7lfAx1Ihdv0cCrSNf 9hWSNm8RZZO1AK/RfEA6iY8hiK/muPgK8VKWt8Q+Quk0Jey7Ixm2HmwUPiQ76Pj3rmEhMiVF3oP8 sW6LYie9HGtfogKg7ek2jfwnRfAtC3mypuoagu+9T84y3t2+PJJqOUkrgG3Z7c0XdRrTJRwj/wTd SMTkRPcVfqS8KdqX9s+vBBYftXQsa/jmUp2Ih3e+zcEcsze/Q1a6RKwnjQhb0CG+mtHFRVZgiH70 dPGYGa5tYJN40KfToeyAbCJ2jbbSWc7q/cI4u6BVqWE6Df1WDx9YzbpN0+Gh8z7KlhUvBdLAUovP Q8E2J7chW6b/zCg8IRmaHYrZKcahtJJVo5ESpOlJvICXLWw9TTSi9WUSvgGCMrzlSwsZCpkDwBPl jtRDfwQxIYCoc9w7vxZ/p3FWd+DsbTnto2NJ691rBwIgVid1Ek8YhBJSYhfUFa8ImAS06pA9ogMS AKdGllXzvqmo2NxgnLw52Zx8xkShZ6x1cU4cutz6FX4lYWVVbqBLZesbvNANkuN00ausF7nvKmVG Zm6g5KOut40ycmrewHrg8qT6yRqKdkNvTzO9s5lN1ZMBMMoHa6afCsgwaYc332y0SsR3BZp3/Yvn zxAAG7jJkTexW6SAIMkHSiErbukDWopfGwIVkpCYAX7/rTIDaFzbmfajma8QpUlYw00BpuU0/7N4 DYRiBCTEe8SJl/3Qt30XHi66iDJOQnRQTLvmnGPlRykyfq3W1uuEUwnO5AtxJQXF54mJ2FDQVZmf 1Cv5dozwa+oFniEgdSSK3GE/zzvFuUd12oZio/LN6UBu4OPASOxZJNO3EY7YWR8O+8mew/HGzyTL gPzGeHf7NP0+zq7Q/G5AYOfTK3ZDH3xFZ/zGUm/+F6oTTfDRDRpDec5vwwX1dlQ4YLQK0GILtye8 hhCnibu/H2KMXPvLcKG/94k17VgUpV5utwanmmKJkofX6uSk46zmI3ixFgBAj5X0NKN4SewLKjMy EkR+70LPKyojlQCzU/Ru0LzND6wtO4CgwiqkwCp5g4WZcL+c5/HNEP/3uQR2w3AXYZGAejNxrmps eEsbs2K5I2qp5q/oxiG+zkrg1qRPgTvrFpRQXtJBU3FYwhEe0/HOCGjroAj56PqC0I9/wdIUaNd1 s3Vy1uJQHHU7MhJ49FGE7OVdVDgpm+vcu/svfNArMu8lD/CkTBEqxYjuYk+FmaFJGoTuoy669N5F +qdOWBoYnMk94lnwZmo3pwNudB25hoMw1NMy5aojVWfpo8OFcgUgpa2lFx3rHn1otX+sO4cy58mj RvVsMBOC3yCF9BH0TLtGaJqDnVt6+XpMTnDI22AJ21QXB5ZsBoi1LaXHPZbqW8pCDJMTejg0oZz0 5+pqQVWUs37b8mku0USN2/aj/K22oq3TtguPvGH4E4qVmWy6PYSaZQz+qOkyMzJ2Ux00EfBOSDHY /q6x0TUph4ESqCU6IzozsYulsUIa7GvoCYt5SSWgXrkqt0MhTwRC0BEIzmgVGvzG2aIOcZ5+mo78 Ijawl9K1evWFFenskl8171tAtV+hZuBc2KK3s7fc2D1m1gvhJelfUTMPmJqgHptG0n0UdTmu9LNC yLvNSt9W3zQuLyKOnX4ZgYXeOtI4IcABkriRJybM2wEeFQtE7IQ3olnE7Qp1ygLID03b7im9kynL GhhW5yqgR7Pd604gzQ+EBGeRheOQhVe5ngcI8reUf8LOtBXmnwE8+dIDw2L8gatatlicwHloqgdw /eDnFn7gN6GfntQ4KkCnLAvdG2ufZVqeQUHybVOYOJJccMc/OpjLnPJhmZAZSroOlg3mDGO4/Cgi 0ZMpWoQb6EjzHnQodr0xhKCGoshehEyTv5w44ooyGVjUqSUhcF0LN/nvv2zK0U1nvXjNUYYiY1Bq Gzw3ZvGYrLXXtEKKVLSghIscXPKCxf8aEE7LcSFIZi6awWRiUG0Nj1IzDMyT7tX3TfWnF4oS0CUN vpDLzOzwbMaTKleClA3/gRY4f1Ar7dpaaAdZ6s9Y2p/xUCGcAUprDuZa67444U4lHHTE3V4hcT3Z NejwRV1JrgADrShQQsEAktJ3KL9nIZztp5DLV2iyMxVkZgI4hkstoOBNlJuON7ZqXYhnqVmC3DOU Q+cqiCdnQVbyf6jnIlutTH+d5DKCsg+gb8RoIv9sA3sbm+oyt97Vof79+htgeEAn+056s8lARU2U bcJSstMggBMx9HWNbCM+FrxwhOjHRk/O0NLjCmAvthF7xNBLLu4GAYeFVaE5jrTsm2ArupPJk82h pZju0KFVCc8tXZoKOCNxBu5Q46dkk50gBP3ScdZZvouQRzf1jcTuZqsDcv3XqpNsOCKjYq9XK8e2 HhkKv1D6zGEZ2yplIpHheDMHJT87aLJdlagGXZ9z9fBvSKSvCBbbkmfHwDNiz08XYxdZS3wK2bjf Lg+cd3DO3f6g1dyBv4y/YibHVpDwLCvVl8qw8JFlE0RPpr6buVTI2C5F2LGYU07P5vARdu/zO11b MZxHxfR9u1GindjcoQXoGyT5gCn6sZeBznY7L1Kq3R2+3eOiQ9rHF8TQJCGdO+Ezk10rwOrM5FDR Kpjl7sopSTJMcZVl/numv2NQWd7kPNqlGwJPMiynYLs/gYzWFItdt/yftr7B2JNo4UjZ2JbhzksV PCV2creR6QHCjSahs65g4b8tESXjJD1ldzH3wrHOKmvF34o2SXkTkGOclJQAh/Rwrpb0Salg54ZL rQ5L9Y7IaK1oFLj5YE2N4UCWGHdDJoPGSCdG6sCgpVuIHbvxRWR2z2V8NT0EUgq+oOHoUkIVkPTZ dxKso/xFojNnQCzTPVQ23LxnrfUBventyo3m6eRk4Xb8Ri8bPslFeqoZJEwanUbc/QN7i+vRZjN5 cpaluk5jKlxtVw0Bw0iuHN9ydEmmjFNwPg7PqBpHb4xLy9ZkxgeQlagUZRfTW7fNR+ys2JWF/5Wr O+h6+wI5bgnpC7lGoibC5QZ9GlCTRKFCUvW0nS9bVrwro4J4dyZYOgvVyFwkxtI0CmnPBNS1IlO7 uxOX5mVwNbXCCUIXrhTSFjNxRoIPmSxF31WmZawSwhu57U6mCcp3ryd4b2JdgPz7YNKVT9HuG4Yt ZvY68Z7noD2UGkbXsT0CaoXsk+dLx/nc5lokTMNd/a94r18bNwjoeF5JhRJOUTTmDG99JGeygGE8 7gLUeUp5QGlBXyBt3THi/WIhWWFI5rQBuYsUEEPjqZSKnmTakvdnvnDsBoWwQL5b8jN8+/wragk/ ipXHXirVW3kVU81xjmre92UIOoiHVBkzQLc4xFdPkD3ruvgJMYIVOruDeZspki+WJ4UKKK/S25D9 Td+E4567JrFv0PA1H0ARNuABOopG1M+tkN+Tk4MAdLu0kjSs2ECWqYvj5i7qThdwKc5u5pM0sxbC 15tpRuSt1TCSlf3gpQnNvGTC8LeO21Kz6cwGQnA5fJakbpj/j9DismcfXQgor2wFFE1o+u/02L2z 2DZOrVT8j/tpkg2PbHUFJZOth+m5YBqh0cAr7N/OrwTloM1pi8Ah/qUVbfFvDutqwCVkxb9YsdqJ 4KfnRcm0W1Eo1MpdbB7YihG8wK3St8dgDh6GmIy0AuUoOHlRZFOx2wBM/6nThs+moHGmxrXY1Wh5 Jt+nsagdiwBozkOW5Jai5IKsML/ihL2QLnKCQrU8qUzAUY/vbv7xHLqoJMilll6p9qhoElB2XPgM M8DeploBRcTzUbwG6HfPneiwTXrJsTl0vFIIqR8/fy9dZ3KO4V1GjluFZJ5m/ctnDE94jNKxSRYS /vGZWJuUHhX+6MkF/gV6WRqko9y7FkSTG1EZkZ/UqahDC1T5+wZD//vXmQwx4nhHabqjODkicStA TQC/JTUttbqLFV/LATqmr55reqLm+hTLi1Qj4CB115XidaN32TSP9SOv3V3XBKoq/82TPlmWvvy+ 9E74BUjqawz5bQnJ6BH6nmwE2AF+NfK82vQRmN6PJpPMT8rwewt1krh5WS9xGwP1+Uy5i5FhiVhp RnVrJJKnlGEXwl/fJIf7ESuPDa+sJytxzWfy/GT0xHDGAMLltr8994D9CrEiMAd2w1ZRMwZYm+rP aIOXc+Om9fEMHQ6KY0EyRbASyBgIyX5vP6sTUWjvhztrPnkK54OfrMocLK0FDLyc1RDTGKQ/jncW Tvws3cqNxXnMApxxaJMLX3PVJHs+rdxBPqJawNrtVSa61d+9DGY0zwTM2Qeb1pUWtsCZ1VpRvdD6 +Qvyewnyb+EDXFvQBOXEzj3JKY7DNuIFA2favVEdRPqoBQrCId7OqR4HO/TF5jVpGUeDrsXnhY+m v0afUav1YGxy6R5E3BwHj8ee02X2m2KmGz17K09xkQYb+z+8jIZvwjyH4Dy+0/90XrhfIc8nUvYc ZLyhSSMqKZVE0xUuu+wsfHxk/l7Mtbf/IAdHj7p1oti6SVnMsqGdlVbhwz4222ZQ/MCV3XA/01yl dkcUYRA2Q2vnf0OeVkKgKbla9Yac12OlKFKFa3MceG0p5Jto5AjJiYQUx797pLM5svS2GN/+X/SL 5DEJlrZce8gMQpmwdU8JGdWWHJrf3fWSQQBgiIYdhpLEOswQnfQl8fLEFamcooTaPIScaALb43F6 ZriVAC8TGCSEk/zaJkA9lxTBjMYuTRYrB0reDa/cnP2mNphmj5K1vpcsS5R5140r4WlCqzY/dBmM Y9tIKU6g6VL/Z+ZDxkw7edCrcbPJ35okse/Hh7Yx2IJ/pmq/f1ZVEuxWQYOOuw4/McPcBFhY7kTF xed/I2CavOV4MqUuZ4Io9yNrbN7BscwS4wG2whxq9xuOFaNezLApXaKENZGeEVQ8PsRwWHErNwf+ SuFr+tkXNsfmt2x2jBos5c2u2X2QbgX9K2kOT1Nh6sh5zvhWMxbSYQAiR2oFAO1LRFJpQJlRL/br U5EXPWzgSUsu+kFjGX5C95COsuTq8HG4eWKXrZzt5mIB0T5pLs8NFzZkBQgng/z5gHaCPs2szopE xIaAekqzawjSFX3/uuyqeIfm+7/0ont2Sy86v/xyLXRf+Wt+3yZvTle0b+/yKsPAADSEmdNlVBDF H8DQyigzqCH1u5JjLGrvZhyYDV5op4n8mcciprqH1Z8j9bOZfw/Yt580cqmHVhSBDFEeNgGHl14w GdLH289HfF8pC9P3Xzil6J+GyTj6eqJRDq2RwjWdqglk05umnvWegAu+NSjprMktmYcrWFKOxtW+ 30wmT/i2qRd3y6cf8OVVh84QWDdkdejHjRXvP9EWZjJBIFbCTusMC6md+uRCaCkLYjD8nfyv4WfS aMbqGXIv1eI/tycXp2fVRxDOTCQJRsf3kDI31NDDYSMlj9XCJ61PuResmZq36s2t5rn9mGy5zHrI Xm1XyLpkv/L7W18j5XC90DPWWE7tOEHPjzit5aS+mrW2AUfWObo1PMwSKN3ZAA254Npd0KCoVvjk d3HCzcDbUW2EmM4bLEJLU+CfeC6KXXc1Jvx9OUw1x2sIi5vGYiW7TYdGUWFpFB9zSZCzMPIfH+jz PncufGRg3LR4iaKumc0gjAzrTCAsdAuzKV6D0lomAc2Q7Zta6KpfcC1z1YGN7bSZxQydbNENKS6X 4sQzJIjLAWdxjWWNooV+/j1EtsNW+I/76o2pMGAm0YdSX91NETmI891e6PyPt3Wq7bVHAptSUwvt aaFPvLKsbcnaH/oiybcuANkKpK/JX4ENRna3D3R42sv/L97poknW9/5nqAddzAmXfkreQT90gija wwAQvQvHkZI7EKgAk/0vdB5E+hrnNBcplydx+5E2FJX2r3Ed7rBGRlyA3um4bqJ3vipHF8pZvPVW 8KHUgZH44YMUfFa7Yc8R5GfNd/wER9cWtP9S8wvOfWOEHwUfokPzvfp1H24x2EK41O0JTPfjsmQ+ 5ComRvrYERr3YqRgwMOHK7mUIJBvx4Opyo5Z5K6afb3ZUeIppGz4I0ZoEbnjOfFxvE7Jyr1lVYwZ 1UI5Y776v6vc8ylzZU4pHjEBaDagoxMhvpEr7RRxhbLZ8asHO8cOEeB6XlxLQ4ur+ekauRl7UbXm HM4ta9tBuHENDr1cyP6HSiUm+Ddc+uPSPdmZ5P6M5vIchizKd5gk9FFPjVeJujXsbvsbDUcit30t ePoBT7MXHvR0riIjmBDrr+Drcp6veIqDefFVLLyGPSwbusEay86ajNpQHMDbFIogn9VWM+QgxQ0R Js+sEu7wjcy5BPUPa50LmgKpSlg2yi/I8zRf3Y8RyGxKW64DbiNl0NMeKEvz5jD28ITGneSEX+NT hkxAMLkJ8A8I7IeqRrOsVy2AEpn8DtY7QzJEmHqIbeZoyA4EqGNt10XMnxFm2ugDSd8RKr8LmocK Jm68abYX0Q5FTL4ldw7UazQqmBFCrKIAnuTt5McJBIlzEDxh6UajPBMcg5hnOUI6xzX9L1YIFtnn k0dTwYkVrwlEXwtNh0kUrfBccxlLRc5mB3axRpqr7Go53tq1CsGhY+umOAy/4xowAaryGY5pERP5 WwBs1poar5PHG67jTP57K9GGmalyinvSvGhCuRwy8sayOZix0wJ3LYMqOw+nqqkHoQPVekeQpgBa 6k905evqNPcx8M9W0ASzqXLIckb3SX2pL5Ec1938AkveahyDJzPAvAVv/dty8IisoQxMOxrqJML9 LZONwZ+1jXvwsc64utZukTODhBJSiwRyq3Nv5S7I7T///tPjqIam58i5+xHZEiHxviAa8TTWVhiv tFcRZe51xtgbkiIo3QHLRoY9czpAvueFHbRv5iMbxLDUJaSoYuXXkU9CQF/mzAeCJn7uTsyVwxmy GkIuWqfx4sjAMgKto9bOfHO5jfzZDFjyrECMRane5KzaKWvMswy3urlCJP8SkEEWTbwsoeGw5/Hr 10YjGMJOZFfFjTR8+8+Lp3vCd5GXNXG1QQOWJBh3uILI7ZqDH1IT+R0/TUDjYhw+uTJt9NOskjiN oauHV427IEh7DYej79vcP8R6gmxeHBBtzL1iq2slLrcsA1NNFQSUTiX3ajngOhDoX0zyP7ZuFpcM LTpY0WslFUJOyukFkgKMnsR3Xb73abRee7kiM0sOOLYG48+b0ap3uSdUuvySYjrTJJeNmPsyttJj PpnzfhkonAWozyopWWrkdAont08gCit9a0UWJ2dFhnIc3Kp85YTJk3D8xXG8g4ycSYm/1AG7/E0q f0l5D9DHPZO34Iw1rgCH7By6ylMb4E4pMb9Ldz8aublvIsulWEfMjUSxMm9XZLnkOqeFbLD3UjO1 IiYEGg6y/xNB+bUHJAjFY0Bi2y6UU5gPqTa6wFWm+sMmKRax+ZhEYaZOTlwGb+DemzZlVeKYi9Dt x6tCPu8+w4GjkWIoedZJcrLDeDuUKLThGo5uJW9FNAsfP2XU75yWBo/TGhy0YKIgKcmDNtwPJSeO uKmAKmG0YiXviLEw+89fdLsQR8jZluL9jktsjo9klGfPC+ybTQSVv4Sv0pKZwexusONWzy0IWH7b Sct5kXCqbD6yghgJ4XUrX00ufIXAXf6/DY/zQjYYKZO9uz0BjlLli0xqFsTB2QYqHCXS0pbVPq+a PWwmEdccSstLejx1W8ECsjn4W/va1ewux6qYLCcFJ6HJ8fC+BEmgtIa7bQi4T0b7SzanOc2q4qWV OVI11rLd2xsKxNO6JnYOKItA3ECXuf6Mm5uxxyYMRwTPISB4SHEhFVMgrBh/Jkl9l453XlM2c4Cr dtygJU9fN6y1M30GgOzoQFrOW6uUmZjKAlnc22Uqb8xOqatjJWbZKYE2ypPMu/8+Ttdysi3kEUrz 8tzWKjG4Sd86rN6nscw8ljHqx5yZyNDtdJbtWykia/YxVYLh4XJ4L0PecZc+enBOwoITkHow7Cdm CgmzM8QmUVNf6Rat8IMGdxlaeLn9vSVzg+fpxJLtnt24Fa0Oo0U7XZ74khMf4VwH2JndiEOMNS/3 k5GEF1aQvbSPoMPaAMSpr6l1KGRQqtegXyiZ6XIpUWxypdTervJJD7ITXFNQLjBoraEc5VKqQcDo +S2fhFdoKIpK3jalM1rJpUAWtbctc06ezFmyBiUaI29/WMe8RJriGCCKWj3E8GRubnlVDuTOs05q vzULyWClZ7rzrqfeayfLdRyRs6xbz4VxpvMYdDWdXYxAaY5e6xLfSEQwZZYapD+69nU7EkjLdiVU J/+dsPn1BNMTDbZn/K2LoR6XDCChA0p5oPvqxUmQAVhQq9/1zA3bJczVsqotfzmEmwGxeCTqmse0 OjCMbxEAUH5Ue4f/JlV0y6Sul/s0FtAjpinnoiuPgrUS5AxkQYybN9YjV+Uj+ZryWngIBL/UJQa/ sYSTiSvmhoiSL90uBEIdVBxXg5sCznfFw8DtUjeIVagLDBAOXo3JxJ20zn6zGjGHmPPATQP+hqf0 BW1d+JOiMgJCgc0v7WH3yIQI9gdK/N9gV3RTt2abRZNSeE6I5fru/6kII3etHlRaklhhgLc9Rct/ wdctVKPtL9m9tehSTKVe5zuiwrUQ5Nlf4y23oi6NZLujirHSDUOEUq0Plwkv7R7sEle/V4RIQhpF 4GPzouqJg9wLzWtejsv0Ffyh0evbjU06l7x00q2lbUqfxGDpLgIyzU58kCLZOXUDAxNYsV9YTkQf yjVZJQHz/8AZoVNLWro/vMbly44dJHrUrbtmTYKha66Puant6E+9iD1ST+sY4N8uThFkL4IvpgLK PQ4GN9RKLBlfBs6+IZev9FIHERUJ4e4lCBmalB3ofPQXx8i06JGjS129zLQzgYdq9KtvTV1s+mng eHKjkbtcjk5uL/7HS6cz1SxorH4XxGu9IevM3BJX74S48AKtyHwJmHN2GnB+f22ffVHh1QIV9t2q YjUtLHCqVkRLTFctWCBmAaPWVqY/jOmAn+Rm7x6f5hrWly0S6rIbHnaWVp8wto9ge2e87Nzis9Jb VVm/jARigS9J4o+fklIYjQ1BqMV3br4W1FYAc2vyAZINEFCxCvdsc306IBzRX328BGNHvT643hEl Q+boWT3zUubvJ1b6sLJeqhHKwjPczuOaHdmPl1IrP2zSyMHbBN94T3pnTS+P6YmCE3nMKesbKnUz fBVJmoPfCdJxTACa45Cf9bUiLFzaa0LKs1g4zG2pnnvWnsUKnhj/BpUwKImkSlZxxSsTob6mtkGH hLvWlWqnvgszlQiPnBxzD3sf3njG6cmTXgitTjXK/RcFtMbH7u8edWonxv93Js+UVhSXEzP0s3kx Vy9smwb1Xy1vdeZb4uMTOdivmbby8jG7wtXhsfoNxH82rTVcCIHEvZfv/EgJoXsVFPS0/SewHE2h 4HyKDkXBIgxVPHiXrC54QJjMcMl2/BZp495+h+sm//07TQthDEaNMtL4/bPvvhUQjEf3LaXeQpw8 BIyhnzWUMOXvQ4ZXjHnJAgU5Cv+vVxy1iKHiqHZsD5RpsfDrK9Qf9ty+DPYMBHAtQQHq2d2pqgoS Ms6QsPFr1GLoxQHbRBpm9Ux7Aq+FcS/zuCTkry0PDLldbfatOGm+eDUFPKKtYj6W7xFlmiq+JYMM y4HcUE480tx7Xe1towEDiBywpf6kkmph9PGzdGl1jemCAi/SBCMRnJ338MU6CyrTAgmlc4dwQFUv s8mn5yWtGmZznJQ7HZWjLTpvTlRhEi1g1esjxNH3sqB+YsJdwyhXy2t4KwliRMuNRKPtQERsGy/f za2/7uqP+dbjV4seAQezbW9EYN8/fjTcI0DzhG4lzlHgyU5s/5DNTskt31BAF+FE4GGTwuClx0sQ mfbwyGCNm2p7GhC2JjFuHD5k792+EzBMfbN4uiLCuW6Tg2BN8OpuyQx/lGCqxzstgdJdAbMomtqG xNKpNQJhq1IZT7ZIgcbYVVRLGyHeep+qM0T8Ckz0Qm2Xm13MDRqMq0ZXgNmU5P44rdDAeSzLHMzN iVBpxCX2qP8DF+m7CeBuw3mduqEnxcXnEyQv12tW20/TGQ9BJTWYltwU3gXGC/IzXkb1fij46v81 amVo1K10jmh9VNmS1La1JuqHrX6j2lzBMhn15Npu+7sNpB4kaDGL03Bj9Oxd6S5o1VcLREnmHNXx YG17RGB1PhxLLP46UgGTzBLlJN0q2WgXhYSnY7JnWM8PTyVAjCo38IuXt2NZojdJhHJDk3vYUAxA rIllDELromApNLOCCe/vnLe4wQqDQJtuzvt10m21H7tsyhS+fbfOycVd+erZvqM9zVpNR7x9vH0K +ZFaWHuIBt6Bl78viPalF+qSpmPM4+CH41bSEAfzRPpaAi8172iiYRR4Cfq47pfX7NtkHVWJ5O3m fZs7iFSSV7CmFuZJK3Xi6d84x0X70Lpl/VTn67BycvqWTtEjfgZTc56TFt6dkOjsou0yb4fhTXV0 SyOVWPOTGa4pl34i6HFHfmL2/Ta2lN1C38IHXAjYeLfODvRScTLfJb24v6K3rHVo1HonqDlkRgXb omcqRDUd4OqMiNeMVlkGboMf/6yLdTiPs4DRLXaxv3aB5hEpBtilX/JoZzAloSCQeb+q4XiMlfPw HZ6Bn55D0QJ+ggacT6t8X9xT5cr7D0jvm3JBB8hwtij4ydroDfBDc+uNwhNN+FaVk8sTjQSCBH18 yCkLjyohNn6EyNroygIy5eYxnayIAd1SrzkgKjuuw3QRbsntbNgzZLEculNEEAQfXfp6Da5wI5Oo VOGnrFhyO9940bURg6alrVMSGGH0uFKCbrtXpEnLWningPzKq5MFepmf2eWbNBdSHJszT+Vd2ELp iLqJklZBaX/cb4QLAfw5xt/y1s9+uMk+SNljlxwGpk1zsr2KpumCSE+aqizB/tLL9SYjy8ZGjvnn PuJPIJAlUDJBDGuCiYgRshzqIxwUAo+gUqL4/SDt66T6K3/jXXBOTH2WcopLI9w4VVi71nwjTWaz RYgOay+qvupDn4SmjkMDv/Lz6KZ6cK7s6n+ky7X1sAD90hh0uh088MFq/YC2AUKTbphc7zWEfc7F m/DKvIbwC91glq07FWtUI2vdcAV4AIZ1uUZfUiloOZxjcKjIdyr/M1ovJDSXkD1Ol7mEH9qgEzh7 qcuwJ+X/JrskAhxMOOOYLaaoCLbQVKWv0BOIQxl1QR06MMUiw6FbRdMWanKVG9cdqAzO0f7nrVSh Q8ro7sj3qFCoQ8c50JIB+YM/N3NS9LBE50PprFNUhynQL6u/7FM+HftBIyLzmOVJXCYg+fA7nJ7y ZYYECxukKCMECcAC2hoNN25b8t0x9JhChen6LUrFllPEaG0svpIVGXwRDKUcqwcfckHEpB94vdo4 w09yJQjyn8ELYRGR9N0kidgDTxyInswAjNa2123Hflc5Ul1Dct0r8wMFB4TOipIzA+XxzyLoXtRb d4r3oeSBpLpwp38bRPdJm0DYXWkoaK4uritTlKVKN9AuPDP1OBAIJnaYS0Ot4vSsAspbTT04RHSZ XEZw/WgcDqiW4dV+3xWVB6/kIDNiXD0njLI/SbRUa4QvLqTwfsXS65bnAS/07LLhPub7KVn+ZR0F GVkLy36+mYx+eyGJ920Vc7pEznOtGYA5vOqc9ZihdBS/ouT86rb+6xBsQLY1frmTv60mJZWP67LG OMvxPVdT0hbb/aHS1ZsLId1301nbk4mP08SAfAljG1Y3jB7fj6wivh9xczn7X1JjNw9/qeeIb4Qo A4E/oR1MQzNmtaMyzQacaYOHShtnR8x3s90PsA56BiuBsec/oxEvLC9q5mOYfCOhxgsKMVqx7RPX EF80ZmvcXmHwzfjWDbVj5YdGM0FJVdhO84vPf+9gv4TKwXEvuBcVOOljhEnU72V6AwBmpNbBLr+j g8ntMnlxMKd46loQfCUvZKQa4I3bOYUB+G7WxUxIFsHcSrOCCZdSUcEnDvj0qPEXrfkH0oYbOuZY yiBlASbnVXn54bnpBrQSPFAlidlIkC6sK4mv0F+cNcIIJdPEyenKoLVAuVIYHkDt+g5B0f9AKq3L IguYPyA2vX2edfZAbeDjX2BkvC8iXm9XcVTctkmxsGSBB9YiPubvsUh7Iw5OUCz06haqBs+m6/A0 Wd7KFzqn3sEE3WPpcnpQNKwvO9xCoTCjVBLSNXalkeOI22wc978uqL/LlvlhX/GBLXbj3TfoAEVX 6EkG1g0/M8Ny/jV1lO+CubtYhmxHoUW5tsRwTcaSZ+Ym11z9o2sOP/4UhJOpW0xvnchy//mxXfrz nn2xE1o9v0ulPb9UIYRiQEL+7ZWIRJui+TtvrPVWTZ8Glms3Ia/TnyZ5v+UPhtcEcGUF7wqbMSHf 3KG8eZnOcd+RTUZR7+MON6677TxUhfhgoBQ1OPW5FRbn/Rmebz8+kUs02zjSZDbSwTnLze9cvpJT pgPRsP/rzUsxCgCz/mLwYFb5pdactJbfkqlXEu/5dPDBZ/1WBjZXjDRhi4YextunVzggsxzF0Wu4 D9hASRVfaM+bbyFO6/xVH/JlDOFvIHTaf5m16gUr4RvPpkENfzsVp/im/P9NAdi5UwQ1ZMaeb+Ii 0LKC5/jQdpErlUrei08NNg49eeW8+5qNFKL3n9WUT3LSgVXGXBY2MeAGdXmu5Ox4l9CoeNq7NE0p rIN2J6mSc1e4e0/GoQeXccJ21nKGXl1XNIXJniwb9yofpxtfM4QvUK8c1u/WRB8Smn4fOkzqEy/k EEz/8dOtD33Zoz7vutsisRLhVkZEG7xXJBn+xXJ+zOP9Vo/IXnl44DVRxIhOfkMoBVCWMADplI3T nYMsDppOLfWU3mkQGERseA32eGums7y+CLMlUTnA8MQzS8xUJdyloKcsY57uQJJ2gJIv3GqBqIdT 5q6/PlYMGtnda63IUjeKyGwdzwuod+eoIZNwpK8+4fnDvmhpmSu+zN5bXHAnrtOa87/fxt35GeiD J+V3ubIGFD3eBe2so2k0ZHjbZpZfVnqwdM7pjAJfwDYAScKNRtyalp8LV/kZYfAVImAFZP7DPzAw lNH3kEHFI4V5R9OmNDN3T9St/q1QtVY+Rg9wlvZTJPB4A3QA8r9SfVB6okOolzepyTCcRjvAeeAs SzfTRRhdppapxolyQe50dUir7RmMw8l6/ImksdoGvLEY4mJBPANQDPIjXBNl/Uoc8vJAPmjYfbcz gaUt1tpq6I8jTmguuvQvbYfVPAsXaSLWeOyEZr1q7tqMqZ7+MX++Wemxv1ZSunyUhX0nHGf2TfzK vM9RTK8srda3zjKqHS1nlbjNTzANH3ZAyYKbHSGwzu8B8+HgSdiVG7pMMP2E4KRqmX7Qp4AgL5Dm Eqx/9pANRIOcmHMyC93NKonuupHepQ8Nx59XPbtCdoAeS/eKxhFavraIhxiJAI6JOmlpFj0Y/FB8 cGlaepwTmqnKkDiUbueiNyMeUXY5dfkqRwBVuyJfihJ9WkMIgy/g0zPQ7ONmLPsCfh+y2gIcgIQX offKjkJYQxFxOMOfjWam9Edxsr5/BuNU5y8XMeygBbkE6cZPv4yJDuVjDtaSp+Ggc4HnY+OQkESD Ne8/Wp6Kig3xWcITNtRS2ifttproxM4YcpyLSiUVOeHqg5RoJlBsCc5yvpBQFSdth3LRmhL8DKw1 MqsivKj8/x6adMkVfrRzl+1IJC/c9rWWk79OTW0sZ60A1FiGjxoOvbP29ZZDkRCgDGlxJvG/2esq RBQAormlkfTTGZYWL7kJFfen9XO1nxjYA83nwI30zt0d3OXyf9LgtP5cUyWxfb4QuTCh7ZMDEwUc 6et+Uhcsa6GPZKojGV3pmytCg5BmnlM3RoCUS+pkkEt18uQREySdOLvvIG30QIfMr+flPLr9MmvC lYJxIQ5yAgfRCcq38oTZwRGLFex+EuaBvQW+K/dJkB9IPCpKuWE1LFLr9ksT91rxd/HDN/XvFAA9 owOmVSst+e0xW0WiEPWgOkOzzxQ8y8+8dWFlV6wzTkWj6+99c2LpM3LBlzyHSYDy5Ubn81lxTGBa WCsiKG1RgHLwZfisEZ9L0JbIyPsgwQ19vARuWemfqg9Hm1j2+yflbnXAuf4zRSKZZXb9SQAfYQ9Y lZ0e61NZDyuoz9Zrfi7zKV9Sp8bGcAafHC4HGOGzEaWQ9ZYoyR8/zsCFprEudvgryWpnTCH1upRW YgX7mz4W/WYHUW3TM2NP4977wsbAJ2G2NO7dXtCj8cNn6immYq76+fpMPq53DKLkRnt/9CAfOsle E8vGXejraZy7UWY6yuQ90XWjif1zuhmeb7NPx2nKyROdToga9cLfmTViieNuzSzTqywNM9PN37tc 6lNJaiqbIl01dyKUs5s18ge3PcMtOupy1+QcYI4Rbah41G2onY6h0ixXsUqVIJLo1SnhLJgSpDD2 EwyXZAX2g0MwfGGaDdbAIX/Lcfw/5H+smmKoTVSdiNIR0DV+68MbA1JnIgkU8p7R1p/JYVedNdeE Yp+Xo0LaEyNP22J8152SSqJteeBPoOp1gIeDiFHoRT7vSqJ+ALU1zPAmFwhJwespSJqwnpkIqgCv 6MFRITD0/vC2p7uhjwZlXkUKNuqZwlFfTh/xXNBDXaew6/9l1vtlz9w3Z6Ubzubp82CSNpoAgcye dnuzq2Zc/sME7L0jvy7dbX9XUe+HINugiyo4ejI7ZJnBlfVyWy+XgM8Rw/LiP0bok9HrI+NdnXP4 08cKYMoGkgum45AO0xktRF6cWuFKal/YoFx+csBF+sgiy6kyqNAYP4Sio4QspCbubnfVub6RRYW1 m3CG3twdx4Lr+ymqS5AhfGRVGWq+xqZOxpIDTcsTk3axeYkSXJyXmugnQJX21WjYadL1qsjO3khg b813CyHiqVpuveCfLkLFVlXU3FemmwpVOLBPSA4Didmxp9oY+mGNMHYfRE9xesHZ2TgcEQoeQXnX ZgXuaZRqi8r8vwYEYs5zIYzN3KEvJK5cSE1N/xdDWwlxnsqMszl6EcnYanuNwTMFz5PUwkbDwzf1 CcHQfoOj8E8xbQy/HJaTTV44Mlr5CK5DwROfycpWmeGSbAGinTd45iPJ2Gyx0GGpT9E8Ijo2vTNN all2GUThCJ8071mexDiWcPhQFIGtXyFTrzmKkKYn7bFbpqYX4uGTsYoT9l13EOLSHg1ms+J4Y/Wq 325ogQGNSP25Ds96W/0pJtJHJKzw+0u9/mrCOHqA6a3CQA7dLE6HraIS9OUvMLpABO82qwFzrj1Z ycbFFMEDshyOWHdFbL/Th58jALiZiYH1OEFX1rIULluunkE8uh8h8KzvS6+F/ft7VE5KfhtIF34q E1c4jMO5tzPA07jSBjFULPsBO/fwF2DZbgD61e5Bggw8PdQ1TYnPj0VuodeMgDs2MmgVt/53xN1M yj3ogslsG8l7KbZons054PBzZKrjX5KBjviZ0+QKf4NmVUUCve4QVTdPl5i+CU43H9iXcC1MqQ7V cE3w0i09/j9gGger3ckEOYXnlmg3HWLIdmo3zxEqA4iqBi9FEBW79DcZUk0AaJ6uA6cWQGi/yoL0 3pEOQVaDiKZVH4Pa6uAFyFRB2/OibDDs8ZtAh16IYAQkxXYfqIJ4dMtaXUeBEgMFiGxq8jNWz1um 17Hee9PKEQuEVni5nUHu5MlMST0DXAIJs47ySlnCDrdy4o/DZJiHgA+0cFhWsBLpUTxi6/+U8CEn OALuQAqXnKCYxk4wSm/snJNsNL9lakPEF8NWnfzLO0JvRjd6BLVRMSGd6DwxY2QOyYO0YhAG4+D9 LaQmYKbySTlLc+3EWPn6JZdhFiiiXcljLpFn2UkpY5Sgjk3gzc6nRGPhux54uEeesApSf1GuTLL7 g2Eqy5a2Mx3vtfPUPWtVs4ok6dhZfJ6XLqNCT+TZD1Pk9cZ64CuoWgMEn6atlcTwdOT4V4oePpQl ZC7/GOcGm+UWiQaLxw9jJXaudBamd3BsPOsk6qLX2jso8lhI+SrJnIiE8JjSqZhw9LPjKYbjsR7W 53viP1zjvdWAygiTwZcLjnFw62oumawTAmXXFITjSvRH0gITJyupTcqPIbFeK2xNZCX47Q6b4Bxu KSieYYLH5azd0aRvZ8dagDWw1o4CBEB3RadPdh6yEDZUQUAZqjppJdy1urSVpIvvfnT8z3JrIV9s wC/GwBrPbtm5kQf/U3Cao13a14OXjMAfr64pKcmT/ohmymNxWn0oHCh3iUrkIfrxEwa6BJG1+XlA aRi3Ko6J0KghCSmEi3VZ4QXUXC1ZVLfq4xoFFujYhjbub/zmgszfhl4CafQ7aQYjIDjmr8qzv6rZ mswliSEwASz7LxNHvQ/Ym+0z/bRisarwevuCLxO4fPjao+Aoj8N7ehWJtI32mTeVIpPUV3HGBAOb mECSWCn2WJZ5/kQcjCJkj/hMS1rzMP5Hrr+F43eF4PC8E2G2s+jWR9WP8MffukjsidmjE93N5zgY Uz97lvjOd7z+IqiMCVqeMBSf10TBYLm4Ok/dhh5k6lMkjqfDhNrOEdcfoYO1WENBhv4wwylPlNUn aNkjLtfABhcEJ35WXq2ZsrB/fYQwZHGU1/gMbmPQHLo5bjZTzWni50zoY8W417QOgIfcbMzhGFls cyTronY2e+hb6QLU+nzdVfhrylHtEofg32cI6cqOdMxT6bixmrU3haPSMYXW3GMpaRoDVVPhbAqK oM9uyvmnD9F3JElrznhx4QZiOHy2giQyJJ8UN32mshIiUkee9LwG2V0Kr2gl8naZG4jtM7LsLMLq xjK8e+nRf0b2gdLid5Xw4ekzIJ883YKo3ulmSjuE6AceD2Yznpx8lKnbutJ7Gnyxwq6bUqBKFfBB HTiPNRy67b5+FcA5WGWq7GHtzzFHV3RjxA34bcQNEKwNpY2vAYoSLPfO0h6GLo4mlatuQoqApZFF BmUySztR7tUeQowQOV+Y9rfvIKLpkPIIcbcaxqdoDD8ZhDrCp2ETmFlTJOGGTIry/mMjcOyu/r8P rU4jUNtA4uYWA+ted3DEFEvud+kigdHkX897xb/O3SPQVxpiLcceNJCnRujmXLGZkiF4dPhZoFXH /F0ZjSndwVaTg/m7BNufEihrDApcz4X21m45fPNiSeFqHTf/35lSf+sfJrwtPev6l4M9xYJeJ2ua 2ABB3R8Q9DktfXSbv+DM4lZ62tRC/9pOMXh5vqd4TrLC/PSBae+L5L1VGAglEweaDuOjYIePBA7R ar8XHHsvkZH3ZPY6bMrWxe/DWyqVkWohPrDLQJ7mO99nOHPLldyNFHUaO3KlCHaasmn6nDV0SXMK qt0MLN8v2Xm+ecbegZlZFpR1wE1rKOVFqX2PTpDgUsn2+WTETdLvWgp1dI9fpeZPUtc9F5R3duLn fEpH2DAUALVLUB2X/SGPUu+TtLF5OVn/6oA3QfjnftBrn0SZ9me3vFc8LNCg4JchsD81T9IzjzaL CSe8/e/CapCPFt9DMw3rEfRCnkxuQXmsRgT/kjr+NHNc9wIFGc2mgNKFmH44k8k/Re5/akmmPz73 1Jyhv7o3aRa7etadjbWcnXU9dfF5xut54dg2PDCra+ynfA/Z99rd+ywSBTszzJSh5aJAmqdKmuy5 CwgRYuBUna7bRxsd4TFDPWB7Kb03q8xBFqtOwAGyCVDnfyBj7kLk+EJor45Ft0xgtqD5aICDsoJo 7U7aOcE2bfY0kAuBenpXp0wVx4s7/BQw9xu3pqCe3yX37vGZCszoPbAcuL9a8ktAvibQqwjW/5Dg Qfb4KN93G3C2nv8hN0sUBl3DVkss3S5M1/y+VtIf/XHqVCz2cPEOcG2phrTBr4kOGpaWT/acuPRq wiN7tuwV5btbktvKyHz/2dXpZOiu2XfiB46knIrU8X6y6m7IC9V7N1QtfZxfxxxb4RZzibzWJomc Gnv/h5G1F8xQsNvBiAwo1IbAwpHCnEq3Hy7eM6k1vZ/C6ktXUkbagc2KtFjC8dC08x+cpSwhLzCE XFflgYv1bWQKHzwaY7+ekmvxTrKYTHGmxIs229cpoOjSwR6hvK82W48s/GB6/ebWi9RA3d7XRdkF 85V0i36CmawWPd1DcjH4qNRmo4gV6ImgBvR+CuqxZ1BmW6SN1sSU6zJjBTXhJM9i/hnf2XRcvZuc dHREbJtPt4+5oQkyKVB7IFPiZtP0XO1qiv6vYNutVd6LZe4rP4/A36qp/6G5sSB5e0B8+MN8Oe19 qoNGH/CBLd3IHvpF0kAovQNWw5WRRNOWigIUFsSQ2nRpOpbbsvCk2MG+pDmrK+ZnsiI0ByhMqTg1 Rw+KYygSImMX//4B4yv6lUWSUjGg+JM6tDUyQJyjMSRJk+NEC9nGxBvbiIOrjkH8b7kIrua6Thdt Qj11h9qv2V+iYiBAzGOKX7eEaK7uKMVpSiokqHDH+N88L8/e09L74LFKgLuNwIOY24UbenhkFWCp hDzelRPTmb6TMRM+gR9jjr++Or9hmFGNdJmIyU3sm44lVFyN3nKcaxQV2+L1MDPszaA3bceISsEl ho18ckxcGZ54C2mGg3AYEPYIC5EQekYy2D8x0Wb3afe9/zMPQPG4IjBj2yxF2JEbZ/xx9/zWodmo sw6gPVfhM7/nqMUJmE1z1HRC5JatX99umapGC3CBODiWsJrEOcu4TzON1/7ZYNHrrA4bR+ogUp+w kVDoC/VP5mZ+0HVN+9bIxT3lhMphbli1elGPth7iVq2p+6mLQcopi7MATSqMscZ2o1ZkNRUuutKH wT3vtFf48SMfDny1rAu6siAnzwItE71IgBKRWpgYd07+oFnF0Tpb4uzKHpvJ+qEqVh6DF+ZgRGLy FU/ccqNES5nO+8dpd8bmN1i+7dBhfgzXuaHxUtJY+brt983x2O00cID4hAV5cKbdotAQdBktNb4f dOJssZ+rYXcPSbXlqKQUSHpQDWbI6CeXMtp6rbjLfORZ6bp4ct4CTAMMz7+LY5Cs6ropixwEhC6w rkpvvmjQkFobepE8iO1eM+YtdIFbwwEXn/EAVykHR5jwS+DPCIaBfJ606nHLZWSvlqXpx3kLalB1 7mA6Y9NLD8KN+RxHQyHYjr9frnKgvA38iEy0XVmIBPkQIhBmAOIDdPAjmKIfiNL9VBalZBGjkqAq nK84BCMCm+NY+eReoh/8DxFqIAoojfMUu4q4q1EYeyKkEC41wORoRI6DS4bjjunRfd5Pl0H3k2A2 DBqypfOvuhcgJ6N+8MmeyonPaYw0j6GXLGOZoRdSY1Fl6I0DZ2eaPrPvP7Ukl3i1V9HCdxBjsbG+ GVgJyK9tdsUA0roC1flXNuwJzyFJNtghDbOmB3wJwBVXvFfJ6qsZGCVM+QCyprh0nri6nFSYtSgJ 1M71sySd/77ORtSma9dOLr1KTOwqwz+aY3qVfph2cTFadrg4Gtexbch51avsmc0iL00oNPux8ocW t9DUxMdBohMqys7TLtVkZXwl8gImJ7NJ/LSnbkmDfT/owBt/r1lpasd4ms7D20oYjPRtxk49h+7n fiSOlLYteY9znyP43ESUtG7Q3vrM6UaGWLjHJp2PY/CYbE+vDGhKf3+EFasJxx6kSthsI2TUa8LT SqVuYfwvqKe+KiR/lNLgU2XZ6YyBUxzLC9bKDiTdDHDNri4faxxttqZC9UfL/puWAwV2JHhN0vzb +r+pcAmXYsvlZS3qZEDc4Bhs0N0FfBX0EE1G8QNERrbM7qDOTM4rsIuhZaLmRGNx/wDsFcE4CUeN 7y2qfOckMBz8XVKvCx7wBn2K918cQFd08QMcHUfYeETPm5clnIlBnyzvQhIX97P7UzoRZC6lr+J0 NSzZeXQl0rWqHtczDzHzurF3/n3fxXJm/15nDNmK5jnjjgRscyWL2hmz39VHnY2kZPJ/KAv0ExZ8 k8Bs9Abno0jKDGJxHTyvMz1n0IsG7XLgma/r+L76JEzIScRRnVaPexWRClK3wMIAkf7YLpesUaIh hXFLT9lkIodZTzoqUWfiJTLXDttLjBgAwB/Tq56wmwsnumPSVR6xTKF4td/9UVLMf+O0pBxRUHkM yl7I82Zd8FdMnbWc9EVtSSVwCV0fvN+l+J9NKXgX0UrMQQ0DgjOxgckkXjpJYYdMGX87HxmLG2fR u0pPEZIJCvKzx12R/+0HdsZSbTJ/YTCll/n/9WP4DWnXM6BQSvEmSNJmeN7FfQQScBLc50fH8WqJ ntcrdPk7heHVbhIG4dfhOyFaqH5HApjooAPOMSIwhwWxM/JMDA5GJXeGRdnCUCSNDRn4ULLr1T6b Cd2kDs8iOmupOYFZIsKjra2igZCUZlWfz2eE1M/FNSPG5DOav6YF5JpZgaHzewbpLtflklbqVFwa nL8lOzkh/MYHjPFJnIEFbZ6EQv71Th6YYqmxqwjszzKRyXb6LOu5HBdt30r/USDYxJxbZj0BTd/j kfpEUmoD8FfORzBZbG1EuKM/3ltbPp1Wr1JwKPXZMestrylLHCfqMSDzY7ykKlisyEVML9gHdFmO 0c0HbBS+FZ4BMX2ficsx4Kbl7EDfmSNYLbpw3YE2mR5Ga0iTiwJFCjUw3t/fvJ2uN5789suNMDis epzQl7aUVGvxFVMdXYTEg9ZC9CcshZtCJ2hmxsLqeiHJMNPorAF8mGagdTyFDO2+eA4TL8PVYwab 6L2rEu5B8b1FI65MdRH+OIt0zmOsLtSHX78DFE3bwlJouCM2he382ZrlG+yV6ZGSqz1gj4SzDuLX 0lHxEdqiRfTfHvT4/L45sOprd5wL0Yl+GN4426lwUlSoktAB4APFiJwSRUbgNUFGWe5dvEy4WpLk HV3FA7s8LN5cZbx/svO5U3iEOOXB4TtGtbT9UL+QkwuoqiQYrkKmDo10D9ricyjzbKiEa9j698/x BCVzxq0OqpEPgBY0OGpaaNp+O5J+I5c2+PLUl/KzFYuTZTmAf17guuRinpTK13ni2ESx21R5fpKs +nlsorRtCog3dr451H/fACUR7Rk2xm/wHvbaOz4uK72gCaxWezO3UCpl6JAG6ycLsBX/UaHMqPAw AW0LPt/5o6zOIxj358UL6j6btkqycUpcAoiGYGFXTT8kxjyWjBuBBeirMxOUypqJl8KIO8TEZoSY ozaNc5u2gHjNGTwGy425b/79d5LiPb3tLixE34AyuR8dfBCLzwfFjdaoajtWwHDukPDAuLUxWwvc CoktXJuRdklDdcVZEjn9f6gP8hIJgXpU6Jv89A7xQvY/3ndG/ofo15wOCf1GaAVlZZzYeOJExpr+ Ksm4s3zkJyygG1hthMB3a1gjUAjUwKcIW3xtZ8mvAyZKzcMebnmvu34te/o2k9Jz58wbKIAaatH+ QsB/PYV/DkF6gg92d3/LIcfxOsAlMYWErNuX/PbMNYA51JD9YEZvadHih6DEBuR088LQH4nkKH89 C1QKBuZWnj6jc/ueQsv5RAmtDKAq+SVa9mv2x+KDV1LU+xPSn4nlrRCTIt1X+31NTnfZoKoIS2c0 8irrSgoeawfEQ7wMSmYYZvknnRuz7UIhN90/PK5wnwGiZcdhpBxoa5mwvLyBVGnB8Sr+2p3ZGsII f1a8nYxrFrckMkVMsGK6zQPN4abA/gz/SwsIjxKH33FhhODJXBLt3MLCTgUpG8piyPz91NM6TS7O Fj+Qem5r4+nNucbeu880rnimQpbzE+DQ8k3So+DW66MFp90bNd3n5+2Psc2CxwZM1eFIKlwr+FBn oUISmaoHj96hsSzwejqxdyInJlL+NJoz8ZyOizpdNXGYZi4dsiNxw3I/F8hgMEYe6+wvcTVE7Xz7 oCnWptq0dacDkrb4qM5Or5rq8Xne8n8SYRgrkYg3MMSaF35FXtsiLP5H8E+P4w2I1zM7pf5jfo8T YtWfZMIM7THjmAEey3DLjJvgGlJS0MQ9eTqBTco2Ey5qfaqSsCt4L6B/Xa5fK9GrDSW+8b1kOb6n MBpRCjz4YKYqWaYs+lG0vVVAwlLC84jBOLOBUAxEasR+DH+o8uuhZeiEnhLuG8d26zSnKzG5/cDx uw4Gn8uJL358wofZBQXxxTvd7f5A2izrdRH3H/KSuoeJMgtb/FqFN/+ePWWLj4xoaDk2QaB7esSg FsCoXvAcCPRmoHbz0AmxgP7R9POCh1rel2bhw3tQErWjySxaK/ZxOCPuyS4jMxEGvl+vB1Ef91fv yP4fPuHeWy/xKhMrvEWmA9zNEtI5eaOFXgG3tnb48TNUiDo91G+lppSX+i15JV4IUo+QGLkhAa/i Ap7U7J1smKrFStyg3pqG6H0ewwp/y5FuO0zHuhaIt81QNPl9nTul7qT5MPQkIHzcUH+vMIUMIljH 8s5jGZ1LjqbcUne5S1IPWQK43C8Lygt0SuTRnevrzHreVPXndHkuqVU0fap0g7Y6UOM0ovJRAW8l g6j0t6XmV0qE4RfrSRB93k+2GHhuKpxepSDPDz2b2F+DmZS9qnZ2+Azl6Lkzd4vhgCSS8gIMMefF KsHfItiA1Y7C9FxO1Kcb50/bsC+bSlCyUoYXIQ2iR4ngxyAmdINOBX1q0kcH9zAj/f/l5g6AybBu Od6n/oB2ZSFqVl8GjvwRaOWv1alrs+0b6Bwmqx4KX7UT8xg1atWT905LOG7YdYJ6BdCzJfj3M2Xl DMNXbncDwDHW6WXcUdcHGsje7Bz4IRRQArmd2M9QUoWr6rEFEhPsg7ZtPoVATdLgRk3OmUCoY+6e bSgKGyXYuOw0/r1LTNKYXKIIJFYQMSE3z7FT4grDJID+ICTk7QbI3wftskRGn9qUq55z/M4FLZdo nbAefnIe159LHFmlUw9jZm4pz25/ZUXTnc1Px900hA53wBuP7E8BY5/uaedwlqvzN0nc0jyq+XWC Xku0T/rCigGQa4GYPXLZrN41qpcFm0AvumxDLushERrTHfcKrlRmqoNbvAA3tsQjxPoWquPZ5LrT B6+vN9Hmsw6Rl8ieSQjd4q5wxXMprJKedIiKxbGneHgnVfzKT95AZ/UY7e3da9Oes++MJXJulZdh BGJZZq2OMZE7D6mNnN2qNi3KvwwSQGNOl79FhI2t/N+0dCKtphgcM8yAI9m042QBmIhYVA0Yd0EZ R4c2A+hNSqQ/LDPEWmWDmt3nJGgIO119v5HC0mnOkLxdFCYOqN72GBQV6LvzYZ9boyjNBtq9/c4e RXDyXstp2hfN9mLudzk0xw5T2AyR3XCDc55fpaQSP2jOsetcSkeLWRlMTd2egh5njTho9DKMXMDn l5LTPFOsAwt102pkvMo767BgBGIglbK7Lq3Rgj9cdnyLrSmXldQe32aWMDth+qJRa37WKP51lUQe FlQbgIpt+0a104bhn7hpXQ2gHXWYN7I12+CSx/zXvo9bZvWndyWCFl7COFKKl72IywxzU58cFznW Dh1Z+ch7Ho/i1mrh+WjVL45wLXvXPj3O1NUWBOitHnzJRDMwDlFtKqZKXRW+dBy3fapw8382b7QE xFPigbRObBPVIlHeXxXqw9362UiupKhnwtN3PMdj4O2TJa1D7z87hShVxqK/i5GGb3RaePCUlqfY 3tCmniEjCr/DO9C+W6DBcgi6tDn4wtqyxbtXnBmuul/lDUf+VdtM/JILUMdwPSTeMZ51JbFDYee+ a777+YztOBc/wzmqOkKngvdAHuPhyJaZN1H+OpOwCQEIZdAeONF5fnHUrCIR3UJ4fA2zU9BDOiRe 74MX3HqsxfKtTlzmpGrkSab4FXJm1WZZ2oYQ1JXeIcYn5rZWp4pR7sadpTeVybxbfYiqDCu28QZE vOktT4mbbq6CylJWl7ir8FbqGmLH40flikhLZ/ZrZBebVT0Zwzie2EYISJzGffso1wMvGVwJPrmL 72AxS+2nZq35auYxTe5HwNki9bQ19ojeoLdm7prfW0cjKsygocfKLF5eIlt9bwncwRj5QvqCi4n7 ZmRhEHyJ3n+UqJH4CVU5n68O31y1Zv70xv7udXTGES3M3EFYsiF3DWusO1HjfK9ApHmCDC1GnG7n kvreRCRpw6paFVWC8vRwFEbzKmRpseZPFIvYSw3YR27SyImISgUf032i2zwy1/kquykhKNQ1Ql0z eZ35+5gJv1bVwEJRo/H2jU0zHTkP5WA5V/3Pfi1i6O5prRfEeCYhydkiqDhUK+BQy62t+FOqc29d deGRyWrGKOUuILXWI6xoL2DABipXMkdAboOVFU3c7yJcvE2lSyLe8iRnBNTwKc3G4uMITdRdwVad HaBnPwA0RtT/ELYRim/or8/r2Bny44ir2PfVBHKYQRqn8khIGXKweT/tG+TTm00LVpdRc9I6DkkA 8MTJjkcvC/REu5k/o3hJvg55Cqmvgz8kZOmdaHCpJPqjidVBSXm0sJV3S7rLL3kuKwiyoTTCTOi+ ZtA4PQ2TaUNCocc469raGGVJSkx6zVWV/mXWVjxoQPOOLXyIzy8ACbDPMAWbt8K0BYmgEQeBnNg/ MU76fDJ5BNk3PTCEIpcI2GOvUjTpTfB45gZeXPVFT/Putusyh7SmtPk5sYcKuN1u9A52hd64gFI+ LX+p6laKRLAGhlntaHAY0D/J+lRqMUGOIjEjU9RJ5QkYqcHB274DT3jUjWb2Bs4bi1Ui2ziQsHjM 3eQdcF6+Zz4Hrj0nkHMfcF7N/mc3te4rkLcZmdRYK8BVFaix9noEFBgKHP9DZCBqdDY6dT6lyJoY rG0UlVeu3wvLg/dpL7w1juovFp9CyOaMUXC4Lis5n/tgA2hYzskQXXMUmyhy7o+BM957iQgJbl0G dnbrMjl9wFNCAw/OYMe1rS1obpBJA+s9WWWjwF3+4aO4a83wrOkB28I46m/FpAxRrTRsAGMAqEva b8KV4/CI0+yDO73FhQ8IHjXeqZI8fbIylJkItlNqV5eBuyFw3NhDUt2HzbzNTti9mFInQoqQMsmI FbUWUSRC5pqzbqPkjzWhFy0PvMA7fEImfGbdh9Pjn5iJJOy6aRydDSjGfqvrAcS9Q+WcM6KZKzpU 7KD4HQtFwFthpbQcvfbB3LhdIdzMDa0CY5sfMf6b4Qp4HUc9UYzLLUXO0vIvx0LDYf2UXMxus8vm k3s2BCcphrVCfJWSWSfMFUqSE2Ji0oytLRV61CTR45fa4eZdTURYx4rBakmaNCv+LuphlJRZKF0/ YiALs9/ffDmUmukP86Ts99KadmQwJCbfNOmy2V4c5SlMb1JxaXnlGTVAMNeBGdrWzVlLFmXV4zhn uR1tNCgSBL+QjSX0N408HUBa+EcdTbHfPafwfZjOM9EqkZSAg0ONSoEuhjSbc+wn5YXygJ/RKlC/ cpQHICUqcZeB162pXqE6f6c43R3Ij0B3UkGNEgEekmbDek0ZOkTJj6JtK8wQzZpmMh5hHzRpj2EH d/wsqxNTQmg74n92ppBr+X0RDGdj4TihwdnAlLuBrCreKbePtkU7O9iJ9QB6tDVrhudh3xoBxWKC DM2vlqxvDbNC9EGkG2YaG9SvShIVahQp0nkHHRMQMXGjOzYiXYYdX8/+L8tLRFgw8T9F72iWNTIK /UdUXESLpoocTPLz2OtsWN3zEtjFzP511fCCZRAH0zlB8enjsKV6VDcPc6H6s2IuIaNDkV/79Pjr lSecQkan5Pdh4qjnvdOtlOMIAmZP0q+8pGqkpb3+0XzR8YKRGwndDyCnhKZaxY41KZ8Rj7P8CAsr uPN9XmqzcJhMq7qBak5zRnNxR9Irn5XYWzEIm/VxU7JVsMtB71kr0/5HGG49rMl3LWf7tZH6aQOg QL9w7W4XLgMnGCxLaC+72U8iCy10i56xTpJTSciBWYfw7LCPS8CaE38oL/5O7zbgsbLemEVJN0TX SN3sSf3ov3/gC6n96eaUkAofzptXB2e+Ziwx0p22E60Ex4drgjhyUNLyWaZA7Dn8YYba1xRFCCez OhpYJJO2OD5Ixn4BjBWttsvxKLn0K5NIRVZyqKYSMrgwMCJCiJ+t5aoWuH3PT14MAYvMzOt0v9Hs nz4+mvUynfnLwHmW4u0JLwjgK1EycZhF3QvWMltjy2v/aTY5f9SkcXTQfAlwHLenZ+qEha6MCDAC jxKL9Knyk58VpdhF+GtCepeZgCbYcI438vtDgOf0BNK+Emt27/A6ffzJh81uUMQj46592CYMRkrX P3JFIVh8EGJV4O49BEIseaIPkQSXstSwFksamuX7QvUnPDW8KOlnx+PFYCIyUdvbD7lgGXPZGO0q EiN+vqQyA9vAqFWbai16mYv2Kj3xIQkCLeLvBDNdLRLpCSldPok2WPPwKdyQ/5cL16bhlheYl4lK WMvfLgLF/0c3SJ3vFizY8HvJ/gJeTRsVOLpbrvODZCjAVyAfI8SF5KYL32ynOGcOB4JLce3r9xye fXc+WxuBNNnhG7rqAHGvmyApveRnQJh4xIswyiVlkcYlf7Jy6Map7cSTJnOGRO5mlBYZ6wx2WPq/ Xpbr1f1cNmbbOFUhRZkL7upCsq9TqsfYYiTCeRvRwa2RJXI4jHvm/cPv9T5Rfv9zrW8pl1Yrcn8m klFmDncr7omuss9gL5KOTBV4LYdyJcXIqkBAJcG83+P52NqNXcu80dHfkGZENyLbGAdeuT5UDbkQ BdQPCVRk7NIbdfoyRhK4BxohAmCnQIJKLgEPdO7ZElZrs/9PnoJSvYViTCXExy/gsgj2gYGHFQ+0 20dpYZMvfGXu3UV8MA3BppVTBTbxE/C/saZGirovhoBbdYKRNuRdz37nIEGS5uM8fqQMmcRR/0AU HfCiNrnhT6aIfxX+filUxE8cJb2Jd3IFQvDzkGw5epudugswTq+7oC+gkEavPwq5FgFygpQObMuV Bq7RY/+xjQCUj0+g5sdMRfT5iPQ/H+jPbZ0ZKI092PhEYNVPmPt8AdPOl8ms7ELYirk1OV6TUVL+ C9aoQxH3SS8qTqpbQkLOeYFHg8EhYob81Tn/QR8AakA9ItzbPfy0Tm4d4qDqDbxuXzwiAkQt1dzq fWDFPAslNUKkYAEJsKAPdFB0g0dvsZeqYZ1dyoRmj5U1qWavh1pejJ7NMU5P17eYqCiQrCGlyWo4 MLgO1uYbbvgDkxjhH3+7peSfWxtFRdzNhodGCZhJpqR2djSJ3k+oq0qTM1RDBkmCbYYd59uJQ6ge EUVXJnJDb2WyawA7ssnLwtxe+EG2f1m2h3qG29P/StLmuy4Jl4JytWX3cvGasSJojwJouL/khjhe sojAfQKCW991tdQGV9SsZEItX3UCYVwk4Z7SiI5cHvwqtjN3/mkMvws2e+C8YZkPrA6CgcwqFPdn 8MSWnA0VSND32vuAFsGXZ+eXaEhGAWQcZo1WcTaydnbJ2tzP4iRQw+0E+9fbSXsoZb3RP7RjsJA5 4a5Ph6q+mNH93dJnNGsIqKnjb0SySHV9YUcVeWzzjE7Q5MsVLPMcIQP/7AgbZiaLgQYXlcWvMFIi zY77dHFjCmNpli6PRvAV9/WCyI5Hd3zWqnvguRYfSyfR1Rc3KyuisKMXcQ9LHkMCNOYm5nPAz6jV ztaDuRWycoXdQya/T3f3pc1Rr8gAmtAiefRjPI+MphQaWLdkIdwCoeLAIeSwFp1Arhz5TIkIcjM2 z6QAYXY55JmDrR2UpZFX4mUZFLXWPbBGbIanSjib6+i7/XpQ4IgAFtZGcsSKAr5aaW/dDl3U4j8w eUk47bdGUux+M/A= `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block W8BTxozyUsN2F6BjwRpQ42E+TujraEVKRNxlVQMmjMjEwSUb+2s/9r7M9+9lqZchtUOesX9+piND 1wcCUUCy7Q== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block oI/LTdi3eVpOco6HQnYXoyfPCEuo/LfAXbvrO0tV9YGGwVCn2CUNzYl3JN7CQL/xe4pLyAKZQaMj HAa2pW7ncGmkLUidKmMK24fK1s6TXopE8cF7YxREGtgRC7aJOibofX9Ogcrru41CTCbdJgWCFHos suR57vjMoIlgGJQ4W7c= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block bHl/QJUYlA/ScW0xSwRrs5EF1Jk46e66BBLINgQIFTDiS6wQLsM9W8ubvHql8w7h3EDwrvDybQzy bgO51YsncDymBOShwtuoUUI1xKcF4+HxMrP26tcJwdDWr1DOjPZJvhn+yTssqx46K+ZLZY5JJ5kL +JFdyogxAsyJ8pZHJi6KSceHjqKYqpSgRbG60TFe5iewx7soVGPJiYWNbvWKstrZFPmvUZRYceLp JWJp83yJPrfuuIklMGOwXkZaqsHkjQNeeJuVyNH2M5mDpERHSk5ZK0EKbynVWx9OeUJTwN1JK5xk xNdmB9KGoUNCXmPLRfgUmpjGp367VWZGqvrSDg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block nJ45HTbnUaxsP5OcIUbGi+R3kyoohlxuB1IwzMnBuG9f6vydAS5bqyYwD/Axcx17UOHXWIZB/ZZT t1oa80cuA7F0vNHqRo3ONsL4Us1WlC/zQJTR3G9zx4GZ7dbXyb44eoGMOS2vIFErztGt/M1M+09+ rrKNXvcUFD261fFA/nU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block dyvaUtYZ9xilQ/zyjGw7jv/udjaIjl41Kz3OxJOVoVlSEnWNI/m9jMn9f4aHC/GbxsI2xkNakKFQ EGOxvB0qNsnGrES16l4WuuaWrtg360YLYOHvWQRh/iauBb5c/JAN1fb0TQyX+7f/z0CPAg+5L3h/ ubYn0iWaxt8JG+6Y4I8ADgM8N6CzGq/8lJw4/3f6SxioSiORIzpzSiEdLNUAHWBLaigVvMK3vkhH RoB0pQzlaI5PDkpi7SlefyeEcA9L37TBBo4O34g8jrraNDwjdJt3rXgOtZKAYLZoxx4L2OMqQf91 kxAEfmTV81CWBR7YiAWk+slie1cpyqBSlBiEGg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 30848) `protect data_block KV1RooykKxUVVP22XhI6BYdwHEwv1s/Fayg/EvsgOFSdLJ38EL1wvzQij2QAUYLIOzcj+DfnCpBf Uvf5izii8DlunQsARQB5UKzYZLAdyNBLGgl62o8c45tDeFnZBkbu1JNrwJ/J8njeD1u2FTNKpTlA yThrUdrdICtyCr6uziUR/3NGcklzKNnx9xaD6Uuslx3975UmOYdjGvLbUDt4tOle0hHIqFUBnSE9 XHhJyNH2yAMdw1yoQu2rx+pP3MTPsA+4DRzLgv+CCvAEm1+2NsDm3ksPb4X4M6Fnq/tYxmDu/SNL G0FGOkmcVun+ui78cI5HDCKU8sE2GM/IjKEBbMISkGDSZMNUsmRNP8rJDAjEhjdq+mG0nes3eXC0 RVmEAiI/W83fXVJjHFtiADPFaCUV8XOeCvdKwuVA0YFLEXzHqjjWP6xWf22Iqn9zSdWOzrNbV6GK hvgTFQH9ISMKHqG+3NNV73xW1zA/6N60BACUpu4Y3Uf2IsbSBoWeU90EjBV+sJSaA/hsqqdcJ8ki RnqYdBcrJ1k/HRIF8qmD0SAfepKmqHwUzI926opuQ2TIucOOAEOFtDXWANdrTGnwYsYnWOVq/NqY PYlWwC2fEUUc3idjMpEfW7vk74TCXOqk+uqrdXRo76PVE2vvBAJwXdDdPfGI/mNqte0JM8HCyxPG rrvQ1G4KpxwB1kETZlVpqFblDMxXavZJUtx2t1HLTo+lAut0i9jwHXUUbUoCWRSh4wgnUwzIuxIj /4hqspQIRyW4rJg1wgA/6wiuDHZqRON9FJjCgDsfuXBWL99hqVqzTuCdWQ2qcCPq8ZuvBZHMqzru 6XarPp6XTJuYRWP6kgCJ2eY1UUKQA0f2QEz4FoLtCHzTqbgZuou6IHxxoj1hRWcWn0FuhgCibrGT jxn9iOyPra98562L+ZyJoEw7HsCgowRltIWqxKlpiqolJ6w4FnH2MW10nPsraBQ1rSJzZ3PLEPWG pRdRHBicF1jBrNeXQ1nuUUSgcBfHMbHVoXjSjZ4FE089QBTOHl2aYA1heDdDMQUaq2vk8EDpPuR0 CPjHDFlQJ2T5eWlpPeuRFclqzZSFZZBNG2Llh29PoWWisS+FAXuWmKrHe5K7URA06cl+1+dof99n Exl96GgN97/fXCQiy1tRyauxolAVhkO1Ytm9qRWowAJyQCJnITFlrYvG4UwqcLoX6ZqIp9NFPGZU lWG90jNJep1UjtHVf3z6ey4SNtd8TqSPYZx9IQVJYXQk1jD5uzU9X0TO2rQREc2xHviHUS6QSKTW DXc4272oqo8VXw+TmzgQuNVAszCPTDMMhBQ25mw+Lft2iCnzjOvu9zzBwS0awkzs72c2GaKtR+wB X8Fko8xrycHlmo6d6/hzDkUe6H6Hrsil51jp2w6/SU8wHesSgEJfeDzXzeBEyUKz/AkMjjxFkrNv BKffimb4QxomCpbDizFlhbN3/iV1K7+acOCNyICPrpdAF44Z3JmutVLCu0+tZJojAFrMk4c7jei9 LhMDpNrV9BoYtIc2nPOsot0iM0AFI8vHmh6OmtNteLkV0Oy5SIlcOLcwFhLoCPzcYYFsOA2+ztYL 4wemKjeNgGdxnS5IKVAz/67gy0JYK6t5MT5U1Sz4QLtwXRVwIl2cnzbKCGFyq7PYpXiUk+xR6HP3 D6mYkc1yqcbEe1QNZTh59CvgBcsjPTbFlX36h8jKnolNGaPTWIPc6uq1LGVYK6i/lsCm5Jlz3zBi KkxIA6XM4p7DqB5EzrbF1wc0S7vr1nAQcMqNb2MaqV2lhipBwC7h1gosRjVMiFpK/ETB1oBHY9ix HyMVk6RWfNJ8htyT3y8fXpiP6a+btexSyGfXU53o28z5675cmhlghA5VDi1abUgSGJ+e3ObpGh8g aLxrTk7xG4hArl2XL/6Mf/EBq7oYgIL01MXTMtkop0FnNTyx7A+gc1PUXQ5NxnCMDNJhYD0DaLkV PDlXXr5gHAfNhYW9zPUOJHE898NLqpMQPnyBO4cBxalcnnbRXKT5C4c6Oc1RnoH+FHSKyLcG4C6o cfzKCBEHcH1x2K/cHCYbwEiDI1RJOnM9sBskOTuI9/M0mDoWSML4uVaYFO+GF7T7eSJ7DWeJ0EtW JoWX5eWmcaxb+/tobbyjm/fUo4Xy6UxlSaoQy9aNjkw+2rX8zsXEsnQYLzjwdxmSf2uVcbzhYa1C NjHQ+vynqwGnIVMe56J5SN3kOKwVjCw2B+g/HJmF+4GkrU2artd0V9DQ1v15j8pK0Z7yNpNO6gOn Xw+XesS+OxKW6f7nEf8UgxzHOf2JzIggLCvRKbCBJyqd/q77B1pa96eApLMqwMdvlOMMxGSlPNpM 1pRP/nhWOZixDxdkIvf1W0K4wRJJ3nOfKrZZ0FPn8KKiVX2Zw8dtVUc4S6x2GX98rr5F8TlIAr5q cr7ZbGHCWJS3hJ1kHFttW6MO/cTHULZZYTGW8qHxFW/wqoclsQnbsEHl7lJqIL2C7lCZ6A7rd1Kp fQ6uFX3UU4AscNnbjXWnnSnODj0VBqXeDKBBHOFaOHBxwzb0OGIjkjV1zwtUlBz1+0dPCzZZGobW 93LV6ZxTR44EdBrpCvPFoDKLAR14oHgf/2lybIysoFpHAS9DuA5VQ+daVEJojx6ul65cX0FKKrSJ 62K/is7K+3MPc6EAlq/RQnFc9HJbBmd5uvxUxW9k5ZVPXPPmrqRb9M8XRWn22pUpl4Z8CK+QM+w3 S8uvw1FhYspPFMY2pyWA9sT9Jd42VNnpwpBIqSXYVBh4l5lSEIaQYDaembmUNT2/UbVsRfmLEbWp PI31NfxIG7Qczovoiu1s2CeaA59Qau32hzBOs6PiBCF4b1Ts839hKw9qOjbTozLQTURkBxQcvhk9 4uXwsZg1nrF3dZ62fip7dDZiwHdnxWMucQuDK2ji6qpU9hb9ud2s5MivZvM1c1BVRaRG3WNKzlte g/VlYO0G6UbxSgjo8k82TipQhho/3dw7xf2MWqjoolzTR98JpSFDta4laGK+m5UC5zZJK2/pEbnv NCIH05L7Lox2Hhgd0m7wZRElaKiu8jkCAD43ROHzfgyjqUYCq3x5mMeuIhwIVoyQbfXgPWfLRp+A 1+CAVrGfn8mf1TS4Pf0yzsMFUhTJdfz1n4s0NOROzN1Mk37GVdGMQf6bpUTUfVu567rHXtedM/Yj m+MvdGmOXlHj0qlaOIh/oX2cXj9b6zP7VqLAvgqdu6BaG+e83NwPayVaDIMppjNs6QOQ3k/HaBF9 G7h0btk3j/wbXAFL/wTYeifkf99z7ctJtxicuNab8acglGiezsyb9bYTpbzSEfezRDv8t3tSpOmQ sA0RVF1on0JcwwR5YQ8QXLfNNjGeZu559zwjeydGt/KlZqVJ5B0UfvK5fU3alGYPUEdjJ+n+Yewk sfCnV1ljjRd2FtBQtylJ+tKrUbltlTqH80g22Atvy0DG6MuDt1Je3pCk55v3e+T+mTLJ7iWlfVIc 9VFh/XYqvu4JX/WpsWRbTuJn+3AnIvoGZ6XE06FlwR9WwC6uwTMTAuU2BsRC4JM6aYhYDlfsfIRB N0NNpiwyen9Rewg0j3N6YvF8drfikheaJVaVAeEiPyWHOy1nRcdzpTMTDgvLKeO4uSp3TlKg2UnK aNf0LEGyAl0qD9KukTV4gGM/y4qT2pyvYGlqtSdGUrP2vDdqAKiRVTbAMbX/pq4dQnhQX8/w32d3 VoCTRSnwXUegjxWdEU5dDF3MOKYMFAShiTOz0zb0ZaH8W+kQFXhyMvBGq46Avns6GV4HumDjEiQM kvhWaJcgmFEwWj0kvlDZ+qFo4t7BCXINUc/1HoRizIBz5fZcwCVLDlXSMJBVWWeaPEDotXQATBXs rfMsDsnCnrOiNgAVd+XM/ye1MfxKJQJ+5KZZof4kO0pG/Jk21JMYY36SH8mbwqkf0Ztmb8k6gEqn KGM87Omt2FC5UQiRKo3EKBGx41Ru2nKO3QJ3VIBofDGbU+kdSgHwOxdqTQFqyDrWj/4k+kvML3J4 Y9+/I/fp+TT87ZcDpcc1tIil3y1fNFWhsqLh05TJgvhozk3z73psTnCwuD9PlSwbD/rRGcCFojjg W8Thw0tW2ITb5/b4LuDfydycfYqQRw0Ojw+k1qIodNTQtZfbMRUKDF+Mnc3W8rJ0rzdWRk3DVp+m pY1yOuKf2Ym3Hqirqi/r0hcIyHzOVIqGaplkzOBX6MBxAa/tokXBrw7s/6YpuqaqLRKjOarAB+dg VXxoCAXQMXHXYVTLrjX9HZcdKtbx6FgfyFfMnqMTd6ffFuq+7jnxuldig5SUD84gRLx8MAgF9MpL VQ2U5DUOSIRJjGYmy/W1IVGcSXUCDSoBnUUlUzH/wYAexaxtzS2R8EutpToZQAZ9dZcVw5tBeXAH kTiDxeYhOTrHnHTvKf9oyJHIWLsrPknriv+sSsHmeLhR2AHGTKHPM4AF1TedlsfJM/E/nQaRxP9O YcYtOb5FZFQbEi3dg6dNYB/3vbOVfv4lOBOOvj6sIzPg+h+eywjpLXBS+chSHMreeM66wE1iMxqR huaDWS3ATZgfhNoSXOLrT+3I7S1g7HTopNXUXpy0/JTjKIX+XXxfyF20QQe8D/keRydINdNfMeVB GpPv13zoctZMZYObxN/cFXJ2dU7t9WT40yBviS0IsrSiDxP/ZyCBKPihP6heycO3l7i2mXhQN14k djp6H+3sBxecsL+kaZ/56/rd/gX/9kcHfQ/33U4eCrzUrn4uynMaKapbvOuPMp7921JmxsvpwRg0 oi63lME7toFIj8RLL2uJNBlOmgD0j6KovslbI27Vw3uZ6874d7q2ltVgR9+meyw9QNE+gq8xSpoi 3ltTVNPf1UnTCFoWn+2IF/D5ycghRuK9XhuWp4Q0I+P1gdnJFDlgKyKfmVHhyHSGTRt4zovBXBxQ a8hAe4SI3PKPo0Y0ZdhRu4ex+gv9oauy8CAyuEFY0QxBf1SQORMp+L6IACT0w04cfvOcKXMQ6aUl Y1mKQjyy/tqQD6dnB6MhD9SMUF6ALOSO4laSDngWvXsEUlS9PpsFRWYXx1nQFnz7TWkRCVcaFjMf gwHnZVi0WbfX0kvaRaYzkTFs7MHHEV0/ORXkBifHI0/3MofrA+NCr0VJCx4FYSBHrctO2EyXYcnm FVu5LdK75+p1MPkQ/TciT/mgDPLiwstEAGBnDlHOyMUwjea77so8uCh+e3BqeYO2ZGmhYWF5wjfM 40akdQD3/e9hvAnhzk8vGrE6eRdschHhVw+fPv9Ksuw/zWAE2rvTvoK2rGWWdGnPtSUfEwHmTLez 5IHI3oMAylVttlKXz5L6YGh2aY/W8KpCcm2aacvMq9Big91hKo8IJiQKYP2o43z76GdqU8GF/Els XbPnj4QAcNb1pWsnQ5KTyzB2DKe77rZK7p7CeRiFFa3WCxZDENovS+VBVR7r1IO2QTWq+OJ+i3wV ndNae5WPKym+AOj8eMZBYkpMASCJWcTVkbXDOSG5DFtucOMnsywlGujXZfPHnVov8WaM9fj8TGoz SHSNsCMPRMXb4rPE7+sF+61V9C+Y0K1+NUq3Sxlu9z2SU/vOAsHxPyQROGwySf2VbbCzCWs0aSPg 6l/fKqe4pTO7uIUADjRLplI1fQbrDZDuse+Nw1gT8+M9aqn1vKOmFFTMtr0zRQUd0hxoweQpjfjE HirzD81RMGIgpG4B+aqvu8gQrAI4iaiDK9YZBkAhXvAy3UZiwal8wyFxc+y3KAt/nZaCUrPtiHO6 hCKzMXXA15NJGd9P8/8zypIp2BL2kHRw9LsPxXJhYvX8e/2mrhzVUcDIlnLOMj3R0B/x4CpEGTye fD5OEjD8tqIhBQNHMeMxxgwofex+UhrXlzwiqLV/OLFjDriCOc489t9KEzAeFiDXA1SVO+I/2J4B lLfi196UQATgWVr9exksHFSxUqvwNT1p40R35DEzQMm51SZLz83h961L5JUAet4BDK/JGmYr2l4G 5gjQ4/HMC8d4rgbs3FQBI6CPhAqqhkNMrje+FFmn4OCsqFWqlemfuJO8bsggWU1q6ea7/plD859I 15uoE1wPZtt6+5v1cmyU61vhdNWdoWR0YIIFD9SezMVUILrA4C72nSu9liWBgcdJTPt1x6N07ZaF 27/bNu8/b2IuwLBbu1swGd6aUQiV+D9VI2XNRuMRfK9Po5EfWKOmQasT1u4stengVrAMEqLdN4gV C21koMol5zf0deF+RVAYSO1uTxlpEa4YN9JANlPkbk3hvG8SiL0JCiuyhemHRurQWMNI3JVrE3rw frknRzmtCTjdW3aa4H16fM/lUwzNidJeqaEQRokeI4dmaq2v0RWP4f273dxD2nyZ0dtIXlVwQnhC S4wZeAmY/UQQpu/wcL4+f73Un7cqPJAzR4i43sKDNV/Et1vXK6f/ScrUnidwIZgC4pLkcJKCTDYi sYVSzQ43MTXVsYPPtX2gh+SriyX94S76ekUSEJKi7JmRvl58yBGlikdleqlR66Dpg6KmUekcI/V/ Ze1lCFi6H1+rmVjfq9zYxRj6S0RjnYwr4WPqVow41JcKJ20Os5lnkBM5hPwEUO7H0iJ28iPzQel3 xy3Y4FIh1v0vkyAGA+VryJmlEW+C0O7urbPQr0oMCD4bJTVGnyHNDfe1Tdc0aYwo87KNCMV2eGvC atksv18Wx69huYefQwiJwjwF5itZ9U+mUzNTJyoPwvSVfDzpKoc99BUUig5R8uScLuztKCp5YXtf jxivKd8lvFm9aE9U56Ghti/RbohYiP7c4vTkbuSJlQgmJ4ntPvTe1ONQNMDa8UhXCs0+HtCczPRv XHH6mMHvIzjjJ0CWmO3xAd5PKd2YT197uPS8jNHVBnX3oJjuGNAvq36ORsc2OU7Rc8fJqY3IUF7w kA4ZyWVRBR5+L/9+DVAMbtR/BBNjIhqGwxNRc0K4+hzDl0VDCCIcOO8Q+rQO50XYUP8QK6DZBAgB vs0Zx/0mHrJxsta/Uyp2Ae5IttK7cezbvjVMj0G2X0/lInJ92YhWlfrbCkjUGCtiSIYDb0/bDAWM Zs4h6PX5HB1EH7kEt3dfgD4xVg5JOHb4eQd5amnj6qqLxhDEN8vbFD3mEFwgNSVmMZMmTcajs9MO vYrWu1Yn/SVa+I0L9JQ35HegRaOom+muyxCD3KjeuIh6y2ZIShEsaXamqjpMT53yOh93jYDi491X stjdTizKZoB55usi1sEuB00jtLbvFCrZW6Hy+UvzRR5ksBi5PxryurU98SoqbWyOogQRR4FTyNmV e4yT5nZ/m5kOAiRJ6AJw66WfArK/g0A9P0rp3ExUjLhr1Ar0ZkgU91ItjGuWQSwObXHXXNoi8OmR TQcp15zasrVBl0DCW6fD1yVvSjTg1ENVZxUoU0apb6zIv89CdXsdq26Tb7ijFaMJ531Ns29gyWeq IT4pzJndezXi3Ow+mrwA/h+d4TGVfCcN27e6jP8ThuwyixmDNDKacUzk6HUKB30PNvyPa2BXzYC5 gLlLkUTQtQ0l5PnA6+/Qf/e58L5G2YZxHkT0YYRT/B3QtnrisJ5CyQY14JlO4GjDMlPNgvTUTutw ydkh7pHNt/pQVZIU2VcoBxZ68x5yNeSi/mLvJjXvG3VuswyNuw4EavJ/Jcm4XxIva6a6TM1tKfTl 2h+WzrbUCRQ01wd/nWzjnLkMWrbuHqjLodzrX6+Nso22qeD6aY7iKzkjcmaHDHHALYnZFjXZtKyx chF7XbqqD0gsPGryCbZVcUp6LGzi9h1BksLMMYLGtD6kyylJ+mb4511IBsjSNIZdWPZQK/yMaLs2 kiHaVdJRuTkTu9vAByW5cVjsL0FktnGnr+XFLcpLilTmS2+blH4thWrqw3WQa0fEA94t35Ax22Af p9WJ3HfEgziSaFT9C7xC/uYjNDGoxqdBtepvyU6WJhr1TCE5Ej6c2W0xkqUHddWpHhVBxOpfyNw4 GVUcq4MHIardLtiqO9DMF9SaQp/kVOIi7TFjYybD2oQs05AIiEyy3ATzOn5lZ46s04IgPRkBYN2T QWtw6F7MdW8p4p4Ox/vxcXEUZFw2JaAC04RS5l82cJtyF43pPs9iAodylprrIYsurIhPeZnsvGeH bQu1VngQLt1l4TTPgxXMYKHrT7ZcnMYSEDToOvRlyt2kuz9Tthm21TN8ZIe+ndtq6TT5r585wNzN kfPTW1qHK67HFVosRVIhl9HpS8t06LdKwpmGbCv3L0wFcDHbKDnRvCw94GrOrizY4salRo+Qjuvz w9nnBvYZyjNbzZYHOZ21yfXneCULWHT0vQgHPC1mbZApM6J8pOmosgGUlshOxzN1vmAv4A457ZMV DUy9VRds3XJl1mqEqWluXb0vWE10WGED/83ZskFIyZ6iliy/oMhQN7uTMZxf55M1xvdzA5XiUSqm RUHB5/Y8vsGwNLeq5FzNt4jxOzn9aQMEd20t01GoC1oHwDHL1ltigF9YvjPBL18n4OA6QSpW4bt1 f2UJ9EC+s6WusDOTV0Q0c6h69qNFLqSe1BhdLzf72E2AbyEkmgCpkCEaT02C+nabUeB/29K5JPkl Hd1mtBr51NRzxRW/nyKX4Z8m5zPwYCzsbSsdDyQBUYfERUdRScoFdavEKzRLdctMWmmGhg+rQvN4 B8WNJoBC8H3PQb4rUsiEIMxeWHyLnF1HN+EUr+hhFlKAiPwn15zrtd3z0UWtuJoXkuuC+qALAbwj 4FBaKQRpqRwRhhu+SVAZNJDKqekE8Pew2Nr2tUXYqXNpb4frtcwOlYwmrMX/IEWdSRImddDv6/pI PUt1GC33gRXr+nsP/z574CVguo/Gbn7Rx7yfEeDGhKkig1Eabn/2yuNNVA4eJi8wrYcskJlRmQ6g sbkgOBJ2Q1HDonqoCodLIuCReT+oRRDHwFENq3iz4GL0BEHGrL1Rt5Vt52R4BQqzAqfRL+BTcTEV fGB2ksEoH8RBI1p2eZ6yHGB2xPSbsUFzc3c7XHWtd03yzjoli2+vD5Xk3xCThmI2dqlv4v5GHgc+ SY1F6DQVOJqMKYYEorFP+OhaXe/hnGhcJFiuuQeCdy004PglAmFTN8nDgm2iVTUU0pTuOVQ5a6OY T2lr3MoYhqIwbDXwLfOgJg54TQf+60RJ5/L2z5DtZhYROFJs1MrUk8ChMVfVym4drUvrjEnL9SQ5 Ll8T+yayL+EuYlcdtdyDDwF3AqRBnqH1CDvEwO+f5hU86htfkQ/1lTgVC2dP+lRXYt1TQ4jb5D0a XuvV//Wjm44FjFNIHcO7tjfu0ddHvIr/8xH2dGpJczK+M0vLYy7TjEqh/wIvm9wcm6PAxMViU6fs eYShQsztZ3yzD+L2Z/rIJqGeIgl6OE/HcI+J7VETQmvjI6QmtJ7gZ7mbMfHkZpUesvDsEAFlfiBG wmdM0RDMT7XNynmGzOq26ZTb9JkLaXS/bb7EHNjLj4EKT+zkOq0uS0VenIhDScnw2XePFRUPSpMQ xJrtnb4rperm2S8eKBhp7IBO60EYNCMCydls0IS2E56O7Gl6LOsi3yhrSDlhb3qZUeZcZU7EZVId 7s2Iqb19YI4UktYB+YMnts67S3eTIBosoXP0C2jsLzJTCQdjZcX8/ZcWVWNP1ezLSdp++i2/efM9 ZmtwXHGONHgw5WwagKapjmpZPr/u/mpDhEws4qsEvV84e1Fey8FciKasG6sHQHM4HYP+zhRNvtgf QBuGv06lNYOdhWbqrY4VLAcYzVmA/VETu/Sc1UiEUJtuIRlmhhHri3FzxQWdxTdpyWZ7fCOEtlQY irUIOmsHtmCnp/1Enez56GQOQfaDYrivuyDCH0PIFJ/tOkD8Yx826DXN4anED8tjDXOAL158qQdQ MKpKTYiIGy5E7p8gmAa+GqQJVrv9M/4pEtJ1aNwq+AmOOXaXcEO+ZbPK/XccEwsYpcZ6SciHbL9p eKSpl6tk+nVtuM8Wadl9NFk6gV6Grka3LvdS7p/+FxypH9CEeedFD2dcHqUDtcSgE8YDHM5qDDTB yWi3gAWpJmS3eHgDsmTenTJXvtVAm8/OnYlSAaaJ8DJUBJnCQg+Lc20AscM776tFuUZxarlX1miS GdvQvhUUNQTiDQOOeZhviXTO8l4SGHR8z4xWqxosqsaz4xmnKnNcreL50IOzRFx/qP5wZprEyZpe JV00FlLLKrLW5x167awZ+6lFn0aO9rgMIUgY5PAtRQRvQ+zc9aKGdG+J5tKLwM6QDQCCE6jh/ARl zf9Vrf9S8xTXDYVzjF4RC7Q1DAcb0oJDj3fx4Q/gXjxWXYJBrUc5d3dWWi8esO7TVn5NhoVC0tLc zpA/9B5ZEJpqdjKgp4bvTG2sJ6/zMU1ffeuddJ/xHJViQcaXVEVZIaPEC7zPbRgKCjg0aft0h+m3 0EN2RLwZbLtGnrBQb6RVFGyBhmHGpTNIXTeNlgq+Y/pilyu62IAQAo75mXR1+pI7gPrTkkSfWh+j oZF81L8as3fBm46LtwFPxZCEILZ4rS5ctTIS7aoA7BtZg/Kjr2UYwP5djjoeDeMjTAGnDfO3JYvQ RKkgAuBw80iD12I51tSg8xZHgc/0S+mqIham7QgKloJsoklhotIiqlJ+vqmbE2nqjzL8XT56sHtC eNnvoB0L+/vGoE8Nn9C1Jku2yAiQgo2l6QKxdr3h9l6TIeaQd8ROZPspEpfJ5766DZ120o1pN/cY AC3l0tcacLnPytQ8ms45NPt3Gxe1CrZ7UMPk1+KihQjKV3lDiN+ER7rEsLrkji8h+LNXGSPSD+/m WDeZ7MVRIuud4mi9HkSOcgE/CclNXEJP6X6AdRLMeHDcNJPz5uJoGTdbXKB7iYjEBJYQxB9u6zq5 jqM1UbxrZnpuCTeZqSeH3WpOSQ+7kmE2vziOSPnwWqDK/e3KXJ2glkw+dp9Kt+DF6u9Pog3rexWu 3UIGjjWDgdrU4l0jcM1JEspqddlmyru9BYdyZ0lauhGOHltP1I5dT6Ktp0VBXfiWnQuaZje8JYG6 gGH+/7zG0JqmJhHwl5Vbw35f62KZ8RrV/XJtcvmLDANtf1t1xk0o93NmvbKlerfwcZDIAfLgMA5p XV5oDZwpxMMveuQanAMfB1rkmgFHcfzXM5fAzhJQNo6Z/OA/11VA4zYs6zQ40SEJDXjfvaYGhWRv n0CGH/tGOTtOGamligxGJLbMy6Fl28C9RGBaCy3grUSFc/AqzNhjjbmPO0vIRmv4YeCyIk76nhaJ FTssnZpKBunplXhtNs3TQYT8RnEQFCkFUFOIF8QKgLnw3J2N994cvheCxcMjVBkpm6aVmEtWpiz6 cZitWPOC0WTYoxS2YMTb108rct1hyUgFxiAJoEW/4JAtUhvQsFAZkcVOp45yIoRHjDeGMRkkI+g4 7JFicXyojIUj4RnbthXsSPOExCX8HnYpBu3l6ABI1Fe0lrcIZDVs6NaGIOvyEVLR6yBo/Q2YTyuh vP1uJeQi4f8At5Bq37w8KORCvxB/MQAPyY3sf9GasWNU0AxL96/jaJQyMFhuXbS5l9cqL80TKU13 URBB3XoawQrAib0zUfa38mkL8g5rL2vAKI8eOEVUQZYbP73C79pqOjRmCWRseFtyh17+lrNjRGH3 aO8DlnYok1m8bHR8a7qErTUhUN0Ds1Z1VARzTxTZz2HifmMwDQy4LvddonRCV1htlreF+4SJjnVL PY9xLHiqRLUTwS8JDZt6JEytFNq6dJw15v+y1uaC1yZU8n/G+cwrCyou9e6NXUoTmc4Q3k5YzWFN 01tPzV71lTc4t4d4jllYEkW/9O0n7UYmJgG5fIvb0975DNLfJu1tl/4XQZf9NyJCTk/f+7s3bGRh 6EgVz4SZ9licOHzxT4AiGbL2L+FAJcqG6WPVvcbYyjW+WqIlZhTS2lKEESq75YrlvTH9C2g+CdkK 1Q4sNy2V7K/IrYC2b0/RBYOR+OAnohZdbri3AF8otQsuaIbIwwSaKvfI7zXkVi0n4dHAd3ufP0Dc oWOk2nLlgqQB01bRrKGnqqB9QJJViOhvIC3rMxrrSH0jjq1X6LFpPiPEQ77L1oPJYC94zT62m72O ROllxCgaOTVHflPlNXD4yeth5EKGwumE0zF7UszLt8ZNHKuNXsVW0/zkh7UOdWX/pwUK1BpJUXHH IUMJtgqBywZZBVgP0G6bj5AyTJTyiJVDazlCXVDrBlGcGD/lt3pCefpX5IyPU3ZaLfdLHzGblHgD erJTWju2ValOzUIt/U2SysP0SMGoeEs3yO39kfDnQMYMlH1401RL3SWeoZ3sno5305L5ifO8J0OR ILkogAe0ek/Mpv5VPXfHh7PUTBis8iW1rDDRfe+7gQAaC/BPGQX6XhWFh1ifsfVYDjiibFrFf7aY Mph/GIYLvGU3LjVVNGly4myZBWAnRVcTNcNtyjSVDAOz/xXxwWLsCveZOezzZ2Ws8wiaxIX9o8Mn qIMpIUz2XMtEyp6pAABouZmkmHF3qgd45xTfl5NYQWGsD0VIRnxSljIeKH8538ndBd65jjs/uyk3 OxRkAeuos1ExlrmM5YD+eB8KRRYVIB/gmSnpoigmsE4Bwy+BF+agb1Y2atAgB+jWU2k7EV30K11K 7EfMsK72oPgISz3vb/XfS8bcyEx3bcjpf5qTsZC4uk0X5sGm+mCNLq9HW+hKCrQQOUA+ktoPKPlZ +aor1m++zCsH3txsQSiNRUy4m25UJ/T/9Hc+Z2wez/Lqs+B6PSCDgVoCmhu5anqoyPNtMJ7F/rfL pBnRc9Ltvr5HpUMwfyk5oGRTXisRHS8bw9k3Irj7ZVytByiyx6BhSAFST7UkzADZlRxzvm6Qfxvw QLeKYGQoZas9r8ZrdP0hFf/FsgDHAfpAl8Djk/0esVHSbfbXQps3v81i1v53J3vgirdfkA0KFu9/ xgW8kyfLmJ61uBwt59c7IsS2s7WRLcFlnrxAgT+NdOvBIoNAE9AykKfiqLEHM1tUIbo7PD98HNLy DUrhvpa6n++9RCfL28tjfBDpPKI23mBpgN1aRVjDrN8F46HM5OCQivdXyHsjice7Pn+D3TSLm/Hz sk0vb2SWBCqDvG3F4NZ9Ee5BVsxHJGO0eJl5tKNBiE/LJewmCW0op7jTsysb1UDmWtLCo43tP/WR FbSKqFMCXooc1VwY4ZsPBQD7wJdX6qAf/OoxKP/WC7NPKwj5YYNptgBYEh4IW7Qf5oFidax/X/Ng zQvOg6Srrj9wMR8VFoSiTimMye889eeT1GHNmAn2hlsgtTLHkyUL6gejADfAAGEbCzNMwI7m9D5Z /sGEp8PD5Xf6m2NC/KT5oNQqIJT21pw/c+TjZPgbVWbt0hxBkv6kXtlYigK7VdABUYwSQiMcYI0J K84pat/R3+3K5WQfqMV0TerI+nXxjJSpGeVrhrwlf9+NIp+1icbuativgwuZ797cO0CJ8SB7BJgy kqjd+Ejh12qIm+LMrJ7HbR4yh3MJaZHwkHcf8xcHWVVAc3DcG5aSkHPPGyl7lfAx1Ihdv0cCrSNf 9hWSNm8RZZO1AK/RfEA6iY8hiK/muPgK8VKWt8Q+Quk0Jey7Ixm2HmwUPiQ76Pj3rmEhMiVF3oP8 sW6LYie9HGtfogKg7ek2jfwnRfAtC3mypuoagu+9T84y3t2+PJJqOUkrgG3Z7c0XdRrTJRwj/wTd SMTkRPcVfqS8KdqX9s+vBBYftXQsa/jmUp2Ih3e+zcEcsze/Q1a6RKwnjQhb0CG+mtHFRVZgiH70 dPGYGa5tYJN40KfToeyAbCJ2jbbSWc7q/cI4u6BVqWE6Df1WDx9YzbpN0+Gh8z7KlhUvBdLAUovP Q8E2J7chW6b/zCg8IRmaHYrZKcahtJJVo5ESpOlJvICXLWw9TTSi9WUSvgGCMrzlSwsZCpkDwBPl jtRDfwQxIYCoc9w7vxZ/p3FWd+DsbTnto2NJ691rBwIgVid1Ek8YhBJSYhfUFa8ImAS06pA9ogMS AKdGllXzvqmo2NxgnLw52Zx8xkShZ6x1cU4cutz6FX4lYWVVbqBLZesbvNANkuN00ausF7nvKmVG Zm6g5KOut40ycmrewHrg8qT6yRqKdkNvTzO9s5lN1ZMBMMoHa6afCsgwaYc332y0SsR3BZp3/Yvn zxAAG7jJkTexW6SAIMkHSiErbukDWopfGwIVkpCYAX7/rTIDaFzbmfajma8QpUlYw00BpuU0/7N4 DYRiBCTEe8SJl/3Qt30XHi66iDJOQnRQTLvmnGPlRykyfq3W1uuEUwnO5AtxJQXF54mJ2FDQVZmf 1Cv5dozwa+oFniEgdSSK3GE/zzvFuUd12oZio/LN6UBu4OPASOxZJNO3EY7YWR8O+8mew/HGzyTL gPzGeHf7NP0+zq7Q/G5AYOfTK3ZDH3xFZ/zGUm/+F6oTTfDRDRpDec5vwwX1dlQ4YLQK0GILtye8 hhCnibu/H2KMXPvLcKG/94k17VgUpV5utwanmmKJkofX6uSk46zmI3ixFgBAj5X0NKN4SewLKjMy EkR+70LPKyojlQCzU/Ru0LzND6wtO4CgwiqkwCp5g4WZcL+c5/HNEP/3uQR2w3AXYZGAejNxrmps eEsbs2K5I2qp5q/oxiG+zkrg1qRPgTvrFpRQXtJBU3FYwhEe0/HOCGjroAj56PqC0I9/wdIUaNd1 s3Vy1uJQHHU7MhJ49FGE7OVdVDgpm+vcu/svfNArMu8lD/CkTBEqxYjuYk+FmaFJGoTuoy669N5F +qdOWBoYnMk94lnwZmo3pwNudB25hoMw1NMy5aojVWfpo8OFcgUgpa2lFx3rHn1otX+sO4cy58mj RvVsMBOC3yCF9BH0TLtGaJqDnVt6+XpMTnDI22AJ21QXB5ZsBoi1LaXHPZbqW8pCDJMTejg0oZz0 5+pqQVWUs37b8mku0USN2/aj/K22oq3TtguPvGH4E4qVmWy6PYSaZQz+qOkyMzJ2Ux00EfBOSDHY /q6x0TUph4ESqCU6IzozsYulsUIa7GvoCYt5SSWgXrkqt0MhTwRC0BEIzmgVGvzG2aIOcZ5+mo78 Ijawl9K1evWFFenskl8171tAtV+hZuBc2KK3s7fc2D1m1gvhJelfUTMPmJqgHptG0n0UdTmu9LNC yLvNSt9W3zQuLyKOnX4ZgYXeOtI4IcABkriRJybM2wEeFQtE7IQ3olnE7Qp1ygLID03b7im9kynL GhhW5yqgR7Pd604gzQ+EBGeRheOQhVe5ngcI8reUf8LOtBXmnwE8+dIDw2L8gatatlicwHloqgdw /eDnFn7gN6GfntQ4KkCnLAvdG2ufZVqeQUHybVOYOJJccMc/OpjLnPJhmZAZSroOlg3mDGO4/Cgi 0ZMpWoQb6EjzHnQodr0xhKCGoshehEyTv5w44ooyGVjUqSUhcF0LN/nvv2zK0U1nvXjNUYYiY1Bq Gzw3ZvGYrLXXtEKKVLSghIscXPKCxf8aEE7LcSFIZi6awWRiUG0Nj1IzDMyT7tX3TfWnF4oS0CUN vpDLzOzwbMaTKleClA3/gRY4f1Ar7dpaaAdZ6s9Y2p/xUCGcAUprDuZa67444U4lHHTE3V4hcT3Z NejwRV1JrgADrShQQsEAktJ3KL9nIZztp5DLV2iyMxVkZgI4hkstoOBNlJuON7ZqXYhnqVmC3DOU Q+cqiCdnQVbyf6jnIlutTH+d5DKCsg+gb8RoIv9sA3sbm+oyt97Vof79+htgeEAn+056s8lARU2U bcJSstMggBMx9HWNbCM+FrxwhOjHRk/O0NLjCmAvthF7xNBLLu4GAYeFVaE5jrTsm2ArupPJk82h pZju0KFVCc8tXZoKOCNxBu5Q46dkk50gBP3ScdZZvouQRzf1jcTuZqsDcv3XqpNsOCKjYq9XK8e2 HhkKv1D6zGEZ2yplIpHheDMHJT87aLJdlagGXZ9z9fBvSKSvCBbbkmfHwDNiz08XYxdZS3wK2bjf Lg+cd3DO3f6g1dyBv4y/YibHVpDwLCvVl8qw8JFlE0RPpr6buVTI2C5F2LGYU07P5vARdu/zO11b MZxHxfR9u1GindjcoQXoGyT5gCn6sZeBznY7L1Kq3R2+3eOiQ9rHF8TQJCGdO+Ezk10rwOrM5FDR Kpjl7sopSTJMcZVl/numv2NQWd7kPNqlGwJPMiynYLs/gYzWFItdt/yftr7B2JNo4UjZ2JbhzksV PCV2creR6QHCjSahs65g4b8tESXjJD1ldzH3wrHOKmvF34o2SXkTkGOclJQAh/Rwrpb0Salg54ZL rQ5L9Y7IaK1oFLj5YE2N4UCWGHdDJoPGSCdG6sCgpVuIHbvxRWR2z2V8NT0EUgq+oOHoUkIVkPTZ dxKso/xFojNnQCzTPVQ23LxnrfUBventyo3m6eRk4Xb8Ri8bPslFeqoZJEwanUbc/QN7i+vRZjN5 cpaluk5jKlxtVw0Bw0iuHN9ydEmmjFNwPg7PqBpHb4xLy9ZkxgeQlagUZRfTW7fNR+ys2JWF/5Wr O+h6+wI5bgnpC7lGoibC5QZ9GlCTRKFCUvW0nS9bVrwro4J4dyZYOgvVyFwkxtI0CmnPBNS1IlO7 uxOX5mVwNbXCCUIXrhTSFjNxRoIPmSxF31WmZawSwhu57U6mCcp3ryd4b2JdgPz7YNKVT9HuG4Yt ZvY68Z7noD2UGkbXsT0CaoXsk+dLx/nc5lokTMNd/a94r18bNwjoeF5JhRJOUTTmDG99JGeygGE8 7gLUeUp5QGlBXyBt3THi/WIhWWFI5rQBuYsUEEPjqZSKnmTakvdnvnDsBoWwQL5b8jN8+/wragk/ ipXHXirVW3kVU81xjmre92UIOoiHVBkzQLc4xFdPkD3ruvgJMYIVOruDeZspki+WJ4UKKK/S25D9 Td+E4567JrFv0PA1H0ARNuABOopG1M+tkN+Tk4MAdLu0kjSs2ECWqYvj5i7qThdwKc5u5pM0sxbC 15tpRuSt1TCSlf3gpQnNvGTC8LeO21Kz6cwGQnA5fJakbpj/j9DismcfXQgor2wFFE1o+u/02L2z 2DZOrVT8j/tpkg2PbHUFJZOth+m5YBqh0cAr7N/OrwTloM1pi8Ah/qUVbfFvDutqwCVkxb9YsdqJ 4KfnRcm0W1Eo1MpdbB7YihG8wK3St8dgDh6GmIy0AuUoOHlRZFOx2wBM/6nThs+moHGmxrXY1Wh5 Jt+nsagdiwBozkOW5Jai5IKsML/ihL2QLnKCQrU8qUzAUY/vbv7xHLqoJMilll6p9qhoElB2XPgM M8DeploBRcTzUbwG6HfPneiwTXrJsTl0vFIIqR8/fy9dZ3KO4V1GjluFZJ5m/ctnDE94jNKxSRYS /vGZWJuUHhX+6MkF/gV6WRqko9y7FkSTG1EZkZ/UqahDC1T5+wZD//vXmQwx4nhHabqjODkicStA TQC/JTUttbqLFV/LATqmr55reqLm+hTLi1Qj4CB115XidaN32TSP9SOv3V3XBKoq/82TPlmWvvy+ 9E74BUjqawz5bQnJ6BH6nmwE2AF+NfK82vQRmN6PJpPMT8rwewt1krh5WS9xGwP1+Uy5i5FhiVhp RnVrJJKnlGEXwl/fJIf7ESuPDa+sJytxzWfy/GT0xHDGAMLltr8994D9CrEiMAd2w1ZRMwZYm+rP aIOXc+Om9fEMHQ6KY0EyRbASyBgIyX5vP6sTUWjvhztrPnkK54OfrMocLK0FDLyc1RDTGKQ/jncW Tvws3cqNxXnMApxxaJMLX3PVJHs+rdxBPqJawNrtVSa61d+9DGY0zwTM2Qeb1pUWtsCZ1VpRvdD6 +Qvyewnyb+EDXFvQBOXEzj3JKY7DNuIFA2favVEdRPqoBQrCId7OqR4HO/TF5jVpGUeDrsXnhY+m v0afUav1YGxy6R5E3BwHj8ee02X2m2KmGz17K09xkQYb+z+8jIZvwjyH4Dy+0/90XrhfIc8nUvYc ZLyhSSMqKZVE0xUuu+wsfHxk/l7Mtbf/IAdHj7p1oti6SVnMsqGdlVbhwz4222ZQ/MCV3XA/01yl dkcUYRA2Q2vnf0OeVkKgKbla9Yac12OlKFKFa3MceG0p5Jto5AjJiYQUx797pLM5svS2GN/+X/SL 5DEJlrZce8gMQpmwdU8JGdWWHJrf3fWSQQBgiIYdhpLEOswQnfQl8fLEFamcooTaPIScaALb43F6 ZriVAC8TGCSEk/zaJkA9lxTBjMYuTRYrB0reDa/cnP2mNphmj5K1vpcsS5R5140r4WlCqzY/dBmM Y9tIKU6g6VL/Z+ZDxkw7edCrcbPJ35okse/Hh7Yx2IJ/pmq/f1ZVEuxWQYOOuw4/McPcBFhY7kTF xed/I2CavOV4MqUuZ4Io9yNrbN7BscwS4wG2whxq9xuOFaNezLApXaKENZGeEVQ8PsRwWHErNwf+ SuFr+tkXNsfmt2x2jBos5c2u2X2QbgX9K2kOT1Nh6sh5zvhWMxbSYQAiR2oFAO1LRFJpQJlRL/br U5EXPWzgSUsu+kFjGX5C95COsuTq8HG4eWKXrZzt5mIB0T5pLs8NFzZkBQgng/z5gHaCPs2szopE xIaAekqzawjSFX3/uuyqeIfm+7/0ont2Sy86v/xyLXRf+Wt+3yZvTle0b+/yKsPAADSEmdNlVBDF H8DQyigzqCH1u5JjLGrvZhyYDV5op4n8mcciprqH1Z8j9bOZfw/Yt580cqmHVhSBDFEeNgGHl14w GdLH289HfF8pC9P3Xzil6J+GyTj6eqJRDq2RwjWdqglk05umnvWegAu+NSjprMktmYcrWFKOxtW+ 30wmT/i2qRd3y6cf8OVVh84QWDdkdejHjRXvP9EWZjJBIFbCTusMC6md+uRCaCkLYjD8nfyv4WfS aMbqGXIv1eI/tycXp2fVRxDOTCQJRsf3kDI31NDDYSMlj9XCJ61PuResmZq36s2t5rn9mGy5zHrI Xm1XyLpkv/L7W18j5XC90DPWWE7tOEHPjzit5aS+mrW2AUfWObo1PMwSKN3ZAA254Npd0KCoVvjk d3HCzcDbUW2EmM4bLEJLU+CfeC6KXXc1Jvx9OUw1x2sIi5vGYiW7TYdGUWFpFB9zSZCzMPIfH+jz PncufGRg3LR4iaKumc0gjAzrTCAsdAuzKV6D0lomAc2Q7Zta6KpfcC1z1YGN7bSZxQydbNENKS6X 4sQzJIjLAWdxjWWNooV+/j1EtsNW+I/76o2pMGAm0YdSX91NETmI891e6PyPt3Wq7bVHAptSUwvt aaFPvLKsbcnaH/oiybcuANkKpK/JX4ENRna3D3R42sv/L97poknW9/5nqAddzAmXfkreQT90gija wwAQvQvHkZI7EKgAk/0vdB5E+hrnNBcplydx+5E2FJX2r3Ed7rBGRlyA3um4bqJ3vipHF8pZvPVW 8KHUgZH44YMUfFa7Yc8R5GfNd/wER9cWtP9S8wvOfWOEHwUfokPzvfp1H24x2EK41O0JTPfjsmQ+ 5ComRvrYERr3YqRgwMOHK7mUIJBvx4Opyo5Z5K6afb3ZUeIppGz4I0ZoEbnjOfFxvE7Jyr1lVYwZ 1UI5Y776v6vc8ylzZU4pHjEBaDagoxMhvpEr7RRxhbLZ8asHO8cOEeB6XlxLQ4ur+ekauRl7UbXm HM4ta9tBuHENDr1cyP6HSiUm+Ddc+uPSPdmZ5P6M5vIchizKd5gk9FFPjVeJujXsbvsbDUcit30t ePoBT7MXHvR0riIjmBDrr+Drcp6veIqDefFVLLyGPSwbusEay86ajNpQHMDbFIogn9VWM+QgxQ0R Js+sEu7wjcy5BPUPa50LmgKpSlg2yi/I8zRf3Y8RyGxKW64DbiNl0NMeKEvz5jD28ITGneSEX+NT hkxAMLkJ8A8I7IeqRrOsVy2AEpn8DtY7QzJEmHqIbeZoyA4EqGNt10XMnxFm2ugDSd8RKr8LmocK Jm68abYX0Q5FTL4ldw7UazQqmBFCrKIAnuTt5McJBIlzEDxh6UajPBMcg5hnOUI6xzX9L1YIFtnn k0dTwYkVrwlEXwtNh0kUrfBccxlLRc5mB3axRpqr7Go53tq1CsGhY+umOAy/4xowAaryGY5pERP5 WwBs1poar5PHG67jTP57K9GGmalyinvSvGhCuRwy8sayOZix0wJ3LYMqOw+nqqkHoQPVekeQpgBa 6k905evqNPcx8M9W0ASzqXLIckb3SX2pL5Ec1938AkveahyDJzPAvAVv/dty8IisoQxMOxrqJML9 LZONwZ+1jXvwsc64utZukTODhBJSiwRyq3Nv5S7I7T///tPjqIam58i5+xHZEiHxviAa8TTWVhiv tFcRZe51xtgbkiIo3QHLRoY9czpAvueFHbRv5iMbxLDUJaSoYuXXkU9CQF/mzAeCJn7uTsyVwxmy GkIuWqfx4sjAMgKto9bOfHO5jfzZDFjyrECMRane5KzaKWvMswy3urlCJP8SkEEWTbwsoeGw5/Hr 10YjGMJOZFfFjTR8+8+Lp3vCd5GXNXG1QQOWJBh3uILI7ZqDH1IT+R0/TUDjYhw+uTJt9NOskjiN oauHV427IEh7DYej79vcP8R6gmxeHBBtzL1iq2slLrcsA1NNFQSUTiX3ajngOhDoX0zyP7ZuFpcM LTpY0WslFUJOyukFkgKMnsR3Xb73abRee7kiM0sOOLYG48+b0ap3uSdUuvySYjrTJJeNmPsyttJj PpnzfhkonAWozyopWWrkdAont08gCit9a0UWJ2dFhnIc3Kp85YTJk3D8xXG8g4ycSYm/1AG7/E0q f0l5D9DHPZO34Iw1rgCH7By6ylMb4E4pMb9Ldz8aublvIsulWEfMjUSxMm9XZLnkOqeFbLD3UjO1 IiYEGg6y/xNB+bUHJAjFY0Bi2y6UU5gPqTa6wFWm+sMmKRax+ZhEYaZOTlwGb+DemzZlVeKYi9Dt x6tCPu8+w4GjkWIoedZJcrLDeDuUKLThGo5uJW9FNAsfP2XU75yWBo/TGhy0YKIgKcmDNtwPJSeO uKmAKmG0YiXviLEw+89fdLsQR8jZluL9jktsjo9klGfPC+ybTQSVv4Sv0pKZwexusONWzy0IWH7b Sct5kXCqbD6yghgJ4XUrX00ufIXAXf6/DY/zQjYYKZO9uz0BjlLli0xqFsTB2QYqHCXS0pbVPq+a PWwmEdccSstLejx1W8ECsjn4W/va1ewux6qYLCcFJ6HJ8fC+BEmgtIa7bQi4T0b7SzanOc2q4qWV OVI11rLd2xsKxNO6JnYOKItA3ECXuf6Mm5uxxyYMRwTPISB4SHEhFVMgrBh/Jkl9l453XlM2c4Cr dtygJU9fN6y1M30GgOzoQFrOW6uUmZjKAlnc22Uqb8xOqatjJWbZKYE2ypPMu/8+Ttdysi3kEUrz 8tzWKjG4Sd86rN6nscw8ljHqx5yZyNDtdJbtWykia/YxVYLh4XJ4L0PecZc+enBOwoITkHow7Cdm CgmzM8QmUVNf6Rat8IMGdxlaeLn9vSVzg+fpxJLtnt24Fa0Oo0U7XZ74khMf4VwH2JndiEOMNS/3 k5GEF1aQvbSPoMPaAMSpr6l1KGRQqtegXyiZ6XIpUWxypdTervJJD7ITXFNQLjBoraEc5VKqQcDo +S2fhFdoKIpK3jalM1rJpUAWtbctc06ezFmyBiUaI29/WMe8RJriGCCKWj3E8GRubnlVDuTOs05q vzULyWClZ7rzrqfeayfLdRyRs6xbz4VxpvMYdDWdXYxAaY5e6xLfSEQwZZYapD+69nU7EkjLdiVU J/+dsPn1BNMTDbZn/K2LoR6XDCChA0p5oPvqxUmQAVhQq9/1zA3bJczVsqotfzmEmwGxeCTqmse0 OjCMbxEAUH5Ue4f/JlV0y6Sul/s0FtAjpinnoiuPgrUS5AxkQYybN9YjV+Uj+ZryWngIBL/UJQa/ sYSTiSvmhoiSL90uBEIdVBxXg5sCznfFw8DtUjeIVagLDBAOXo3JxJ20zn6zGjGHmPPATQP+hqf0 BW1d+JOiMgJCgc0v7WH3yIQI9gdK/N9gV3RTt2abRZNSeE6I5fru/6kII3etHlRaklhhgLc9Rct/ wdctVKPtL9m9tehSTKVe5zuiwrUQ5Nlf4y23oi6NZLujirHSDUOEUq0Plwkv7R7sEle/V4RIQhpF 4GPzouqJg9wLzWtejsv0Ffyh0evbjU06l7x00q2lbUqfxGDpLgIyzU58kCLZOXUDAxNYsV9YTkQf yjVZJQHz/8AZoVNLWro/vMbly44dJHrUrbtmTYKha66Puant6E+9iD1ST+sY4N8uThFkL4IvpgLK PQ4GN9RKLBlfBs6+IZev9FIHERUJ4e4lCBmalB3ofPQXx8i06JGjS129zLQzgYdq9KtvTV1s+mng eHKjkbtcjk5uL/7HS6cz1SxorH4XxGu9IevM3BJX74S48AKtyHwJmHN2GnB+f22ffVHh1QIV9t2q YjUtLHCqVkRLTFctWCBmAaPWVqY/jOmAn+Rm7x6f5hrWly0S6rIbHnaWVp8wto9ge2e87Nzis9Jb VVm/jARigS9J4o+fklIYjQ1BqMV3br4W1FYAc2vyAZINEFCxCvdsc306IBzRX328BGNHvT643hEl Q+boWT3zUubvJ1b6sLJeqhHKwjPczuOaHdmPl1IrP2zSyMHbBN94T3pnTS+P6YmCE3nMKesbKnUz fBVJmoPfCdJxTACa45Cf9bUiLFzaa0LKs1g4zG2pnnvWnsUKnhj/BpUwKImkSlZxxSsTob6mtkGH hLvWlWqnvgszlQiPnBxzD3sf3njG6cmTXgitTjXK/RcFtMbH7u8edWonxv93Js+UVhSXEzP0s3kx Vy9smwb1Xy1vdeZb4uMTOdivmbby8jG7wtXhsfoNxH82rTVcCIHEvZfv/EgJoXsVFPS0/SewHE2h 4HyKDkXBIgxVPHiXrC54QJjMcMl2/BZp495+h+sm//07TQthDEaNMtL4/bPvvhUQjEf3LaXeQpw8 BIyhnzWUMOXvQ4ZXjHnJAgU5Cv+vVxy1iKHiqHZsD5RpsfDrK9Qf9ty+DPYMBHAtQQHq2d2pqgoS Ms6QsPFr1GLoxQHbRBpm9Ux7Aq+FcS/zuCTkry0PDLldbfatOGm+eDUFPKKtYj6W7xFlmiq+JYMM y4HcUE480tx7Xe1towEDiBywpf6kkmph9PGzdGl1jemCAi/SBCMRnJ338MU6CyrTAgmlc4dwQFUv s8mn5yWtGmZznJQ7HZWjLTpvTlRhEi1g1esjxNH3sqB+YsJdwyhXy2t4KwliRMuNRKPtQERsGy/f za2/7uqP+dbjV4seAQezbW9EYN8/fjTcI0DzhG4lzlHgyU5s/5DNTskt31BAF+FE4GGTwuClx0sQ mfbwyGCNm2p7GhC2JjFuHD5k792+EzBMfbN4uiLCuW6Tg2BN8OpuyQx/lGCqxzstgdJdAbMomtqG xNKpNQJhq1IZT7ZIgcbYVVRLGyHeep+qM0T8Ckz0Qm2Xm13MDRqMq0ZXgNmU5P44rdDAeSzLHMzN iVBpxCX2qP8DF+m7CeBuw3mduqEnxcXnEyQv12tW20/TGQ9BJTWYltwU3gXGC/IzXkb1fij46v81 amVo1K10jmh9VNmS1La1JuqHrX6j2lzBMhn15Npu+7sNpB4kaDGL03Bj9Oxd6S5o1VcLREnmHNXx YG17RGB1PhxLLP46UgGTzBLlJN0q2WgXhYSnY7JnWM8PTyVAjCo38IuXt2NZojdJhHJDk3vYUAxA rIllDELromApNLOCCe/vnLe4wQqDQJtuzvt10m21H7tsyhS+fbfOycVd+erZvqM9zVpNR7x9vH0K +ZFaWHuIBt6Bl78viPalF+qSpmPM4+CH41bSEAfzRPpaAi8172iiYRR4Cfq47pfX7NtkHVWJ5O3m fZs7iFSSV7CmFuZJK3Xi6d84x0X70Lpl/VTn67BycvqWTtEjfgZTc56TFt6dkOjsou0yb4fhTXV0 SyOVWPOTGa4pl34i6HFHfmL2/Ta2lN1C38IHXAjYeLfODvRScTLfJb24v6K3rHVo1HonqDlkRgXb omcqRDUd4OqMiNeMVlkGboMf/6yLdTiPs4DRLXaxv3aB5hEpBtilX/JoZzAloSCQeb+q4XiMlfPw HZ6Bn55D0QJ+ggacT6t8X9xT5cr7D0jvm3JBB8hwtij4ydroDfBDc+uNwhNN+FaVk8sTjQSCBH18 yCkLjyohNn6EyNroygIy5eYxnayIAd1SrzkgKjuuw3QRbsntbNgzZLEculNEEAQfXfp6Da5wI5Oo VOGnrFhyO9940bURg6alrVMSGGH0uFKCbrtXpEnLWningPzKq5MFepmf2eWbNBdSHJszT+Vd2ELp iLqJklZBaX/cb4QLAfw5xt/y1s9+uMk+SNljlxwGpk1zsr2KpumCSE+aqizB/tLL9SYjy8ZGjvnn PuJPIJAlUDJBDGuCiYgRshzqIxwUAo+gUqL4/SDt66T6K3/jXXBOTH2WcopLI9w4VVi71nwjTWaz RYgOay+qvupDn4SmjkMDv/Lz6KZ6cK7s6n+ky7X1sAD90hh0uh088MFq/YC2AUKTbphc7zWEfc7F m/DKvIbwC91glq07FWtUI2vdcAV4AIZ1uUZfUiloOZxjcKjIdyr/M1ovJDSXkD1Ol7mEH9qgEzh7 qcuwJ+X/JrskAhxMOOOYLaaoCLbQVKWv0BOIQxl1QR06MMUiw6FbRdMWanKVG9cdqAzO0f7nrVSh Q8ro7sj3qFCoQ8c50JIB+YM/N3NS9LBE50PprFNUhynQL6u/7FM+HftBIyLzmOVJXCYg+fA7nJ7y ZYYECxukKCMECcAC2hoNN25b8t0x9JhChen6LUrFllPEaG0svpIVGXwRDKUcqwcfckHEpB94vdo4 w09yJQjyn8ELYRGR9N0kidgDTxyInswAjNa2123Hflc5Ul1Dct0r8wMFB4TOipIzA+XxzyLoXtRb d4r3oeSBpLpwp38bRPdJm0DYXWkoaK4uritTlKVKN9AuPDP1OBAIJnaYS0Ot4vSsAspbTT04RHSZ XEZw/WgcDqiW4dV+3xWVB6/kIDNiXD0njLI/SbRUa4QvLqTwfsXS65bnAS/07LLhPub7KVn+ZR0F GVkLy36+mYx+eyGJ920Vc7pEznOtGYA5vOqc9ZihdBS/ouT86rb+6xBsQLY1frmTv60mJZWP67LG OMvxPVdT0hbb/aHS1ZsLId1301nbk4mP08SAfAljG1Y3jB7fj6wivh9xczn7X1JjNw9/qeeIb4Qo A4E/oR1MQzNmtaMyzQacaYOHShtnR8x3s90PsA56BiuBsec/oxEvLC9q5mOYfCOhxgsKMVqx7RPX EF80ZmvcXmHwzfjWDbVj5YdGM0FJVdhO84vPf+9gv4TKwXEvuBcVOOljhEnU72V6AwBmpNbBLr+j g8ntMnlxMKd46loQfCUvZKQa4I3bOYUB+G7WxUxIFsHcSrOCCZdSUcEnDvj0qPEXrfkH0oYbOuZY yiBlASbnVXn54bnpBrQSPFAlidlIkC6sK4mv0F+cNcIIJdPEyenKoLVAuVIYHkDt+g5B0f9AKq3L IguYPyA2vX2edfZAbeDjX2BkvC8iXm9XcVTctkmxsGSBB9YiPubvsUh7Iw5OUCz06haqBs+m6/A0 Wd7KFzqn3sEE3WPpcnpQNKwvO9xCoTCjVBLSNXalkeOI22wc978uqL/LlvlhX/GBLXbj3TfoAEVX 6EkG1g0/M8Ny/jV1lO+CubtYhmxHoUW5tsRwTcaSZ+Ym11z9o2sOP/4UhJOpW0xvnchy//mxXfrz nn2xE1o9v0ulPb9UIYRiQEL+7ZWIRJui+TtvrPVWTZ8Glms3Ia/TnyZ5v+UPhtcEcGUF7wqbMSHf 3KG8eZnOcd+RTUZR7+MON6677TxUhfhgoBQ1OPW5FRbn/Rmebz8+kUs02zjSZDbSwTnLze9cvpJT pgPRsP/rzUsxCgCz/mLwYFb5pdactJbfkqlXEu/5dPDBZ/1WBjZXjDRhi4YextunVzggsxzF0Wu4 D9hASRVfaM+bbyFO6/xVH/JlDOFvIHTaf5m16gUr4RvPpkENfzsVp/im/P9NAdi5UwQ1ZMaeb+Ii 0LKC5/jQdpErlUrei08NNg49eeW8+5qNFKL3n9WUT3LSgVXGXBY2MeAGdXmu5Ox4l9CoeNq7NE0p rIN2J6mSc1e4e0/GoQeXccJ21nKGXl1XNIXJniwb9yofpxtfM4QvUK8c1u/WRB8Smn4fOkzqEy/k EEz/8dOtD33Zoz7vutsisRLhVkZEG7xXJBn+xXJ+zOP9Vo/IXnl44DVRxIhOfkMoBVCWMADplI3T nYMsDppOLfWU3mkQGERseA32eGums7y+CLMlUTnA8MQzS8xUJdyloKcsY57uQJJ2gJIv3GqBqIdT 5q6/PlYMGtnda63IUjeKyGwdzwuod+eoIZNwpK8+4fnDvmhpmSu+zN5bXHAnrtOa87/fxt35GeiD J+V3ubIGFD3eBe2so2k0ZHjbZpZfVnqwdM7pjAJfwDYAScKNRtyalp8LV/kZYfAVImAFZP7DPzAw lNH3kEHFI4V5R9OmNDN3T9St/q1QtVY+Rg9wlvZTJPB4A3QA8r9SfVB6okOolzepyTCcRjvAeeAs SzfTRRhdppapxolyQe50dUir7RmMw8l6/ImksdoGvLEY4mJBPANQDPIjXBNl/Uoc8vJAPmjYfbcz gaUt1tpq6I8jTmguuvQvbYfVPAsXaSLWeOyEZr1q7tqMqZ7+MX++Wemxv1ZSunyUhX0nHGf2TfzK vM9RTK8srda3zjKqHS1nlbjNTzANH3ZAyYKbHSGwzu8B8+HgSdiVG7pMMP2E4KRqmX7Qp4AgL5Dm Eqx/9pANRIOcmHMyC93NKonuupHepQ8Nx59XPbtCdoAeS/eKxhFavraIhxiJAI6JOmlpFj0Y/FB8 cGlaepwTmqnKkDiUbueiNyMeUXY5dfkqRwBVuyJfihJ9WkMIgy/g0zPQ7ONmLPsCfh+y2gIcgIQX offKjkJYQxFxOMOfjWam9Edxsr5/BuNU5y8XMeygBbkE6cZPv4yJDuVjDtaSp+Ggc4HnY+OQkESD Ne8/Wp6Kig3xWcITNtRS2ifttproxM4YcpyLSiUVOeHqg5RoJlBsCc5yvpBQFSdth3LRmhL8DKw1 MqsivKj8/x6adMkVfrRzl+1IJC/c9rWWk79OTW0sZ60A1FiGjxoOvbP29ZZDkRCgDGlxJvG/2esq RBQAormlkfTTGZYWL7kJFfen9XO1nxjYA83nwI30zt0d3OXyf9LgtP5cUyWxfb4QuTCh7ZMDEwUc 6et+Uhcsa6GPZKojGV3pmytCg5BmnlM3RoCUS+pkkEt18uQREySdOLvvIG30QIfMr+flPLr9MmvC lYJxIQ5yAgfRCcq38oTZwRGLFex+EuaBvQW+K/dJkB9IPCpKuWE1LFLr9ksT91rxd/HDN/XvFAA9 owOmVSst+e0xW0WiEPWgOkOzzxQ8y8+8dWFlV6wzTkWj6+99c2LpM3LBlzyHSYDy5Ubn81lxTGBa WCsiKG1RgHLwZfisEZ9L0JbIyPsgwQ19vARuWemfqg9Hm1j2+yflbnXAuf4zRSKZZXb9SQAfYQ9Y lZ0e61NZDyuoz9Zrfi7zKV9Sp8bGcAafHC4HGOGzEaWQ9ZYoyR8/zsCFprEudvgryWpnTCH1upRW YgX7mz4W/WYHUW3TM2NP4977wsbAJ2G2NO7dXtCj8cNn6immYq76+fpMPq53DKLkRnt/9CAfOsle E8vGXejraZy7UWY6yuQ90XWjif1zuhmeb7NPx2nKyROdToga9cLfmTViieNuzSzTqywNM9PN37tc 6lNJaiqbIl01dyKUs5s18ge3PcMtOupy1+QcYI4Rbah41G2onY6h0ixXsUqVIJLo1SnhLJgSpDD2 EwyXZAX2g0MwfGGaDdbAIX/Lcfw/5H+smmKoTVSdiNIR0DV+68MbA1JnIgkU8p7R1p/JYVedNdeE Yp+Xo0LaEyNP22J8152SSqJteeBPoOp1gIeDiFHoRT7vSqJ+ALU1zPAmFwhJwespSJqwnpkIqgCv 6MFRITD0/vC2p7uhjwZlXkUKNuqZwlFfTh/xXNBDXaew6/9l1vtlz9w3Z6Ubzubp82CSNpoAgcye dnuzq2Zc/sME7L0jvy7dbX9XUe+HINugiyo4ejI7ZJnBlfVyWy+XgM8Rw/LiP0bok9HrI+NdnXP4 08cKYMoGkgum45AO0xktRF6cWuFKal/YoFx+csBF+sgiy6kyqNAYP4Sio4QspCbubnfVub6RRYW1 m3CG3twdx4Lr+ymqS5AhfGRVGWq+xqZOxpIDTcsTk3axeYkSXJyXmugnQJX21WjYadL1qsjO3khg b813CyHiqVpuveCfLkLFVlXU3FemmwpVOLBPSA4Didmxp9oY+mGNMHYfRE9xesHZ2TgcEQoeQXnX ZgXuaZRqi8r8vwYEYs5zIYzN3KEvJK5cSE1N/xdDWwlxnsqMszl6EcnYanuNwTMFz5PUwkbDwzf1 CcHQfoOj8E8xbQy/HJaTTV44Mlr5CK5DwROfycpWmeGSbAGinTd45iPJ2Gyx0GGpT9E8Ijo2vTNN all2GUThCJ8071mexDiWcPhQFIGtXyFTrzmKkKYn7bFbpqYX4uGTsYoT9l13EOLSHg1ms+J4Y/Wq 325ogQGNSP25Ds96W/0pJtJHJKzw+0u9/mrCOHqA6a3CQA7dLE6HraIS9OUvMLpABO82qwFzrj1Z ycbFFMEDshyOWHdFbL/Th58jALiZiYH1OEFX1rIULluunkE8uh8h8KzvS6+F/ft7VE5KfhtIF34q E1c4jMO5tzPA07jSBjFULPsBO/fwF2DZbgD61e5Bggw8PdQ1TYnPj0VuodeMgDs2MmgVt/53xN1M yj3ogslsG8l7KbZons054PBzZKrjX5KBjviZ0+QKf4NmVUUCve4QVTdPl5i+CU43H9iXcC1MqQ7V cE3w0i09/j9gGger3ckEOYXnlmg3HWLIdmo3zxEqA4iqBi9FEBW79DcZUk0AaJ6uA6cWQGi/yoL0 3pEOQVaDiKZVH4Pa6uAFyFRB2/OibDDs8ZtAh16IYAQkxXYfqIJ4dMtaXUeBEgMFiGxq8jNWz1um 17Hee9PKEQuEVni5nUHu5MlMST0DXAIJs47ySlnCDrdy4o/DZJiHgA+0cFhWsBLpUTxi6/+U8CEn OALuQAqXnKCYxk4wSm/snJNsNL9lakPEF8NWnfzLO0JvRjd6BLVRMSGd6DwxY2QOyYO0YhAG4+D9 LaQmYKbySTlLc+3EWPn6JZdhFiiiXcljLpFn2UkpY5Sgjk3gzc6nRGPhux54uEeesApSf1GuTLL7 g2Eqy5a2Mx3vtfPUPWtVs4ok6dhZfJ6XLqNCT+TZD1Pk9cZ64CuoWgMEn6atlcTwdOT4V4oePpQl ZC7/GOcGm+UWiQaLxw9jJXaudBamd3BsPOsk6qLX2jso8lhI+SrJnIiE8JjSqZhw9LPjKYbjsR7W 53viP1zjvdWAygiTwZcLjnFw62oumawTAmXXFITjSvRH0gITJyupTcqPIbFeK2xNZCX47Q6b4Bxu KSieYYLH5azd0aRvZ8dagDWw1o4CBEB3RadPdh6yEDZUQUAZqjppJdy1urSVpIvvfnT8z3JrIV9s wC/GwBrPbtm5kQf/U3Cao13a14OXjMAfr64pKcmT/ohmymNxWn0oHCh3iUrkIfrxEwa6BJG1+XlA aRi3Ko6J0KghCSmEi3VZ4QXUXC1ZVLfq4xoFFujYhjbub/zmgszfhl4CafQ7aQYjIDjmr8qzv6rZ mswliSEwASz7LxNHvQ/Ym+0z/bRisarwevuCLxO4fPjao+Aoj8N7ehWJtI32mTeVIpPUV3HGBAOb mECSWCn2WJZ5/kQcjCJkj/hMS1rzMP5Hrr+F43eF4PC8E2G2s+jWR9WP8MffukjsidmjE93N5zgY Uz97lvjOd7z+IqiMCVqeMBSf10TBYLm4Ok/dhh5k6lMkjqfDhNrOEdcfoYO1WENBhv4wwylPlNUn aNkjLtfABhcEJ35WXq2ZsrB/fYQwZHGU1/gMbmPQHLo5bjZTzWni50zoY8W417QOgIfcbMzhGFls cyTronY2e+hb6QLU+nzdVfhrylHtEofg32cI6cqOdMxT6bixmrU3haPSMYXW3GMpaRoDVVPhbAqK oM9uyvmnD9F3JElrznhx4QZiOHy2giQyJJ8UN32mshIiUkee9LwG2V0Kr2gl8naZG4jtM7LsLMLq xjK8e+nRf0b2gdLid5Xw4ekzIJ883YKo3ulmSjuE6AceD2Yznpx8lKnbutJ7Gnyxwq6bUqBKFfBB HTiPNRy67b5+FcA5WGWq7GHtzzFHV3RjxA34bcQNEKwNpY2vAYoSLPfO0h6GLo4mlatuQoqApZFF BmUySztR7tUeQowQOV+Y9rfvIKLpkPIIcbcaxqdoDD8ZhDrCp2ETmFlTJOGGTIry/mMjcOyu/r8P rU4jUNtA4uYWA+ted3DEFEvud+kigdHkX897xb/O3SPQVxpiLcceNJCnRujmXLGZkiF4dPhZoFXH /F0ZjSndwVaTg/m7BNufEihrDApcz4X21m45fPNiSeFqHTf/35lSf+sfJrwtPev6l4M9xYJeJ2ua 2ABB3R8Q9DktfXSbv+DM4lZ62tRC/9pOMXh5vqd4TrLC/PSBae+L5L1VGAglEweaDuOjYIePBA7R ar8XHHsvkZH3ZPY6bMrWxe/DWyqVkWohPrDLQJ7mO99nOHPLldyNFHUaO3KlCHaasmn6nDV0SXMK qt0MLN8v2Xm+ecbegZlZFpR1wE1rKOVFqX2PTpDgUsn2+WTETdLvWgp1dI9fpeZPUtc9F5R3duLn fEpH2DAUALVLUB2X/SGPUu+TtLF5OVn/6oA3QfjnftBrn0SZ9me3vFc8LNCg4JchsD81T9IzjzaL CSe8/e/CapCPFt9DMw3rEfRCnkxuQXmsRgT/kjr+NHNc9wIFGc2mgNKFmH44k8k/Re5/akmmPz73 1Jyhv7o3aRa7etadjbWcnXU9dfF5xut54dg2PDCra+ynfA/Z99rd+ywSBTszzJSh5aJAmqdKmuy5 CwgRYuBUna7bRxsd4TFDPWB7Kb03q8xBFqtOwAGyCVDnfyBj7kLk+EJor45Ft0xgtqD5aICDsoJo 7U7aOcE2bfY0kAuBenpXp0wVx4s7/BQw9xu3pqCe3yX37vGZCszoPbAcuL9a8ktAvibQqwjW/5Dg Qfb4KN93G3C2nv8hN0sUBl3DVkss3S5M1/y+VtIf/XHqVCz2cPEOcG2phrTBr4kOGpaWT/acuPRq wiN7tuwV5btbktvKyHz/2dXpZOiu2XfiB46knIrU8X6y6m7IC9V7N1QtfZxfxxxb4RZzibzWJomc Gnv/h5G1F8xQsNvBiAwo1IbAwpHCnEq3Hy7eM6k1vZ/C6ktXUkbagc2KtFjC8dC08x+cpSwhLzCE XFflgYv1bWQKHzwaY7+ekmvxTrKYTHGmxIs229cpoOjSwR6hvK82W48s/GB6/ebWi9RA3d7XRdkF 85V0i36CmawWPd1DcjH4qNRmo4gV6ImgBvR+CuqxZ1BmW6SN1sSU6zJjBTXhJM9i/hnf2XRcvZuc dHREbJtPt4+5oQkyKVB7IFPiZtP0XO1qiv6vYNutVd6LZe4rP4/A36qp/6G5sSB5e0B8+MN8Oe19 qoNGH/CBLd3IHvpF0kAovQNWw5WRRNOWigIUFsSQ2nRpOpbbsvCk2MG+pDmrK+ZnsiI0ByhMqTg1 Rw+KYygSImMX//4B4yv6lUWSUjGg+JM6tDUyQJyjMSRJk+NEC9nGxBvbiIOrjkH8b7kIrua6Thdt Qj11h9qv2V+iYiBAzGOKX7eEaK7uKMVpSiokqHDH+N88L8/e09L74LFKgLuNwIOY24UbenhkFWCp hDzelRPTmb6TMRM+gR9jjr++Or9hmFGNdJmIyU3sm44lVFyN3nKcaxQV2+L1MDPszaA3bceISsEl ho18ckxcGZ54C2mGg3AYEPYIC5EQekYy2D8x0Wb3afe9/zMPQPG4IjBj2yxF2JEbZ/xx9/zWodmo sw6gPVfhM7/nqMUJmE1z1HRC5JatX99umapGC3CBODiWsJrEOcu4TzON1/7ZYNHrrA4bR+ogUp+w kVDoC/VP5mZ+0HVN+9bIxT3lhMphbli1elGPth7iVq2p+6mLQcopi7MATSqMscZ2o1ZkNRUuutKH wT3vtFf48SMfDny1rAu6siAnzwItE71IgBKRWpgYd07+oFnF0Tpb4uzKHpvJ+qEqVh6DF+ZgRGLy FU/ccqNES5nO+8dpd8bmN1i+7dBhfgzXuaHxUtJY+brt983x2O00cID4hAV5cKbdotAQdBktNb4f dOJssZ+rYXcPSbXlqKQUSHpQDWbI6CeXMtp6rbjLfORZ6bp4ct4CTAMMz7+LY5Cs6ropixwEhC6w rkpvvmjQkFobepE8iO1eM+YtdIFbwwEXn/EAVykHR5jwS+DPCIaBfJ606nHLZWSvlqXpx3kLalB1 7mA6Y9NLD8KN+RxHQyHYjr9frnKgvA38iEy0XVmIBPkQIhBmAOIDdPAjmKIfiNL9VBalZBGjkqAq nK84BCMCm+NY+eReoh/8DxFqIAoojfMUu4q4q1EYeyKkEC41wORoRI6DS4bjjunRfd5Pl0H3k2A2 DBqypfOvuhcgJ6N+8MmeyonPaYw0j6GXLGOZoRdSY1Fl6I0DZ2eaPrPvP7Ukl3i1V9HCdxBjsbG+ GVgJyK9tdsUA0roC1flXNuwJzyFJNtghDbOmB3wJwBVXvFfJ6qsZGCVM+QCyprh0nri6nFSYtSgJ 1M71sySd/77ORtSma9dOLr1KTOwqwz+aY3qVfph2cTFadrg4Gtexbch51avsmc0iL00oNPux8ocW t9DUxMdBohMqys7TLtVkZXwl8gImJ7NJ/LSnbkmDfT/owBt/r1lpasd4ms7D20oYjPRtxk49h+7n fiSOlLYteY9znyP43ESUtG7Q3vrM6UaGWLjHJp2PY/CYbE+vDGhKf3+EFasJxx6kSthsI2TUa8LT SqVuYfwvqKe+KiR/lNLgU2XZ6YyBUxzLC9bKDiTdDHDNri4faxxttqZC9UfL/puWAwV2JHhN0vzb +r+pcAmXYsvlZS3qZEDc4Bhs0N0FfBX0EE1G8QNERrbM7qDOTM4rsIuhZaLmRGNx/wDsFcE4CUeN 7y2qfOckMBz8XVKvCx7wBn2K918cQFd08QMcHUfYeETPm5clnIlBnyzvQhIX97P7UzoRZC6lr+J0 NSzZeXQl0rWqHtczDzHzurF3/n3fxXJm/15nDNmK5jnjjgRscyWL2hmz39VHnY2kZPJ/KAv0ExZ8 k8Bs9Abno0jKDGJxHTyvMz1n0IsG7XLgma/r+L76JEzIScRRnVaPexWRClK3wMIAkf7YLpesUaIh hXFLT9lkIodZTzoqUWfiJTLXDttLjBgAwB/Tq56wmwsnumPSVR6xTKF4td/9UVLMf+O0pBxRUHkM yl7I82Zd8FdMnbWc9EVtSSVwCV0fvN+l+J9NKXgX0UrMQQ0DgjOxgckkXjpJYYdMGX87HxmLG2fR u0pPEZIJCvKzx12R/+0HdsZSbTJ/YTCll/n/9WP4DWnXM6BQSvEmSNJmeN7FfQQScBLc50fH8WqJ ntcrdPk7heHVbhIG4dfhOyFaqH5HApjooAPOMSIwhwWxM/JMDA5GJXeGRdnCUCSNDRn4ULLr1T6b Cd2kDs8iOmupOYFZIsKjra2igZCUZlWfz2eE1M/FNSPG5DOav6YF5JpZgaHzewbpLtflklbqVFwa nL8lOzkh/MYHjPFJnIEFbZ6EQv71Th6YYqmxqwjszzKRyXb6LOu5HBdt30r/USDYxJxbZj0BTd/j kfpEUmoD8FfORzBZbG1EuKM/3ltbPp1Wr1JwKPXZMestrylLHCfqMSDzY7ykKlisyEVML9gHdFmO 0c0HbBS+FZ4BMX2ficsx4Kbl7EDfmSNYLbpw3YE2mR5Ga0iTiwJFCjUw3t/fvJ2uN5789suNMDis epzQl7aUVGvxFVMdXYTEg9ZC9CcshZtCJ2hmxsLqeiHJMNPorAF8mGagdTyFDO2+eA4TL8PVYwab 6L2rEu5B8b1FI65MdRH+OIt0zmOsLtSHX78DFE3bwlJouCM2he382ZrlG+yV6ZGSqz1gj4SzDuLX 0lHxEdqiRfTfHvT4/L45sOprd5wL0Yl+GN4426lwUlSoktAB4APFiJwSRUbgNUFGWe5dvEy4WpLk HV3FA7s8LN5cZbx/svO5U3iEOOXB4TtGtbT9UL+QkwuoqiQYrkKmDo10D9ricyjzbKiEa9j698/x BCVzxq0OqpEPgBY0OGpaaNp+O5J+I5c2+PLUl/KzFYuTZTmAf17guuRinpTK13ni2ESx21R5fpKs +nlsorRtCog3dr451H/fACUR7Rk2xm/wHvbaOz4uK72gCaxWezO3UCpl6JAG6ycLsBX/UaHMqPAw AW0LPt/5o6zOIxj358UL6j6btkqycUpcAoiGYGFXTT8kxjyWjBuBBeirMxOUypqJl8KIO8TEZoSY ozaNc5u2gHjNGTwGy425b/79d5LiPb3tLixE34AyuR8dfBCLzwfFjdaoajtWwHDukPDAuLUxWwvc CoktXJuRdklDdcVZEjn9f6gP8hIJgXpU6Jv89A7xQvY/3ndG/ofo15wOCf1GaAVlZZzYeOJExpr+ Ksm4s3zkJyygG1hthMB3a1gjUAjUwKcIW3xtZ8mvAyZKzcMebnmvu34te/o2k9Jz58wbKIAaatH+ QsB/PYV/DkF6gg92d3/LIcfxOsAlMYWErNuX/PbMNYA51JD9YEZvadHih6DEBuR088LQH4nkKH89 C1QKBuZWnj6jc/ueQsv5RAmtDKAq+SVa9mv2x+KDV1LU+xPSn4nlrRCTIt1X+31NTnfZoKoIS2c0 8irrSgoeawfEQ7wMSmYYZvknnRuz7UIhN90/PK5wnwGiZcdhpBxoa5mwvLyBVGnB8Sr+2p3ZGsII f1a8nYxrFrckMkVMsGK6zQPN4abA/gz/SwsIjxKH33FhhODJXBLt3MLCTgUpG8piyPz91NM6TS7O Fj+Qem5r4+nNucbeu880rnimQpbzE+DQ8k3So+DW66MFp90bNd3n5+2Psc2CxwZM1eFIKlwr+FBn oUISmaoHj96hsSzwejqxdyInJlL+NJoz8ZyOizpdNXGYZi4dsiNxw3I/F8hgMEYe6+wvcTVE7Xz7 oCnWptq0dacDkrb4qM5Or5rq8Xne8n8SYRgrkYg3MMSaF35FXtsiLP5H8E+P4w2I1zM7pf5jfo8T YtWfZMIM7THjmAEey3DLjJvgGlJS0MQ9eTqBTco2Ey5qfaqSsCt4L6B/Xa5fK9GrDSW+8b1kOb6n MBpRCjz4YKYqWaYs+lG0vVVAwlLC84jBOLOBUAxEasR+DH+o8uuhZeiEnhLuG8d26zSnKzG5/cDx uw4Gn8uJL358wofZBQXxxTvd7f5A2izrdRH3H/KSuoeJMgtb/FqFN/+ePWWLj4xoaDk2QaB7esSg FsCoXvAcCPRmoHbz0AmxgP7R9POCh1rel2bhw3tQErWjySxaK/ZxOCPuyS4jMxEGvl+vB1Ef91fv yP4fPuHeWy/xKhMrvEWmA9zNEtI5eaOFXgG3tnb48TNUiDo91G+lppSX+i15JV4IUo+QGLkhAa/i Ap7U7J1smKrFStyg3pqG6H0ewwp/y5FuO0zHuhaIt81QNPl9nTul7qT5MPQkIHzcUH+vMIUMIljH 8s5jGZ1LjqbcUne5S1IPWQK43C8Lygt0SuTRnevrzHreVPXndHkuqVU0fap0g7Y6UOM0ovJRAW8l g6j0t6XmV0qE4RfrSRB93k+2GHhuKpxepSDPDz2b2F+DmZS9qnZ2+Azl6Lkzd4vhgCSS8gIMMefF KsHfItiA1Y7C9FxO1Kcb50/bsC+bSlCyUoYXIQ2iR4ngxyAmdINOBX1q0kcH9zAj/f/l5g6AybBu Od6n/oB2ZSFqVl8GjvwRaOWv1alrs+0b6Bwmqx4KX7UT8xg1atWT905LOG7YdYJ6BdCzJfj3M2Xl DMNXbncDwDHW6WXcUdcHGsje7Bz4IRRQArmd2M9QUoWr6rEFEhPsg7ZtPoVATdLgRk3OmUCoY+6e bSgKGyXYuOw0/r1LTNKYXKIIJFYQMSE3z7FT4grDJID+ICTk7QbI3wftskRGn9qUq55z/M4FLZdo nbAefnIe159LHFmlUw9jZm4pz25/ZUXTnc1Px900hA53wBuP7E8BY5/uaedwlqvzN0nc0jyq+XWC Xku0T/rCigGQa4GYPXLZrN41qpcFm0AvumxDLushERrTHfcKrlRmqoNbvAA3tsQjxPoWquPZ5LrT B6+vN9Hmsw6Rl8ieSQjd4q5wxXMprJKedIiKxbGneHgnVfzKT95AZ/UY7e3da9Oes++MJXJulZdh BGJZZq2OMZE7D6mNnN2qNi3KvwwSQGNOl79FhI2t/N+0dCKtphgcM8yAI9m042QBmIhYVA0Yd0EZ R4c2A+hNSqQ/LDPEWmWDmt3nJGgIO119v5HC0mnOkLxdFCYOqN72GBQV6LvzYZ9boyjNBtq9/c4e RXDyXstp2hfN9mLudzk0xw5T2AyR3XCDc55fpaQSP2jOsetcSkeLWRlMTd2egh5njTho9DKMXMDn l5LTPFOsAwt102pkvMo767BgBGIglbK7Lq3Rgj9cdnyLrSmXldQe32aWMDth+qJRa37WKP51lUQe FlQbgIpt+0a104bhn7hpXQ2gHXWYN7I12+CSx/zXvo9bZvWndyWCFl7COFKKl72IywxzU58cFznW Dh1Z+ch7Ho/i1mrh+WjVL45wLXvXPj3O1NUWBOitHnzJRDMwDlFtKqZKXRW+dBy3fapw8382b7QE xFPigbRObBPVIlHeXxXqw9362UiupKhnwtN3PMdj4O2TJa1D7z87hShVxqK/i5GGb3RaePCUlqfY 3tCmniEjCr/DO9C+W6DBcgi6tDn4wtqyxbtXnBmuul/lDUf+VdtM/JILUMdwPSTeMZ51JbFDYee+ a777+YztOBc/wzmqOkKngvdAHuPhyJaZN1H+OpOwCQEIZdAeONF5fnHUrCIR3UJ4fA2zU9BDOiRe 74MX3HqsxfKtTlzmpGrkSab4FXJm1WZZ2oYQ1JXeIcYn5rZWp4pR7sadpTeVybxbfYiqDCu28QZE vOktT4mbbq6CylJWl7ir8FbqGmLH40flikhLZ/ZrZBebVT0Zwzie2EYISJzGffso1wMvGVwJPrmL 72AxS+2nZq35auYxTe5HwNki9bQ19ojeoLdm7prfW0cjKsygocfKLF5eIlt9bwncwRj5QvqCi4n7 ZmRhEHyJ3n+UqJH4CVU5n68O31y1Zv70xv7udXTGES3M3EFYsiF3DWusO1HjfK9ApHmCDC1GnG7n kvreRCRpw6paFVWC8vRwFEbzKmRpseZPFIvYSw3YR27SyImISgUf032i2zwy1/kquykhKNQ1Ql0z eZ35+5gJv1bVwEJRo/H2jU0zHTkP5WA5V/3Pfi1i6O5prRfEeCYhydkiqDhUK+BQy62t+FOqc29d deGRyWrGKOUuILXWI6xoL2DABipXMkdAboOVFU3c7yJcvE2lSyLe8iRnBNTwKc3G4uMITdRdwVad HaBnPwA0RtT/ELYRim/or8/r2Bny44ir2PfVBHKYQRqn8khIGXKweT/tG+TTm00LVpdRc9I6DkkA 8MTJjkcvC/REu5k/o3hJvg55Cqmvgz8kZOmdaHCpJPqjidVBSXm0sJV3S7rLL3kuKwiyoTTCTOi+ ZtA4PQ2TaUNCocc469raGGVJSkx6zVWV/mXWVjxoQPOOLXyIzy8ACbDPMAWbt8K0BYmgEQeBnNg/ MU76fDJ5BNk3PTCEIpcI2GOvUjTpTfB45gZeXPVFT/Putusyh7SmtPk5sYcKuN1u9A52hd64gFI+ LX+p6laKRLAGhlntaHAY0D/J+lRqMUGOIjEjU9RJ5QkYqcHB274DT3jUjWb2Bs4bi1Ui2ziQsHjM 3eQdcF6+Zz4Hrj0nkHMfcF7N/mc3te4rkLcZmdRYK8BVFaix9noEFBgKHP9DZCBqdDY6dT6lyJoY rG0UlVeu3wvLg/dpL7w1juovFp9CyOaMUXC4Lis5n/tgA2hYzskQXXMUmyhy7o+BM957iQgJbl0G dnbrMjl9wFNCAw/OYMe1rS1obpBJA+s9WWWjwF3+4aO4a83wrOkB28I46m/FpAxRrTRsAGMAqEva b8KV4/CI0+yDO73FhQ8IHjXeqZI8fbIylJkItlNqV5eBuyFw3NhDUt2HzbzNTti9mFInQoqQMsmI FbUWUSRC5pqzbqPkjzWhFy0PvMA7fEImfGbdh9Pjn5iJJOy6aRydDSjGfqvrAcS9Q+WcM6KZKzpU 7KD4HQtFwFthpbQcvfbB3LhdIdzMDa0CY5sfMf6b4Qp4HUc9UYzLLUXO0vIvx0LDYf2UXMxus8vm k3s2BCcphrVCfJWSWSfMFUqSE2Ji0oytLRV61CTR45fa4eZdTURYx4rBakmaNCv+LuphlJRZKF0/ YiALs9/ffDmUmukP86Ts99KadmQwJCbfNOmy2V4c5SlMb1JxaXnlGTVAMNeBGdrWzVlLFmXV4zhn uR1tNCgSBL+QjSX0N408HUBa+EcdTbHfPafwfZjOM9EqkZSAg0ONSoEuhjSbc+wn5YXygJ/RKlC/ cpQHICUqcZeB162pXqE6f6c43R3Ij0B3UkGNEgEekmbDek0ZOkTJj6JtK8wQzZpmMh5hHzRpj2EH d/wsqxNTQmg74n92ppBr+X0RDGdj4TihwdnAlLuBrCreKbePtkU7O9iJ9QB6tDVrhudh3xoBxWKC DM2vlqxvDbNC9EGkG2YaG9SvShIVahQp0nkHHRMQMXGjOzYiXYYdX8/+L8tLRFgw8T9F72iWNTIK /UdUXESLpoocTPLz2OtsWN3zEtjFzP511fCCZRAH0zlB8enjsKV6VDcPc6H6s2IuIaNDkV/79Pjr lSecQkan5Pdh4qjnvdOtlOMIAmZP0q+8pGqkpb3+0XzR8YKRGwndDyCnhKZaxY41KZ8Rj7P8CAsr uPN9XmqzcJhMq7qBak5zRnNxR9Irn5XYWzEIm/VxU7JVsMtB71kr0/5HGG49rMl3LWf7tZH6aQOg QL9w7W4XLgMnGCxLaC+72U8iCy10i56xTpJTSciBWYfw7LCPS8CaE38oL/5O7zbgsbLemEVJN0TX SN3sSf3ov3/gC6n96eaUkAofzptXB2e+Ziwx0p22E60Ex4drgjhyUNLyWaZA7Dn8YYba1xRFCCez OhpYJJO2OD5Ixn4BjBWttsvxKLn0K5NIRVZyqKYSMrgwMCJCiJ+t5aoWuH3PT14MAYvMzOt0v9Hs nz4+mvUynfnLwHmW4u0JLwjgK1EycZhF3QvWMltjy2v/aTY5f9SkcXTQfAlwHLenZ+qEha6MCDAC jxKL9Knyk58VpdhF+GtCepeZgCbYcI438vtDgOf0BNK+Emt27/A6ffzJh81uUMQj46592CYMRkrX P3JFIVh8EGJV4O49BEIseaIPkQSXstSwFksamuX7QvUnPDW8KOlnx+PFYCIyUdvbD7lgGXPZGO0q EiN+vqQyA9vAqFWbai16mYv2Kj3xIQkCLeLvBDNdLRLpCSldPok2WPPwKdyQ/5cL16bhlheYl4lK WMvfLgLF/0c3SJ3vFizY8HvJ/gJeTRsVOLpbrvODZCjAVyAfI8SF5KYL32ynOGcOB4JLce3r9xye fXc+WxuBNNnhG7rqAHGvmyApveRnQJh4xIswyiVlkcYlf7Jy6Map7cSTJnOGRO5mlBYZ6wx2WPq/ Xpbr1f1cNmbbOFUhRZkL7upCsq9TqsfYYiTCeRvRwa2RJXI4jHvm/cPv9T5Rfv9zrW8pl1Yrcn8m klFmDncr7omuss9gL5KOTBV4LYdyJcXIqkBAJcG83+P52NqNXcu80dHfkGZENyLbGAdeuT5UDbkQ BdQPCVRk7NIbdfoyRhK4BxohAmCnQIJKLgEPdO7ZElZrs/9PnoJSvYViTCXExy/gsgj2gYGHFQ+0 20dpYZMvfGXu3UV8MA3BppVTBTbxE/C/saZGirovhoBbdYKRNuRdz37nIEGS5uM8fqQMmcRR/0AU HfCiNrnhT6aIfxX+filUxE8cJb2Jd3IFQvDzkGw5epudugswTq+7oC+gkEavPwq5FgFygpQObMuV Bq7RY/+xjQCUj0+g5sdMRfT5iPQ/H+jPbZ0ZKI092PhEYNVPmPt8AdPOl8ms7ELYirk1OV6TUVL+ C9aoQxH3SS8qTqpbQkLOeYFHg8EhYob81Tn/QR8AakA9ItzbPfy0Tm4d4qDqDbxuXzwiAkQt1dzq fWDFPAslNUKkYAEJsKAPdFB0g0dvsZeqYZ1dyoRmj5U1qWavh1pejJ7NMU5P17eYqCiQrCGlyWo4 MLgO1uYbbvgDkxjhH3+7peSfWxtFRdzNhodGCZhJpqR2djSJ3k+oq0qTM1RDBkmCbYYd59uJQ6ge EUVXJnJDb2WyawA7ssnLwtxe+EG2f1m2h3qG29P/StLmuy4Jl4JytWX3cvGasSJojwJouL/khjhe sojAfQKCW991tdQGV9SsZEItX3UCYVwk4Z7SiI5cHvwqtjN3/mkMvws2e+C8YZkPrA6CgcwqFPdn 8MSWnA0VSND32vuAFsGXZ+eXaEhGAWQcZo1WcTaydnbJ2tzP4iRQw+0E+9fbSXsoZb3RP7RjsJA5 4a5Ph6q+mNH93dJnNGsIqKnjb0SySHV9YUcVeWzzjE7Q5MsVLPMcIQP/7AgbZiaLgQYXlcWvMFIi zY77dHFjCmNpli6PRvAV9/WCyI5Hd3zWqnvguRYfSyfR1Rc3KyuisKMXcQ9LHkMCNOYm5nPAz6jV ztaDuRWycoXdQya/T3f3pc1Rr8gAmtAiefRjPI+MphQaWLdkIdwCoeLAIeSwFp1Arhz5TIkIcjM2 z6QAYXY55JmDrR2UpZFX4mUZFLXWPbBGbIanSjib6+i7/XpQ4IgAFtZGcsSKAr5aaW/dDl3U4j8w eUk47bdGUux+M/A= `protect end_protected
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 15:42:09 10/21/2015 -- Design Name: -- Module Name: four_bit_full_adder - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity four_bit_full_adder is Port ( x : in STD_LOGIC_VECTOR (3 downto 0); y : in STD_LOGIC_VECTOR (3 downto 0); cin : in STD_LOGIC; msb_cin: out STD_LOGIC; sum : out STD_LOGIC_VECTOR (3 downto 0); cout : out STD_LOGIC); end four_bit_full_adder; architecture Behavioral of four_bit_full_adder is --Use the one bit adder component one_bit_full_adder Port( x : in STD_LOGIC; y : in STD_LOGIC; cin : in STD_LOGIC; sum : out STD_LOGIC; cout : out STD_LOGIC); end component; --Internal Signals signal i_carry: STD_LOGIC_VECTOR(2 downto 0); begin cell_1: one_bit_full_adder port map(x(0), y(0), cin, sum(0), i_carry(0)); cell_2: one_bit_full_adder port map(x(1), y(1), i_carry(0), sum(1), i_carry(1)); cell_3: one_bit_full_adder port map(x(2), y(2), i_carry(1), sum(2), i_carry(2)); cell_4: one_bit_full_adder port map(x(3), y(3), i_carry(2), sum(3), cout); --Used for XORb msb_cin <= i_carry(2); end Behavioral;
entity snum03 is port (ok : out boolean); end snum03; library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; architecture behav of snum03 is -- add uns nat constant a1 : unsigned (7 downto 0) := x"1d"; constant b1 : integer := 3; constant r1 : unsigned (7 downto 0) := a1 + b1; signal er1 : unsigned (7 downto 0) := x"20"; begin -- ok <= r1 = x"20"; ok <= r1 = er1; end behav;
--------------------------------------------------------------------- -- Standard Library bits --------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- For Modelsim --use ieee.fixed_pkg.all; --use ieee.fixed_float_types.ALL; -- For ISE library ieee_proposed; use ieee_proposed.fixed_pkg.all; use ieee_proposed.fixed_float_types.ALL; use IEEE.numeric_std.all; --------------------------------------------------------------------- --------------------------------------------------------------------- -- Entity Description --------------------------------------------------------------------- entity neuron_model is Port ( clk : in STD_LOGIC; --SYSTEM CLOCK, THIS ITSELF DOES NOT SIGNIFY TIME STEPS - AKA A SINGLE TIMESTEP MAY TAKE MANY CLOCK CYCLES init_model : in STD_LOGIC; --SYNCHRONOUS RESET step_once_go : in STD_LOGIC; --signals to the neuron from the core that a time step is to be simulated step_once_complete : out STD_LOGIC; --signals to the core that a time step has finished eventport_in_spike_aggregate : in STD_LOGIC_VECTOR(511 downto 0); eventport_out_spike : out STD_LOGIC; param_voltage_v0 : in sfixed (2 downto -22); param_voltage_thresh : in sfixed (2 downto -22); param_capacitance_C : in sfixed (-33 downto -47); param_capacitance_inv_C_inv : in sfixed (47 downto 33); exposure_voltage_v : out sfixed (2 downto -22); statevariable_voltage_v_out : out sfixed (2 downto -22); statevariable_voltage_v_in : in sfixed (2 downto -22); statevariable_none_spiking_out : out sfixed (18 downto -13); statevariable_none_spiking_in : in sfixed (18 downto -13); param_none_leak_number : in sfixed (18 downto -13); param_voltage_leak_erev : in sfixed (2 downto -22); exposure_current_leak_i : out sfixed (-28 downto -53); derivedvariable_current_leak_i_out : out sfixed (-28 downto -53); derivedvariable_current_leak_i_in : in sfixed (-28 downto -53); param_conductance_leak_passive_conductance : in sfixed (-22 downto -53); exposure_conductance_leak_passive_g : out sfixed (-22 downto -53); derivedvariable_conductance_leak_passive_g_out : out sfixed (-22 downto -53); derivedvariable_conductance_leak_passive_g_in : in sfixed (-22 downto -53); param_none_naChans_number : in sfixed (18 downto -13); param_voltage_naChans_erev : in sfixed (2 downto -22); exposure_current_naChans_i : out sfixed (-28 downto -53); derivedvariable_current_naChans_i_out : out sfixed (-28 downto -53); derivedvariable_current_naChans_i_in : in sfixed (-28 downto -53); param_conductance_naChans_na_conductance : in sfixed (-22 downto -53); exposure_conductance_naChans_na_g : out sfixed (-22 downto -53); derivedvariable_conductance_naChans_na_g_out : out sfixed (-22 downto -53); derivedvariable_conductance_naChans_na_g_in : in sfixed (-22 downto -53); param_none_naChans_na_m_instances : in sfixed (18 downto -13); exposure_none_naChans_na_m_fcond : out sfixed (18 downto -13); exposure_none_naChans_na_m_q : out sfixed (18 downto -13); statevariable_none_naChans_na_m_q_out : out sfixed (18 downto -13); statevariable_none_naChans_na_m_q_in : in sfixed (18 downto -13); derivedvariable_none_naChans_na_m_fcond_out : out sfixed (18 downto -13); derivedvariable_none_naChans_na_m_fcond_in : in sfixed (18 downto -13); param_per_time_naChans_na_m_forwardRatem1_rate : in sfixed (18 downto -2); param_voltage_naChans_na_m_forwardRatem1_midpoint : in sfixed (2 downto -22); param_voltage_naChans_na_m_forwardRatem1_scale : in sfixed (2 downto -22); param_voltage_inv_naChans_na_m_forwardRatem1_scale_inv : in sfixed (22 downto -2); exposure_per_time_naChans_na_m_forwardRatem1_r : out sfixed (18 downto -2); derivedvariable_per_time_naChans_na_m_forwardRatem1_r_out : out sfixed (18 downto -2); derivedvariable_per_time_naChans_na_m_forwardRatem1_r_in : in sfixed (18 downto -2); param_per_time_naChans_na_m_reverseRatem1_rate : in sfixed (18 downto -2); param_voltage_naChans_na_m_reverseRatem1_midpoint : in sfixed (2 downto -22); param_voltage_naChans_na_m_reverseRatem1_scale : in sfixed (2 downto -22); param_voltage_inv_naChans_na_m_reverseRatem1_scale_inv : in sfixed (22 downto -2); exposure_per_time_naChans_na_m_reverseRatem1_r : out sfixed (18 downto -2); derivedvariable_per_time_naChans_na_m_reverseRatem1_r_out : out sfixed (18 downto -2); derivedvariable_per_time_naChans_na_m_reverseRatem1_r_in : in sfixed (18 downto -2); param_none_naChans_na_h_instances : in sfixed (18 downto -13); exposure_none_naChans_na_h_fcond : out sfixed (18 downto -13); exposure_none_naChans_na_h_q : out sfixed (18 downto -13); statevariable_none_naChans_na_h_q_out : out sfixed (18 downto -13); statevariable_none_naChans_na_h_q_in : in sfixed (18 downto -13); derivedvariable_none_naChans_na_h_fcond_out : out sfixed (18 downto -13); derivedvariable_none_naChans_na_h_fcond_in : in sfixed (18 downto -13); param_per_time_naChans_na_h_forwardRateh1_rate : in sfixed (18 downto -2); param_voltage_naChans_na_h_forwardRateh1_midpoint : in sfixed (2 downto -22); param_voltage_naChans_na_h_forwardRateh1_scale : in sfixed (2 downto -22); param_voltage_inv_naChans_na_h_forwardRateh1_scale_inv : in sfixed (22 downto -2); exposure_per_time_naChans_na_h_forwardRateh1_r : out sfixed (18 downto -2); derivedvariable_per_time_naChans_na_h_forwardRateh1_r_out : out sfixed (18 downto -2); derivedvariable_per_time_naChans_na_h_forwardRateh1_r_in : in sfixed (18 downto -2); param_per_time_naChans_na_h_reverseRateh1_rate : in sfixed (18 downto -2); param_voltage_naChans_na_h_reverseRateh1_midpoint : in sfixed (2 downto -22); param_voltage_naChans_na_h_reverseRateh1_scale : in sfixed (2 downto -22); param_voltage_inv_naChans_na_h_reverseRateh1_scale_inv : in sfixed (22 downto -2); exposure_per_time_naChans_na_h_reverseRateh1_r : out sfixed (18 downto -2); derivedvariable_per_time_naChans_na_h_reverseRateh1_r_out : out sfixed (18 downto -2); derivedvariable_per_time_naChans_na_h_reverseRateh1_r_in : in sfixed (18 downto -2); param_none_kChans_number : in sfixed (18 downto -13); param_voltage_kChans_erev : in sfixed (2 downto -22); exposure_current_kChans_i : out sfixed (-28 downto -53); derivedvariable_current_kChans_i_out : out sfixed (-28 downto -53); derivedvariable_current_kChans_i_in : in sfixed (-28 downto -53); param_conductance_kChans_k_conductance : in sfixed (-22 downto -53); exposure_conductance_kChans_k_g : out sfixed (-22 downto -53); derivedvariable_conductance_kChans_k_g_out : out sfixed (-22 downto -53); derivedvariable_conductance_kChans_k_g_in : in sfixed (-22 downto -53); param_none_kChans_k_n_instances : in sfixed (18 downto -13); exposure_none_kChans_k_n_fcond : out sfixed (18 downto -13); exposure_none_kChans_k_n_q : out sfixed (18 downto -13); statevariable_none_kChans_k_n_q_out : out sfixed (18 downto -13); statevariable_none_kChans_k_n_q_in : in sfixed (18 downto -13); derivedvariable_none_kChans_k_n_fcond_out : out sfixed (18 downto -13); derivedvariable_none_kChans_k_n_fcond_in : in sfixed (18 downto -13); param_per_time_kChans_k_n_forwardRaten1_rate : in sfixed (18 downto -2); param_voltage_kChans_k_n_forwardRaten1_midpoint : in sfixed (2 downto -22); param_voltage_kChans_k_n_forwardRaten1_scale : in sfixed (2 downto -22); param_voltage_inv_kChans_k_n_forwardRaten1_scale_inv : in sfixed (22 downto -2); exposure_per_time_kChans_k_n_forwardRaten1_r : out sfixed (18 downto -2); derivedvariable_per_time_kChans_k_n_forwardRaten1_r_out : out sfixed (18 downto -2); derivedvariable_per_time_kChans_k_n_forwardRaten1_r_in : in sfixed (18 downto -2); param_per_time_kChans_k_n_reverseRaten1_rate : in sfixed (18 downto -2); param_voltage_kChans_k_n_reverseRaten1_midpoint : in sfixed (2 downto -22); param_voltage_kChans_k_n_reverseRaten1_scale : in sfixed (2 downto -22); param_voltage_inv_kChans_k_n_reverseRaten1_scale_inv : in sfixed (22 downto -2); exposure_per_time_kChans_k_n_reverseRaten1_r : out sfixed (18 downto -2); derivedvariable_per_time_kChans_k_n_reverseRaten1_r_out : out sfixed (18 downto -2); derivedvariable_per_time_kChans_k_n_reverseRaten1_r_in : in sfixed (18 downto -2); param_time_synapsemodel_tauDecay : in sfixed (6 downto -18); param_conductance_synapsemodel_gbase : in sfixed (-22 downto -53); param_voltage_synapsemodel_erev : in sfixed (2 downto -22); param_time_inv_synapsemodel_tauDecay_inv : in sfixed (18 downto -6); exposure_current_synapsemodel_i : out sfixed (-28 downto -53); exposure_conductance_synapsemodel_g : out sfixed (-22 downto -53); statevariable_conductance_synapsemodel_g_out : out sfixed (-22 downto -53); statevariable_conductance_synapsemodel_g_in : in sfixed (-22 downto -53); derivedvariable_current_synapsemodel_i_out : out sfixed (-28 downto -53); derivedvariable_current_synapsemodel_i_in : in sfixed (-28 downto -53); sysparam_time_timestep : in sfixed (-6 downto -22); sysparam_time_simtime : in sfixed (6 downto -22) ); end neuron_model; --------------------------------------------------------------------- ------------------------------------------------------------------------------------------- -- Architecture Begins ------------------------------------------------------------------------------------------- architecture RTL of neuron_model is signal COUNT : unsigned(2 downto 0) := "000"; signal childrenCombined_Component_done_single_shot_fired : STD_LOGIC := '0'; signal childrenCombined_Component_done_single_shot : STD_LOGIC := '0'; signal childrenCombined_Component_done : STD_LOGIC := '0'; signal Component_done_int : STD_LOGIC := '0'; signal subprocess_der_int_pre_ready : STD_LOGIC := '0'; signal subprocess_der_int_ready : STD_LOGIC := '0'; signal subprocess_der_ready : STD_LOGIC := '0'; signal subprocess_dyn_int_pre_ready : STD_LOGIC := '0'; signal subprocess_dyn_int_ready : STD_LOGIC := '0'; signal subprocess_dyn_ready : STD_LOGIC := '0'; signal subprocess_model_ready : STD_LOGIC := '1'; signal subprocess_all_ready_shotdone : STD_LOGIC := '1'; signal subprocess_all_ready_shot : STD_LOGIC := '0'; signal subprocess_all_ready : STD_LOGIC := '0';signal synapsemodel_step_once_complete_fired : STD_LOGIC := '1'; signal step_once_complete_fired : STD_LOGIC := '1'; signal Component_done : STD_LOGIC := '0'; constant cNSpikeSources : integer := 512; -- The number of spike sources. constant cNOutputs : integer := 512; -- The number of Synapses in the neuron model. constant cNSelectBits : integer := 9; -- Log2(NOutputs), rounded up. signal SpikeOut : Std_logic_vector((cNOutputs-1) downto 0); signal statevariable_voltage_noregime_v_temp_1 : sfixed (2 downto -22); signal statevariable_voltage_noregime_v_temp_1_next : sfixed (2 downto -22); --------------------------------------------------------------------- -- Derived Variables and parameters --------------------------------------------------------------------- signal DerivedVariable_current_iChannels : sfixed (-28 downto -53) := to_sfixed(0.0 ,-28,-53); signal DerivedVariable_current_iChannels_next : sfixed (-28 downto -53) := to_sfixed(0.0 ,-28,-53); signal DerivedVariable_current_iSyn : sfixed (-28 downto -53) := to_sfixed(0.0 ,-28,-53); signal DerivedVariable_current_iSyn_next : sfixed (-28 downto -53) := to_sfixed(0.0 ,-28,-53); signal DerivedVariable_current_iMemb : sfixed (-28 downto -53) := to_sfixed(0.0 ,-28,-53); signal DerivedVariable_current_iMemb_next : sfixed (-28 downto -53) := to_sfixed(0.0 ,-28,-53); --------------------------------------------------------------------- --------------------------------------------------------------------- -- EDState internal Variables --------------------------------------------------------------------- signal statevariable_voltage_v_next : sfixed (2 downto -22); signal statevariable_none_spiking_next : sfixed (18 downto -13); --------------------------------------------------------------------- --------------------------------------------------------------------- -- Output Port internal Variables --------------------------------------------------------------------- signal EventPort_out_spike_internal : std_logic := '0'; --------------------------------------------------------------------- --------------------------------------------------------------------- -- Child Components --------------------------------------------------------------------- component leak Port ( clk : in STD_LOGIC; --SYSTEM CLOCK, THIS ITSELF DOES NOT SIGNIFY TIME STEPS - AKA A SINGLE TIMESTEP MAY TAKE MANY CLOCK CYCLES init_model : in STD_LOGIC; step_once_go : in STD_LOGIC; --signals to the neuron from the core that a time step is to be simulated Component_done : out STD_LOGIC; requirement_voltage_v : in sfixed (2 downto -22); param_none_number : in sfixed (18 downto -13); param_voltage_erev : in sfixed (2 downto -22); exposure_current_i : out sfixed (-28 downto -53); derivedvariable_current_i_out : out sfixed (-28 downto -53); derivedvariable_current_i_in : in sfixed (-28 downto -53); param_conductance_passive_conductance : in sfixed (-22 downto -53); exposure_conductance_passive_g : out sfixed (-22 downto -53); derivedvariable_conductance_passive_g_out : out sfixed (-22 downto -53); derivedvariable_conductance_passive_g_in : in sfixed (-22 downto -53); sysparam_time_timestep : in sfixed (-6 downto -22); sysparam_time_simtime : in sfixed (6 downto -22) ); end component; signal leak_Component_done : STD_LOGIC ; signal Exposure_current_leak_i_internal : sfixed (-28 downto -53); signal Exposure_conductance_leak_passive_g_internal : sfixed (-22 downto -53); --------------------------------------------------------------------- component naChans Port ( clk : in STD_LOGIC; --SYSTEM CLOCK, THIS ITSELF DOES NOT SIGNIFY TIME STEPS - AKA A SINGLE TIMESTEP MAY TAKE MANY CLOCK CYCLES init_model : in STD_LOGIC; step_once_go : in STD_LOGIC; --signals to the neuron from the core that a time step is to be simulated Component_done : out STD_LOGIC; requirement_voltage_v : in sfixed (2 downto -22); param_none_number : in sfixed (18 downto -13); param_voltage_erev : in sfixed (2 downto -22); exposure_current_i : out sfixed (-28 downto -53); derivedvariable_current_i_out : out sfixed (-28 downto -53); derivedvariable_current_i_in : in sfixed (-28 downto -53); param_conductance_na_conductance : in sfixed (-22 downto -53); exposure_conductance_na_g : out sfixed (-22 downto -53); derivedvariable_conductance_na_g_out : out sfixed (-22 downto -53); derivedvariable_conductance_na_g_in : in sfixed (-22 downto -53); param_none_na_m_instances : in sfixed (18 downto -13); exposure_none_na_m_fcond : out sfixed (18 downto -13); exposure_none_na_m_q : out sfixed (18 downto -13); statevariable_none_na_m_q_out : out sfixed (18 downto -13); statevariable_none_na_m_q_in : in sfixed (18 downto -13); derivedvariable_none_na_m_fcond_out : out sfixed (18 downto -13); derivedvariable_none_na_m_fcond_in : in sfixed (18 downto -13); param_per_time_na_m_forwardRatem1_rate : in sfixed (18 downto -2); param_voltage_na_m_forwardRatem1_midpoint : in sfixed (2 downto -22); param_voltage_na_m_forwardRatem1_scale : in sfixed (2 downto -22); param_voltage_inv_na_m_forwardRatem1_scale_inv : in sfixed (22 downto -2); exposure_per_time_na_m_forwardRatem1_r : out sfixed (18 downto -2); derivedvariable_per_time_na_m_forwardRatem1_r_out : out sfixed (18 downto -2); derivedvariable_per_time_na_m_forwardRatem1_r_in : in sfixed (18 downto -2); param_per_time_na_m_reverseRatem1_rate : in sfixed (18 downto -2); param_voltage_na_m_reverseRatem1_midpoint : in sfixed (2 downto -22); param_voltage_na_m_reverseRatem1_scale : in sfixed (2 downto -22); param_voltage_inv_na_m_reverseRatem1_scale_inv : in sfixed (22 downto -2); exposure_per_time_na_m_reverseRatem1_r : out sfixed (18 downto -2); derivedvariable_per_time_na_m_reverseRatem1_r_out : out sfixed (18 downto -2); derivedvariable_per_time_na_m_reverseRatem1_r_in : in sfixed (18 downto -2); param_none_na_h_instances : in sfixed (18 downto -13); exposure_none_na_h_fcond : out sfixed (18 downto -13); exposure_none_na_h_q : out sfixed (18 downto -13); statevariable_none_na_h_q_out : out sfixed (18 downto -13); statevariable_none_na_h_q_in : in sfixed (18 downto -13); derivedvariable_none_na_h_fcond_out : out sfixed (18 downto -13); derivedvariable_none_na_h_fcond_in : in sfixed (18 downto -13); param_per_time_na_h_forwardRateh1_rate : in sfixed (18 downto -2); param_voltage_na_h_forwardRateh1_midpoint : in sfixed (2 downto -22); param_voltage_na_h_forwardRateh1_scale : in sfixed (2 downto -22); param_voltage_inv_na_h_forwardRateh1_scale_inv : in sfixed (22 downto -2); exposure_per_time_na_h_forwardRateh1_r : out sfixed (18 downto -2); derivedvariable_per_time_na_h_forwardRateh1_r_out : out sfixed (18 downto -2); derivedvariable_per_time_na_h_forwardRateh1_r_in : in sfixed (18 downto -2); param_per_time_na_h_reverseRateh1_rate : in sfixed (18 downto -2); param_voltage_na_h_reverseRateh1_midpoint : in sfixed (2 downto -22); param_voltage_na_h_reverseRateh1_scale : in sfixed (2 downto -22); param_voltage_inv_na_h_reverseRateh1_scale_inv : in sfixed (22 downto -2); exposure_per_time_na_h_reverseRateh1_r : out sfixed (18 downto -2); derivedvariable_per_time_na_h_reverseRateh1_r_out : out sfixed (18 downto -2); derivedvariable_per_time_na_h_reverseRateh1_r_in : in sfixed (18 downto -2); sysparam_time_timestep : in sfixed (-6 downto -22); sysparam_time_simtime : in sfixed (6 downto -22) ); end component; signal naChans_Component_done : STD_LOGIC ; signal Exposure_current_naChans_i_internal : sfixed (-28 downto -53); signal Exposure_conductance_naChans_na_g_internal : sfixed (-22 downto -53); signal Exposure_none_naChans_na_m_fcond_internal : sfixed (18 downto -13); signal Exposure_none_naChans_na_m_q_internal : sfixed (18 downto -13); signal Exposure_per_time_naChans_na_m_forwardRatem1_r_internal : sfixed (18 downto -2); signal Exposure_per_time_naChans_na_m_reverseRatem1_r_internal : sfixed (18 downto -2); signal Exposure_none_naChans_na_h_fcond_internal : sfixed (18 downto -13); signal Exposure_none_naChans_na_h_q_internal : sfixed (18 downto -13); signal Exposure_per_time_naChans_na_h_forwardRateh1_r_internal : sfixed (18 downto -2); signal Exposure_per_time_naChans_na_h_reverseRateh1_r_internal : sfixed (18 downto -2); --------------------------------------------------------------------- component kChans Port ( clk : in STD_LOGIC; --SYSTEM CLOCK, THIS ITSELF DOES NOT SIGNIFY TIME STEPS - AKA A SINGLE TIMESTEP MAY TAKE MANY CLOCK CYCLES init_model : in STD_LOGIC; step_once_go : in STD_LOGIC; --signals to the neuron from the core that a time step is to be simulated Component_done : out STD_LOGIC; requirement_voltage_v : in sfixed (2 downto -22); param_none_number : in sfixed (18 downto -13); param_voltage_erev : in sfixed (2 downto -22); exposure_current_i : out sfixed (-28 downto -53); derivedvariable_current_i_out : out sfixed (-28 downto -53); derivedvariable_current_i_in : in sfixed (-28 downto -53); param_conductance_k_conductance : in sfixed (-22 downto -53); exposure_conductance_k_g : out sfixed (-22 downto -53); derivedvariable_conductance_k_g_out : out sfixed (-22 downto -53); derivedvariable_conductance_k_g_in : in sfixed (-22 downto -53); param_none_k_n_instances : in sfixed (18 downto -13); exposure_none_k_n_fcond : out sfixed (18 downto -13); exposure_none_k_n_q : out sfixed (18 downto -13); statevariable_none_k_n_q_out : out sfixed (18 downto -13); statevariable_none_k_n_q_in : in sfixed (18 downto -13); derivedvariable_none_k_n_fcond_out : out sfixed (18 downto -13); derivedvariable_none_k_n_fcond_in : in sfixed (18 downto -13); param_per_time_k_n_forwardRaten1_rate : in sfixed (18 downto -2); param_voltage_k_n_forwardRaten1_midpoint : in sfixed (2 downto -22); param_voltage_k_n_forwardRaten1_scale : in sfixed (2 downto -22); param_voltage_inv_k_n_forwardRaten1_scale_inv : in sfixed (22 downto -2); exposure_per_time_k_n_forwardRaten1_r : out sfixed (18 downto -2); derivedvariable_per_time_k_n_forwardRaten1_r_out : out sfixed (18 downto -2); derivedvariable_per_time_k_n_forwardRaten1_r_in : in sfixed (18 downto -2); param_per_time_k_n_reverseRaten1_rate : in sfixed (18 downto -2); param_voltage_k_n_reverseRaten1_midpoint : in sfixed (2 downto -22); param_voltage_k_n_reverseRaten1_scale : in sfixed (2 downto -22); param_voltage_inv_k_n_reverseRaten1_scale_inv : in sfixed (22 downto -2); exposure_per_time_k_n_reverseRaten1_r : out sfixed (18 downto -2); derivedvariable_per_time_k_n_reverseRaten1_r_out : out sfixed (18 downto -2); derivedvariable_per_time_k_n_reverseRaten1_r_in : in sfixed (18 downto -2); sysparam_time_timestep : in sfixed (-6 downto -22); sysparam_time_simtime : in sfixed (6 downto -22) ); end component; signal kChans_Component_done : STD_LOGIC ; signal Exposure_current_kChans_i_internal : sfixed (-28 downto -53); signal Exposure_conductance_kChans_k_g_internal : sfixed (-22 downto -53); signal Exposure_none_kChans_k_n_fcond_internal : sfixed (18 downto -13); signal Exposure_none_kChans_k_n_q_internal : sfixed (18 downto -13); signal Exposure_per_time_kChans_k_n_forwardRaten1_r_internal : sfixed (18 downto -2); signal Exposure_per_time_kChans_k_n_reverseRaten1_r_internal : sfixed (18 downto -2); --------------------------------------------------------------------- component synapsemodel Port ( clk : in STD_LOGIC; --SYSTEM CLOCK, THIS ITSELF DOES NOT SIGNIFY TIME STEPS - AKA A SINGLE TIMESTEP MAY TAKE MANY CLOCK CYCLES init_model : in STD_LOGIC; step_once_go : in STD_LOGIC; --signals to the neuron from the core that a time step is to be simulated Component_done : out STD_LOGIC; eventport_in_in : in STD_LOGIC; requirement_voltage_v : in sfixed (2 downto -22); param_time_tauDecay : in sfixed (6 downto -18); param_conductance_gbase : in sfixed (-22 downto -53); param_voltage_erev : in sfixed (2 downto -22); param_time_inv_tauDecay_inv : in sfixed (18 downto -6); exposure_current_i : out sfixed (-28 downto -53); exposure_conductance_g : out sfixed (-22 downto -53); statevariable_conductance_g_out : out sfixed (-22 downto -53); statevariable_conductance_g_in : in sfixed (-22 downto -53); derivedvariable_current_i_out : out sfixed (-28 downto -53); derivedvariable_current_i_in : in sfixed (-28 downto -53); sysparam_time_timestep : in sfixed (-6 downto -22); sysparam_time_simtime : in sfixed (6 downto -22) ); end component; signal synapsemodel_Component_done : STD_LOGIC ; signal Exposure_current_synapsemodel_i_internal : sfixed (-28 downto -53); signal Exposure_conductance_synapsemodel_g_internal : sfixed (-22 downto -53); --------------------------------------------------------------------- --------------------------------------------------------------------- -- Begin Internal Processes --------------------------------------------------------------------- begin --------------------------------------------------------------------- -- Child EDComponent Instantiations and corresponding internal variables --------------------------------------------------------------------- leak_uut : leak port map ( clk => clk, init_model => init_model, step_once_go => step_once_go, Component_done => leak_Component_done, param_none_number => param_none_leak_number, param_voltage_erev => param_voltage_leak_erev, requirement_voltage_v => statevariable_voltage_v_in, Exposure_current_i => Exposure_current_leak_i_internal, derivedvariable_current_i_out => derivedvariable_current_leak_i_out, derivedvariable_current_i_in => derivedvariable_current_leak_i_in, param_conductance_passive_conductance => param_conductance_leak_passive_conductance, Exposure_conductance_passive_g => Exposure_conductance_leak_passive_g_internal, derivedvariable_conductance_passive_g_out => derivedvariable_conductance_leak_passive_g_out, derivedvariable_conductance_passive_g_in => derivedvariable_conductance_leak_passive_g_in, sysparam_time_timestep => sysparam_time_timestep, sysparam_time_simtime => sysparam_time_simtime ); Exposure_current_leak_i <= Exposure_current_leak_i_internal; naChans_uut : naChans port map ( clk => clk, init_model => init_model, step_once_go => step_once_go, Component_done => naChans_Component_done, param_none_number => param_none_naChans_number, param_voltage_erev => param_voltage_naChans_erev, requirement_voltage_v => statevariable_voltage_v_in, Exposure_current_i => Exposure_current_naChans_i_internal, derivedvariable_current_i_out => derivedvariable_current_naChans_i_out, derivedvariable_current_i_in => derivedvariable_current_naChans_i_in, param_conductance_na_conductance => param_conductance_naChans_na_conductance, Exposure_conductance_na_g => Exposure_conductance_naChans_na_g_internal, derivedvariable_conductance_na_g_out => derivedvariable_conductance_naChans_na_g_out, derivedvariable_conductance_na_g_in => derivedvariable_conductance_naChans_na_g_in, param_none_na_m_instances => param_none_naChans_na_m_instances, Exposure_none_na_m_fcond => Exposure_none_naChans_na_m_fcond_internal, Exposure_none_na_m_q => Exposure_none_naChans_na_m_q_internal, statevariable_none_na_m_q_out => statevariable_none_naChans_na_m_q_out, statevariable_none_na_m_q_in => statevariable_none_naChans_na_m_q_in, derivedvariable_none_na_m_fcond_out => derivedvariable_none_naChans_na_m_fcond_out, derivedvariable_none_na_m_fcond_in => derivedvariable_none_naChans_na_m_fcond_in, param_per_time_na_m_forwardRatem1_rate => param_per_time_naChans_na_m_forwardRatem1_rate, param_voltage_na_m_forwardRatem1_midpoint => param_voltage_naChans_na_m_forwardRatem1_midpoint, param_voltage_na_m_forwardRatem1_scale => param_voltage_naChans_na_m_forwardRatem1_scale, param_voltage_inv_na_m_forwardRatem1_scale_inv => param_voltage_inv_naChans_na_m_forwardRatem1_scale_inv, Exposure_per_time_na_m_forwardRatem1_r => Exposure_per_time_naChans_na_m_forwardRatem1_r_internal, derivedvariable_per_time_na_m_forwardRatem1_r_out => derivedvariable_per_time_naChans_na_m_forwardRatem1_r_out, derivedvariable_per_time_na_m_forwardRatem1_r_in => derivedvariable_per_time_naChans_na_m_forwardRatem1_r_in, param_per_time_na_m_reverseRatem1_rate => param_per_time_naChans_na_m_reverseRatem1_rate, param_voltage_na_m_reverseRatem1_midpoint => param_voltage_naChans_na_m_reverseRatem1_midpoint, param_voltage_na_m_reverseRatem1_scale => param_voltage_naChans_na_m_reverseRatem1_scale, param_voltage_inv_na_m_reverseRatem1_scale_inv => param_voltage_inv_naChans_na_m_reverseRatem1_scale_inv, Exposure_per_time_na_m_reverseRatem1_r => Exposure_per_time_naChans_na_m_reverseRatem1_r_internal, derivedvariable_per_time_na_m_reverseRatem1_r_out => derivedvariable_per_time_naChans_na_m_reverseRatem1_r_out, derivedvariable_per_time_na_m_reverseRatem1_r_in => derivedvariable_per_time_naChans_na_m_reverseRatem1_r_in, param_none_na_h_instances => param_none_naChans_na_h_instances, Exposure_none_na_h_fcond => Exposure_none_naChans_na_h_fcond_internal, Exposure_none_na_h_q => Exposure_none_naChans_na_h_q_internal, statevariable_none_na_h_q_out => statevariable_none_naChans_na_h_q_out, statevariable_none_na_h_q_in => statevariable_none_naChans_na_h_q_in, derivedvariable_none_na_h_fcond_out => derivedvariable_none_naChans_na_h_fcond_out, derivedvariable_none_na_h_fcond_in => derivedvariable_none_naChans_na_h_fcond_in, param_per_time_na_h_forwardRateh1_rate => param_per_time_naChans_na_h_forwardRateh1_rate, param_voltage_na_h_forwardRateh1_midpoint => param_voltage_naChans_na_h_forwardRateh1_midpoint, param_voltage_na_h_forwardRateh1_scale => param_voltage_naChans_na_h_forwardRateh1_scale, param_voltage_inv_na_h_forwardRateh1_scale_inv => param_voltage_inv_naChans_na_h_forwardRateh1_scale_inv, Exposure_per_time_na_h_forwardRateh1_r => Exposure_per_time_naChans_na_h_forwardRateh1_r_internal, derivedvariable_per_time_na_h_forwardRateh1_r_out => derivedvariable_per_time_naChans_na_h_forwardRateh1_r_out, derivedvariable_per_time_na_h_forwardRateh1_r_in => derivedvariable_per_time_naChans_na_h_forwardRateh1_r_in, param_per_time_na_h_reverseRateh1_rate => param_per_time_naChans_na_h_reverseRateh1_rate, param_voltage_na_h_reverseRateh1_midpoint => param_voltage_naChans_na_h_reverseRateh1_midpoint, param_voltage_na_h_reverseRateh1_scale => param_voltage_naChans_na_h_reverseRateh1_scale, param_voltage_inv_na_h_reverseRateh1_scale_inv => param_voltage_inv_naChans_na_h_reverseRateh1_scale_inv, Exposure_per_time_na_h_reverseRateh1_r => Exposure_per_time_naChans_na_h_reverseRateh1_r_internal, derivedvariable_per_time_na_h_reverseRateh1_r_out => derivedvariable_per_time_naChans_na_h_reverseRateh1_r_out, derivedvariable_per_time_na_h_reverseRateh1_r_in => derivedvariable_per_time_naChans_na_h_reverseRateh1_r_in, sysparam_time_timestep => sysparam_time_timestep, sysparam_time_simtime => sysparam_time_simtime ); Exposure_current_naChans_i <= Exposure_current_naChans_i_internal; kChans_uut : kChans port map ( clk => clk, init_model => init_model, step_once_go => step_once_go, Component_done => kChans_Component_done, param_none_number => param_none_kChans_number, param_voltage_erev => param_voltage_kChans_erev, requirement_voltage_v => statevariable_voltage_v_in, Exposure_current_i => Exposure_current_kChans_i_internal, derivedvariable_current_i_out => derivedvariable_current_kChans_i_out, derivedvariable_current_i_in => derivedvariable_current_kChans_i_in, param_conductance_k_conductance => param_conductance_kChans_k_conductance, Exposure_conductance_k_g => Exposure_conductance_kChans_k_g_internal, derivedvariable_conductance_k_g_out => derivedvariable_conductance_kChans_k_g_out, derivedvariable_conductance_k_g_in => derivedvariable_conductance_kChans_k_g_in, param_none_k_n_instances => param_none_kChans_k_n_instances, Exposure_none_k_n_fcond => Exposure_none_kChans_k_n_fcond_internal, Exposure_none_k_n_q => Exposure_none_kChans_k_n_q_internal, statevariable_none_k_n_q_out => statevariable_none_kChans_k_n_q_out, statevariable_none_k_n_q_in => statevariable_none_kChans_k_n_q_in, derivedvariable_none_k_n_fcond_out => derivedvariable_none_kChans_k_n_fcond_out, derivedvariable_none_k_n_fcond_in => derivedvariable_none_kChans_k_n_fcond_in, param_per_time_k_n_forwardRaten1_rate => param_per_time_kChans_k_n_forwardRaten1_rate, param_voltage_k_n_forwardRaten1_midpoint => param_voltage_kChans_k_n_forwardRaten1_midpoint, param_voltage_k_n_forwardRaten1_scale => param_voltage_kChans_k_n_forwardRaten1_scale, param_voltage_inv_k_n_forwardRaten1_scale_inv => param_voltage_inv_kChans_k_n_forwardRaten1_scale_inv, Exposure_per_time_k_n_forwardRaten1_r => Exposure_per_time_kChans_k_n_forwardRaten1_r_internal, derivedvariable_per_time_k_n_forwardRaten1_r_out => derivedvariable_per_time_kChans_k_n_forwardRaten1_r_out, derivedvariable_per_time_k_n_forwardRaten1_r_in => derivedvariable_per_time_kChans_k_n_forwardRaten1_r_in, param_per_time_k_n_reverseRaten1_rate => param_per_time_kChans_k_n_reverseRaten1_rate, param_voltage_k_n_reverseRaten1_midpoint => param_voltage_kChans_k_n_reverseRaten1_midpoint, param_voltage_k_n_reverseRaten1_scale => param_voltage_kChans_k_n_reverseRaten1_scale, param_voltage_inv_k_n_reverseRaten1_scale_inv => param_voltage_inv_kChans_k_n_reverseRaten1_scale_inv, Exposure_per_time_k_n_reverseRaten1_r => Exposure_per_time_kChans_k_n_reverseRaten1_r_internal, derivedvariable_per_time_k_n_reverseRaten1_r_out => derivedvariable_per_time_kChans_k_n_reverseRaten1_r_out, derivedvariable_per_time_k_n_reverseRaten1_r_in => derivedvariable_per_time_kChans_k_n_reverseRaten1_r_in, sysparam_time_timestep => sysparam_time_timestep, sysparam_time_simtime => sysparam_time_simtime ); Exposure_current_kChans_i <= Exposure_current_kChans_i_internal; synapsemodel_uut : synapsemodel port map ( clk => clk, init_model => init_model, step_once_go => step_once_go, Component_done => synapsemodel_Component_done, eventport_in_in => EventPort_in_spike_aggregate(0), param_time_tauDecay => param_time_synapsemodel_tauDecay, param_conductance_gbase => param_conductance_synapsemodel_gbase, param_voltage_erev => param_voltage_synapsemodel_erev, param_time_inv_tauDecay_inv => param_time_inv_synapsemodel_tauDecay_inv, requirement_voltage_v => statevariable_voltage_v_in, Exposure_current_i => Exposure_current_synapsemodel_i_internal, Exposure_conductance_g => Exposure_conductance_synapsemodel_g_internal, statevariable_conductance_g_out => statevariable_conductance_synapsemodel_g_out, statevariable_conductance_g_in => statevariable_conductance_synapsemodel_g_in, derivedvariable_current_i_out => derivedvariable_current_synapsemodel_i_out, derivedvariable_current_i_in => derivedvariable_current_synapsemodel_i_in, sysparam_time_timestep => sysparam_time_timestep, sysparam_time_simtime => sysparam_time_simtime ); Exposure_current_synapsemodel_i <= Exposure_current_synapsemodel_i_internal; Exposure_conductance_synapsemodel_g <= Exposure_conductance_synapsemodel_g_internal; derived_variable_pre_process_comb :process ( sysparam_time_timestep,exposure_current_leak_i_internal,exposure_current_naChans_i_internal,exposure_current_kChans_i_internal,exposure_current_synapsemodel_i_internal, derivedvariable_current_iChannels_next , derivedvariable_current_iSyn_next ) begin end process derived_variable_pre_process_comb; derived_variable_pre_process_syn :process ( clk, init_model ) begin subprocess_der_int_pre_ready <= '1'; end process derived_variable_pre_process_syn; --no complex steps in derived variables subprocess_der_int_ready <= '1'; derived_variable_process_comb :process ( sysparam_time_timestep,exposure_current_leak_i_internal,exposure_current_naChans_i_internal,exposure_current_kChans_i_internal,exposure_current_synapsemodel_i_internal, derivedvariable_current_iChannels_next , derivedvariable_current_iSyn_next ) begin derivedvariable_current_iChannels_next <= resize(( ( ( exposure_current_leak_i_internal + exposure_current_naChans_i_internal ) + exposure_current_kChans_i_internal ) ),-28,-53); derivedvariable_current_iSyn_next <= resize(( exposure_current_synapsemodel_i_internal ),-28,-53); derivedvariable_current_iMemb_next <= resize(( derivedvariable_current_iChannels_next + derivedvariable_current_iSyn_next ),-28,-53); subprocess_der_ready <= '1'; end process derived_variable_process_comb; derived_variable_process_syn :process ( clk,init_model ) begin if clk'event and clk = '1' then if subprocess_all_ready_shot = '1' then derivedvariable_current_iChannels <= derivedvariable_current_iChannels_next; derivedvariable_current_iSyn <= derivedvariable_current_iSyn_next; derivedvariable_current_iMemb <= derivedvariable_current_iMemb_next; end if; end if; end process derived_variable_process_syn; --------------------------------------------------------------------- dynamics_pre_process_comb :process ( sysparam_time_timestep, derivedvariable_current_iMemb , param_capacitance_C,param_capacitance_inv_C_inv ) begin end process dynamics_pre_process_comb; dynamics_pre_process_syn :process ( clk, init_model ) begin subprocess_dyn_int_pre_ready <= '1'; end process dynamics_pre_process_syn; --No dynamics with complex equations found subprocess_dyn_int_ready <= '1'; state_variable_process_dynamics_comb :process (sysparam_time_timestep, derivedvariable_current_iMemb , param_capacitance_C,param_capacitance_inv_C_inv ,statevariable_voltage_v_in) begin statevariable_voltage_noregime_v_temp_1_next <= resize(statevariable_voltage_v_in + ( derivedvariable_current_iMemb * param_capacitance_inv_C_inv ) * sysparam_time_timestep,2,-22); subprocess_dyn_ready <= '1'; end process state_variable_process_dynamics_comb; state_variable_process_dynamics_syn :process (CLK,init_model) begin if clk'event and clk = '1' then if subprocess_all_ready_shot = '1' then statevariable_voltage_noregime_v_temp_1 <= statevariable_voltage_noregime_v_temp_1_next; end if; end if; end process state_variable_process_dynamics_syn; ------------------------------------------------------------------------------------------------------ -- EDState Variable Drivers ------------------------------------------------------------------------------------------------------ --------------------------------------------------------------------- -- EDState variable: $par.name Driver Process --------------------------------------------------------------------- state_variable_process_comb_0 :process (sysparam_time_timestep,init_model,param_voltage_v0,statevariable_voltage_noregime_v_temp_1,derivedvariable_current_iMemb,param_capacitance_C,param_capacitance_inv_C_inv) variable statevariable_voltage_v_temp_1 : sfixed (2 downto -22); begin statevariable_voltage_v_temp_1 := statevariable_voltage_noregime_v_temp_1; statevariable_voltage_v_next <= statevariable_voltage_v_temp_1; end process; --------------------------------------------------------------------- --------------------------------------------------------------------- -- EDState variable: $par.name Driver Process --------------------------------------------------------------------- state_variable_process_comb_1 :process (sysparam_time_timestep,init_model,param_voltage_v0,param_voltage_thresh,statevariable_voltage_v_in,statevariable_none_spiking_in,param_voltage_thresh,statevariable_voltage_v_in) variable statevariable_none_spiking_temp_1 : sfixed (18 downto -13); variable statevariable_none_spiking_temp_2 : sfixed (18 downto -13); begin if To_slv ( resize ( statevariable_voltage_v_in - ( param_voltage_thresh ) ,2,-18))(20) = '0' AND To_slv ( resize ( statevariable_none_spiking_in - ( to_sfixed ( 0.5 ,0 , -1 ) ) ,2,-18))(20) = '1' then statevariable_none_spiking_temp_1 := resize( to_sfixed ( 1 ,1 , -1 ) ,18,-13); else statevariable_none_spiking_temp_1 := statevariable_none_spiking_in; end if; if To_slv ( resize ( statevariable_voltage_v_in - ( param_voltage_thresh ) ,2,-18))(20) = '1' then statevariable_none_spiking_temp_2 := resize( to_sfixed ( 0 ,0 , -1 ) ,18,-13); else statevariable_none_spiking_temp_2 := statevariable_none_spiking_temp_1; end if; statevariable_none_spiking_next <= statevariable_none_spiking_temp_2; end process; --------------------------------------------------------------------- ------------------------------------------------------------------------------------------------------ eventport_driver0 :process ( clk,sysparam_time_timestep,init_model, param_voltage_thresh, statevariable_voltage_v_in , statevariable_none_spiking_in ) variable eventport_out_spike_temp_1 : std_logic; variable eventport_out_spike_temp_2 : std_logic; begin if rising_edge(clk) and subprocess_all_ready_shot = '1' then if To_slv ( resize ( statevariable_voltage_v_in - ( param_voltage_thresh ) ,2,-18))(20) = '0' AND To_slv ( resize ( statevariable_none_spiking_in - ( to_sfixed ( 0.5 ,0 , -1 ) ) ,2,-18))(20) = '1' then eventport_out_spike_temp_1 := '1'; else eventport_out_spike_temp_1 := '0'; end if;eventport_out_spike_internal <= eventport_out_spike_temp_1; end if; end process; --------------------------------------------------------------------- ------------------------------------------------------------------------------------------------------ --------------------------------------------------------------------- -- Assign state variables to exposures --------------------------------------------------------------------- exposure_voltage_v <= statevariable_voltage_v_in; --------------------------------------------------------------------- --------------------------------------------------------------------- -- Assign state variables to output state variables --------------------------------------------------------------------- statevariable_voltage_v_out <= statevariable_voltage_v_next;statevariable_none_spiking_out <= statevariable_none_spiking_next; --------------------------------------------------------------------- --------------------------------------------------------------------- -- Assign derived variables to exposures --------------------------------------------------------------------- --------------------------------------------------------------------- --------------------------------------------------------------------- -- Subprocess ready process --------------------------------------------------------------------- subprocess_all_ready_process: process(step_once_go,subprocess_der_int_ready,subprocess_der_int_pre_ready,subprocess_der_ready,subprocess_dyn_int_pre_ready,subprocess_dyn_int_ready,subprocess_dyn_ready,subprocess_model_ready) begin if step_once_go = '0' and subprocess_der_int_ready = '1' and subprocess_der_int_pre_ready = '1'and subprocess_der_ready ='1' and subprocess_dyn_int_ready = '1' and subprocess_dyn_int_pre_ready = '1' and subprocess_dyn_ready = '1' and subprocess_model_ready = '1' then subprocess_all_ready <= '1'; else subprocess_all_ready <= '0'; end if; end process subprocess_all_ready_process; subprocess_all_ready_shot_process : process(clk) begin if rising_edge(clk) then if (init_model='1') then subprocess_all_ready_shot <= '0'; subprocess_all_ready_shotdone <= '1'; else if subprocess_all_ready = '1' and subprocess_all_ready_shotdone = '0' then subprocess_all_ready_shot <= '1'; subprocess_all_ready_shotdone <= '1'; elsif subprocess_all_ready_shot = '1' then subprocess_all_ready_shot <= '0'; elsif subprocess_all_ready = '0' then subprocess_all_ready_shot <= '0'; subprocess_all_ready_shotdone <= '0'; end if; end if; end if; end process subprocess_all_ready_shot_process; --------------------------------------------------------------------- count_proc:process(clk) begin if (clk'EVENT AND clk = '1') then if init_model = '1' then COUNT <= "001"; component_done_int <= '1'; else if step_once_go = '1' then COUNT <= "000"; component_done_int <= '0'; elsif COUNT = "001" then component_done_int <= '1'; elsif subprocess_all_ready_shot = '1' then COUNT <= COUNT + 1; component_done_int <= '0'; end if; end if; end if; end process count_proc; childrenCombined_component_done_process:process(leak_component_done,naChans_component_done,kChans_component_done,synapsemodel_component_done,CLK) begin if (leak_component_done = '1' and naChans_component_done = '1' and kChans_component_done = '1' and synapsemodel_component_done = '1') then childrenCombined_component_done <= '1'; else childrenCombined_component_done <= '0'; end if; end process childrenCombined_component_done_process; component_done <= component_done_int and childrenCombined_component_done; --------------------------------------------------------------------- -- Control the done signal --------------------------------------------------------------------- step_once_complete_synch:process(clk) begin if (clk'EVENT AND clk = '1') then if init_model = '1' then step_once_complete <= '0'; step_once_complete_fired <= '1'; else if component_done = '1' and step_once_complete_fired = '0' then step_once_complete <= '1'; step_once_complete_fired <= '1'; --------------------------------------------------------------------- -- Assign event ports to exposures --------------------------------------------------------------------- eventport_out_spike <= eventport_out_spike_internal ; --------------------------------------------------------------------- elsif component_done = '0' then step_once_complete <= '0'; step_once_complete_fired <= '0'; --------------------------------------------------------------------- -- Assign event ports to exposures --------------------------------------------------------------------- eventport_out_spike <= '0'; --------------------------------------------------------------------- else step_once_complete <= '0'; --------------------------------------------------------------------- -- Assign event ports to exposures --------------------------------------------------------------------- eventport_out_spike <= '0'; --------------------------------------------------------------------- end if; end if; end if; end process step_once_complete_synch; --------------------------------------------------------------------- end RTL;
library ieee; use ieee.std_logic_1164.all; use work.aua_types.all; entity if_tb is end if_tb; architecture if_test of if_tb is component ent_if is port ( clk : in std_logic; reset : in std_logic; -- pipeline register outputs opcode : out opcode_t; dest : out reg_t; pc_out : out word_t; rega : out reg_t; regb : out reg_t; imm : out std_logic_vector(7 downto 0); -- asynchron register outputs async_rega : out reg_t; async_regb : out reg_t; -- branches (from ID) pc_in : in word_t; branch : in std_logic; -- mmu instr_addr : out word_t; instr_data : in word_t ); end component; signal clk : std_logic; signal reset : std_logic; -- pipeline register outputs signal opcode : opcode_t; signal dest : reg_t; signal pc_out : word_t; signal rega : reg_t; signal regb : reg_t; signal imm : std_logic_vector(7 downto 0); signal async_rega : reg_t; signal async_regb : reg_t; -- branches (from ID) signal pc_in : word_t; signal branch : std_logic; -- mmu signal instr_addr : word_t; signal instr_data : word_t; begin if1: ent_if port map(clk, reset, opcode, dest, pc_out, rega, regb, imm, async_rega, async_regb, pc_in, branch, instr_addr, instr_data); CLKGEN: process begin clk <= '1'; wait for 5 ns; clk <= '0'; wait for 5 ns; end process CLKGEN; TEST: process procedure icwait(cycles : natural) is begin for i in 1 to cycles loop wait until clk = '0' and clk'event; end loop; end; begin reset <= '1'; pc_in <= "1111111111111111"; branch <= '0'; instr_data <= "1100110010101010"; icwait(2); -- reset <= '0'; icwait(1); -- branch <= '1'; icwait(1); -- branch <= '0'; instr_data <= "0000110010101010"; icwait(100); -- end process TEST; end if_test;
-- This program is free software: you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation, either version 3 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program. If not, see <http://www.gnu.org/licenses/> -- -- Copyright (C) 2014 Jakub Kicinski <[email protected]> library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.STD_LOGIC_ARITH.all; use IEEE.STD_LOGIC_UNSIGNED.all; ENTITY tb_ctrl_filter IS END tb_ctrl_filter; ARCHITECTURE behavior OF tb_ctrl_filter IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT ctrl_filter port (Clk : in std_logic; Rst : in std_logic; PktIn : in std_logic; DataIn : in std_logic_vector (7 downto 0); PktOut : out std_logic; DataOut : out std_logic_vector (7 downto 0); CtrlEn : out std_logic; CtrlData : out std_logic_vector (7 downto 0)); END COMPONENT; --Inputs signal Clk : std_logic := '0'; signal Rst : std_logic := '0'; signal PktIn : std_logic := '0'; signal ByteIn : std_logic_vector(7 downto 0) := (others => '0'); --Outputs signal PktOut : std_logic; signal ByteOut : std_logic_vector(7 downto 0); signal CtrlEn : std_logic; signal CtrlData : std_logic_vector(7 downto 0); -- Clock period definitions constant Clk_period : time := 10 ns; BEGIN -- Instantiate the Unit Under Test (UUT) uut: ctrl_filter PORT MAP ( Clk => Clk, Rst => Rst, PktIn => PktIn, DataIn => ByteIn, PktOut => PktOut, DataOut => ByteOut, CtrlEn => CtrlEn, CtrlData => CtrlData ); -- Clock process definitions Clk_process :process begin Clk <= '0'; wait for Clk_period/2; Clk <= '1'; wait for Clk_period/2; end process; -- Stimulus process stim_proc: process begin -- hold reset state for 100 ns. wait for 100 ns; wait for Clk_period*10; for j in 0 to 15 loop PktIn <= '1'; for i in 1 to 7 loop ByteIn <= x"55"; wait for Clk_period; end loop; ByteIn <= x"d5"; wait for Clk_period; ByteIn <= x"00"; wait for Clk_period * 9; for i in 1 to 15 loop ByteIn <= CONV_std_logic_vector(i, 8); wait for Clk_period; end loop; PktIn <= '0'; wait for Clk_period*10; end loop; wait; end process; END;
--============================================================================ --! --! \file example_package --! --! \project libhdl --! --! \author Andreas Muller --! --! \date 2015-04-20 --! --! \version 1.0 --! --! \brief Brief package description in one or two sentences. --! --! \details More detailed description. This should focus on the kind of --! functions, procedures and operators which are offered by the --! package. --! --! \bug No bugs or known issues. --! --! \see List of references useful for the understanding of this --! package e.g. standards, RFCs, papers, book chapters, web links --! &cetera. --! --! \copyright Copyright (C) 2015, Andreas Muller --! GNU General Public License Version 2 --! --! This program is free software; you can redistribute it and/or --! modify it under the terms of the GNU General Public License as --! published by the Free Software Foundation; either version 2 of --! the License, or (at your option) any later version. --! This program is distributed in the hope that it will be useful, --! but WITHOUT ANY WARRANTY; without even the implied warranty of --! MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the --! GNU General Public License for more details. --! --============================================================================ library ieee; use ieee.numeric_std.all; use ieee.std_logic_1164.all; package template_package is constant MY_WORD_WIDTH : integer := 12; subtype t_my_word is std_logic_vector(MY_WORD_WIDTH-1 downto 0); type t_my_answers is (YES, NO, MAYBE, GLURPNARD); type t_my_saying is record unique_id : integer; word : t_my_word; some_bits : bit_vector(3 downto 0); end record; function "+"(op1 : t_my_word; op2 : t_my_word) return t_my_word; procedure equalZero(signal op : in t_my_word; signal result : out boolean); end package template_package; library ieee; use ieee.numeric_std.all; use ieee.std_logic_1164.all; package body template_package is function "+"(op1 : t_my_word; op2 : t_my_word) return t_my_word is variable reval : t_my_word; begin reval := std_logic_vector(unsigned(op1) + unsigned(op2)); return reval; end function "+"; procedure equalZero(signal op : in t_my_word; signal result : out boolean) is constant ZERO_WORD : t_my_word := (others => '0'); begin result <= (op = ZERO_WORD); end procedure equalZero; end package body template_package;
--========================================================================================================================== -- FILE NAME : issue_unit.vhd -- DESCRIPTION : issue unit helps to issue one instruction at a time even when multiple instructions are ready to be issued. -- the priority depends on LRU bit and also the latency of instruction, long latency instructions are given -- priority , so the priority order is - div, mult, ( int type and lw/sw depending on LRU bit). -- AUTHOR : PRASANJEET DAS, VAIBHAV DHOTRE -- DATE : 6/17/10, 6/23/06 -- TASK : COMPLETE THE SIX TODO SECTIONS. --=========================================================================================================================== ------------------------------------------- -- LIBRARY DECLARATION library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; --use IEEE.STD_LOGIC_UNSIGNED.ALL; --use work.tmslopkg.all --ENTITY DECLARATION entity issue_unit is generic( Resetb_ACTIVE_VALUE : std_logic := '0' -- ACTIVE LOW Resetb ); port( Clk : in std_logic; Resetb : in std_logic; -- ready signals from each of the queues IssInt_Rdy : in std_logic; IssMul_Rdy : in std_logic; IssDiv_Rdy : in std_logic; IssLsb_Rdy : in std_logic; -- signal from the division execution unit to indicate that it is currently available Div_ExeRdy : in std_logic; --issue signals as acknowledgement from issue unit to each of the queues Iss_Int : out std_logic; Iss_Mult : out std_logic; Iss_Div : out std_logic; Iss_Lsb : out std_logic ); end issue_unit; -- ARCHITECTURE DECLARATION architecture Behavioral of issue_unit is signal CDB_Slot : std_logic_vector(5 downto 0); -- the CDB reservation register signal LRU_bit : std_logic; -- you can declare your own signals here begin --NOTE: --================================================================================================================================================================================================ -- 1. simple approach to decide priority between int type and "lw/sw" type instructions -- depending on the LRU bit issue the Least recently used instruction, use a LRU bit for the same which gets updated every time -- and instruction is issued. -- FOR SIMPLICITY ASSUME LRU BIT WHEN AN INT TYPE INSTRUCTION IS ISSUED IS "0" AND WHEN A LW/SW TYPE INSTRUCTION IS ISSUED IS "1" -- PRECAUTION to be taken only issue the one whose corresponding issueque_ready signal is asserted ( = '1') --2. issue mult insturction when the CDB_slot (3) is free and the corresponding issueque_ready signal is asserted (= '1') --remember the 4 clock latency --3. issue div instruction when the Div_ExeRdy indicates that divider execution unit is ready and corresponding issueque_ready signal is asserted (= '1') -- remember the 7 clock latency --4. don't forget to update the CDB register on every clock as per the required conditions. --================================================================================================================================================================================================== process(Resetb, Clk) begin if (Resetb = '0') then -- TODO 1: INITIALIZE CDB and LRU Bit CDB_Slot <= (others => '0'); LRU_bit <= '0'; elsif ( Clk'event and Clk = '1' ) then --CDB_Slot <= -- TODO 2: COMPLETE THE SHIFT MECHANISM CDB_Slot(5) <= '0'; --Iss_Div; CDB_Slot(4) <= CDB_Slot(5); CDB_Slot(3) <= CDB_Slot(4); CDB_Slot(2) <= CDB_Slot(3); -- when (Iss_Mult = '0') else '1'; CDB_Slot(1) <= CDB_Slot(2); CDB_Slot(0) <= CDB_Slot(1); if (CDB_Slot(0) = '0') then -- TODO 3: -- FILLUP THE LRU UPDATION MECHANISM WHEN ISSUING TO EITHER INT QUE OR LW/SW QUE -- Three cases to be considered here: -- Case 1: when only int type instructions get ready if (IssInt_Rdy = '1' and IssLsb_Rdy = '0') then LRU_bit <= '0'; -- Case 2: when only lw/sw type instructions get ready elsif (IssInt_Rdy = '0' and IssLsb_Rdy = '1') then LRU_bit <= '1'; -- Case 3: when both int type and lw/sw instructions get ready elsif (IssInt_Rdy = '1' and IssLsb_Rdy = '1') then if (LRU_bit = '1') then --toggle LRU_bit LRU_bit <= '0'; else LRU_bit <= '1'; end if; end if; end if; -- TODO 4: reserve CDB slot for issuing a div instruction -- 7 CLOCK LATENCY if (IssDiv_Rdy = '1') then CDB_Slot(5) <= '1'; end if; -- TODO 5: reserve CDB slot for issuing a mult instruction -- 4 CLOCK LATENCY if (CDB_Slot(3) = '0' and IssMul_Rdy = '1') then CDB_Slot(2) <= '1'; end if; -- NOTE: THE LATENCY CALCULATION IS INSIDE A CLOCKED PROCESS SO 1 CLOCK LATENCY WILL BE AUTOMATICALLY TAKEN CARE OF. -- IN OTHER WORDS YOU NEED TO FIGURE OUT THAT IF YOU NEED TO HAVE A LATENCY OF "N" WHICH CDB REGISTER NEEDS TO BE UPDATED -- IS IT REGISTER "N", REGISTER "N+1" OR REGISTER "N - 1 " ???? end if; end process; process(LRU_bit, IssLsb_Rdy, IssInt_Rdy, IssDiv_Rdy, IssMul_Rdy, Div_ExeRdy, CDB_Slot) -- TODO 6: GENERATE THE ISSUE SIGNALS begin -- FILL UP THE CODE FOR ISSUING EITHER LW/SW OR INT TYPE INSTRUCTION DEPENDING ON LRU BIT -- MULTIPLE CASES NEED TO BE CONSIDERED SUCH AS WHEN ONLY ONE TYPE OF INSTRUCTION IS READY -- OR WHEN BOTH TYPES OF INSTRUCTIONS ARE READY SIMULTANEOUSLY. -- REFER TO THE THREE CASES MENTIONED IN THE SECTION "TODO 3" if (IssInt_Rdy = '1' and IssLsb_Rdy = '0') then --Case 1 Iss_Int <= '1'; Iss_Lsb <= '0'; elsif (IssInt_Rdy = '0' and IssLsb_Rdy = '1') then --Case 2 Iss_Int <= '0'; Iss_Lsb <= '1'; elsif (IssInt_Rdy = '1' and IssLsb_Rdy = '1') then --Case 3 if(LRU_bit = '1') then Iss_Int <= '0'; --should be switched? Iss_Lsb <= '1'; else Iss_Int <= '1'; Iss_Lsb <= '0'; end if; else Iss_Int <= '0'; Iss_Lsb <= '0'; end if; -- FILL UP THE CODE TO ISSUE A DIV TYPE INSTRUCTION if (IssDiv_Rdy = '1' and Div_ExeRdy = '1') then Iss_Div <= '1'; else Iss_Div <= '0'; end if; -- FILL UP THE CODE TO ISSUE A MULT TYPE INSTRUCTION if (IssMul_Rdy = '1') then -- and CDB_Slot(3) = '0') then Iss_Mult <= '1'; else Iss_Mult <= '0'; end if; end process; end Behavioral;
--========================================================================================================================== -- FILE NAME : issue_unit.vhd -- DESCRIPTION : issue unit helps to issue one instruction at a time even when multiple instructions are ready to be issued. -- the priority depends on LRU bit and also the latency of instruction, long latency instructions are given -- priority , so the priority order is - div, mult, ( int type and lw/sw depending on LRU bit). -- AUTHOR : PRASANJEET DAS, VAIBHAV DHOTRE -- DATE : 6/17/10, 6/23/06 -- TASK : COMPLETE THE SIX TODO SECTIONS. --=========================================================================================================================== ------------------------------------------- -- LIBRARY DECLARATION library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; --use IEEE.STD_LOGIC_UNSIGNED.ALL; --use work.tmslopkg.all --ENTITY DECLARATION entity issue_unit is generic( Resetb_ACTIVE_VALUE : std_logic := '0' -- ACTIVE LOW Resetb ); port( Clk : in std_logic; Resetb : in std_logic; -- ready signals from each of the queues IssInt_Rdy : in std_logic; IssMul_Rdy : in std_logic; IssDiv_Rdy : in std_logic; IssLsb_Rdy : in std_logic; -- signal from the division execution unit to indicate that it is currently available Div_ExeRdy : in std_logic; --issue signals as acknowledgement from issue unit to each of the queues Iss_Int : out std_logic; Iss_Mult : out std_logic; Iss_Div : out std_logic; Iss_Lsb : out std_logic ); end issue_unit; -- ARCHITECTURE DECLARATION architecture Behavioral of issue_unit is signal CDB_Slot : std_logic_vector(5 downto 0); -- the CDB reservation register signal LRU_bit : std_logic; -- you can declare your own signals here begin --NOTE: --================================================================================================================================================================================================ -- 1. simple approach to decide priority between int type and "lw/sw" type instructions -- depending on the LRU bit issue the Least recently used instruction, use a LRU bit for the same which gets updated every time -- and instruction is issued. -- FOR SIMPLICITY ASSUME LRU BIT WHEN AN INT TYPE INSTRUCTION IS ISSUED IS "0" AND WHEN A LW/SW TYPE INSTRUCTION IS ISSUED IS "1" -- PRECAUTION to be taken only issue the one whose corresponding issueque_ready signal is asserted ( = '1') --2. issue mult insturction when the CDB_slot (3) is free and the corresponding issueque_ready signal is asserted (= '1') --remember the 4 clock latency --3. issue div instruction when the Div_ExeRdy indicates that divider execution unit is ready and corresponding issueque_ready signal is asserted (= '1') -- remember the 7 clock latency --4. don't forget to update the CDB register on every clock as per the required conditions. --================================================================================================================================================================================================== process(Resetb, Clk) begin if (Resetb = '0') then -- TODO 1: INITIALIZE CDB and LRU Bit CDB_Slot <= (others => '0'); LRU_bit <= '0'; elsif ( Clk'event and Clk = '1' ) then --CDB_Slot <= -- TODO 2: COMPLETE THE SHIFT MECHANISM CDB_Slot(5) <= '0'; --Iss_Div; CDB_Slot(4) <= CDB_Slot(5); CDB_Slot(3) <= CDB_Slot(4); CDB_Slot(2) <= CDB_Slot(3); -- when (Iss_Mult = '0') else '1'; CDB_Slot(1) <= CDB_Slot(2); CDB_Slot(0) <= CDB_Slot(1); if (CDB_Slot(0) = '0') then -- TODO 3: -- FILLUP THE LRU UPDATION MECHANISM WHEN ISSUING TO EITHER INT QUE OR LW/SW QUE -- Three cases to be considered here: -- Case 1: when only int type instructions get ready if (IssInt_Rdy = '1' and IssLsb_Rdy = '0') then LRU_bit <= '0'; -- Case 2: when only lw/sw type instructions get ready elsif (IssInt_Rdy = '0' and IssLsb_Rdy = '1') then LRU_bit <= '1'; -- Case 3: when both int type and lw/sw instructions get ready elsif (IssInt_Rdy = '1' and IssLsb_Rdy = '1') then if (LRU_bit = '1') then --toggle LRU_bit LRU_bit <= '0'; else LRU_bit <= '1'; end if; end if; end if; -- TODO 4: reserve CDB slot for issuing a div instruction -- 7 CLOCK LATENCY if (IssDiv_Rdy = '1') then CDB_Slot(5) <= '1'; end if; -- TODO 5: reserve CDB slot for issuing a mult instruction -- 4 CLOCK LATENCY if (CDB_Slot(3) = '0' and IssMul_Rdy = '1') then CDB_Slot(2) <= '1'; end if; -- NOTE: THE LATENCY CALCULATION IS INSIDE A CLOCKED PROCESS SO 1 CLOCK LATENCY WILL BE AUTOMATICALLY TAKEN CARE OF. -- IN OTHER WORDS YOU NEED TO FIGURE OUT THAT IF YOU NEED TO HAVE A LATENCY OF "N" WHICH CDB REGISTER NEEDS TO BE UPDATED -- IS IT REGISTER "N", REGISTER "N+1" OR REGISTER "N - 1 " ???? end if; end process; process(LRU_bit, IssLsb_Rdy, IssInt_Rdy, IssDiv_Rdy, IssMul_Rdy, Div_ExeRdy, CDB_Slot) -- TODO 6: GENERATE THE ISSUE SIGNALS begin -- FILL UP THE CODE FOR ISSUING EITHER LW/SW OR INT TYPE INSTRUCTION DEPENDING ON LRU BIT -- MULTIPLE CASES NEED TO BE CONSIDERED SUCH AS WHEN ONLY ONE TYPE OF INSTRUCTION IS READY -- OR WHEN BOTH TYPES OF INSTRUCTIONS ARE READY SIMULTANEOUSLY. -- REFER TO THE THREE CASES MENTIONED IN THE SECTION "TODO 3" if (IssInt_Rdy = '1' and IssLsb_Rdy = '0') then --Case 1 Iss_Int <= '1'; Iss_Lsb <= '0'; elsif (IssInt_Rdy = '0' and IssLsb_Rdy = '1') then --Case 2 Iss_Int <= '0'; Iss_Lsb <= '1'; elsif (IssInt_Rdy = '1' and IssLsb_Rdy = '1') then --Case 3 if(LRU_bit = '1') then Iss_Int <= '0'; --should be switched? Iss_Lsb <= '1'; else Iss_Int <= '1'; Iss_Lsb <= '0'; end if; else Iss_Int <= '0'; Iss_Lsb <= '0'; end if; -- FILL UP THE CODE TO ISSUE A DIV TYPE INSTRUCTION if (IssDiv_Rdy = '1' and Div_ExeRdy = '1') then Iss_Div <= '1'; else Iss_Div <= '0'; end if; -- FILL UP THE CODE TO ISSUE A MULT TYPE INSTRUCTION if (IssMul_Rdy = '1') then -- and CDB_Slot(3) = '0') then Iss_Mult <= '1'; else Iss_Mult <= '0'; end if; end process; end Behavioral;
---------------------------------------------------------------- -- Nombre : ROM.vhd -- Descripcion : Memoria de programa del PIC ---------------------------------------------------------------- -- Version : 1.0 ---------------------------------------------------------------- LIBRARY IEEE; USE IEEE.std_logic_1164.all; USE IEEE.std_logic_arith.all; USE IEEE.std_logic_unsigned.all; USE work.PIC_pkg.all; entity ROM is port ( Instruction : out std_logic_vector(11 downto 0); -- Instruction bus Program_counter : in std_logic_vector(11 downto 0)); end ROM; architecture AUTOMATIC of ROM is constant W0 : std_logic_vector(11 downto 0) :=X"0" & TYPE_3 & LD & SRC_MEM & DST_A; constant W1 : std_logic_vector(11 downto 0) := X"003"; constant W2 : std_logic_vector(11 downto 0) :=X"0" & TYPE_3 & LD & SRC_CONSTANT & DST_B; constant W3 : std_logic_vector(11 downto 0) := X"0FF"; constant W4 : std_logic_vector(11 downto 0) :=X"0" & TYPE_1 & ALU_CMPL; constant W5 : std_logic_vector(11 downto 0) :=X"0" & TYPE_2 & JMP_COND; constant W6 : std_logic_vector(11 downto 0) :=X"000"; constant W7 : std_logic_vector(11 downto 0) :=X"0" & TYPE_3 & LD & SRC_CONSTANT & DST_ACC; constant W8 : std_logic_vector(11 downto 0) := X"000"; constant W9 : std_logic_vector(11 downto 0) :=X"0" & TYPE_3 & WR & SRC_ACC & DST_MEM; constant W10 : std_logic_vector(11 downto 0) := X"003"; constant W11 : std_logic_vector(11 downto 0) :=X"0" & TYPE_3 & LD & SRC_MEM & DST_A; constant W12 : std_logic_vector(11 downto 0) := X"000"; constant W13 : std_logic_vector(11 downto 0) :=X"0" & TYPE_3 & LD & SRC_CONSTANT & DST_B; constant W14 : std_logic_vector(11 downto 0) := X"041"; constant W15 : std_logic_vector(11 downto 0) :=X"0" & TYPE_1 & ALU_CMPE; constant W16 : std_logic_vector(11 downto 0) :=X"0" & TYPE_2 & JMP_COND; constant W17 : std_logic_vector(11 downto 0) :=X"045"; constant W18 : std_logic_vector(11 downto 0) :=X"0" & TYPE_3 & LD & SRC_CONSTANT & DST_B; constant W19 : std_logic_vector(11 downto 0) := X"049"; constant W20 : std_logic_vector(11 downto 0) :=X"0" & TYPE_1 & ALU_CMPE; constant W21 : std_logic_vector(11 downto 0) :=X"0" & TYPE_2 & JMP_COND; constant W22 : std_logic_vector(11 downto 0) :=X"02E"; constant W23 : std_logic_vector(11 downto 0) :=X"0" & TYPE_3 & LD & SRC_CONSTANT & DST_B; constant W24 : std_logic_vector(11 downto 0) := X"054"; constant W25 : std_logic_vector(11 downto 0) :=X"0" & TYPE_1 & ALU_CMPE; constant W26 : std_logic_vector(11 downto 0) :=X"0" & TYPE_2 & JMP_COND; constant W27 : std_logic_vector(11 downto 0) :=X"05C"; constant W28 : std_logic_vector(11 downto 0) :=X"0" & TYPE_3 & LD & SRC_CONSTANT & DST_B; constant W29 : std_logic_vector(11 downto 0) := X"053"; constant W30 : std_logic_vector(11 downto 0) :=X"0" & TYPE_1 & ALU_CMPE; constant W31 : std_logic_vector(11 downto 0) :=X"0" & TYPE_2 & JMP_COND; constant W32 : std_logic_vector(11 downto 0) :=X"07E"; constant W33 : std_logic_vector(11 downto 0) :=X"0" & TYPE_2 & JMP_UNCOND; constant W34 : std_logic_vector(11 downto 0) :=X"0D6"; constant W35 : std_logic_vector(11 downto 0) :=X"0" & TYPE_3 & LD & SRC_CONSTANT & DST_ACC; constant W36 : std_logic_vector(11 downto 0) := X"04F"; constant W37 : std_logic_vector(11 downto 0) :=X"0" & TYPE_3 & WR & SRC_ACC & DST_MEM; constant W38 : std_logic_vector(11 downto 0) := X"004"; constant W39 : std_logic_vector(11 downto 0) :=X"0" & TYPE_3 & LD & SRC_CONSTANT & DST_ACC; constant W40 : std_logic_vector(11 downto 0) := X"04B"; constant W41 : std_logic_vector(11 downto 0) :=X"0" & TYPE_3 & WR & SRC_ACC & DST_MEM; constant W42 : std_logic_vector(11 downto 0) := X"005"; constant W43 : std_logic_vector(11 downto 0) :=X"0" & TYPE_4 & "000000"; constant W44 : std_logic_vector(11 downto 0) :=X"0" & TYPE_2 & JMP_UNCOND; constant W45 : std_logic_vector(11 downto 0) :=X"000"; constant W46 : std_logic_vector(11 downto 0) :=X"0" & TYPE_3 & LD & SRC_MEM & DST_A; constant W47 : std_logic_vector(11 downto 0) := X"001"; constant W48 : std_logic_vector(11 downto 0) :=X"0" & TYPE_1 & ALU_ASCII2BIN; constant W49 : std_logic_vector(11 downto 0) :=X"0" & TYPE_3 & LD & SRC_ACC & DST_INDX; constant W50 : std_logic_vector(11 downto 0) :=X"0" & TYPE_3 & LD & SRC_ACC & DST_A; constant W51 : std_logic_vector(11 downto 0) :=X"0" & TYPE_3 & LD & SRC_CONSTANT & DST_B; constant W52 : std_logic_vector(11 downto 0) := X"007"; constant W53 : std_logic_vector(11 downto 0) :=X"0" & TYPE_1 & ALU_CMPG; constant W54 : std_logic_vector(11 downto 0) :=X"0" & TYPE_2 & JMP_COND; constant W55 : std_logic_vector(11 downto 0) :=X"0D6"; constant W56 : std_logic_vector(11 downto 0) :=X"0" & TYPE_3 & LD & SRC_MEM & DST_A; constant W57 : std_logic_vector(11 downto 0) := X"002"; constant W58 : std_logic_vector(11 downto 0) :=X"0" & TYPE_1 & ALU_ASCII2BIN; constant W59 : std_logic_vector(11 downto 0) :=X"0" & TYPE_3 & LD & SRC_ACC & DST_A; constant W60 : std_logic_vector(11 downto 0) :=X"0" & TYPE_3 & LD & SRC_CONSTANT & DST_B; constant W61 : std_logic_vector(11 downto 0) := X"001"; constant W62 : std_logic_vector(11 downto 0) :=X"0" & TYPE_1 & ALU_CMPG; constant W63 : std_logic_vector(11 downto 0) :=X"0" & TYPE_2 & JMP_COND; constant W64 : std_logic_vector(11 downto 0) :=X"0D6"; constant W65 : std_logic_vector(11 downto 0) :=X"0" & TYPE_3 & WR & SRC_ACC & DST_INDXD_MEM; constant W66 : std_logic_vector(11 downto 0) := X"010"; constant W67 : std_logic_vector(11 downto 0) :=X"0" & TYPE_2 & JMP_UNCOND; constant W68 : std_logic_vector(11 downto 0) :=X"023"; constant W69 : std_logic_vector(11 downto 0) :=X"0" & TYPE_3 & LD & SRC_MEM & DST_A; constant W70 : std_logic_vector(11 downto 0) := X"001"; constant W71 : std_logic_vector(11 downto 0) :=X"0" & TYPE_1 & ALU_ASCII2BIN; constant W72 : std_logic_vector(11 downto 0) :=X"0" & TYPE_3 & LD & SRC_ACC & DST_A; constant W73 : std_logic_vector(11 downto 0) :=X"0" & TYPE_3 & LD & SRC_ACC & DST_INDX; constant W74 : std_logic_vector(11 downto 0) :=X"0" & TYPE_3 & LD & SRC_CONSTANT & DST_B; constant W75 : std_logic_vector(11 downto 0) := X"0FF"; constant W76 : std_logic_vector(11 downto 0) :=X"0" & TYPE_1 & ALU_CMPE; constant W77 : std_logic_vector(11 downto 0) :=X"0" & TYPE_2 & JMP_COND; constant W78 : std_logic_vector(11 downto 0) :=X"0D6"; constant W79 : std_logic_vector(11 downto 0) :=X"0" & TYPE_3 & LD & SRC_MEM & DST_A; constant W80 : std_logic_vector(11 downto 0) := X"002"; constant W81 : std_logic_vector(11 downto 0) :=X"0" & TYPE_1 & ALU_ASCII2BIN; constant W82 : std_logic_vector(11 downto 0) :=X"0" & TYPE_3 & LD & SRC_ACC & DST_A; constant W83 : std_logic_vector(11 downto 0) :=X"0" & TYPE_3 & LD & SRC_CONSTANT & DST_B; constant W84 : std_logic_vector(11 downto 0) := X"009"; constant W85 : std_logic_vector(11 downto 0) :=X"0" & TYPE_1 & ALU_CMPG; constant W86 : std_logic_vector(11 downto 0) :=X"0" & TYPE_2 & JMP_COND; constant W87 : std_logic_vector(11 downto 0) :=X"0D6"; constant W88 : std_logic_vector(11 downto 0) :=X"0" & TYPE_3 & WR & SRC_ACC & DST_INDXD_MEM; constant W89 : std_logic_vector(11 downto 0) := X"020"; constant W90 : std_logic_vector(11 downto 0) :=X"0" & TYPE_2 & JMP_UNCOND; constant W91 : std_logic_vector(11 downto 0) :=X"023"; constant W92 : std_logic_vector(11 downto 0) :=X"0" & TYPE_3 & LD & SRC_MEM & DST_A; constant W93 : std_logic_vector(11 downto 0) := X"001"; constant W94 : std_logic_vector(11 downto 0) :=X"0" & TYPE_1 & ALU_ASCII2BIN; constant W95 : std_logic_vector(11 downto 0) :=X"0" & TYPE_3 & LD & SRC_ACC & DST_A; constant W96 : std_logic_vector(11 downto 0) :=X"0" & TYPE_3 & LD & SRC_CONSTANT & DST_B; constant W97 : std_logic_vector(11 downto 0) := X"002"; constant W98 : std_logic_vector(11 downto 0) :=X"0" & TYPE_1 & ALU_CMPG; constant W99 : std_logic_vector(11 downto 0) :=X"0" & TYPE_2 & JMP_COND; constant W100 : std_logic_vector(11 downto 0) :=X"0D6"; constant W101 : std_logic_vector(11 downto 0) :=X"0" & TYPE_3 & LD & SRC_CONSTANT & DST_B; constant W102 : std_logic_vector(11 downto 0) := X"000"; constant W103 : std_logic_vector(11 downto 0) :=X"0" & TYPE_1 & ALU_ADD; constant W104 : std_logic_vector(11 downto 0) :=X"0" & TYPE_1 & ALU_SHIFTL; constant W105 : std_logic_vector(11 downto 0) :=X"0" & TYPE_1 & ALU_SHIFTL; constant W106 : std_logic_vector(11 downto 0) :=X"0" & TYPE_1 & ALU_SHIFTL; constant W107 : std_logic_vector(11 downto 0) :=X"0" & TYPE_1 & ALU_SHIFTL; constant W108 : std_logic_vector(11 downto 0) :=X"0" & TYPE_3 & WR & SRC_ACC & DST_MEM; constant W109 : std_logic_vector(11 downto 0) := X"041"; constant W110 : std_logic_vector(11 downto 0) :=X"0" & TYPE_3 & LD & SRC_MEM & DST_A; constant W111 : std_logic_vector(11 downto 0) := X"002"; constant W112 : std_logic_vector(11 downto 0) :=X"0" & TYPE_1 & ALU_ASCII2BIN; constant W113 : std_logic_vector(11 downto 0) :=X"0" & TYPE_3 & LD & SRC_ACC & DST_A; constant W114 : std_logic_vector(11 downto 0) :=X"0" & TYPE_3 & LD & SRC_CONSTANT & DST_B; constant W115 : std_logic_vector(11 downto 0) := X"0FF"; constant W116 : std_logic_vector(11 downto 0) :=X"0" & TYPE_1 & ALU_CMPE; constant W117 : std_logic_vector(11 downto 0) :=X"0" & TYPE_2 & JMP_COND; constant W118 : std_logic_vector(11 downto 0) :=X"0D6"; constant W119 : std_logic_vector(11 downto 0) :=X"0" & TYPE_3 & LD & SRC_MEM & DST_B; constant W120 : std_logic_vector(11 downto 0) := X"041"; constant W121 : std_logic_vector(11 downto 0) :=X"0" & TYPE_1 & ALU_ADD; constant W122 : std_logic_vector(11 downto 0) :=X"0" & TYPE_3 & WR & SRC_ACC & DST_MEM; constant W123 : std_logic_vector(11 downto 0) := X"031"; constant W124 : std_logic_vector(11 downto 0) :=X"0" & TYPE_2 & JMP_UNCOND; constant W125 : std_logic_vector(11 downto 0) :=X"023"; constant W126 : std_logic_vector(11 downto 0) :=X"0" & TYPE_3 & LD & SRC_MEM & DST_A; constant W127 : std_logic_vector(11 downto 0) := X"001"; constant W128 : std_logic_vector(11 downto 0) :=X"0" & TYPE_3 & LD & SRC_CONSTANT & DST_B; constant W129 : std_logic_vector(11 downto 0) := X"041"; constant W130 : std_logic_vector(11 downto 0) :=X"0" & TYPE_1 & ALU_CMPE; constant W131 : std_logic_vector(11 downto 0) :=X"0" & TYPE_2 & JMP_COND; constant W132 : std_logic_vector(11 downto 0) :=X"091"; constant W133 : std_logic_vector(11 downto 0) :=X"0" & TYPE_3 & LD & SRC_CONSTANT & DST_B; constant W134 : std_logic_vector(11 downto 0) := X"049"; constant W135 : std_logic_vector(11 downto 0) :=X"0" & TYPE_1 & ALU_CMPE; constant W136 : std_logic_vector(11 downto 0) :=X"0" & TYPE_2 & JMP_COND; constant W137 : std_logic_vector(11 downto 0) :=X"0A7"; constant W138 : std_logic_vector(11 downto 0) :=X"0" & TYPE_3 & LD & SRC_CONSTANT & DST_B; constant W139 : std_logic_vector(11 downto 0) := X"054"; constant W140 : std_logic_vector(11 downto 0) :=X"0" & TYPE_1 & ALU_CMPE; constant W141 : std_logic_vector(11 downto 0) :=X"0" & TYPE_2 & JMP_COND; constant W142 : std_logic_vector(11 downto 0) :=X"0BD"; constant W143 : std_logic_vector(11 downto 0) :=X"0" & TYPE_2 & JMP_UNCOND; constant W144 : std_logic_vector(11 downto 0) :=X"0D6"; constant W145 : std_logic_vector(11 downto 0) :=X"0" & TYPE_3 & LD & SRC_MEM & DST_A; constant W146 : std_logic_vector(11 downto 0) := X"002"; constant W147 : std_logic_vector(11 downto 0) :=X"0" & TYPE_1 & ALU_ASCII2BIN; constant W148 : std_logic_vector(11 downto 0) :=X"0" & TYPE_3 & LD & SRC_ACC & DST_A; constant W149 : std_logic_vector(11 downto 0) :=X"0" & TYPE_3 & LD & SRC_ACC & DST_INDX; constant W150 : std_logic_vector(11 downto 0) :=X"0" & TYPE_3 & LD & SRC_CONSTANT & DST_B; constant W151 : std_logic_vector(11 downto 0) := X"009"; constant W152 : std_logic_vector(11 downto 0) :=X"0" & TYPE_1 & ALU_CMPG; constant W153 : std_logic_vector(11 downto 0) :=X"0" & TYPE_2 & JMP_COND; constant W154 : std_logic_vector(11 downto 0) :=X"0D6"; constant W155 : std_logic_vector(11 downto 0) :=X"0" & TYPE_3 & LD & SRC_INDXD_MEM & DST_A; constant W156 : std_logic_vector(11 downto 0) := X"020"; constant W157 : std_logic_vector(11 downto 0) :=X"0" & TYPE_1 & ALU_BIN2ASCII; constant W158 : std_logic_vector(11 downto 0) :=X"0" & TYPE_3 & WR & SRC_ACC & DST_MEM; constant W159 : std_logic_vector(11 downto 0) := X"005"; constant W160 : std_logic_vector(11 downto 0) :=X"0" & TYPE_3 & LD & SRC_CONSTANT & DST_ACC; constant W161 : std_logic_vector(11 downto 0) := X"041"; constant W162 : std_logic_vector(11 downto 0) :=X"0" & TYPE_3 & WR & SRC_ACC & DST_MEM; constant W163 : std_logic_vector(11 downto 0) := X"004"; constant W164 : std_logic_vector(11 downto 0) :=X"0" & TYPE_4 & "000000"; constant W165 : std_logic_vector(11 downto 0) :=X"0" & TYPE_2 & JMP_UNCOND; constant W166 : std_logic_vector(11 downto 0) :=X"000"; constant W167 : std_logic_vector(11 downto 0) :=X"0" & TYPE_3 & LD & SRC_MEM & DST_A; constant W168 : std_logic_vector(11 downto 0) := X"002"; constant W169 : std_logic_vector(11 downto 0) :=X"0" & TYPE_1 & ALU_ASCII2BIN; constant W170 : std_logic_vector(11 downto 0) :=X"0" & TYPE_3 & LD & SRC_ACC & DST_A; constant W171 : std_logic_vector(11 downto 0) :=X"0" & TYPE_3 & LD & SRC_ACC & DST_INDX; constant W172 : std_logic_vector(11 downto 0) :=X"0" & TYPE_3 & LD & SRC_CONSTANT & DST_B; constant W173 : std_logic_vector(11 downto 0) := X"007"; constant W174 : std_logic_vector(11 downto 0) :=X"0" & TYPE_1 & ALU_CMPG; constant W175 : std_logic_vector(11 downto 0) :=X"0" & TYPE_2 & JMP_COND; constant W176 : std_logic_vector(11 downto 0) :=X"0D6"; constant W177 : std_logic_vector(11 downto 0) :=X"0" & TYPE_3 & LD & SRC_INDXD_MEM & DST_A; constant W178 : std_logic_vector(11 downto 0) := X"010"; constant W179 : std_logic_vector(11 downto 0) :=X"0" & TYPE_1 & ALU_BIN2ASCII; constant W180 : std_logic_vector(11 downto 0) :=X"0" & TYPE_3 & WR & SRC_ACC & DST_MEM; constant W181 : std_logic_vector(11 downto 0) := X"005"; constant W182 : std_logic_vector(11 downto 0) :=X"0" & TYPE_3 & LD & SRC_CONSTANT & DST_ACC; constant W183 : std_logic_vector(11 downto 0) := X"053"; constant W184 : std_logic_vector(11 downto 0) :=X"0" & TYPE_3 & WR & SRC_ACC & DST_MEM; constant W185 : std_logic_vector(11 downto 0) := X"004"; constant W186 : std_logic_vector(11 downto 0) :=X"0" & TYPE_4 & "000000"; constant W187 : std_logic_vector(11 downto 0) :=X"0" & TYPE_2 & JMP_UNCOND; constant W188 : std_logic_vector(11 downto 0) :=X"000"; constant W189 : std_logic_vector(11 downto 0) :=X"0" & TYPE_3 & LD & SRC_MEM & DST_A; constant W190 : std_logic_vector(11 downto 0) := X"031"; constant W191 : std_logic_vector(11 downto 0) :=X"0" & TYPE_3 & LD & SRC_CONSTANT & DST_B; constant W192 : std_logic_vector(11 downto 0) :="000011110000"; constant W193 : std_logic_vector(11 downto 0) :=X"0" & TYPE_1 & ALU_AND; constant W194 : std_logic_vector(11 downto 0) :=X"0" & TYPE_1 & ALU_SHIFTR; constant W195 : std_logic_vector(11 downto 0) :=X"0" & TYPE_1 & ALU_SHIFTR; constant W196 : std_logic_vector(11 downto 0) :=X"0" & TYPE_1 & ALU_SHIFTR; constant W197 : std_logic_vector(11 downto 0) :=X"0" & TYPE_1 & ALU_SHIFTR; constant W198 : std_logic_vector(11 downto 0) :=X"0" & TYPE_3 & LD & SRC_ACC & DST_A; constant W199 : std_logic_vector(11 downto 0) :=X"0" & TYPE_1 & ALU_BIN2ASCII; constant W200 : std_logic_vector(11 downto 0) :=X"0" & TYPE_3 & WR & SRC_ACC & DST_MEM; constant W201 : std_logic_vector(11 downto 0) := X"004"; constant W202 : std_logic_vector(11 downto 0) :=X"0" & TYPE_3 & LD & SRC_MEM & DST_A; constant W203 : std_logic_vector(11 downto 0) := X"031"; constant W204 : std_logic_vector(11 downto 0) :=X"0" & TYPE_3 & LD & SRC_CONSTANT & DST_B; constant W205 : std_logic_vector(11 downto 0) :="000000001111"; constant W206 : std_logic_vector(11 downto 0) :=X"0" & TYPE_1 & ALU_AND; constant W207 : std_logic_vector(11 downto 0) :=X"0" & TYPE_3 & LD & SRC_ACC & DST_A; constant W208 : std_logic_vector(11 downto 0) :=X"0" & TYPE_1 & ALU_BIN2ASCII; constant W209 : std_logic_vector(11 downto 0) :=X"0" & TYPE_3 & WR & SRC_ACC & DST_MEM; constant W210 : std_logic_vector(11 downto 0) := X"005"; constant W211 : std_logic_vector(11 downto 0) :=X"0" & TYPE_4 & "000000"; constant W212 : std_logic_vector(11 downto 0) :=X"0" & TYPE_2 & JMP_UNCOND; constant W213 : std_logic_vector(11 downto 0) :=X"000"; constant W214 : std_logic_vector(11 downto 0) :=X"0" & TYPE_3 & LD & SRC_CONSTANT & DST_ACC; constant W215 : std_logic_vector(11 downto 0) := X"045"; constant W216 : std_logic_vector(11 downto 0) :=X"0" & TYPE_3 & WR & SRC_ACC & DST_MEM; constant W217 : std_logic_vector(11 downto 0) := X"004"; constant W218 : std_logic_vector(11 downto 0) :=X"0" & TYPE_3 & LD & SRC_CONSTANT & DST_ACC; constant W219 : std_logic_vector(11 downto 0) := X"052"; constant W220 : std_logic_vector(11 downto 0) :=X"0" & TYPE_3 & WR & SRC_ACC & DST_MEM; constant W221 : std_logic_vector(11 downto 0) := X"005"; constant W222 : std_logic_vector(11 downto 0) :=X"0" & TYPE_4 & "000000"; constant W223 : std_logic_vector(11 downto 0) :=X"0" & TYPE_2 & JMP_UNCOND; constant W224 : std_logic_vector(11 downto 0) :=X"000"; begin -- AUTOMATIC with Program_counter select Instruction <= W0 when X"000", W1 when X"001", W2 when X"002", W3 when X"003", W4 when X"004", W5 when X"005", W6 when X"006", W7 when X"007", W8 when X"008", W9 when X"009", W10 when X"00A", W11 when X"00B", W12 when X"00C", W13 when X"00D", W14 when X"00E", W15 when X"00F", W16 when X"010", W17 when X"011", W18 when X"012", W19 when X"013", W20 when X"014", W21 when X"015", W22 when X"016", W23 when X"017", W24 when X"018", W25 when X"019", W26 when X"01A", W27 when X"01B", W28 when X"01C", W29 when X"01D", W30 when X"01E", W31 when X"01F", W32 when X"020", W33 when X"021", W34 when X"022", W35 when X"023", W36 when X"024", W37 when X"025", W38 when X"026", W39 when X"027", W40 when X"028", W41 when X"029", W42 when X"02A", W43 when X"02B", W44 when X"02C", W45 when X"02D", W46 when X"02E", W47 when X"02F", W48 when X"030", W49 when X"031", W50 when X"032", W51 when X"033", W52 when X"034", W53 when X"035", W54 when X"036", W55 when X"037", W56 when X"038", W57 when X"039", W58 when X"03A", W59 when X"03B", W60 when X"03C", W61 when X"03D", W62 when X"03E", W63 when X"03F", W64 when X"040", W65 when X"041", W66 when X"042", W67 when X"043", W68 when X"044", W69 when X"045", W70 when X"046", W71 when X"047", W72 when X"048", W73 when X"049", W74 when X"04A", W75 when X"04B", W76 when X"04C", W77 when X"04D", W78 when X"04E", W79 when X"04F", W80 when X"050", W81 when X"051", W82 when X"052", W83 when X"053", W84 when X"054", W85 when X"055", W86 when X"056", W87 when X"057", W88 when X"058", W89 when X"059", W90 when X"05A", W91 when X"05B", W92 when X"05C", W93 when X"05D", W94 when X"05E", W95 when X"05F", W96 when X"060", W97 when X"061", W98 when X"062", W99 when X"063", W100 when X"064", W101 when X"065", W102 when X"066", W103 when X"067", W104 when X"068", W105 when X"069", W106 when X"06A", W107 when X"06B", W108 when X"06C", W109 when X"06D", W110 when X"06E", W111 when X"06F", W112 when X"070", W113 when X"071", W114 when X"072", W115 when X"073", W116 when X"074", W117 when X"075", W118 when X"076", W119 when X"077", W120 when X"078", W121 when X"079", W122 when X"07A", W123 when X"07B", W124 when X"07C", W125 when X"07D", W126 when X"07E", W127 when X"07F", W128 when X"080", W129 when X"081", W130 when X"082", W131 when X"083", W132 when X"084", W133 when X"085", W134 when X"086", W135 when X"087", W136 when X"088", W137 when X"089", W138 when X"08A", W139 when X"08B", W140 when X"08C", W141 when X"08D", W142 when X"08E", W143 when X"08F", W144 when X"090", W145 when X"091", W146 when X"092", W147 when X"093", W148 when X"094", W149 when X"095", W150 when X"096", W151 when X"097", W152 when X"098", W153 when X"099", W154 when X"09A", W155 when X"09B", W156 when X"09C", W157 when X"09D", W158 when X"09E", W159 when X"09F", W160 when X"0A0", W161 when X"0A1", W162 when X"0A2", W163 when X"0A3", W164 when X"0A4", W165 when X"0A5", W166 when X"0A6", W167 when X"0A7", W168 when X"0A8", W169 when X"0A9", W170 when X"0AA", W171 when X"0AB", W172 when X"0AC", W173 when X"0AD", W174 when X"0AE", W175 when X"0AF", W176 when X"0B0", W177 when X"0B1", W178 when X"0B2", W179 when X"0B3", W180 when X"0B4", W181 when X"0B5", W182 when X"0B6", W183 when X"0B7", W184 when X"0B8", W185 when X"0B9", W186 when X"0BA", W187 when X"0BB", W188 when X"0BC", W189 when X"0BD", W190 when X"0BE", W191 when X"0BF", W192 when X"0C0", W193 when X"0C1", W194 when X"0C2", W195 when X"0C3", W196 when X"0C4", W197 when X"0C5", W198 when X"0C6", W199 when X"0C7", W200 when X"0C8", W201 when X"0C9", W202 when X"0CA", W203 when X"0CB", W204 when X"0CC", W205 when X"0CD", W206 when X"0CE", W207 when X"0CF", W208 when X"0D0", W209 when X"0D1", W210 when X"0D2", W211 when X"0D3", W212 when X"0D4", W213 when X"0D5", W214 when X"0D6", W215 when X"0D7", W216 when X"0D8", W217 when X"0D9", W218 when X"0DA", W219 when X"0DB", W220 when X"0DC", W221 when X"0DD", W222 when X"0DE", W223 when X"0DF", W224 when X"0E0", X"0" & TYPE_1 & ALU_ADD when others; end AUTOMATIC;
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity tb_test is end; architecture arch_tb of tb_test is -- signal reset_s, clk_s : std_logic; signal i_s : integer := -1; -- signal j_s : integer := -2; -- Here, as it should, an error will be raised during compilation -- signal u_s : unsigned(7 downto 0) := to_unsigned(-1, 8); -- signal v_s : unsigned(7 downto 0); -- signal w_s : unsigned(7 downto 0); begin -- Here, as it should, a bound check failure will be raised during simulation -- w_s <= to_unsigned(j_s, 8); -- -- Here it won't have any error during simulation, but it should v_s <= to_unsigned(i_s, 8); -- end architecture arch_tb;
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity tb_test is end; architecture arch_tb of tb_test is -- signal reset_s, clk_s : std_logic; signal i_s : integer := -1; -- signal j_s : integer := -2; -- Here, as it should, an error will be raised during compilation -- signal u_s : unsigned(7 downto 0) := to_unsigned(-1, 8); -- signal v_s : unsigned(7 downto 0); -- signal w_s : unsigned(7 downto 0); begin -- Here, as it should, a bound check failure will be raised during simulation -- w_s <= to_unsigned(j_s, 8); -- -- Here it won't have any error during simulation, but it should v_s <= to_unsigned(i_s, 8); -- end architecture arch_tb;
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity tb_test is end; architecture arch_tb of tb_test is -- signal reset_s, clk_s : std_logic; signal i_s : integer := -1; -- signal j_s : integer := -2; -- Here, as it should, an error will be raised during compilation -- signal u_s : unsigned(7 downto 0) := to_unsigned(-1, 8); -- signal v_s : unsigned(7 downto 0); -- signal w_s : unsigned(7 downto 0); begin -- Here, as it should, a bound check failure will be raised during simulation -- w_s <= to_unsigned(j_s, 8); -- -- Here it won't have any error during simulation, but it should v_s <= to_unsigned(i_s, 8); -- end architecture arch_tb;
----------------------------------------------------------------------------- -- LEON3 Demonstration design test bench configuration -- Copyright (C) 2009 Aeroflex Gaisler ------------------------------------------------------------------------------ library techmap; use techmap.gencomp.all; package config is -- Technology and synthesis options constant CFG_FABTECH : integer := virtex2; constant CFG_MEMTECH : integer := virtex2; constant CFG_PADTECH : integer := virtex2; constant CFG_NOASYNC : integer := 0; constant CFG_SCAN : integer := 0; -- Clock generator constant CFG_CLKTECH : integer := virtex2; constant CFG_CLKMUL : integer := (4); constant CFG_CLKDIV : integer := (4); constant CFG_OCLKDIV : integer := 1; constant CFG_OCLKBDIV : integer := 0; constant CFG_OCLKCDIV : integer := 0; constant CFG_PCIDLL : integer := 0; constant CFG_PCISYSCLK: integer := 0; constant CFG_CLK_NOFB : integer := 0; -- LEON3 processor core constant CFG_LEON3 : integer := 1; constant CFG_NCPU : integer := (1); constant CFG_NWIN : integer := (8); constant CFG_V8 : integer := 2 + 4*0; constant CFG_MAC : integer := 0; constant CFG_BP : integer := 0; constant CFG_SVT : integer := 1; constant CFG_RSTADDR : integer := 16#00000#; constant CFG_LDDEL : integer := (1); constant CFG_NOTAG : integer := 0; constant CFG_NWP : integer := (2); constant CFG_PWD : integer := 0*2; constant CFG_FPU : integer := 0 + 16*0 + 32*0; constant CFG_GRFPUSH : integer := 0; constant CFG_ICEN : integer := 1; constant CFG_ISETS : integer := 2; constant CFG_ISETSZ : integer := 8; constant CFG_ILINE : integer := 8; constant CFG_IREPL : integer := 0; constant CFG_ILOCK : integer := 0; constant CFG_ILRAMEN : integer := 0; constant CFG_ILRAMADDR: integer := 16#8E#; constant CFG_ILRAMSZ : integer := 1; constant CFG_DCEN : integer := 1; constant CFG_DSETS : integer := 2; constant CFG_DSETSZ : integer := 4; constant CFG_DLINE : integer := 4; constant CFG_DREPL : integer := 0; constant CFG_DLOCK : integer := 0; constant CFG_DSNOOP : integer := 1*2 + 4*0; constant CFG_DFIXED : integer := 16#0#; constant CFG_DLRAMEN : integer := 0; constant CFG_DLRAMADDR: integer := 16#8F#; constant CFG_DLRAMSZ : integer := 1; constant CFG_MMUEN : integer := 1; constant CFG_ITLBNUM : integer := 8; constant CFG_DTLBNUM : integer := 8; constant CFG_TLB_TYPE : integer := 0 + 1*2; constant CFG_TLB_REP : integer := 0; constant CFG_MMU_PAGE : integer := 0; constant CFG_DSU : integer := 1; constant CFG_ITBSZ : integer := 2; constant CFG_ATBSZ : integer := 2; constant CFG_LEON3FT_EN : integer := 0; constant CFG_IUFT_EN : integer := 0; constant CFG_FPUFT_EN : integer := 0; constant CFG_RF_ERRINJ : integer := 0; constant CFG_CACHE_FT_EN : integer := 0; constant CFG_CACHE_ERRINJ : integer := 0; constant CFG_LEON3_NETLIST: integer := 0; constant CFG_DISAS : integer := 0 + 0; constant CFG_PCLOW : integer := 2; -- AMBA settings constant CFG_DEFMST : integer := (0); constant CFG_RROBIN : integer := 1; constant CFG_SPLIT : integer := 0; constant CFG_FPNPEN : integer := 0; constant CFG_AHBIO : integer := 16#FFF#; constant CFG_APBADDR : integer := 16#800#; constant CFG_AHB_MON : integer := 0; constant CFG_AHB_MONERR : integer := 0; constant CFG_AHB_MONWAR : integer := 0; constant CFG_AHB_DTRACE : integer := 0; -- DSU UART constant CFG_AHB_UART : integer := 1; -- JTAG based DSU interface constant CFG_AHB_JTAG : integer := 1; -- Ethernet DSU constant CFG_DSU_ETH : integer := 1 + 0 + 0; constant CFG_ETH_BUF : integer := 2; constant CFG_ETH_IPM : integer := 16#C0A8#; constant CFG_ETH_IPL : integer := 16#0034#; constant CFG_ETH_ENM : integer := 16#020000#; constant CFG_ETH_ENL : integer := 16#000006#; -- LEON2 memory controller constant CFG_MCTRL_LEON2 : integer := 1; constant CFG_MCTRL_RAM8BIT : integer := 0; constant CFG_MCTRL_RAM16BIT : integer := 0; constant CFG_MCTRL_5CS : integer := 0; constant CFG_MCTRL_SDEN : integer := 1; constant CFG_MCTRL_SEPBUS : integer := 0; constant CFG_MCTRL_INVCLK : integer := 0; constant CFG_MCTRL_SD64 : integer := 0; constant CFG_MCTRL_PAGE : integer := 1 + 0; -- AHB ROM constant CFG_AHBROMEN : integer := 0; constant CFG_AHBROPIP : integer := 0; constant CFG_AHBRODDR : integer := 16#000#; constant CFG_ROMADDR : integer := 16#000#; constant CFG_ROMMASK : integer := 16#E00# + 16#000#; -- AHB RAM constant CFG_AHBRAMEN : integer := 0; constant CFG_AHBRSZ : integer := 1; constant CFG_AHBRADDR : integer := 16#A00#; constant CFG_AHBRPIPE : integer := 0; -- Gaisler Ethernet core constant CFG_GRETH : integer := 1; constant CFG_GRETH1G : integer := 0; constant CFG_ETH_FIFO : integer := 32; -- PCI interface constant CFG_PCI : integer := 0; constant CFG_PCIVID : integer := 16#0#; constant CFG_PCIDID : integer := 16#0#; constant CFG_PCIDEPTH : integer := 8; constant CFG_PCI_MTF : integer := 1; -- PCI arbiter constant CFG_PCI_ARB : integer := 0; constant CFG_PCI_ARBAPB : integer := 0; constant CFG_PCI_ARB_NGNT : integer := 4; -- PCI trace buffer constant CFG_PCITBUFEN: integer := 0; constant CFG_PCITBUF : integer := 256; -- Spacewire interface constant CFG_SPW_EN : integer := 0; constant CFG_SPW_NUM : integer := 1; constant CFG_SPW_AHBFIFO : integer := 4; constant CFG_SPW_RXFIFO : integer := 16; constant CFG_SPW_RMAP : integer := 0; constant CFG_SPW_RMAPBUF : integer := 4; constant CFG_SPW_RMAPCRC : integer := 0; constant CFG_SPW_NETLIST : integer := 0; constant CFG_SPW_FT : integer := 0; constant CFG_SPW_GRSPW : integer := 2; constant CFG_SPW_RXUNAL : integer := 0; constant CFG_SPW_DMACHAN : integer := 1; constant CFG_SPW_PORTS : integer := 1; constant CFG_SPW_INPUT : integer := 2; constant CFG_SPW_OUTPUT : integer := 0; constant CFG_SPW_RTSAME : integer := 0; -- UART 1 constant CFG_UART1_ENABLE : integer := 1; constant CFG_UART1_FIFO : integer := 4; -- UART 2 constant CFG_UART2_ENABLE : integer := 1; constant CFG_UART2_FIFO : integer := 4; -- LEON3 interrupt controller constant CFG_IRQ3_ENABLE : integer := 1; constant CFG_IRQ3_NSEC : integer := 0; -- Modular timer constant CFG_GPT_ENABLE : integer := 1; constant CFG_GPT_NTIM : integer := (2); constant CFG_GPT_SW : integer := (8); constant CFG_GPT_TW : integer := (32); constant CFG_GPT_IRQ : integer := (8); constant CFG_GPT_SEPIRQ : integer := 1; constant CFG_GPT_WDOGEN : integer := 0; constant CFG_GPT_WDOG : integer := 16#0#; -- GPIO port constant CFG_GRGPIO_ENABLE : integer := 1; constant CFG_GRGPIO_IMASK : integer := 16#00FE#; constant CFG_GRGPIO_WIDTH : integer := (16); -- GRLIB debugging constant CFG_DUART : integer := 0; end;
---------------------------------------------------------------------------------- -- Company: -- Engineer: StrayWarrior -- -- Create Date: 20:37:26 11/15/2015 -- Design Name: -- Module Name: CPU_TOP - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.Vcomponents.all; entity CPU_TOP is Port ( clock : in STD_LOGIC; reset : in STD_LOGIC; RAM1ADDR : out STD_LOGIC_VECTOR (17 downto 0); RAM1DATA : inout STD_LOGIC_VECTOR (15 downto 0); RAM1EN : out STD_LOGIC; RAM1OE : out STD_LOGIC; RAM1RW : out STD_LOGIC; RAM2ADDR : out STD_LOGIC_VECTOR (17 downto 0); RAM2DATA : inout STD_LOGIC_VECTOR (15 downto 0); RAM2EN : out STD_LOGIC; RAM2OE : out STD_LOGIC; RAM2RW : out STD_LOGIC; -- Serial Port SERIAL_DATA_READY : in STD_LOGIC; SERIAL_RDN : out STD_LOGIC; SERIAL_TBRE : in STD_LOGIC; SERIAL_TSRE : in STD_LOGIC; SERIAL_WRN : out STD_LOGIC; -- For Debug LED : out STD_LOGIC_VECTOR (15 downto 0); SW : in STD_LOGIC_VECTOR (15 downto 0); DLED_RIGHT : out STD_LOGIC_VECTOR (6 downto 0) ); end CPU_TOP; architecture Behavioral of CPU_TOP is -- Universal component component ClockDiv Port ( clk : in STD_LOGIC; reset : in STD_LOGIC; clk_2t : out STD_LOGIC; clk_4t : out STD_LOGIC ); end component; signal clock_2t : STD_LOGIC; signal clock_4t : STD_LOGIC; component TwoInMuxer_16bit Port ( input1 : in STD_LOGIC_VECTOR (15 downto 0); input2 : in STD_LOGIC_VECTOR (15 downto 0); opcode : in STD_LOGIC; output : out STD_LOGIC_VECTOR (15 downto 0)); end component; component FourInMuxer_16bit Port ( input1 : in STD_LOGIC_VECTOR (15 downto 0); input2 : in STD_LOGIC_VECTOR (15 downto 0); input3 : in STD_LOGIC_VECTOR (15 downto 0); input4 : in STD_LOGIC_VECTOR (15 downto 0); opcode : in STD_LOGIC_VECTOR (1 downto 0); output : out STD_LOGIC_VECTOR (15 downto 0)); end component; component BubbleUnit Port ( RegOpA : in STD_LOGIC_VECTOR (3 downto 0); RegOpB : in STD_LOGIC_VECTOR (3 downto 0); RegWE_EXE : in STD_LOGIC; RegDest_EXE : in STD_LOGIC_VECTOR (3 downto 0); RegWE_MEM: in STD_LOGIC; RegDest_MEM : in STD_LOGIC_VECTOR (3 downto 0); RegMemDIn_EXE : in STD_LOGIC_VECTOR (3 downto 0); MemRead_EXE : in STD_LOGIC; MemWrite_EXE : in STD_LOGIC; MemRead_MEM : in STD_LOGIC; MemWrite_MEM : in STD_LOGIC; MemAddr : in STD_LOGIC_VECTOR (15 downto 0); pc_sel: in STD_LOGIC_VECTOR (1 downto 0); CReg : in STD_LOGIC; CRegA : in STD_LOGIC_VECTOR (3 downto 0); CRegB : in STD_LOGIC_VECTOR (3 downto 0); SerialFinish : in STD_LOGIC; pc_stall : out STD_LOGIC; InstAddrSel : out STD_LOGIC; InstMemRead : out STD_LOGIC; InstMemWrite : out STD_LOGIC; Mem_Result_Sel : out STD_LOGIC; IF_ID_stall : out STD_LOGIC; ID_EXE_stall : out STD_LOGIC; EXE_MEM_stall : out STD_LOGIC; IF_ID_clear: out STD_LOGIC; ID_EXE_clear : out STD_LOGIC; EXE_MEM_clear: out STD_LOGIC ); end component; signal IF_ID_REG_STALL : STD_LOGIC; signal IF_ID_REG_CLEAR : STD_LOGIC; signal ID_EXE_REG_STALL : STD_LOGIC; signal ID_EXE_REG_CLEAR : STD_LOGIC; signal EXE_MEM_REG_STALL : STD_LOGIC; signal EXE_MEM_REG_CLEAR : STD_LOGIC; component ForwardingUnit Port ( RegOpA : in STD_LOGIC_VECTOR (3 downto 0); RegOpB : in STD_LOGIC_VECTOR (3 downto 0); RegWE_MEM: in STD_LOGIC; RegDest_MEM : in STD_LOGIC_VECTOR (3 downto 0); RegWE_WB : in STD_LOGIC; RegDest_WB : STD_LOGIC_VECTOR (3 downto 0); MemRead_EXE : in STD_LOGIC; MemRead_WB : in STD_LOGIC; CReg : in STD_LOGIC; CRegA : in STD_LOGIC_VECTOR (3 downto 0); CRegB : in STD_LOGIC_VECTOR (3 downto 0); RegMemDIn_EXE : in STD_LOGIC_VECTOR (3 downto 0); RegMemDIn_MEM : in STD_LOGIC_VECTOR (3 downto 0); RegAValSel : out STD_LOGIC; RegBValSel : out STD_LOGIC; RegRAValSel : out STD_LOGIC; OperandASel : out STD_LOGIC_VECTOR (1 downto 0); OperandBSel : out STD_LOGIC_VECTOR (1 downto 0); MemDInSel_EXE : out STD_LOGIC_VECTOR (1 downto 0); MemDInSel_MEM : out STD_LOGIC ); end component; -- IF Section component PC_REG Port ( clk : in STD_LOGIC; reset : in STD_LOGIC; stall : in STD_LOGIC; PC_in : in STD_LOGIC_VECTOR (15 downto 0); PC_out : out STD_LOGIC_VECTOR (15 downto 0) ); end component; component PCAdder Port ( A : in STD_LOGIC_VECTOR (15 downto 0); B : in STD_LOGIC_VECTOR (15 downto 0); result : out STD_LOGIC_VECTOR (15 downto 0)); end component; COMPONENT InstMemoryControl Port ( clk : in STD_LOGIC; reset : in STD_LOGIC; MemRead : in STD_LOGIC; MemWrite: in STD_LOGIC; MemAddr : in STD_LOGIC_VECTOR (15 downto 0); MemData : in STD_LOGIC_VECTOR (15 downto 0); MemOut : out STD_LOGIC_VECTOR (15 downto 0); RAM2Addr : out STD_LOGIC_VECTOR (17 downto 0); RAM2Data : inout STD_LOGIC_VECTOR (15 downto 0); RAM2EN : out STD_LOGIC; RAM2OE : out STD_LOGIC; RAM2RW : out STD_LOGIC ); end COMPONENT; component IF_ID_REG Port ( clk : in STD_LOGIC; reset : in STD_LOGIC; pc_in : in STD_LOGIC_VECTOR (15 downto 0); inst_in : in STD_LOGIC_VECTOR (15 downto 0); stall : in STD_LOGIC; clear : in STD_LOGIC; pc_out : out STD_LOGIC_VECTOR (15 downto 0); inst_out : out STD_LOGIC_VECTOR (15 downto 0); rx : out STD_LOGIC_VECTOR (3 downto 0); ry : out STD_LOGIC_VECTOR (3 downto 0) ); end component; -- PC Register signal PC_REG_IN : STD_LOGIC_VECTOR (15 downto 0); signal PC_REG_OUT : STD_LOGIC_VECTOR (15 downto 0); signal PC_REG_STALL : STD_LOGIC; -- Instruction Selector signal INST_ADDR_OUT : STD_LOGIC_VECTOR (15 downto 0); signal INST_ADDR_SEL : STD_LOGIC; -- Instruction Memory signal INST_MEM_READ : STD_LOGIC; signal INST_MEM_WRITE : STD_LOGIC; signal INST_MEM_OUT : STD_LOGIC_VECTOR (15 downto 0); -- PC Incr & PC Selector signal PC_INCR_OUT : STD_LOGIC_VECTOR (15 downto 0); -- signal PC_JUMP : STD_LOGIC_VECTOR (15 downto 0); -- signal PC_SEL : STD_LOGIC_VECTOR (1 downto 0); signal PC_INCR : STD_LOGIC_VECTOR (15 downto 0) := ( 0 => '1', others => '0'); -- IF/ID Register -- ID Section COMPONENT InstDecoder PORT( pc : IN std_logic_vector(15 downto 0); inst : IN std_logic_vector(15 downto 0); RegAVal : IN std_logic_vector(15 downto 0); RegBVal : IN std_logic_vector(15 downto 0); RAVal : IN std_logic_vector(15 downto 0); SPVal : IN std_logic_vector(15 downto 0); IHVal : IN std_logic_vector(15 downto 0); pc_imm : OUT std_logic_vector(15 downto 0); pc_sel : OUT std_logic_vector(1 downto 0); T_in : in STD_LOGIC; T_out : out STD_LOGIC; CReg : OUT std_logic; CRegA : OUT std_logic_vector(3 downto 0); CRegB : OUT std_logic_vector(3 downto 0); RegWE : OUT std_logic; RegDest : OUT std_logic_vector(3 downto 0); MemRd : OUT std_logic; MemDIn : OUT std_logic_vector(15 downto 0); RegMemDIn : out STD_LOGIC_VECTOR (3 downto 0); MemWE : OUT std_logic; opcode : OUT std_logic_vector(3 downto 0); RegOpA : OUT std_logic_vector(3 downto 0); RegOpB : OUT std_logic_vector(3 downto 0); operandA : OUT std_logic_vector(15 downto 0); operandB : OUT std_logic_vector(15 downto 0) ); END COMPONENT; COMPONENT T_REG Port ( clk : in STD_LOGIC; reset : in STD_LOGIC; T_in : in STD_LOGIC; T_out : out STD_LOGIC ); END COMPONENT; COMPONENT Register_Files Port ( clk : in STD_LOGIC; reset : in STD_LOGIC; ASel : in STD_LOGIC_VECTOR (3 downto 0); BSel : in STD_LOGIC_VECTOR (3 downto 0); WSel : in STD_LOGIC_VECTOR (3 downto 0); WE : in STD_LOGIC; WVal : in STD_LOGIC_VECTOR (15 downto 0); AVal : out STD_LOGIC_VECTOR (15 downto 0); BVal : out STD_LOGIC_VECTOR (15 downto 0); RAVal : out STD_LOGIC_VECTOR (15 downto 0); SPVal : out STD_LOGIC_VECTOR (15 downto 0); IHVal : out STD_LOGIC_VECTOR (15 downto 0) ); end COMPONENT; COMPONENT ID_EXE_REG Port ( clk : in STD_LOGIC; reset : in STD_LOGIC; clear : in STD_LOGIC; stall : in STD_LOGIC; RegWE_in : in STD_LOGIC; RegDest_in : in STD_LOGIC_VECTOR (3 downto 0); MemRd_in : in STD_LOGIC; MemWE_in : in STD_LOGIC; MemDIn_in : in STD_LOGIC_VECTOR (15 downto 0); opcode_in : in STD_LOGIC_VECTOR (3 downto 0); operandA_in : in STD_LOGIC_VECTOR (15 downto 0); operandB_in : in STD_LOGIC_VECTOR (15 downto 0); RegOpA_in : in STD_LOGIC_VECTOR (3 downto 0); RegOpB_in : in STD_LOGIC_VECTOR (3 downto 0); RegMemDIn_in : in STD_LOGIC_VECTOR (3 downto 0); RegWE_out : out STD_LOGIC; RegDest_out : out STD_LOGIC_VECTOR (3 downto 0); MemRd_out : out STD_LOGIC; MemWE_out : out STD_LOGIC; MemDIn_out : out STD_LOGIC_VECTOR (15 downto 0); opcode_out : out STD_LOGIC_VECTOR (3 downto 0); operandA_out : out STD_LOGIC_VECTOR (15 downto 0); operandB_out : out STD_LOGIC_VECTOR (15 downto 0); RegOpA_out : out STD_LOGIC_VECTOR (3 downto 0); RegOpB_out : out STD_LOGIC_VECTOR (3 downto 0); RegMemDIn_out : out STD_LOGIC_VECTOR (3 downto 0) ); end COMPONENT; -- IF/ID Register Out signal IF_ID_PC : STD_LOGIC_VECTOR (15 downto 0); signal IF_ID_INST : STD_LOGIC_VECTOR (15 downto 0); signal IF_ID_REGX : STD_LOGIC_VECTOR (3 downto 0); signal IF_ID_REGY : STD_LOGIC_VECTOR (3 downto 0); -- T Register & Instruction Decoder signal T_REG_OUT : STD_LOGIC; signal T_REG_IN : STD_LOGIC; signal Decoder_PC_Imm: STD_LOGIC_VECTOR (15 downto 0); signal Decoder_PC_Sel : STD_LOGIC_VECTOR (1 downto 0); signal Decoder_RegDest : STD_LOGIC_VECTOR (3 downto 0); signal Decoder_RegWrite : STD_LOGIC; signal Decoder_MemRead : STD_LOGIC; signal Decoder_MemDIn : STD_LOGIC_VECTOR (15 downto 0); signal Decoder_RegMemDIn : STD_LOGIC_VECTOR (3 downto 0); signal Decoder_MemWrite : STD_LOGIC; signal Decoder_OpCode : STD_LOGIC_VECTOR (3 downto 0); signal Decoder_OperandA : STD_LOGIC_VECTOR (15 downto 0); signal Decoder_OperandB : STD_LOGIC_VECTOR (15 downto 0); signal Decoder_RegOpA : STD_LOGIC_VECTOR (3 downto 0); signal Decoder_RegOpB : STD_LOGIC_VECTOR (3 downto 0); signal Decoder_CReg : STD_LOGIC; signal Decoder_CRegA : STD_LOGIC_VECTOR (3 downto 0); signal Decoder_CRegB : STD_LOGIC_VECTOR (3 downto 0); -- Register Files signal Regs_RegAVal : STD_LOGIC_VECTOR (15 downto 0); signal Regs_RegBVal : STD_LOGIC_VECTOR (15 downto 0); signal Regs_RAVal : STD_LOGIC_VECTOR (15 downto 0); signal Regs_SPVal : STD_LOGIC_VECTOR (15 downto 0); signal Regs_IHVal : STD_LOGIC_VECTOR (15 downto 0); -- PC Adder signal PC_JUMP_ADDR : STD_LOGIC_VECTOR (15 downto 0); -- EXE Section COMPONENT ALU Port ( op : in STD_LOGIC_VECTOR (3 downto 0); A : in STD_LOGIC_VECTOR (15 downto 0); B : in STD_LOGIC_VECTOR (15 downto 0); result : out STD_LOGIC_VECTOR (15 downto 0)); end COMPONENT; COMPONENT EXE_MEM_REG Port ( clk : in STD_LOGIC; reset : in STD_LOGIC; clear : in STD_LOGIC; stall : in STD_LOGIC; RegWE_in : in STD_LOGIC; RegDest_in : in STD_LOGIC_VECTOR (3 downto 0); RegMemDIn_in : in STD_LOGIC_VECTOR (3 downto 0); MemRd_in : in STD_LOGIC; MemWE_in : in STD_LOGIC; MemDIn_in : in STD_LOGIC_VECTOR (15 downto 0); ALUout_in : in STD_LOGIC_VECTOR (15 downto 0); RegWE_out : out STD_LOGIC; RegDest_out : out STD_LOGIC_VECTOR (3 downto 0); RegMemDIn_out : out STD_LOGIC_VECTOR (3 downto 0); MemRd_out : out STD_LOGIC; MemWE_out : out STD_LOGIC; MemDIn_out : out STD_LOGIC_VECTOR (15 downto 0); ALUout_out : out STD_LOGIC_VECTOR (15 downto 0) ); end COMPONENT; -- ID/EXE Register signal ID_EXE_RegWrite : STD_LOGIC; signal ID_EXE_RegDest : STD_LOGIC_VECTOR (3 downto 0); signal ID_EXE_MemRead : STD_LOGIC; signal ID_EXE_MemDIn : STD_LOGIC_VECTOR (15 downto 0); signal ID_EXE_MemWrite : STD_LOGIC; signal ID_EXE_RegMemDIn : STD_LOGIC_VECTOR (3 downto 0); signal ID_EXE_OpCode : STD_LOGIC_VECTOR (3 downto 0); signal ID_EXE_OperandA : STD_LOGIC_VECTOR (15 downto 0); signal ID_EXE_OperandB : STD_LOGIC_VECTOR (15 downto 0); signal ID_EXE_RegOpA : STD_LOGIC_VECTOR (3 downto 0); signal ID_EXE_RegOpB : STD_LOGIC_VECTOR (3 downto 0); -- Operand A Selector signal OpA_MUX_OUT : STD_LOGIC_VECTOR (15 downto 0); -- Operand B Selector signal OpB_MUX_OUT : STD_LOGIC_VECTOR (15 downto 0); -- ALU signal ALU_RESULT : STD_LOGIC_VECTOR (15 downto 0); -- EXE/MEM Register signal MemDIn_MUX_OUT : STD_LOGIC_VECTOR (15 downto 0); -- Forwarding Unit signal OpA_MUX_SEL : STD_LOGIC_VECTOR (1 downto 0); signal OpB_MUX_SEL : STD_LOGIC_VECTOR (1 downto 0); signal RegRA_MUX_SEL : STD_LOGIC; signal RegAVal_MUX_SEL : STD_LOGIC; signal RegBVal_MUX_SEL : STD_LOGIC; signal EXE_MemDIn_MUX_SEL : STD_LOGIC_VECTOR (1 downto 0); signal MEM_MemDIn_MUX_SEL : STD_LOGIC; signal RegAVal_MUX_OUT : STD_LOGIC_VECTOR (15 downto 0); signal RegBVal_MUX_OUT : STD_LOGIC_VECTOR (15 downto 0); signal RegRA_MUX_OUT : STD_LOGIC_VECTOR (15 downto 0); -- MEM Section COMPONENT DataMemoryControl Port ( clk : in STD_LOGIC; reset : in STD_LOGIC; MemRead : in STD_LOGIC; MemWrite: in STD_LOGIC; MemAddr : in STD_LOGIC_VECTOR (15 downto 0); MemData : in STD_LOGIC_VECTOR (15 downto 0); MemOut : out STD_LOGIC_VECTOR (15 downto 0); SerialFinish : out STD_LOGIC; RAM1Addr : out STD_LOGIC_VECTOR (17 downto 0); RAM1Data : inout STD_LOGIC_VECTOR (15 downto 0); RAM1EN : out STD_LOGIC; RAM1OE : out STD_LOGIC; RAM1RW : out STD_LOGIC; Serial_dataready : in STD_LOGIC; Serial_rdn : out STD_LOGIC; Serial_tbre : in STD_LOGIC; Serial_tsre : in STD_LOGIC; Serial_wrn : out STD_LOGIC; DLED_Right : out STD_LOGIC_VECTOR (6 downto 0) ); end COMPONENT; COMPONENT MEM_WB_REG is Port ( clk : in STD_LOGIC; reset : in STD_LOGIC; RegWE_in : in STD_LOGIC; RegDest_in : in STD_LOGIC_VECTOR (3 downto 0); RegWriteVal_in : in STD_LOGIC_VECTOR (15 downto 0); MemRd_in : in STD_LOGIC; RegWE_out : out STD_LOGIC; RegDest_out : out STD_LOGIC_VECTOR (3 downto 0); RegWriteVal_out : out STD_LOGIC_VECTOR (15 downto 0); MemRd_out : out STD_LOGIC ); end COMPONENT; -- EXE/MEM Register signal EXE_MEM_RegWrite : STD_LOGIC; signal EXE_MEM_RegDest : STD_LOGIC_VECTOR (3 downto 0); signal EXE_MEM_RegMemDIn : STD_LOGIC_VECTOR (3 downto 0); signal EXE_MEM_MemRead : STD_LOGIC; signal EXE_MEM_MemDIn : STD_LOGIC_VECTOR (15 downto 0); signal EXE_MEM_MemWrite : STD_LOGIC; signal EXE_MEM_ALUOUT : STD_LOGIC_VECTOR (15 downto 0); -- Data Memory & Serial Port signal MEM_MemDIn_MUX_OUT : STD_LOGIC_VECTOR (15 downto 0); signal DATA_MEM_OUT : STD_LOGIC_VECTOR (15 downto 0); signal DATA_MEM_MUX_OUT : STD_LOGIC_VECTOR (15 downto 0); signal MEM_RESULT_MUX_OUT : STD_LOGIC_VECTOR (15 downto 0); signal MEM_RESULT_SEL : STD_LOGIC; signal DATA_MEM_SERIAL_FINISH : STD_LOGIC; -- WB Section -- MEM/WB Register signal MEM_WB_RegWrite : STD_LOGIC; signal MEM_WB_RegDest : STD_LOGIC_VECTOR (3 downto 0); signal MEM_WB_RegWriteVal : STD_LOGIC_VECTOR (15 downto 0); signal MEM_WB_MemRead : STD_LOGIC; begin -- Universal --LED <= ALU_RESULT; LED <= PC_REG_OUT when (SW = "0000000000000000") else INST_ADDR_OUT when (SW = "0000000000000001") else INST_MEM_OUT when (SW = "0000000000000010") else Decoder_PC_Imm when (SW = "0000000000000011") else PC_JUMP_ADDR when (SW = "0000000000000100") else PC_REG_IN when (SW = "0000000000000101") else IF_ID_PC when (SW = "0000000000010000") else IF_ID_INST when (SW = "0000000000010001") else "00000000" & IF_ID_REGX & IF_ID_REGY when (SW = "0000000000011001") else (PC_REG_STALL & INST_ADDR_SEL & IF_ID_REG_STALL & IF_ID_REG_CLEAR & ID_EXE_REG_STALL & ID_EXE_REG_CLEAR & EXE_MEM_REG_STALL & EXE_MEM_REG_CLEAR & "00000000") when (SW = "0000000000011011") else Decoder_OperandA when (SW = "0000000000010010") else Decoder_OperandB when (SW = "0000000000010011") else Decoder_RegOpA & Decoder_RegOpB & Decoder_CRegA & Decoder_CRegB when (SW = "0000000000010111") else Decoder_RegDest & Decoder_RegWrite & Decoder_CReg & Decoder_MemRead & Decoder_MemWrite & Decoder_PC_Sel & RegAVal_MUX_SEL & RegBVal_MUX_SEL & "0000" when (SW = "0000000000010110") else RegAVal_MUX_OUT when (SW = "0000000000011110") else RegBVal_MUX_OUT when (SW = "0000000000011100") else ALU_RESULT when (SW = "0000000000100000") else OpA_MUX_SEL & OpB_MUX_SEL & EXE_MemDIn_MUX_SEL & ID_EXE_RegOpA & ID_EXE_RegOpB & "00" when (SW = "0000000000100001") else ID_EXE_OpCode & ID_EXE_MemRead & ID_EXE_MemWrite & ID_EXE_RegWrite & ID_EXE_RegDest & "00000" when (SW = "0000000000100011") else ID_EXE_MemDIn when (SW = "0000000000100111") else ID_EXE_RegMemDIn & "000000000000" when (SW = "0000000000100110") else EXE_MEM_RegWrite & EXE_MEM_RegDest & EXE_MEM_MemRead & EXE_MEM_MemWrite & SERIAL_DATA_READY & SERIAL_TBRE & SERIAL_TSRE & DATA_MEM_SERIAL_FINISH & "00000" when (SW = "0000000001000001") else DATA_MEM_OUT when (SW = "0000000001000011") else DATA_MEM_MUX_OUT when (SW = "0000000001000010") else MEM_MemDIn_MUX_OUT when (SW = "0000000001000111") else MEM_WB_RegWriteVal when (SW = "0000000010000000") else MEM_WB_RegDest & MEM_WB_RegWrite & "00000000000" when (SW = "0000000010000001") else (others => '0'); ClockDiv_0 : ClockDiv PORT MAP ( clk => clock, reset => reset, clk_2t => clock_2t, clk_4t => clock_4t ); BubbleUnit_0 : BubbleUnit PORT MAP ( RegOpA => Decoder_RegOpA, RegOpB => Decoder_RegOpB, RegWE_EXE => ID_EXE_RegWrite, RegDest_EXE => ID_EXE_RegDest, RegWE_MEM=> EXE_MEM_RegWrite, RegDest_MEM => EXE_MEM_RegDest, RegMemDIn_EXE => ID_EXE_RegMemDIn, MemRead_EXE => ID_EXE_MemRead, MemWrite_EXE => ID_EXE_MemWrite, MemRead_MEM => EXE_MEM_MemRead, MemWrite_MEM => EXE_MEM_MemWrite, MemAddr => EXE_MEM_ALUOUT, pc_sel=> Decoder_PC_Sel, CReg => Decoder_CReg, CRegA => Decoder_CRegA, CRegB => Decoder_CRegB, SerialFinish => DATA_MEM_SERIAL_FINISH, pc_stall => PC_REG_STALL, InstAddrSel => INST_ADDR_SEL, InstMemRead => INST_MEM_READ, InstMemWrite => INST_MEM_WRITE, Mem_Result_Sel => MEM_RESULT_SEL, IF_ID_stall => IF_ID_REG_STALL, ID_EXE_stall => ID_EXE_REG_STALL, EXE_MEM_stall => EXE_MEM_REG_STALL, IF_ID_clear => IF_ID_REG_CLEAR, ID_EXE_clear => ID_EXE_REG_CLEAR, EXE_MEM_clear => EXE_MEM_REG_CLEAR ); -- IF Section PC_REG_0 : PC_REG PORT MAP ( clk => clock_4t, reset => reset, stall => PC_REG_STALL, PC_in => PC_REG_IN, PC_out => PC_REG_OUT ); INST_ADDR_MUX : TwoInMuxer_16bit PORT MAP ( input1 => PC_REG_OUT, input2 => EXE_MEM_ALUOUT, opcode => INST_ADDR_SEL, output => INST_ADDR_OUT ); INST_MEMORY_0 : InstMemoryControl PORT MAP ( clk => clock, reset => reset, MemRead => INST_MEM_READ, MemWrite => INST_MEM_WRITE, MemAddr => INST_ADDR_OUT, MemData => MEM_MemDIn_MUX_OUT, MemOut => INST_MEM_OUT, RAM2Addr => RAM2ADDR, RAM2Data => RAM2DATA, RAM2EN => RAM2EN, RAM2OE => RAM2OE, RAM2RW => RAM2RW ); PCAdder_0 : PCAdder PORT MAP ( A => PC_REG_OUT, B => PC_INCR, result => PC_INCR_OUT ); PC_REG_MUX : FourInMuxer_16bit PORT MAP ( input1 => PC_INCR_OUT, input2 => Regs_RAVal, input3 => Regs_RegAVal, input4 => PC_JUMP_ADDR, opcode => Decoder_PC_Sel, output => PC_REG_IN ); IF_ID_REG_0 : IF_ID_REG PORT MAP ( clk => clock_4t, reset => reset, pc_in => PC_INCR_OUT, inst_in => INST_MEM_OUT, stall => IF_ID_REG_STALL, clear => IF_ID_REG_CLEAR, pc_out => IF_ID_PC, inst_out => IF_ID_INST, rx => IF_ID_REGX, ry => IF_ID_REGY ); -- ID Section PCAdder_1 : PCAdder PORT MAP ( A => IF_ID_PC, B => Decoder_PC_Imm, result => PC_JUMP_ADDR ); InstDecoder_0 : InstDecoder PORT MAP ( pc => IF_ID_PC, inst => IF_ID_INST, RegAVal => RegAVal_MUX_OUT, RegBVal => RegBVal_MUX_OUT, RAVal => RegRA_MUX_OUT, SPVal => Regs_SPVal, IHVal => Regs_IHVal, T_in => T_REG_OUT, T_out => T_REG_IN, pc_imm => Decoder_PC_Imm, pc_sel => Decoder_PC_Sel, RegWE => Decoder_RegWrite, RegDest => Decoder_RegDest, MemRd => Decoder_MemRead, MemDIn => Decoder_MemDIn, RegMemDIn => Decoder_RegMemDIn, MemWE => Decoder_MemWrite, opcode => Decoder_OpCode, RegOpA => Decoder_RegOpA, RegOpB => Decoder_RegOpB, CReg => Decoder_CReg, CRegA => Decoder_CRegA, CRegB => Decoder_CRegB, operandA => Decoder_OperandA, operandB => Decoder_OperandB ); Register_Files_0 : Register_Files PORT MAP ( clk => clock, reset => reset, ASel => IF_ID_REGX, BSel => IF_ID_REGY, WSel => MEM_WB_RegDest, WE => MEM_WB_RegWrite, WVal => MEM_WB_RegWriteVal, AVal => Regs_RegAVal, BVal => Regs_RegBVal, RAVal => Regs_RAVal, SPVal => Regs_SPVal, IHVal => Regs_IHVal ); T_REG_0 : T_REG PORT MAP ( clk => clock, reset => reset, T_in => T_REG_IN, T_out => T_REG_OUT ); ID_EXE_REG_0 : ID_EXE_REG PORT MAP ( clk => clock_4t, reset => reset, clear => ID_EXE_REG_CLEAR, stall => ID_EXE_REG_STALL, RegWE_in => Decoder_RegWrite, RegDest_in => Decoder_RegDest, RegMemDIn_in => Decoder_RegMemDIn, MemRd_in => Decoder_MemRead, MemWE_in => Decoder_MemWrite, MemDIn_in => Decoder_MemDIn, opcode_in => Decoder_OpCode, operandA_in => Decoder_OperandA, operandB_in => Decoder_OperandB, RegOpA_in => Decoder_RegOpA, RegOpB_in => Decoder_RegOpB, RegWE_out => ID_EXE_RegWrite, RegDest_out => ID_EXE_RegDest, RegMemDIn_out => ID_EXE_RegMemDIn, MemRd_out => ID_EXE_MemRead, MemWE_out => ID_EXE_MemWrite, MemDIn_out => ID_EXE_MemDIn, opcode_out => ID_EXE_OpCode, operandA_out => ID_EXE_OperandA, operandB_out => ID_EXE_OperandB, RegOpA_out => ID_EXE_RegOpA, RegOpB_out => ID_EXE_RegOpB ); RegAVal_MUX : TwoInMuxer_16bit PORT MAP ( input1 => Regs_RegAVal, input2 => EXE_MEM_ALUOUT, opcode => RegAVal_MUX_SEL, output => RegAVal_MUX_OUT ); RegBVal_MUX : TwoInMuxer_16bit PORT MAP ( input1 => Regs_RegBVal, input2 => EXE_MEM_ALUOUT, opcode => RegBVal_MUX_SEL, output => RegBVal_MUX_OUT ); RegRA_MUX : TwoInMuxer_16bit PORT MAP ( input1 => Regs_RAVal, input2 => EXE_MEM_ALUOUT, opcode => RegRA_MUX_SEL, output => RegRA_MUX_OUT ); -- EXE Section ALU_0 : ALU PORT MAP ( op => ID_EXE_OpCode, A => OpA_MUX_OUT, B => OpB_MUX_OUT, result => ALU_RESULT ); OpA_MUX : FourInMuxer_16bit PORT MAP ( input1 => ID_EXE_OperandA, input2 => EXE_MEM_ALUOUT, input3 => MEM_WB_RegWriteVal, input4 => "0000000000000000", opcode => OpA_MUX_SEL, output => OpA_MUX_OUT ); OpB_MUX : FourInMuxer_16bit PORT MAP ( input1 => ID_EXE_OperandB, input2 => EXE_MEM_ALUOUT, input3 => MEM_WB_RegWriteVal, input4 => "0000000000000000", opcode => OpB_MUX_SEL, output => OpB_MUX_OUT ); MemDIn_MUX : FourInMuxer_16bit PORT MAP ( input1 => ID_EXE_MemDIn, input2 => EXE_MEM_ALUOUT, input3 => MEM_WB_RegWriteVal, input4 => "0000000000000000", opcode => EXE_MemDIn_MUX_SEL, output => MemDIn_MUX_OUT ); EXE_MEM_REG_0 : EXE_MEM_REG PORT MAP ( clk => clock_4t, reset => reset, clear => EXE_MEM_REG_CLEAR, stall => EXE_MEM_REG_STALL, RegWE_in => ID_EXE_RegWrite, RegDest_in => ID_EXE_RegDest, RegMemDIn_in => ID_EXE_RegMemDIn, MemRd_in => ID_EXE_MemRead, MemWE_in => ID_EXE_MemWrite, MemDIn_in => MemDIn_MUX_OUT, ALUout_in => ALU_RESULT, RegWE_out => EXE_MEM_RegWrite, RegDest_out => EXE_MEM_RegDest, RegMemDIn_out => EXE_MEM_RegMemDIn, MemRd_out => EXE_MEM_MemRead, MemWE_out => EXE_MEM_MemWrite, MemDIn_out => EXE_MEM_MemDIn, ALUout_out => EXE_MEM_ALUOUT ); ForwardingUnit_0 : ForwardingUnit PORT MAP ( RegOpA => ID_EXE_RegOpA, RegOpB => ID_EXE_RegOpB, RegWE_WB => MEM_WB_RegWrite, RegDest_WB => MEM_WB_RegDest, RegWE_MEM => EXE_MEM_RegWrite, RegDest_MEM => EXE_MEM_RegDest, MemRead_EXE => ID_EXE_MemRead, MemRead_WB => MEM_WB_MemRead, CReg => Decoder_CReg, CRegA => Decoder_CRegA, CRegB => Decoder_CRegB, RegMemDIn_EXE => ID_EXE_RegMemDIn, RegMemDIn_MEM => EXE_MEM_RegMemDIn, RegAValSel => RegAVal_MUX_SEL, RegBValSel => RegBVal_MUX_SEL, RegRAValSel => RegRA_MUX_SEL, OperandASel => OpA_MUX_SEL, OperandBSel => OpB_MUX_SEL, MemDInSel_EXE => EXE_MemDIn_MUX_SEL, MemDInSel_MEM => MEM_MemDIn_MUX_SEL ); -- MEM Section DATA_MEMORY_0 : DataMemoryControl PORT MAP ( clk => clock, reset => reset, MemRead => EXE_MEM_MemRead, MemWrite=> EXE_MEM_MemWrite, MemAddr => EXE_MEM_ALUOUT, MemData => MEM_MemDIn_MUX_OUT, MemOut => DATA_MEM_OUT, SerialFinish => DATA_MEM_SERIAL_FINISH, RAM1Addr => RAM1ADDR, RAM1Data => RAM1DATA, RAM1EN => RAM1EN, RAM1OE => RAM1OE, RAM1RW => RAM1RW, Serial_dataready => SERIAL_DATA_READY, Serial_rdn => SERIAL_RDN, Serial_tbre => SERIAL_TBRE, Serial_tsre => SERIAL_TSRE, Serial_wrn => SERIAL_WRN, DLED_Right => DLED_RIGHT ); MEM_MemDIn_MUX : TwoInMuxer_16bit PORT MAP ( input1 => EXE_MEM_MemDIn, input2 => MEM_WB_RegWriteVal, opcode => MEM_MemDIn_MUX_SEL, output => MEM_MemDIn_MUX_OUT ); MEM_MUX : TwoInMuxer_16bit PORT MAP ( input1 => DATA_MEM_OUT, input2 => INST_MEM_OUT, opcode => MEM_RESULT_SEL, output => DATA_MEM_MUX_OUT ); MEM_RESULT_MUX : TwoInMuxer_16bit PORT MAP ( input1 => EXE_MEM_ALUOUT, input2 => DATA_MEM_MUX_OUT, opcode => EXE_MEM_MemRead, output => MEM_RESULT_MUX_OUT ); MEM_WB_REG_0 : MEM_WB_REG PORT MAP ( clk => clock_4t, reset => reset, RegWE_in => EXE_MEM_RegWrite, RegDest_in => EXE_MEM_RegDest, RegWriteVal_in => MEM_RESULT_MUX_OUT, MemRd_in => EXE_MEM_MemRead, RegWE_out => MEM_WB_RegWrite, RegDest_out => MEM_WB_RegDest, RegWriteVal_out => MEM_WB_RegWriteVal, MemRd_out => MEM_WB_MemRead ); -- WB Section end Behavioral;
-- NEED RESULT: ENT00024: Associated scalar ports with static subtypes passed ------------------------------------------------------------------------------- -- -- Copyright (c) 1989 by Intermetrics, Inc. -- All rights reserved. -- ------------------------------------------------------------------------------- -- -- TEST NAME: -- -- CT00024 -- -- AUTHOR: -- -- A. Wilmot -- -- TEST OBJECTIVES: -- -- 1.1.1.2 (4) -- 1.1.1.2 (5) -- -- DESIGN UNIT ORDERING: -- -- ENT00024(ARCH00024) -- ENT00024_Test_Bench(ARCH00024_Test_Bench) -- -- REVISION HISTORY: -- -- 25-JUN-1987 - initial revision -- -- NOTES: -- -- self-checking -- automatically generated -- use WORK.STANDARD_TYPES.all ; entity ENT00024 is port ( i_boolean_1, i_boolean_2 : in boolean := c_boolean_1 ; i_bit_1, i_bit_2 : in bit := c_bit_1 ; i_severity_level_1, i_severity_level_2 : in severity_level := c_severity_level_1 ; i_character_1, i_character_2 : in character := c_character_1 ; i_t_enum1_1, i_t_enum1_2 : in t_enum1 := c_t_enum1_1 ; i_st_enum1_1, i_st_enum1_2 : in st_enum1 := c_st_enum1_1 ; i_integer_1, i_integer_2 : in integer := c_integer_1 ; i_t_int1_1, i_t_int1_2 : in t_int1 := c_t_int1_1 ; i_st_int1_1, i_st_int1_2 : in st_int1 := c_st_int1_1 ; i_time_1, i_time_2 : in time := c_time_1 ; i_t_phys1_1, i_t_phys1_2 : in t_phys1 := c_t_phys1_1 ; i_st_phys1_1, i_st_phys1_2 : in st_phys1 := c_st_phys1_1 ; i_real_1, i_real_2 : in real := c_real_1 ; i_t_real1_1, i_t_real1_2 : in t_real1 := c_t_real1_1 ; i_st_real1_1, i_st_real1_2 : in st_real1 := c_st_real1_1 ) ; begin end ENT00024 ; -- architecture ARCH00024 of ENT00024 is begin process variable correct : boolean := true ; begin correct := correct and i_boolean_1 = c_boolean_1 and i_boolean_2 = c_boolean_1 ; correct := correct and i_bit_1 = c_bit_1 and i_bit_2 = c_bit_1 ; correct := correct and i_severity_level_1 = c_severity_level_1 and i_severity_level_2 = c_severity_level_1 ; correct := correct and i_character_1 = c_character_1 and i_character_2 = c_character_1 ; correct := correct and i_t_enum1_1 = c_t_enum1_1 and i_t_enum1_2 = c_t_enum1_1 ; correct := correct and i_st_enum1_1 = c_st_enum1_1 and i_st_enum1_2 = c_st_enum1_1 ; correct := correct and i_integer_1 = c_integer_1 and i_integer_2 = c_integer_1 ; correct := correct and i_t_int1_1 = c_t_int1_1 and i_t_int1_2 = c_t_int1_1 ; correct := correct and i_st_int1_1 = c_st_int1_1 and i_st_int1_2 = c_st_int1_1 ; correct := correct and i_time_1 = c_time_1 and i_time_2 = c_time_1 ; correct := correct and i_t_phys1_1 = c_t_phys1_1 and i_t_phys1_2 = c_t_phys1_1 ; correct := correct and i_st_phys1_1 = c_st_phys1_1 and i_st_phys1_2 = c_st_phys1_1 ; correct := correct and i_real_1 = c_real_1 and i_real_2 = c_real_1 ; correct := correct and i_t_real1_1 = c_t_real1_1 and i_t_real1_2 = c_t_real1_1 ; correct := correct and i_st_real1_1 = c_st_real1_1 and i_st_real1_2 = c_st_real1_1 ; test_report ( "ENT00024" , "Associated scalar ports with static subtypes" , correct) ; wait ; end process ; end ARCH00024 ; -- use WORK.STANDARD_TYPES.all ; entity ENT00024_Test_Bench is end ENT00024_Test_Bench ; -- architecture ARCH00024_Test_Bench of ENT00024_Test_Bench is begin L1: block signal i_boolean_1, i_boolean_2 : boolean := c_boolean_1 ; signal i_bit_1, i_bit_2 : bit := c_bit_1 ; signal i_severity_level_1, i_severity_level_2 : severity_level := c_severity_level_1 ; signal i_character_1, i_character_2 : character := c_character_1 ; signal i_t_enum1_1, i_t_enum1_2 : t_enum1 := c_t_enum1_1 ; signal i_st_enum1_1, i_st_enum1_2 : st_enum1 := c_st_enum1_1 ; signal i_integer_1, i_integer_2 : integer := c_integer_1 ; signal i_t_int1_1, i_t_int1_2 : t_int1 := c_t_int1_1 ; signal i_st_int1_1, i_st_int1_2 : st_int1 := c_st_int1_1 ; signal i_time_1, i_time_2 : time := c_time_1 ; signal i_t_phys1_1, i_t_phys1_2 : t_phys1 := c_t_phys1_1 ; signal i_st_phys1_1, i_st_phys1_2 : st_phys1 := c_st_phys1_1 ; signal i_real_1, i_real_2 : real := c_real_1 ; signal i_t_real1_1, i_t_real1_2 : t_real1 := c_t_real1_1 ; signal i_st_real1_1, i_st_real1_2 : st_real1 := c_st_real1_1 ; component UUT port ( i_boolean_1, i_boolean_2 : in boolean := c_boolean_1 ; i_bit_1, i_bit_2 : in bit := c_bit_1 ; i_severity_level_1, i_severity_level_2 : in severity_level := c_severity_level_1 ; i_character_1, i_character_2 : in character := c_character_1 ; i_t_enum1_1, i_t_enum1_2 : in t_enum1 := c_t_enum1_1 ; i_st_enum1_1, i_st_enum1_2 : in st_enum1 := c_st_enum1_1 ; i_integer_1, i_integer_2 : in integer := c_integer_1 ; i_t_int1_1, i_t_int1_2 : in t_int1 := c_t_int1_1 ; i_st_int1_1, i_st_int1_2 : in st_int1 := c_st_int1_1 ; i_time_1, i_time_2 : in time := c_time_1 ; i_t_phys1_1, i_t_phys1_2 : in t_phys1 := c_t_phys1_1 ; i_st_phys1_1, i_st_phys1_2 : in st_phys1 := c_st_phys1_1 ; i_real_1, i_real_2 : in real := c_real_1 ; i_t_real1_1, i_t_real1_2 : in t_real1 := c_t_real1_1 ; i_st_real1_1, i_st_real1_2 : in st_real1 := c_st_real1_1 ) ; end component ; for CIS1 : UUT use entity WORK.ENT00024 (ARCH00024) ; begin CIS1 : UUT port map ( i_boolean_1, i_boolean_2, i_bit_1, i_bit_2, i_severity_level_1, i_severity_level_2, i_character_1, i_character_2, i_t_enum1_1, i_t_enum1_2, i_st_enum1_1, i_st_enum1_2, i_integer_1, i_integer_2, i_t_int1_1, i_t_int1_2, i_st_int1_1, i_st_int1_2, i_time_1, i_time_2, i_t_phys1_1, i_t_phys1_2, i_st_phys1_1, i_st_phys1_2, i_real_1, i_real_2, i_t_real1_1, i_t_real1_2, i_st_real1_1, i_st_real1_2 ) ; end block L1 ; end ARCH00024_Test_Bench ;
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- Copyright (C) 2015 - 2016, Cobham Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Entity: ddr3ram -- File: ddr3ram.vhd -- Author: Magnus Hjorth, Aeroflex Gaisler -- Description: Generic simulation model of DDR3 SDRAM (JESD79-3) ------------------------------------------------------------------------------ --pragma translate_off use std.textio.all; library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library grlib; use grlib.stdio.hread; use grlib.stdlib.all; entity ddr3ram is generic ( width: integer := 32; abits: integer range 13 to 16 := 13; colbits: integer range 9 to 12 := 10; rowbits: integer range 1 to 16 := 13; implbanks: integer range 1 to 8 := 1; fname: string; lddelay: time := (0 ns); ldguard: integer range 0 to 1 := 0; -- 1: wait for doload input before -- loading RAM -- Speed bins: 0-1:800E-D, 2-4:1066G-E 5-8:1333J-F 9-12:1600K-G speedbin: integer range 0 to 12 := 0; density: integer range 2 to 6 := 3; -- 2:512M 3:1G 4:2G 5:4G 6:8G bits/chip pagesize: integer range 1 to 2 := 1; -- 1K/2K page size (controls tRRD) changeendian: integer range 0 to 32 := 0 ); port ( ck: in std_ulogic; ckn: in std_ulogic; cke: in std_ulogic; csn: in std_ulogic; odt: in std_ulogic; rasn: in std_ulogic; casn: in std_ulogic; wen: in std_ulogic; dm: in std_logic_vector(width/8-1 downto 0); ba: in std_logic_vector(2 downto 0); a: in std_logic_vector(abits-1 downto 0); resetn: in std_ulogic; dq: inout std_logic_vector(width-1 downto 0); dqs: inout std_logic_vector(width/8-1 downto 0); dqsn: inout std_logic_vector(width/8-1 downto 0); doload: in std_ulogic := '1' ); end; architecture sim of ddr3ram is type moderegs is record -- Mode register (0) ppd: std_ulogic; wr: std_logic_vector(2 downto 0); dllres: std_ulogic; tm: std_ulogic; rbt: std_ulogic; caslat: std_logic_vector(3 downto 0); blen: std_logic_vector(1 downto 0); -- Extended mode register 1 qoff: std_ulogic; tdqsen: std_ulogic; level: std_ulogic; al: std_logic_vector(1 downto 0); rtt_nom: std_logic_vector(2 downto 0); dic: std_logic_vector(1 downto 0); dlldis: std_ulogic; -- Extended mode register 2 rtt_wr: std_logic_vector(1 downto 0); srt: std_ulogic; asr: std_ulogic; cwl: std_logic_vector(2 downto 0); pasr: std_logic_vector(2 downto 0); -- Extended mode register 3 mpr: std_ulogic; mprloc: std_logic_vector(1 downto 0); end record; -- Mode registers as signal, useful for debugging signal mr: moderegs; -- Handshaking between command and DQ/DQS processes signal read_en, write_en, dqscal_en: boolean := false; signal read_data, write_data: std_logic_vector(2*width-1 downto 0); signal write_mask: std_logic_vector(width/4-1 downto 0); signal initdone: boolean := false; -- Small delta-t to adjust calculations for jitter tol. constant deltat: time := 50 ps; -- Timing parameters constant tWR: time := 15 ns; constant tMRD_ck: integer := 4; constant tRTP_ck: integer := 4; constant tRTP_t: time := 7.5 ns; function tRTP(tper: time) return time is begin if tRTP_ck*tper > tRTP_t then return tRTP_ck*tper; else return tRTP_t; end if; end tRTP; constant tMOD_ck: integer := 12; constant tMOD_t: time := 15 ns; type timetab is array (0 to 12) of time; -- 800E 800D 1066G 1066H 1066E 1333J 1333H 1333G 1333F 1600K 1600J 1600H 1600G constant tRAS : timetab := (37.5 ns, 37.5 ns, 37.5 ns, 37.5 ns, 37.5 ns, 36 ns, 36 ns, 36 ns, 36 ns, 35 ns, 35 ns, 35 ns, 35 ns); constant tRP : timetab := (15 ns, 12.5 ns, 15 ns, 13.125 ns, 11.25 ns, 15 ns, 13.5 ns, 12 ns, 10.5 ns, 13.75 ns, 12.5 ns, 11.25 ns, 10 ns); constant tRCD: timetab := tRP; type timetab2 is array(2 to 6) of time; constant tRFC: timetab2 := (90 ns, 110 ns, 160 ns, 300 ns, 350 ns); function tRRD(tper: time; speedbin: integer range 0 to 12) return time is variable t: time; begin case speedbin is when 0 to 1 => t:=10 ns; when 2 to 4 => if pagesize<2 then t:=7.5 ns; else t:=10 ns; end if; when 5 to 12 => if pagesize<2 then t:=6 ns; else t:=7.5 ns; end if; end case; if t < 4*tper then t:=4*tper; end if; return t; end tRRD; function pick(t,f: integer; b: boolean) return integer is begin if b then return t; else return f; end if; end pick; begin ----------------------------------------------------------------------------- -- Init sequence checker ----------------------------------------------------------------------------- initp: process procedure checkcmd(crasn,ccasn,cwen: std_ulogic; cba: std_logic_vector(2 downto 0); ca: std_logic_vector(15 downto 0)) is variable amatch: boolean; begin wait until rising_edge(ck); while cke='1' and (csn='1' or (rasn='1' and casn='1' and wen='1')) loop wait until rising_edge(ck); end loop; amatch := true; for x in a'range loop if ca(x)/='-' and ca(x)/=a(x) then amatch:=false; end if; end loop; assert cke='1' and csn='0' and rasn=crasn and casn=ccasn and wen=cwen and (cba="---" or cba=ba) and amatch report "Wrong command during init sequence" severity warning; end checkcmd; variable t,t2: time; variable i: integer; begin initdone <= false; -- Allow resetn to be X or U for a while during sim start if resetn /= '0' then wait until resetn='0' for 1 us; end if; assert resetn='0' report "RESETn not asserted on power-up" severity warning; wait until resetn/='0' for 200 us; assert resetn='0' report "RESETn raised with less than 200 us init delay" severity warning; l0: loop initdone <= false; wait until resetn/='0'; assert cke='0' report "CKE not low when RESETn deasserted" severity warning; wait until (resetn='0' or cke/='0') for 500 us; if resetn='0' then next; end if; assert cke='0' report "CKE raised with less than 500 us delay after RESETn deasserted" severity warning; wait until (resetn='0' or cke/='0') and rising_edge(ck); if resetn='0' then next; end if; assert cke='1' and (csn='1' or (rasn='1' and casn='1' and wen='1')); t := now; t2 := t+tRFC(density)+(10 ns); i := 0; while i<5 and now<t2 loop wait until (resetn='0' or rising_edge(ck)); if resetn='0' then next l0; end if; assert cke='1' and (csn='1' or (rasn='1' and casn='1' and wen='1')); i := i+1; end loop; -- EMRS EMR2 checkcmd('0','0','0',"010","----------------"); if resetn='0' then next; end if; -- EMRS EMR3 checkcmd('0','0','0',"011","----------------"); if resetn='0' then next; end if; -- EMRS EMR1 enable DLL checkcmd('0','0','0',"001","---------------0"); if resetn='0' then next; end if; -- EMRS EMR0 reset DLL checkcmd('0','0','0',"000","-------1--------"); if resetn='0' then next; end if; -- ZQCL checkcmd('1','1','0',"---","-----1----------"); if resetn='0' then next; end if; for x in 1 to 512 loop wait until (resetn='0' or rising_edge(ck)); if resetn='0' then next l0; end if; assert cke='1' and (csn='1' or (rasn='1' and casn='1' and wen='1')); end loop; initdone <= true; wait until resetn='0'; end loop; end process; ----------------------------------------------------------------------------- -- Command state machine ----------------------------------------------------------------------------- cmdp: process(ck) -- Data split by bank to avoid exceeding 4G constant b0size: integer := (2**(colbits+rowbits)) * ((width+15)/16); constant b1size: integer := pick(b0size, 1, implbanks>1); constant b2size: integer := pick(b0size, 1, implbanks>2); constant b3size: integer := pick(b0size, 1, implbanks>3); constant b4size: integer := pick(b0size, 1, implbanks>4); constant b5size: integer := pick(b0size, 1, implbanks>5); constant b6size: integer := pick(b0size, 1, implbanks>6); constant b7size: integer := pick(b0size, 1, implbanks>7); subtype coldata is std_logic_vector(width-1 downto 0); subtype idata is integer range 0 to (2**20)-1; -- 16 data bits + 2x2 X/U state type idata_arr is array(natural range <>) of idata; variable memdata0: idata_arr(0 to b0size-1); variable memdata1: idata_arr(0 to b1size-1); variable memdata2: idata_arr(0 to b2size-1); variable memdata3: idata_arr(0 to b3size-1); variable memdata4: idata_arr(0 to b4size-1); variable memdata5: idata_arr(0 to b5size-1); variable memdata6: idata_arr(0 to b6size-1); variable memdata7: idata_arr(0 to b7size-1); function reversedata(data : std_logic_vector; step : integer) return std_logic_vector is variable rdata: std_logic_vector(data'length-1 downto 0); begin for i in 0 to (data'length/step-1) loop rdata(i*step+step-1 downto i*step) := data(data'length-i*step-1 downto data'length-i*step-step); end loop; return rdata; end function reversedata; impure function memdata_get(bank,idx: integer) return coldata is variable r: coldata; variable x: idata; variable p: std_logic_vector(19 downto 0); variable iidx: integer; begin iidx := (idx*width)/16; for q in 0 to (width+15)/16-1 loop case bank is when 0 => x := memdata0(iidx+q); when 1 => x := memdata1(iidx+q); when 2 => x := memdata2(iidx+q); when 3 => x := memdata3(iidx+q); when 4 => x := memdata4(iidx+q); when 5 => x := memdata5(iidx+q); when 6 => x := memdata6(iidx+q); when others => x := memdata7(iidx+q); end case; p := std_logic_vector(to_unsigned(x,20)); if p(18)='0' then p(15 downto 8) := "UUUUUUUU"; elsif p(19)='1' then p(15 downto 8) := "XXXXXXXX"; end if; if p(16)='0' then p(7 downto 0) := "UUUUUUUU"; elsif p(17)='1' then p(7 downto 0) := "XXXXXXXX"; end if; if width < 16 then r := p(7 downto 0); else r(width-16*q-1 downto width-16*q-16) := p(15 downto 0); end if; end loop; if changeendian /= 0 then r := reversedata(r, changeendian); end if; return r; end memdata_get; procedure memdata_set(bank,idx: integer; v: coldata) is variable n: coldata; variable x: idata; variable p: std_logic_vector(19 downto 0); variable iidx: integer; begin -- assert false -- report ("memdata_set: bank " & tost(bank) & " idx " & tost(idx) & " data " & tost(v)) -- severity note; n := v; if changeendian /= 0 then n := reversedata(n, changeendian); end if; iidx := (idx*width)/16; for q in 0 to (width+15)/16-1 loop p := "0101" & x"0000"; if width < 16 then p(7 downto 0) := n; else p(15 downto 0) := n(width-16*q-1 downto width-16*q-16); end if; if p(15 downto 8)="UUUUUUUU" then p(18):='0'; p(15 downto 8):=x"00"; elsif is_x(p(15 downto 8)) then p(19):='1'; p(15 downto 8):=x"00"; end if; if p(7 downto 0)="UUUUUUUU" then p(16):='0'; p(7 downto 0):=x"00"; elsif is_x(p(7 downto 0)) then p(17):='1'; p(7 downto 0):=x"00"; end if; x := to_integer(unsigned(p)); case bank is when 0 => memdata0(iidx+q) := x; when 1 => memdata1(iidx+q) := x; when 2 => memdata2(iidx+q) := x; when 3 => memdata3(iidx+q) := x; when 4 => memdata4(iidx+q) := x; when 5 => memdata5(iidx+q) := x; when 6 => memdata6(iidx+q) := x; when others => memdata7(iidx+q) := x; end case; end loop; end memdata_set; procedure load_srec is file TCF : text open read_mode is fname; variable L1: line; variable CH : character; variable rectype : std_logic_vector(3 downto 0); variable recaddr : std_logic_vector(31 downto 0); variable reclen : std_logic_vector(7 downto 0); variable recdata : std_logic_vector(0 to 16*8-1); variable idx, coloffs, len: integer; begin L1:= new string'(""); while not endfile(TCF) loop readline(TCF,L1); if (L1'length /= 0) then while (not (L1'length=0)) and (L1(L1'left) = ' ') loop std.textio.read(L1,CH); end loop; if L1'length > 0 then read(L1, ch); if (ch = 'S') or (ch = 's') then hread(L1, rectype); hread(L1, reclen); len := to_integer(unsigned(reclen))-1; recaddr := (others => '0'); case rectype is when "0001" => hread(L1, recaddr(15 downto 0)); len := len - 2; when "0010" => hread(L1, recaddr(23 downto 0)); len := len - 3; when "0011" => hread(L1, recaddr); len := len - 4; when others => next; end case; hread(L1, recdata(0 to len*8-1)); if width < 16 then idx := to_integer(unsigned(recaddr(rowbits+colbits-1 downto 0))); while len > 1 loop memdata0(idx) := 16#10000# + to_integer(unsigned(recdata(0 to 7))); idx := idx+1; len := len-1; recdata(0 to recdata'length-8-1) := recdata(8 to recdata'length-1); end loop; else assert recaddr(0)='0'; -- Assume 16-bit alignment on SREC entry idx := to_integer(unsigned(recaddr(rowbits+colbits+log2(width/16) downto 1))); while len > 1 loop memdata0(idx) := 16#50000# + to_integer(unsigned(recdata(0 to 15))); idx := idx+1; len := len-2; recdata(0 to recdata'length-16-1) := recdata(16 to recdata'length-1); end loop; if len > 0 then memdata0(idx) := 16#40000# + to_integer(unsigned(recdata(0 to 15))); end if; end if; end if; end if; end if; end loop; end load_srec; variable vmr: moderegs; type bankstate is record openrow: integer; opentime: time; closetime: time; writetime: time; readtime: time; autopch: integer; pchpush: boolean; end record; type bankstate_arr is array(natural range <>) of bankstate; variable banks: bankstate_arr(7 downto 0) := (others => (-1, 0 ns, 0 ns, 0 ns, 0 ns, -1, false)); type int_arr is array(natural range <>) of integer; type dataacc is record r,w: boolean; col: int_arr(0 to 1); bank: integer; first,wchop: boolean; end record; type dataacc_arr is array(natural range <>) of dataacc; variable accpipe: dataacc_arr(0 to 25); variable cmd: std_logic_vector(2 downto 0); variable bank: integer; variable colv: unsigned(a'high-2 downto 0); variable alow: unsigned(2 downto 0); variable col: integer; variable prev_re, re: time; variable blen, wblen: integer; variable lastref: time := 0 ns; variable i, al, cl, cwl, wrap: integer; variable b: boolean; variable mrscount: integer := 100; variable mrstime: time; variable loaded: boolean := false; variable cold: coldata; procedure checktime(got, exp: time; gt: boolean; req: string) is begin assert (got + deltat > exp and gt) or (got-deltat < exp and not gt) report (req & " violation, got: " & tost(got/(1 ps)) & " ps, exp: " & tost(exp/(1 ps)) & "ps") severity warning; end checktime; begin if rising_edge(ck) and resetn='1' then -- Update pipe regs prev_re := re; re := now; accpipe(1 to accpipe'high) := accpipe(0 to accpipe'high-1); accpipe(0).r:=false; accpipe(0).w:=false; accpipe(0).first:=false; -- Parse MR fields cmd := rasn & casn & wen; if is_x(vmr.caslat) then cl:=0; else cl:=to_integer(unsigned(vmr.caslat(3 downto 1)))+4; end if; if cl<5 or cl>11 then cl:=0; end if; case vmr.al is when "00" => al:=0; when "01" => al:=cl-1; when "10" => al:=cl-2; when others => al:=-1; end case; if is_x(vmr.cwl) then cwl:=0; else cwl:=to_integer(unsigned(vmr.cwl))+5; end if; if cwl>8 then cwl:=0; end if; if is_x(vmr.wr) then wrap:=0; else wrap:=to_integer(unsigned(vmr.wr))+4; end if; if wrap<5 or wrap>12 then wrap:=0; end if; -- Checks for all-bank commands mrscount := mrscount+1; assert (mrscount >= tMRD_ck) or (cke='1' and (csn='1' or cmd="111")) report "tMRD violation!" severity warning; assert (mrscount > tMOD_ck and now > mrstime+tMOD_t-deltat) or (cke='1' and (csn='1' or cmd="111" or cmd="000")) report "tMOD violation!" severity warning; if cke='1' and csn='0' and cmd/="111" then checktime(now-lastref, tRFC(density), true, "tRFC"); end if; if vmr.mpr='1' then assert cke='0' or csn='1' or cmd="111" or cmd="101" report "Command other than read in MPR mode!" severity warning; for x in 7 downto 0 loop assert banks(x).openrow<0 report "Row opened in MPR mode!" severity warning; end loop; end if; -- Main command handler if cke='1' and csn='0' then case cmd is when "111" => -- NOP when "011" => -- RAS assert initdone report "Opening row before init sequence done!" severity warning; bank := to_integer(unsigned(ba)); assert banks(bank).openrow < 0 report "Row already open" severity warning; checktime(now-banks(bank).closetime, tRP(speedbin), true, "tRP"); for x in 0 to 7 loop checktime(now-banks(x).opentime, tRRD(re-prev_re, speedbin), true, "tRRD"); end loop; banks(bank).openrow := to_integer(unsigned(a(rowbits-1 downto 0))); banks(bank).opentime := now; when "101" | "100" => -- Read/Write bank := to_integer(unsigned(ba)); assert banks(bank).openrow >= 0 or vmr.mpr='1' report "Row not open" severity error; checktime(now-banks(bank).opentime+al*(re-prev_re), tRCD(speedbin), true, "tRCD"); for x in 0 to 3 loop assert not accpipe(x).r and not accpipe(x).w; end loop; if cmd(0)='1' then accpipe(3).r:=true; else accpipe(3).w:=true; end if; colv := unsigned(std_logic_vector'(a(a'high downto 13) & a(11) & a(9 downto 0))); wblen := 8; case vmr.blen is when "00" => blen := 8; when "01" => if a(12)='1' then blen:=8; else blen:=4; end if; when "11" => blen := 4; wblen:=4; when others => assert false report "Invalid burst length setting in MR!" severity error; end case; alow := unsigned(a(2 downto 0)); if cmd(0)='0' then alow(1 downto 0) := "00"; if blen=8 then alow(2):='0'; end if; end if; for x in 0 to blen-1 loop accpipe(3-x/2).bank := bank; if cmd(0)='1' then accpipe(3-x/2).r:=true; else accpipe(3-x/2).w:=true; end if; if vmr.rbt='0' then -- Sequential colv(log2(blen)-1 downto 0) := alow(log2(blen)-1 downto 0) + x; else -- Interleaved colv(log2(blen)-1 downto 0) := alow(log2(blen)-1 downto 0) xor to_unsigned(x,log2(blen)); end if; col := banks(bank).openrow * (2**colbits) + to_integer(colv(colbits-1 downto 0)); accpipe(3-x/2).col(x mod 2) := col; accpipe(3-x/2).wchop := (blen<wblen); end loop; accpipe(3).first := true; -- Auto precharge if a(10)='1' then if cmd(0)='1' then banks(bank).autopch := al+tRTP_ck; else banks(bank).autopch := al+cwl+wblen/2+wrap; end if; banks(bank).pchpush := true; end if; when "110" => -- ZQInit for x in 0 to 7 loop checktime(now-banks(x).closetime, tRP(speedbin), true, "tRP"); end loop; for x in 3+cl+al downto 0 loop assert not accpipe(x).r severity warning; end loop; for x in 4+cwl+al downto 0 loop assert not accpipe(x).w severity warning; end loop; -- Currently does not check TZQCoper/TZQCs when "010" => -- Precharge if a(10)='0' then bank := to_integer(unsigned(ba)); else bank:=0; end if; for x in 6+cwl+al downto 0 loop assert ( (not ((accpipe(x).r and x<=3+al) or accpipe(x).w)) or (a(10)='0' and accpipe(x).bank/=bank) ) report "Precharging bank with access in progress" severity warning; end loop; for x in 0 to 7 loop if a(10)='1' or ba=std_logic_vector(to_unsigned(x,3)) then assert banks(x).autopch<0 report "Precharging bank that is auto-precharged!" severity note; assert a(10)='1' or banks(x).openrow >= 0 report "Precharging single bank that is in idle state!" severity note; banks(x).autopch := 0; -- Handled below case statement banks(x).pchpush := false; end if; end loop; when "001" => -- Auto refresh for x in 0 to 7 loop assert banks(x).openrow < 0 report "Bank in wrong state for auto refresh!" severity warning; checktime(now-banks(x).closetime, tRP(speedbin), true, "tRP"); end loop; lastref := now; when "000" => -- MRS for x in 0 to 7 loop checktime(now-banks(x).closetime, tRP(speedbin), true, "tRP"); end loop; bank := to_integer(unsigned(ba)); case bank is when 0 => vmr.ppd := a(12); vmr.wr := a(11 downto 9); vmr.dllres := a(8); vmr.tm := a(7); vmr.caslat := a(6 downto 4) & a(2); vmr.rbt := a(3); vmr.blen := a(1 downto 0); when 1 => vmr.qoff := a(12); vmr.tdqsen := a(11); vmr.level := a(7); vmr.al := a(4 downto 3); vmr.rtt_nom := a(9) & a(6) & a(2); vmr.dic := a(5) & a(1); vmr.dlldis := a(0); when 2 => vmr.rtt_wr := a(10 downto 9); vmr.srt := a(7); vmr.asr := a(6); vmr.cwl := a(5 downto 3); vmr.pasr := a(2 downto 0); when 3 => vmr.mpr := a(2); vmr.mprloc := a(1 downto 0); when others => assert false report ("MRS to invalid bank addr: " & std_logic'image(ba(1)) & std_logic'image(ba(0))) severity warning; end case; mrscount := 0; mrstime := now; when others => assert false report ("Invalid command: " & std_logic'image(rasn) & std_logic'image(casn) & std_logic'image(wen)) severity warning; end case; end if; -- Manual or auto precharge handling for x in 0 to 7 loop if banks(x).autopch=0 then if banks(x).pchpush and ((now-banks(x).readtime-deltat) < tRTP_t or (now-banks(x).opentime-deltat) < tRAS(speedbin)) then -- Auto delay auto-precharge to satisfy tRTP_t -- NOTE: According to Micron's datasheets, their DDR3 memories -- automatically hold off the auto precharge so that also tRAS is satisfied, -- and the MIG controller seems to depend on this. It is not clear in the -- JEDEC standard (rev F) whether this is guaranteed behavior for all DDR3 -- RAMs, but we emulate that behavior here. banks(x).autopch := banks(x).autopch+1; else checktime(now-banks(x).writetime, tWR, true, "tWR"); checktime(now-banks(x).opentime, tRAS(speedbin), true, "tRAS"); checktime(now-banks(x).readtime, tRTP(re-prev_re), true, "tRTP"); banks(x).openrow := -1; banks(x).closetime := now; end if; end if; if banks(x).autopch >= 0 then banks(x).autopch := banks(x).autopch - 1; end if; end loop; -- Read/write management if not loaded and lddelay < now and (ldguard=0 or doload='1') then load_srec; loaded := true; end if; if accpipe(2+cl+al).r then assert cl>1 report "Incorrect CL setting!" severity warning; read_en <= true; -- print("Reading from col " & tost(accpipe(2+i).col(0)) & " and " & tost(accpipe(2+i).col(1))); -- col0 <= accpipe(2+i).col(0); col1 <= accpipe(2+i).col(1); if vmr.mpr='1' then assert vmr.mprloc="00" report "Read from undefined MPR!" severity warning; read_data <= (others => '0'); for x in width/8-1 downto 0 loop read_data(x*8) <= '1'; end loop; else read_data <= memdata_get(accpipe(2+cl+al).bank, accpipe(2+cl+al).col(0)) & memdata_get(accpipe(2+cl+al).bank, accpipe(2+cl+al).col(1)); end if; else read_en <= false; end if; if accpipe(3+al).r and accpipe(3+al).first then banks(accpipe(3+al).bank).readtime := now; end if; write_en <= accpipe(2+cwl+al).w or accpipe(3+cwl+al).w; if accpipe(4+cwl+al).w then assert not is_x(write_mask) report "Write error!"; for x in 0 to 1 loop cold := memdata_get(accpipe(4+cwl+al).bank, accpipe(4+cwl+al).col(x)); for b in width/8-1 downto 0 loop if write_mask((1-x)*width/8+b)='0' then cold(8*b+7 downto 8*b) := write_data( (1-x)*width+b*8+7 downto (1-x)*width+b*8); end if; end loop; memdata_set(accpipe(4+cwl+al).bank, accpipe(4+cwl+al).col(x), cold); end loop; banks(accpipe(4+cwl+al).bank).writetime := now; end if; if accpipe(6+cwl+al).w and accpipe(6+cwl+al).wchop then banks(accpipe(6+cwl+al).bank).writetime := now; end if; dqscal_en <= (vmr.level='1'); elsif resetn='0' then for x in banks'range loop banks(x).openrow := -1; end loop; end if; mr <= vmr; end process; ----------------------------------------------------------------------------- -- DQS/DQ handling and data sampling process ----------------------------------------------------------------------------- dqproc: process variable rdata: std_logic_vector(2*width-1 downto 0); variable hdata: std_logic_vector(width-1 downto 0); variable hmask: std_logic_vector(width/8-1 downto 0); variable prevdqs: std_logic_vector(width/8-1 downto 0); begin dq <= (others => 'Z'); dqs <= (others => 'Z'); dqsn <= (others => 'Z'); wait until read_en or write_en or dqscal_en; assert not (read_en and write_en); if dqscal_en then while dqscal_en loop prevdqs := dqs; wait on dqs,dqscal_en; for x in dqs'range loop if dqs(x)='1' and prevdqs(x)='0' then dq(8*x+7 downto 8*x) <= "0000000" & ck; end if; end loop; end loop; elsif read_en then dqs <= (others => '0'); dqsn <= (others => '1'); wait until falling_edge(ck); while read_en loop rdata := read_data; wait until rising_edge(ck); dqs <= (others => '1'); dqsn <= (others => '0'); dq <= rdata(2*width-1 downto width); wait until falling_edge(ck); dqs <= (others => '0'); dqsn <= (others => '1'); dq <= rdata(width-1 downto 0); end loop; wait until rising_edge(ck); else wait until falling_edge(ck); while write_en loop prevdqs := to_X01(dqs); wait until to_X01(dqs) /= prevdqs or not write_en or rising_edge(ck); if rising_edge(ck) then write_data <= (others => 'X'); write_mask <= (others => 'X'); end if; for x in dqs'range loop if prevdqs(x)='0' and to_X01(dqs(x))='1' then hdata(8*x+7 downto 8*x) := dq(8*x+7 downto 8*x); hmask(x) := dm(x); elsif prevdqs(x)='1' and to_X01(dqs(x))='0' then write_data(width+8*x+7 downto width+8*x) <= hdata(8*x+7 downto 8*x); write_data(8*x+7 downto 8*x) <= dq(8*x+7 downto 8*x); write_mask(width/8+x) <= hmask(x); write_mask(x) <= dm(x); end if; end loop; end loop; end if; end process; end; -- pragma translate_on
--------------------------------------- -- 7/JUL/2015 - Pedro Morales Hernandez -- Modulo del Estimador --------------------------------------- -- Importacion de librerias library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; -- Declaracion de la Entidad entity ESTIMADOR is PORT( clk : IN STD_LOGIC; -- Reloj rst : IN STD_LOGIC; -- Reset asincrono, activo a nivel alto start : IN STD_LOGIC; -- Seal que indica el inicio del proceso de estimacion fin : OUT STD_LOGIC; -- Seal que indica el fin de la estimacion addr_y : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); -- Lectura de Simbolo addr_h : OUT STD_LOGIC_VECTOR(10 DOWNTO 0); -- Escritura de Ecualizacion y_data : IN STD_LOGIC_VECTOR(19 DOWNTO 0); -- Dato de Entrada h_data : OUT STD_LOGIC_VECTOR(23 DOWNTO 0); -- Dato de Salida write_h : OUT STD_LOGIC_VECTOR(0 DOWNTO 0)); -- Seal que indica que se escriba el dato end ESTIMADOR; architecture Behavioral of ESTIMADOR is SUBTYPE addr IS STD_LOGIC_VECTOR(10 DOWNTO 0); -- Por si es necesario redefinir el tamao de las direcciones -- Submodulos COMPONENT PRBS IS Port ( clk : in STD_LOGIC; rst : in STD_LOGIC; enable : in STD_LOGIC; output : out STD_LOGIC); END COMPONENT; -- Tipos Complejos TYPE complex10 IS RECORD -- De 10 bits re: SIGNED(9 DOWNTO 0); im: SIGNED(9 DOWNTO 0); END RECORD; TYPE complex12 IS RECORD -- De 12 bits re: SIGNED(11 DOWNTO 0); im: SIGNED(11 DOWNTO 0); END RECORD; TYPE context_t IS RECORD -- Almacena el estado del sistema h_dir : addr; -- Direccion H (Estimacion) y_dir : addr; -- Direccion Y (Simbolo) valor : complex10; -- Valor calculado de un piloto h_est : complex12; -- H estimada en una posicion piloto_inf : complex10; -- Piloto Inferior piloto_sup : complex10; -- Piloto Superior modulo : INTEGER; -- Indica la posicion con respecto al piloto anterior (0-12) END RECORD; -- Esta funcion calcula el valor de un -- piloto en funcion del valor actual del PRBS FUNCTION CALCULA_H_PILOTO(piloto : complex10; prbs_val : STD_LOGIC) RETURN complex10 IS VARIABLE H : complex10 := (re => (OTHERS => '0'), im => (OTHERS => '0')); BEGIN IF(prbs_val = '1') THEN -- Si vale 1, negamos el piloto, no es necesario escalar H.re := -piloto.re; H.im := -piloto.im; ELSE -- Si vale 0 lo dejamos igual H.re := piloto.re; H.im := piloto.im; END IF; RETURN H; END CALCULA_H_PILOTO; -- Estados posibles TYPE estados IS (reposo,ini_lee,ini_calcula,avance,lee,calcula,actualiza_piloto_1,actualiza_piloto_2,actualiza_piloto_3,escribe,terminado); -- Reposo : El sistema se encuentra en reposo y no espera la seal START -- ini_* : Proceso de inicializacion, para llevar el PRBS al valor deseado -- ini_lee : Leemos de la memoria el valor de un piloto -- ini_calcula : Calculamos el valor de H en esa posicion -- avance : Avanzamos el PRBS sin realizar ninguna accion -- lee : Esperamos si es necesario para leer un piloto, siempre se ejecuta -- calcula: Calculamos el valor de un piloto en un punto dado -- escribe: Escribimos el valor calculado en la memoria -- actualiza_piloto_* : Nos permite actualizar el valor de los pilotos -- terminado : Indica que el proceso ha finalizado exitosamente SIGNAL p_context,context : context_t; -- Contexto actual y proximo SIGNAL prbs_val,prbs_next: STD_LOGIC := '0'; -- Valores del PRBS SIGNAL estado,p_estado : estados; -- Estado actual y proximo BEGIN -- Modelos Instanciados prbs_c: COMPONENT PRBS PORT MAP( clk => clk, rst => rst, enable => prbs_next, output => prbs_val ); -- Conexiones de seales addr_y <= context.y_dir; addr_h <= context.h_dir; -- Proceso Combinacional comb : PROCESS(start,estado,context,y_data,prbs_val) VARIABLE aux_re,aux_im : SIGNED(19 DOWNTO 0); -- Variables auxiliares para calcular el valor del canal BEGIN -- Valores por defecto en todos los estados p_context <= context; write_h <= "0"; prbs_next <= '0'; h_data <= (OTHERS => '0'); fin <= '0'; CASE estado IS WHEN reposo => -- Inicializamos las variables a cero p_context.h_dir <= (OTHERS => '0'); p_context.y_dir <= (OTHERS => '0'); p_context.piloto_inf <= (re => (OTHERS => '0'), im => (OTHERS => '0')); p_context.piloto_sup <= (re => (OTHERS => '0'), im => (OTHERS => '0')); p_context.h_est <= (re => (OTHERS => '0'), im => (OTHERS => '0')); p_context.valor <= (re => (OTHERS => '0'), im => (OTHERS => '0')); p_context.modulo <= 0; -- Cambio de estado IF(start = '1') THEN p_estado <= ini_lee; ELSE p_estado <= reposo; END IF; WHEN ini_lee => p_context.valor.re <= SIGNED(y_data(19 DOWNTO 10)); -- Leemos una seal de la entrada p_context.valor.im <= SIGNED(y_data(9 DOWNTO 0)); p_estado <= ini_calcula; WHEN ini_calcula => p_context.piloto_sup <= CALCULA_H_PILOTO(context.valor,prbs_val); p_context.piloto_inf <= context.piloto_sup; -- Actualizamos el contexto IF(context.y_dir = STD_LOGIC_VECTOR(TO_UNSIGNED(12,11))) THEN -- Si estamos en la posicion 12 -- Fin de la inicializacion, vamos a la posicion 1 p_context.y_dir <= "00000000001"; p_context.h_dir <= "00000000001"; p_context.modulo <= 1; -- El modulo se inicia en 1 p_estado <= lee; ELSE p_context.y_dir <= STD_LOGIC_VECTOR(TO_UNSIGNED(12,11)); -- Vamos a la posicion 12 p_estado <= avance; END IF; WHEN avance => prbs_next <= '1'; -- Activamos el PRBS p_context.h_dir <= STD_LOGIC_VECTOR(UNSIGNED(context.h_dir)+1); p_context.modulo <= context.modulo + 1; -- Esto nos permite contar cuantas veces estamos en este estado IF(context.modulo = 11) THEN -- 12 ciclos en total p_estado <= ini_lee; -- Volvemos a ini_lee ELSE p_estado <= avance; -- Seguimos en avance END IF; WHEN lee => prbs_next <= '1'; -- Avanzamos el PRBS IF(context.modulo = 12) THEN -- Si estamos en un piloto p_context.modulo <= 0; -- Modulo a 0 IF(context.h_dir = STD_LOGIC_VECTOR(TO_UNSIGNED(1704,11))) THEN -- Si es la ultima posicion termina directamente p_estado <= terminado; ELSE p_estado <= actualiza_piloto_1; -- Actualizamos en valor de los pilotos END IF; ELSE -- Si no estamos en un piloto p_estado <= calcula; END IF; WHEN calcula => -- Calculamos el valor de los pilotos aux_re := (12-context.modulo)*context.piloto_inf.re+context.modulo*context.piloto_sup.re; aux_im := (12-context.modulo)*context.piloto_inf.im+context.modulo*context.piloto_sup.im; p_context.h_est.re <= aux_re(13 DOWNTO 2); p_context.h_est.im <= aux_im(13 DOWNTO 2); p_estado <= escribe; WHEN escribe => -- Escribimos el valor estimado write_h <= "1"; h_data(23 DOWNTO 12) <= STD_LOGIC_VECTOR(context.h_est.re); -- Parte Real h_data(11 DOWNTO 0) <= STD_LOGIC_VECTOR(context.h_est.im); -- Parte Imaginaria IF(context.modulo = 11) THEN -- El siguiente ser un piloto p_context.y_dir <= STD_LOGIC_VECTOR(UNSIGNED(context.y_dir)+13); -- Cargamos la direccion, ahorrando un ciclo ELSE -- Si no, seguimos con el siguiente valor p_context.y_dir <= STD_LOGIC_VECTOR(UNSIGNED(context.y_dir)+1); END IF; p_context.h_dir <= STD_LOGIC_VECTOR(UNSIGNED(context.h_dir)+1); -- Siguiente valor p_context.modulo <= context.modulo + 1; -- Aumentamos el modulo en 1 p_estado <= lee; -- Siempre volvemos a lee WHEN actualiza_piloto_1 => p_context.valor.re <= SIGNED(y_data(19 DOWNTO 10)); -- Leemos la parte Real p_context.valor.im <= SIGNED(y_data(9 DOWNTO 0)); -- Leemos la parte Imaginaria p_estado <= actualiza_piloto_2; WHEN actualiza_piloto_2 => p_context.piloto_sup <= CALCULA_H_PILOTO(context.valor,prbs_val); -- Calculamos el valor del piloto p_context.piloto_inf <= context.piloto_sup; -- Actualizamos los valores p_estado <= actualiza_piloto_3; WHEN actualiza_piloto_3 => p_context.y_dir <= STD_LOGIC_VECTOR(UNSIGNED(context.y_dir)-11); -- Vamos a la siguiente posicion y_dir-12+1 p_context.h_dir <= STD_LOGIC_VECTOR(UNSIGNED(context.h_dir)+1); -- Siguiente posicion p_context.modulo <= 1; -- Reinicializamos el modulo p_estado <= lee; WHEN terminado => fin <= '1'; -- Indicamos FIN p_estado <= reposo; END CASE; END PROCESS; -- Proceso sincrono sinc : PROCESS(clk,rst) BEGIN IF(rst = '1') THEN estado <= reposo; -- Reset asincrono context.h_dir <= (OTHERS => '0'); context.y_dir <= (OTHERS => '0'); context.valor <= (re => (OTHERS => '0'), im => (OTHERS => '0')); context.h_est <= (re => (OTHERS => '0'), im => (OTHERS => '0')); context.piloto_inf <= (re => (OTHERS => '0'), im => (OTHERS => '0')); context.piloto_sup <= (re => (OTHERS => '0'), im => (OTHERS => '0')); ELSIF(rising_edge(clk)) THEN estado <= p_estado; context <= p_context; END IF; END PROCESS; end Behavioral;
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 15:08:42 06/05/2016 -- Design Name: -- Module Name: Unidad_de_Control - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity Unidad_de_Control is Port ( IR : in STD_LOGIC_VECTOR (7 downto 0); clk : in STD_LOGIC; sal_control : out STD_LOGIC_VECTOR (24 downto 0) ); end Unidad_de_Control; architecture Behavioral of Unidad_de_Control is component Cntrl_cont is port( clk : in std_logic; reset : in std_logic; sal_cont : out std_logic_vector(2 downto 0) ); end component; component mem_control is port( IR: in std_logic_vector(7 downto 0); CONT: in std_logic_vector(2 downto 0); salida_mem_control: out std_logic_vector(24 downto 0) ); end component; signal sal_cont_temp: std_logic_vector(24 downto 0); signal sal_contador_temp: std_logic_vector(2 downto 0); signal rst : std_logic; begin rst <= sal_cont_temp(23); c0: Cntrl_cont port map(clk, rst, sal_contador_temp); mem0: mem_control port map(IR, sal_contador_temp, sal_cont_temp); sal_control <= sal_cont_temp(24 downto 0); end Behavioral;
------------------------------------------------------------------------------- -- xps_timer_0_wrapper.vhd ------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; library xps_timer_v1_02_a; use xps_timer_v1_02_a.all; entity xps_timer_0_wrapper is port ( CaptureTrig0 : in std_logic; CaptureTrig1 : in std_logic; GenerateOut0 : out std_logic; GenerateOut1 : out std_logic; PWM0 : out std_logic; Interrupt : out std_logic; Freeze : in std_logic; SPLB_Clk : in std_logic; SPLB_Rst : in std_logic; PLB_ABus : in std_logic_vector(0 to 31); PLB_PAValid : in std_logic; PLB_masterID : in std_logic_vector(0 to 0); PLB_RNW : in std_logic; PLB_BE : in std_logic_vector(0 to 3); PLB_size : in std_logic_vector(0 to 3); PLB_type : in std_logic_vector(0 to 2); PLB_wrDBus : in std_logic_vector(0 to 31); Sl_addrAck : out std_logic; Sl_SSize : out std_logic_vector(0 to 1); Sl_wait : out std_logic; Sl_rearbitrate : out std_logic; Sl_wrDAck : out std_logic; Sl_wrComp : out std_logic; Sl_rdDBus : out std_logic_vector(0 to 31); Sl_rdDAck : out std_logic; Sl_rdComp : out std_logic; Sl_MBusy : out std_logic_vector(0 to 1); Sl_MWrErr : out std_logic_vector(0 to 1); Sl_MRdErr : out std_logic_vector(0 to 1); PLB_UABus : in std_logic_vector(0 to 31); PLB_SAValid : in std_logic; PLB_rdPrim : in std_logic; PLB_wrPrim : in std_logic; PLB_abort : in std_logic; PLB_busLock : in std_logic; PLB_MSize : in std_logic_vector(0 to 1); PLB_lockErr : in std_logic; PLB_wrBurst : in std_logic; PLB_rdBurst : in std_logic; PLB_wrPendReq : in std_logic; PLB_rdPendReq : in std_logic; PLB_wrPendPri : in std_logic_vector(0 to 1); PLB_rdPendPri : in std_logic_vector(0 to 1); PLB_reqPri : in std_logic_vector(0 to 1); PLB_TAttribute : in std_logic_vector(0 to 15); Sl_wrBTerm : out std_logic; Sl_rdWdAddr : out std_logic_vector(0 to 3); Sl_rdBTerm : out std_logic; Sl_MIRQ : out std_logic_vector(0 to 1) ); attribute x_core_info : STRING; attribute x_core_info of xps_timer_0_wrapper : entity is "xps_timer_v1_02_a"; end xps_timer_0_wrapper; architecture STRUCTURE of xps_timer_0_wrapper is component xps_timer is generic ( C_FAMILY : STRING; C_COUNT_WIDTH : INTEGER; C_ONE_TIMER_ONLY : INTEGER; C_TRIG0_ASSERT : std_logic; C_TRIG1_ASSERT : std_logic; C_GEN0_ASSERT : std_logic; C_GEN1_ASSERT : std_logic; C_BASEADDR : std_logic_vector; C_HIGHADDR : std_logic_vector; C_SPLB_AWIDTH : INTEGER; C_SPLB_DWIDTH : INTEGER; C_SPLB_P2P : INTEGER; C_SPLB_MID_WIDTH : INTEGER; C_SPLB_NUM_MASTERS : INTEGER; C_SPLB_SUPPORT_BURSTS : INTEGER; C_SPLB_NATIVE_DWIDTH : INTEGER ); port ( CaptureTrig0 : in std_logic; CaptureTrig1 : in std_logic; GenerateOut0 : out std_logic; GenerateOut1 : out std_logic; PWM0 : out std_logic; Interrupt : out std_logic; Freeze : in std_logic; SPLB_Clk : in std_logic; SPLB_Rst : in std_logic; PLB_ABus : in std_logic_vector(0 to C_SPLB_AWIDTH-1); PLB_PAValid : in std_logic; PLB_masterID : in std_logic_vector(0 to (C_SPLB_MID_WIDTH-1)); PLB_RNW : in std_logic; PLB_BE : in std_logic_vector(0 to ((C_SPLB_DWIDTH/8)-1)); PLB_size : in std_logic_vector(0 to 3); PLB_type : in std_logic_vector(0 to 2); PLB_wrDBus : in std_logic_vector(0 to (C_SPLB_DWIDTH-1)); Sl_addrAck : out std_logic; Sl_SSize : out std_logic_vector(0 to 1); Sl_wait : out std_logic; Sl_rearbitrate : out std_logic; Sl_wrDAck : out std_logic; Sl_wrComp : out std_logic; Sl_rdDBus : out std_logic_vector(0 to (C_SPLB_DWIDTH-1)); Sl_rdDAck : out std_logic; Sl_rdComp : out std_logic; Sl_MBusy : out std_logic_vector(0 to (C_SPLB_NUM_MASTERS-1)); Sl_MWrErr : out std_logic_vector(0 to (C_SPLB_NUM_MASTERS-1)); Sl_MRdErr : out std_logic_vector(0 to (C_SPLB_NUM_MASTERS-1)); PLB_UABus : in std_logic_vector(0 to C_SPLB_AWIDTH-1); PLB_SAValid : in std_logic; PLB_rdPrim : in std_logic; PLB_wrPrim : in std_logic; PLB_abort : in std_logic; PLB_busLock : in std_logic; PLB_MSize : in std_logic_vector(0 to 1); PLB_lockErr : in std_logic; PLB_wrBurst : in std_logic; PLB_rdBurst : in std_logic; PLB_wrPendReq : in std_logic; PLB_rdPendReq : in std_logic; PLB_wrPendPri : in std_logic_vector(0 to 1); PLB_rdPendPri : in std_logic_vector(0 to 1); PLB_reqPri : in std_logic_vector(0 to 1); PLB_TAttribute : in std_logic_vector(0 to 15); Sl_wrBTerm : out std_logic; Sl_rdWdAddr : out std_logic_vector(0 to 3); Sl_rdBTerm : out std_logic; Sl_MIRQ : out std_logic_vector(0 to (C_SPLB_NUM_MASTERS-1)) ); end component; begin xps_timer_0 : xps_timer generic map ( C_FAMILY => "spartan6", C_COUNT_WIDTH => 32, C_ONE_TIMER_ONLY => 1, C_TRIG0_ASSERT => '1', C_TRIG1_ASSERT => '1', C_GEN0_ASSERT => '1', C_GEN1_ASSERT => '1', C_BASEADDR => X"83c00000", C_HIGHADDR => X"83c0ffff", C_SPLB_AWIDTH => 32, C_SPLB_DWIDTH => 32, C_SPLB_P2P => 0, C_SPLB_MID_WIDTH => 1, C_SPLB_NUM_MASTERS => 2, C_SPLB_SUPPORT_BURSTS => 0, C_SPLB_NATIVE_DWIDTH => 32 ) port map ( CaptureTrig0 => CaptureTrig0, CaptureTrig1 => CaptureTrig1, GenerateOut0 => GenerateOut0, GenerateOut1 => GenerateOut1, PWM0 => PWM0, Interrupt => Interrupt, Freeze => Freeze, SPLB_Clk => SPLB_Clk, SPLB_Rst => SPLB_Rst, PLB_ABus => PLB_ABus, PLB_PAValid => PLB_PAValid, PLB_masterID => PLB_masterID, PLB_RNW => PLB_RNW, PLB_BE => PLB_BE, PLB_size => PLB_size, PLB_type => PLB_type, PLB_wrDBus => PLB_wrDBus, Sl_addrAck => Sl_addrAck, Sl_SSize => Sl_SSize, Sl_wait => Sl_wait, Sl_rearbitrate => Sl_rearbitrate, Sl_wrDAck => Sl_wrDAck, Sl_wrComp => Sl_wrComp, Sl_rdDBus => Sl_rdDBus, Sl_rdDAck => Sl_rdDAck, Sl_rdComp => Sl_rdComp, Sl_MBusy => Sl_MBusy, Sl_MWrErr => Sl_MWrErr, Sl_MRdErr => Sl_MRdErr, PLB_UABus => PLB_UABus, PLB_SAValid => PLB_SAValid, PLB_rdPrim => PLB_rdPrim, PLB_wrPrim => PLB_wrPrim, PLB_abort => PLB_abort, PLB_busLock => PLB_busLock, PLB_MSize => PLB_MSize, PLB_lockErr => PLB_lockErr, PLB_wrBurst => PLB_wrBurst, PLB_rdBurst => PLB_rdBurst, PLB_wrPendReq => PLB_wrPendReq, PLB_rdPendReq => PLB_rdPendReq, PLB_wrPendPri => PLB_wrPendPri, PLB_rdPendPri => PLB_rdPendPri, PLB_reqPri => PLB_reqPri, PLB_TAttribute => PLB_TAttribute, Sl_wrBTerm => Sl_wrBTerm, Sl_rdWdAddr => Sl_rdWdAddr, Sl_rdBTerm => Sl_rdBTerm, Sl_MIRQ => Sl_MIRQ ); end architecture STRUCTURE;
------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ----------------------------------------------------------------------------- -- Entity: clkgen -- File: clkgen.vhd -- Author: Jiri Gaisler Gaisler Research -- Description: Clock generator with tech selection ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library techmap; use techmap.gencomp.all; use techmap.allclkgen.all; entity clkgen is generic ( tech : integer := DEFFABTECH; clk_mul : integer := 1; clk_div : integer := 1; sdramen : integer := 0; noclkfb : integer := 1; pcien : integer := 0; pcidll : integer := 0; pcisysclk: integer := 0; freq : integer := 25000; -- clock frequency in KHz clk2xen : integer := 0; clksel : integer := 0; -- enable clock select clk_odiv : integer := 1; -- Proasic3/Fusion output divider clkA clkb_odiv: integer := 0; -- Proasic3/Fusion output divider clkB clkc_odiv: integer := 0); -- Proasic3/Fusion output divider clkC port ( clkin : in std_logic; pciclkin: in std_logic; clk : out std_logic; -- main clock clkn : out std_logic; -- inverted main clock clk2x : out std_logic; -- 2x clock sdclk : out std_logic; -- SDRAM clock pciclk : out std_logic; -- PCI clock cgi : in clkgen_in_type; cgo : out clkgen_out_type; clk4x : out std_logic; -- 4x clock clk1xu : out std_logic; -- unscaled 1X clock clk2xu : out std_logic; -- unscaled 2X clock clkb : out std_logic; -- Proasic3/Fusion clkB clkc : out std_logic; -- Proasic3/Fusion clkC clk8x : out std_logic); -- 8x clock end; architecture struct of clkgen is signal intclk, sdintclk : std_ulogic; signal lock : std_ulogic; begin gen : if (has_clkgen(tech) = 0) generate sdintclk <= pciclkin when (PCISYSCLK = 1 and PCIEN /= 0) else clkin; sdclk <= sdintclk; intclk <= sdintclk -- pragma translate_off after 1 ns -- create 1 ns skew between clk and sdclk -- pragma translate_on ; clk1xu <= intclk; pciclk <= pciclkin; clk <= intclk; clkn <= not intclk; cgo.clklock <= '1'; cgo.pcilock <= '1'; clk2x <= '0'; clk4x <= '0'; clkb <= '0'; clkc <= '0'; clk8x <= '0'; end generate; xc2v : if (tech = virtex2) or (tech = virtex4) generate v : clkgen_virtex2 generic map (clk_mul, clk_div, sdramen, noclkfb, pcien, pcidll, pcisysclk, freq, clk2xen, clksel) port map (clkin, pciclkin, clk, clkn, clk2x, sdclk, pciclk, cgi, cgo, clk1xu, clk2xu); end generate; xc5l : if (tech = virtex5) or (tech = virtex6) generate v : clkgen_virtex5 generic map (clk_mul, clk_div, sdramen, noclkfb, pcien, pcidll, pcisysclk, freq, clk2xen, clksel) port map (clkin, pciclkin, clk, clkn, clk2x, sdclk, pciclk, cgi, cgo, clk1xu, clk2xu); end generate; xc7l : if (tech =virtex7) or (tech =kintex7) or (tech =artix7) or (tech =zynq7000) generate v : clkgen_virtex7 generic map (clk_mul, clk_div, freq) port map (clkin, clk, clkn, clk2x ,cgi, cgo); end generate; xc3s : if (tech = spartan3) or (tech = spartan3e) or (tech = spartan6) generate v : clkgen_spartan3 generic map (clk_mul, clk_div, sdramen, noclkfb, pcien, pcidll, pcisysclk, freq, clk2xen, clksel) port map (clkin, pciclkin, clk, clkn, clk2x, sdclk, pciclk, cgi, cgo, clk1xu, clk2xu); end generate; alt : if (tech = altera) or (tech = stratix1) generate v : clkgen_altera_mf generic map (clk_mul, clk_div, sdramen, noclkfb, pcien, pcidll, pcisysclk, freq, clk2xen) port map (clkin, pciclkin, clk, clkn, clk2x, sdclk, pciclk, cgi, cgo); end generate; strat2 : if (tech = stratix2) generate v : clkgen_stratixii generic map (clk_mul, clk_div, sdramen, noclkfb, pcien, pcidll, pcisysclk, freq, clk2xen) port map (clkin, pciclkin, clk, clkn, clk2x, sdclk, pciclk, cgi, cgo); end generate; cyc3 : if (tech = cyclone3) generate v : clkgen_cycloneiii generic map (clk_mul, clk_div, sdramen, noclkfb, pcien, pcidll, pcisysclk, freq, clk2xen) port map (clkin, pciclkin, clk, clkn, clk2x, sdclk, pciclk, cgi, cgo); end generate; stra3 : if (tech = stratix3) or (tech = stratix4) generate v : clkgen_stratixiii generic map (clk_mul, clk_div, sdramen, noclkfb, pcien, pcidll, pcisysclk, freq, clk2xen) port map (clkin, pciclkin, clk, clkn, clk2x, sdclk, pciclk, cgi, cgo); end generate; act : if (tech = axdsp) or (tech = proasic) generate intclk <= pciclkin when (PCISYSCLK = 1 and PCIEN /= 0) else clkin; sdclk <= '0'; pciclk <= pciclkin; clk <= intclk; clkn <= '0'; cgo.clklock <= '1'; cgo.pcilock <= '1'; clk2x <= '0'; end generate; axc : if (tech = axcel) generate pll_disabled : if (clk_mul = clk_div) generate intclk <= pciclkin when (PCISYSCLK = 1 and PCIEN /= 0) else clkin; sdclk <= '0'; pciclk <= pciclkin; clk <= intclk; clkn <= '0'; cgo.clklock <= '1'; cgo.pcilock <= '1'; clk2x <= '0'; end generate; pll_enabled : if (clk_mul /= clk_div) generate clk2x <= '0'; pll : clkgen_axcelerator generic map ( clk_mul => clk_mul, clk_div => clk_div, sdramen => sdramen, sdinvclk => 0, pcien => pcien, pcidll => pcidll, pcisysclk => pcisysclk, freq => freq) port map( clkin => clkin, pciclkin => pciclkin, clk => clk, clkn => clkn, sdclk => sdclk, pciclk => pciclk, cgi => cgi, cgo => cgo); end generate; end generate; lib18t : if (tech = rhlib18t) generate v : clkgen_rh_lib18t generic map (clk_mul, clk_div) port map (cgi.pllrst, intclk, clk, sdclk, clk2x, clk4x); intclk <= pciclkin when (PCISYSCLK = 1 and PCIEN /= 0) else clkin; pciclk <= pciclkin; clkn <= '0'; cgo.clklock <= '1'; cgo.pcilock <= '1'; end generate; ap3 : if tech = apa3 generate v : clkgen_proasic3 generic map (clk_mul, clk_div, clk_odiv, pcien, pcisysclk, freq, clkb_odiv, clkc_odiv) port map (clkin, pciclkin, clk, sdclk, pciclk, cgi, cgo, clkb, clkc); clk2x <= '0'; end generate; ap3e : if tech = apa3e generate v : clkgen_proasic3e generic map (clk_mul, clk_div, clk_odiv, pcien, pcisysclk, freq, clkb_odiv, clkc_odiv) port map (clkin, pciclkin, clk, sdclk, pciclk, cgi, cgo, clkb, clkc); clk2x <= '0'; end generate; ap3l : if tech = apa3l generate v : clkgen_proasic3l generic map (clk_mul, clk_div, clk_odiv, pcien, pcisysclk, freq, clkb_odiv, clkc_odiv) port map (clkin, pciclkin, clk, sdclk, pciclk, cgi, cgo, clkb, clkc); clk2x <= '0'; end generate; fus : if tech = actfus generate v : clkgen_fusion generic map (clk_mul, clk_div, clk_odiv, pcien, pcisysclk, freq, clkb_odiv, clkc_odiv) port map (clkin, pciclkin, clk, sdclk, pciclk, cgi, cgo, clkb, clkc); clk2x <= '0'; end generate; dr : if (tech = rhumc) generate v : clkgen_rhumc port map (clkin, clk, clk2x, sdclk, pciclk, cgi, cgo, clk4x, clk1xu, clk2xu); clk8x <= '0'; end generate; saed : if (tech = saed32) generate v : clkgen_saed32 port map (clkin, clk, clk2x, sdclk, pciclk, cgi, cgo, clk4x, clk1xu, clk2xu); end generate; dar : if (tech = dare) generate v : clkgen_dare generic map (noclkfb) port map (clkin, clk, clk2x, sdclk, pciclk, cgi, cgo, clk4x, clk1xu, clk2xu, clk8x); end generate; nextreme90 : if tech = easic90 generate pll0 : clkgen_easic90 generic map ( clk_mul => clk_mul, clk_div => clk_div, freq => freq, pcisysclk => pcisysclk, pcien => pcien) port map (clkin, pciclkin, clk, clk2x, clk4x, clkn, lock); cgo.clklock <= lock; cgo.pcilock <= lock; end generate; n2x : if tech = easic45 generate v : clkgen_n2x generic map (clk_mul, clk_div, sdramen, noclkfb, pcien, pcidll, pcisysclk, freq, clk2xen, clksel, 0) port map (clkin, pciclkin, clk, clkn, clk2x, sdclk, pciclk, cgi, cgo, clk1xu, clk2xu, open); end generate; ut13 : if (tech = ut130) generate v : clkgen_ut130hbd generic map (clk_mul, clk_div, sdramen, noclkfb, pcien, pcidll, pcisysclk, freq, clk2xen, clksel) port map (clkin, pciclkin, clk, clkn, clk2x, clk4x, clk8x, sdclk, pciclk, cgi, cgo, clk1xu, clk2xu); end generate; ut90nhbd : if (tech = ut90) generate v : clkgen_ut90nhbd generic map (clk_mul, clk_div, sdramen, noclkfb, pcien, pcidll, pcisysclk, freq, clk2xen, clksel) port map (clkin, pciclkin, clk, clkn, clk2x, sdclk, pciclk, cgi, cgo, clk1xu, clk2xu); end generate; end;
------------------------------------------------------------------------------- -- qspi_core_interface Module - entity/architecture pair ------------------------------------------------------------------------------- -- -- ******************************************************************* -- ** (c) Copyright [2010] - [2012] Xilinx, Inc. All rights reserved.* -- ** * -- ** This file contains confidential and proprietary information * -- ** of Xilinx, Inc. and is protected under U.S. and * -- ** international copyright and other intellectual property * -- ** laws. * -- ** * -- ** DISCLAIMER * -- ** This disclaimer is not a license and does not grant any * -- ** rights to the materials distributed herewith. Except as * -- ** otherwise provided in a valid license issued to you by * -- ** Xilinx, and to the maximum extent permitted by applicable * -- ** law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND * -- ** WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES * -- ** AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING * -- ** BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- * -- ** INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and * -- ** (2) Xilinx shall not be liable (whether in contract or tort, * -- ** including negligence, or under any other theory of * -- ** liability) for any loss or damage of any kind or nature * -- ** related to, arising under or in connection with these * -- ** materials, including for any direct, or any indirect, * -- ** special, incidental, or consequential loss or damage * -- ** (including loss of data, profits, goodwill, or any type of * -- ** loss or damage suffered as a result of any action brought * -- ** by a third party) even if such damage or loss was * -- ** reasonably foreseeable or Xilinx had been advised of the * -- ** possibility of the same. * -- ** * -- ** CRITICAL APPLICATIONS * -- ** Xilinx products are not designed or intended to be fail- * -- ** safe, or for use in any application requiring fail-safe * -- ** performance, such as life-support or safety devices or * -- ** systems, Class III medical devices, nuclear facilities, * -- ** applications related to the deployment of airbags, or any * -- ** other applications that could lead to death, personal * -- ** injury, or severe property or environmental damage * -- ** (individually and collectively, "Critical * -- ** Applications"). Customer assumes the sole risk and * -- ** liability of any use of Xilinx products in Critical * -- ** Applications, subject only to applicable laws and * -- ** regulations governing limitations on product liability. * -- ** * -- ** THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS * -- ** PART OF THIS FILE AT ALL TIMES. * -- ******************************************************************* -- ------------------------------------------------------------------------------- -- Filename: qspi_core_interface.vhd -- Version: v3.0 -- Description: Serial Peripheral Interface (SPI) Module for interfacing -- with a 32-bit AXI bus. -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_cmb" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; use ieee.std_logic_unsigned.all; use ieee.numeric_std.all; Library UNISIM; use UNISIM.vcomponents.all; library axi_lite_ipif_v3_0_4; use axi_lite_ipif_v3_0_4.axi_lite_ipif; use axi_lite_ipif_v3_0_4.ipif_pkg.all; library lib_fifo_v1_0_5; use lib_fifo_v1_0_5.async_fifo_fg; library lib_srl_fifo_v1_0_2; use lib_srl_fifo_v1_0_2.srl_fifo_f; library lib_cdc_v1_0_2; use lib_cdc_v1_0_2.cdc_sync; library lib_pkg_v1_0_2; use lib_pkg_v1_0_2.all; use lib_pkg_v1_0_2.lib_pkg.log2; -- use lib_pkg_v1_0_2.lib_pkg.clog2; use lib_pkg_v1_0_2.lib_pkg.max2; use lib_pkg_v1_0_2.lib_pkg.RESET_ACTIVE; library interrupt_control_v3_1_4; library axi_quad_spi_v3_2_8; use axi_quad_spi_v3_2_8.all; ------------------------------------------------------------------------------- entity qspi_core_interface is generic( C_FAMILY : string; C_SUB_FAMILY : string; C_SELECT_XPM : integer := 1; C_UC_FAMILY : integer; C_S_AXI_DATA_WIDTH : integer; Async_Clk : integer; ---------------------- -- local parameters C_NUM_CE_SIGNALS : integer; ---------------------- -- SPI parameters --C_AXI4_CLK_PS : integer; --C_EXT_SPI_CLK_PS : integer; C_FIFO_DEPTH : integer; C_SCK_RATIO : integer; C_NUM_SS_BITS : integer; C_NUM_TRANSFER_BITS : integer; C_SPI_MODE : integer; C_USE_STARTUP : integer; C_SPI_MEMORY : integer; C_SHARED_STARTUP : integer range 0 to 1 := 0; C_TYPE_OF_AXI4_INTERFACE : integer; ---------------------- -- local constants C_FIFO_EXIST : integer; C_SPI_NUM_BITS_REG : integer; C_OCCUPANCY_NUM_BITS : integer; ---------------------- -- local constants C_IP_INTR_MODE_ARRAY : INTEGER_ARRAY_TYPE; ---------------------- -- local constants C_SPICR_REG_WIDTH : integer; C_SPISR_REG_WIDTH : integer; C_LSB_STUP : integer ); port( EXT_SPI_CLK : in std_logic; ------------------------------------------------ Bus2IP_Clk : in std_logic; Bus2IP_Reset : in std_logic; ------------------------------------------------ Bus2IP_BE : in std_logic_vector(0 to ((C_S_AXI_DATA_WIDTH/8)-1)); Bus2IP_RdCE : in std_logic_vector(0 to (C_NUM_CE_SIGNALS-1)); Bus2IP_WrCE : in std_logic_vector(0 to (C_NUM_CE_SIGNALS-1)); Bus2IP_Data : in std_logic_vector(0 to (C_S_AXI_DATA_WIDTH-1)); ------------------------------------------------ IP2Bus_Data : out std_logic_vector(0 to (C_S_AXI_DATA_WIDTH-1)); IP2Bus_WrAck : out std_logic; IP2Bus_RdAck : out std_logic; IP2Bus_Error : out std_logic; ------------------------------------------------ burst_tr : in std_logic; rready : in std_logic; WVALID : in std_logic; --SPI Ports SCK_I : in std_logic; SCK_O : out std_logic; SCK_T : out std_logic; ------------------------------------------------ IO0_I : in std_logic; IO0_O : out std_logic; IO0_T : out std_logic; ------------------------------------------------ IO1_I : in std_logic; IO1_O : out std_logic; IO1_T : out std_logic; ------------------------------------------------ IO2_I : in std_logic; IO2_O : out std_logic; IO2_T : out std_logic; ------------------------------------------------ IO3_I : in std_logic; IO3_O : out std_logic; IO3_T : out std_logic; ------------------------------------------------ SPISEL : in std_logic; ------------------------------------------------ SS_I : in std_logic_vector((C_NUM_SS_BITS-1) downto C_LSB_STUP); SS_O : out std_logic_vector((C_NUM_SS_BITS-1) downto C_LSB_STUP); SS_T : out std_logic; ------------------------------------------------ IP2INTC_Irpt : out std_logic; ------------------------------------------------ ------------------------ -- STARTUP INTERFACE ------------------------ cfgclk : out std_logic; -- FGCLK , -- 1-bit output: Configuration main clock output cfgmclk : out std_logic; -- FGMCLK , -- 1-bit output: Configuration internal oscillator clock output eos : out std_logic; -- OS , -- 1-bit output: Active high output signal indicating the End Of Startup. preq : out std_logic; -- REQ , -- 1-bit output: PROGRAM request to fabric output di : out std_logic_vector(1 downto 0); -- output dts : in std_logic_vector(1 downto 0); -- input do : in std_logic_vector(1 downto 0); -- input -- fcsbo : in std_logic; -- input -- fcsbts : in std_logic; -- input clk : in std_logic; -- input gsr : in std_logic; -- input gts : in std_logic; -- input keyclearb : in std_logic; -- input pack : in std_logic; -- input usrcclkts : in std_logic; -- input usrdoneo : in std_logic; -- input usrdonets : in std_logic -- input ); end entity qspi_core_interface; ------------------------------------------------------------------------------- ------------ architecture imp of qspi_core_interface is ------------ ---------------------------------------------------------------------------------- -- below attributes are added to reduce the synth warnings in Vivado tool attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of imp : architecture is "yes"; ---------------------------------------------------------------------------------- -- function definition ---------------------- function clog2(x : positive) return natural is variable r : natural := 0; variable rp : natural := 1; -- rp tracks the value 2**r begin while rp < x loop -- Termination condition T: x <= 2**r -- Loop invariant L: 2**(r-1) < x r := r + 1; if rp > integer'high - rp then exit; end if; -- If doubling rp overflows -- the integer range, the doubled value would exceed x, so safe to exit. rp := rp + rp; end loop; -- L and T <-> 2**(r-1) < x <= 2**r <-> (r-1) < log2(x) <= r return r; -- end clog2; ------------------------------------------------------------------------------- -- constant definition constant NEW_LOGIC : integer := 0; -- These constants are indices into the "CE" arrays for the various registers. constant INTR_LO : natural := 0; constant INTR_HI : natural := 15; constant SWRESET : natural := 16; -- at address C_BASEADDR + 40 h constant SPICR : natural := 24; -- 17; -- at address C_BASEADDR + 60 h constant SPISR : natural := 25; -- 18; constant SPIDTR : natural := 26; -- 19; constant SPIDRR : natural := 27; -- 20; constant SPISSR : natural := 28; -- 21; constant SPITFOR : natural := 29; -- 22; constant SPIRFOR : natural := 30; -- 23; -- at address C_BASEADDR + 78 h constant REG_HOLE : natural := 31; -- 24; -- at address C_BASEADDR + 7C h --Startup Signals signal str_IO0_I : std_logic; signal str_IO0_O : std_logic; signal str_IO0_T : std_logic; signal str_IO1_I : std_logic; signal str_IO1_O : std_logic; signal str_IO1_T : std_logic; signal di_int : std_logic_vector(3 downto 0); -- output signal di_int_sync : std_logic_vector(3 downto 0); -- output signal dts_int : std_logic_vector(3 downto 0); -- input signal do_int : std_logic_vector(3 downto 0); -- input --SPI MODULE SIGNALS signal spiXfer_done_int : std_logic; signal dtr_underrun_int : std_logic; signal modf_strobe_int : std_logic; signal slave_MODF_strobe_int : std_logic; --OR REGISTER/FIFO SIGNALS --TO/FROM REG/FIFO DATA signal receive_Data_int : std_logic_vector(0 to (C_NUM_TRANSFER_BITS-1)); signal transmit_Data_int : std_logic_vector(0 to (C_NUM_TRANSFER_BITS-1)); --Extra bit required for signal Register_Data_ctrl signal register_Data_cntrl_int :std_logic_vector(0 to (C_SPI_NUM_BITS_REG+1)); signal register_Data_slvsel_int:std_logic_vector(0 to (C_NUM_SS_BITS-1)); signal IP2Bus_SPICR_Data_int :std_logic_vector(0 to (C_SPICR_REG_WIDTH-1)); signal IP2Bus_SPISR_Data_int :std_logic_vector(0 to (C_SPISR_REG_WIDTH-1)); signal IP2Bus_Receive_Reg_Data_int :std_logic_vector(0 to (C_NUM_TRANSFER_BITS-1)); signal IP2Bus_Data_received_int: std_logic_vector(0 to (C_S_AXI_DATA_WIDTH-1)); signal IP2Bus_SPISSR_Data_int : std_logic_vector(0 to (C_NUM_SS_BITS-1)); signal IP2Bus_Tx_FIFO_OCC_Reg_Data_int: std_logic_vector(0 to (C_OCCUPANCY_NUM_BITS-1)); signal IP2Bus_Tx_FIFO_OCC_Reg_Data_int_1: std_logic_vector((C_OCCUPANCY_NUM_BITS-1) downto 0); signal IP2Bus_Rx_FIFO_OCC_Reg_Data_int_1: std_logic_vector((C_OCCUPANCY_NUM_BITS-1) downto 0); signal IP2Bus_Rx_FIFO_OCC_Reg_Data_int: std_logic_vector(0 to (C_OCCUPANCY_NUM_BITS-1)); --STATUS REGISTER SIGNALS signal sr_3_MODF_int : std_logic; signal Tx_FIFO_Full_int : std_logic; signal sr_5_Tx_Empty_int : std_logic; signal tx_empty_signal_handshake_req : std_logic; signal tx_empty_signal_handshake_gnt : std_logic; signal sr_6_Rx_Full_int : std_logic; signal Rc_FIFO_Empty_int : std_logic; --RECEIVE AND TRANSMIT REGISTER SIGNALS signal drr_Overrun_int : std_logic; signal dtr_Underrun_strobe_int : std_logic; --FIFO SIGNALS signal rc_FIFO_Full_strobe_int : std_logic; signal rc_FIFO_occ_Reversed_int :std_logic_vector ((C_OCCUPANCY_NUM_BITS-1) downto 0); signal rc_FIFO_occ_Reversed_int_2 :std_logic_vector ((C_OCCUPANCY_NUM_BITS-1) downto 0); signal rc_FIFO_Data_Out_int : std_logic_vector (0 to (C_NUM_TRANSFER_BITS-1)); signal sr_6_Rx_Full_int_1 : std_logic; signal FIFO_Empty_rx_1 : std_logic; signal FIFO_Empty_rx : std_logic; signal data_Exists_RcFIFO_int : std_logic; signal tx_FIFO_Empty_strobe_int : std_logic; signal tx_FIFO_occ_Reversed_int : std_logic_vector ((C_OCCUPANCY_NUM_BITS-1) downto 0); signal tx_FIFO_occ_Reversed_int_2 : std_logic_vector ((C_OCCUPANCY_NUM_BITS-1) downto 0); signal data_Exists_TxFIFO_int : std_logic; signal data_Exists_TxFIFO_int_1 : std_logic; signal data_From_TxFIFO_int : std_logic_vector (0 to (C_NUM_TRANSFER_BITS-1)); signal tx_FIFO_less_half_int : std_logic; signal Tx_FIFO_Full_int_1 : std_logic; signal FIFO_Empty_tx : std_logic; signal data_From_TxFIFO_int_1 : std_logic_vector(0 to (C_NUM_TRANSFER_BITS-1)); signal tx_occ_msb : std_logic; signal tx_occ_msb_1 : std_logic:= '0'; signal tx_occ_msb_2 : std_logic; signal tx_occ_msb_3 : std_logic; signal tx_occ_msb_4 : std_logic; signal reset_TxFIFO_ptr_int : std_logic; signal reset_TxFIFO_ptr_int_to_spi : std_logic; signal reset_RcFIFO_ptr_int : std_logic; signal reset_RcFIFO_ptr_to_spi_clk : std_logic; signal ip2Bus_Data_Reg_int : std_logic_vector (0 to (C_S_AXI_DATA_WIDTH-1)); signal ip2Bus_Data_occupancy_int: std_logic_vector (0 to (C_S_AXI_DATA_WIDTH-1)); signal ip2Bus_Data_SS_int : std_logic_vector (0 to (C_S_AXI_DATA_WIDTH-1)); -- interface between signals on instance basis signal bus2IP_Reset_int : std_logic; signal bus2IP_Data_for_interrupt_core : std_logic_vector (0 to C_S_AXI_DATA_WIDTH-1); signal ip2Bus_Error_int : std_logic; signal ip2Bus_WrAck_int : std_logic;-- := '0'; signal ip2Bus_RdAck_int : std_logic;-- := '0'; signal ip2Bus_IntrEvent_int : std_logic_vector (0 to (C_IP_INTR_MODE_ARRAY'length-1)); signal transmit_ip2bus_error : std_logic; signal receive_ip2bus_error : std_logic; -- SOFT RESET SIGNALS signal reset2ip_reset_int : std_logic; signal rst_ip2bus_wrack : std_logic; signal rst_ip2bus_error : std_logic; signal rst_ip2bus_rdack : std_logic; -- INTERRUPT SIGNALS signal intr_ip2bus_data : std_logic_vector (0 to (C_S_AXI_DATA_WIDTH-1)); signal intr_ip2bus_rdack : std_logic; signal intr_ip2bus_wrack : std_logic; signal intr_ip2bus_error : std_logic; signal ip2bus_error_RdWr : std_logic; -- signal wr_ce_reduce_ack_gen: std_logic; -- signal rd_ce_reduce_ack_gen : std_logic; -- signal control_bit_7_8_int : std_logic_vector(0 to 1); signal spisel_pulse_o_int : std_logic; signal Interrupt_WrCE_sig : std_logic_vector(0 to 1); signal IPIF_Lvl_Interrupts_sig : std_logic; signal spisel_d1_reg : std_logic; signal Mst_N_Slv_mode : std_logic; ----- signal bus2ip_intr_rdce : std_logic_vector(INTR_LO to INTR_HI); signal bus2ip_intr_wrce : std_logic_vector(INTR_LO to INTR_HI); signal ip2Bus_RdAck_intr_reg_hole : std_logic; signal ip2Bus_RdAck_intr_reg_hole_d1 : std_logic; signal ip2Bus_WrAck_intr_reg_hole : std_logic; signal ip2Bus_WrAck_intr_reg_hole_d1 : std_logic; signal intr_controller_rd_ce_or_reduce : std_logic; signal intr_controller_wr_ce_or_reduce : std_logic; signal wr_ce_or_reduce_core_cmb : std_logic; signal ip2Bus_WrAck_core_reg_d1 : std_logic; signal ip2Bus_WrAck_core_reg : std_logic; signal rd_ce_or_reduce_core_cmb : std_logic; signal ip2Bus_RdAck_core_reg_d1 : std_logic; signal ip2Bus_RdAck_core_reg : std_logic; signal SPISR_0_CMD_Error_int : std_logic; signal SPISR_1_LOOP_Back_Error_int : std_logic; signal SPISR_2_MSB_Error_int : std_logic; signal SPISR_3_Slave_Mode_Error_int : std_logic; signal SPISR_4_CPOL_CPHA_Error_int : std_logic; signal SPISR_Ext_SPISEL_slave_int : std_logic; signal SPICR_5_TXFIFO_RST_int : std_logic; -- signal SPICR_6_RXFIFO_RST_int : std_logic; signal pr_state_idle_int : std_logic; signal Quad_Phase_int : std_logic; signal SPICR_0_LOOP_frm_axi :std_logic; signal SPICR_0_LOOP_to_spi :std_logic; signal SPICR_1_SPE_frm_axi :std_logic; signal SPICR_1_SPE_to_spi :std_logic; signal SPICR_2_MST_N_SLV_frm_axi :std_logic; signal SPICR_2_MST_N_SLV_to_spi :std_logic; signal SPICR_3_CPOL_frm_axi :std_logic; signal SPICR_3_CPOL_to_spi :std_logic; signal SPICR_4_CPHA_frm_axi :std_logic; signal SPICR_4_CPHA_to_spi :std_logic; signal SPICR_5_TXFIFO_frm_axi :std_logic; signal SPICR_5_TXFIFO_to_spi :std_logic; --signal SPICR_6_RXFIFO_RST_frm_axi:std_logic; --signal SPICR_6_RXFIFO_RST_to_spi :std_logic; signal SPICR_7_SS_frm_axi :std_logic; signal SPICR_7_SS_to_spi :std_logic; signal SPICR_8_TR_INHIBIT_frm_axi:std_logic; signal SPICR_8_TR_INHIBIT_to_spi :std_logic; signal SPICR_9_LSB_frm_axi :std_logic; signal SPICR_9_LSB_to_spi :std_logic; signal SPICR_bits_7_8_frm_spi :std_logic; signal SPICR_bits_7_8_to_axi :std_logic; signal Rx_FIFO_Empty : std_logic; signal Rx_FIFO_Empty_Synced_in_SPI_domain : std_logic; signal rx_fifo_full_to_spi_clk : std_logic; signal tx_fifo_empty_to_axi_clk : std_logic; signal tx_fifo_full : std_logic; signal spisel_d1_reg_to_axi_clk : std_logic; signal spicr_bits_7_8_frm_axi_clk : std_logic_vector(1 downto 0); signal spicr_8_tr_inhibit_to_spi_clk : std_logic; signal spicr_9_lsb_to_spi_clk : std_logic; signal spicr_bits_7_8_to_spi_clk : std_logic_vector(0 to 1); signal spicr_0_loop_frm_axi_clk : std_logic; signal spicr_1_spe_frm_axi_clk : std_logic; signal spicr_2_mst_n_slv_frm_axi_clk : std_logic; signal spicr_3_cpol_frm_axi_clk : std_logic; signal spicr_4_cpha_frm_axi_clk : std_logic; signal spicr_5_txfifo_rst_frm_axi_clk : std_logic; signal spicr_6_rxfifo_rst_frm_axi_clk : std_logic; signal spicr_7_ss_frm_axi_clk : std_logic; signal spicr_8_tr_inhibit_frm_axi_clk : std_logic; signal spicr_9_lsb_frm_axi_clk : std_logic; signal Tx_FIFO_wr_ack_1 : std_logic; signal rst_to_spi_int : std_logic; signal spicr_0_loop_to_spi_clk : std_logic; signal spicr_1_spe_to_spi_clk : std_logic; signal spicr_2_mas_n_slv_to_spi_clk : std_logic; signal spicr_3_cpol_to_spi_clk : std_logic; signal spicr_4_cpha_to_spi_clk : std_logic; signal spicr_5_txfifo_rst_to_spi_clk : std_logic; signal spicr_6_rxfifo_rst_to_spi_clk : std_logic; signal spicr_7_ss_to_spi_clk : std_logic; signal sr_3_modf_to_spi_clk : std_logic; signal sr_3_modf_frm_axi_clk : std_logic; signal data_from_txfifo : std_logic_vector(0 to (C_NUM_TRANSFER_BITS-1)); signal Bus2IP_WrCE_d1 : std_logic; signal Bus2IP_WrCE_d2 : std_logic; signal Bus2IP_WrCE_d3 : std_logic; signal Bus2IP_WrCE_pulse_1 : std_logic; signal Bus2IP_WrCE_pulse_2 : std_logic; signal Bus2IP_WrCE_pulse_3 : std_logic; signal data_to_txfifo : std_logic_vector(0 to (C_NUM_TRANSFER_BITS-1)); signal tx_fifo_wr_ack : std_logic; -- signal ext_spi_clk : std_logic; signal tx_fifo_rd_ack_open : std_logic; signal tx_fifo_empty : std_logic; signal tx_fifo_almost_full : std_logic; signal tx_fifo_almost_empty : std_logic; signal tx_fifo_occ_reversed : std_logic_vector((C_OCCUPANCY_NUM_BITS-1) downto 0); signal c_wr_count_width : std_logic; signal rx_fifo_wr_ack_open : std_logic; signal data_from_rx_fifo : std_logic_vector(0 to (C_NUM_TRANSFER_BITS-1)); signal rx_fifo_rd_ack : std_logic; signal rx_fifo_full : std_logic; signal rx_fifo_almost_full : std_logic; signal rx_fifo_almost_empty : std_logic; signal rx_fifo_occ_reversed : std_logic_vector((C_OCCUPANCY_NUM_BITS-1) downto 0); signal SPISSR_frm_axi_clk : std_logic_vector(0 to (C_NUM_SS_BITS-1)); signal modf_strobe_frm_spi_clk : std_logic; signal modf_strobe_to_axi_clk : std_logic; signal dtr_underrun_frm_spi_clk : std_logic; signal dtr_underrun_to_axi_clk : std_logic; signal data_to_rx_fifo : std_logic_vector (0 to (C_NUM_TRANSFER_BITS-1)); signal spisel_d1_reg_frm_spi_clk : std_logic; signal Mst_N_Slv_mode_frm_spi_clk: std_logic; signal Mst_N_Slv_mode_to_axi_clk : std_logic; signal SPICR_2_MST_N_SLV_to_spi_clk : std_logic; signal spicr_5_txfifo_frm_axi_clk : std_logic; signal spicr_5_txfifo_to_spi_clk: std_logic; signal reset_RcFIFO_ptr_frm_axi_clk : std_logic; -- signal reset_RcFIFO_ptr_to_spi_clk : std_logic; signal Data_To_Rx_FIFO_1 : std_logic_vector(0 to (C_NUM_TRANSFER_BITS-1)); signal SPIXfer_done_Rx_Wr_en, SPIXfer_done_rd_tx_en: std_logic; signal Tx_FIFO_Empty_SPISR_frm_spi_clk : std_logic; signal Tx_FIFO_Empty_SPISR_to_axi_clk : std_logic; signal Tx_FIFO_Empty_frm_spi_clk : std_logic; signal Rx_FIFO_Full_frm_axi_clk : std_logic; signal Rx_FIFO_Full_int,Rx_FIFO_Full_i,RX_one_less_than_full, not_Tx_FIFO_FULL : std_logic; signal updown_cnt_en_tx, updown_cnt_en_rx : std_logic; signal TX_one_less_than_full : std_logic; signal tx_cntr_xfer_done : std_logic; signal Tx_FIFO_one_less_to_Empty, Tx_FIFO_Full_i: std_logic; signal Tx_FIFO_Empty_i, Tx_FIFO_Empty_int : std_logic; signal Tx_FIFO_Empty_frm_axi_clk : std_logic; signal rx_fifo_empty_i : std_logic; signal Rx_FIFO_Empty_int : std_logic; signal IP2Bus_WrAck_1 : std_logic; signal ip2Bus_WrAck_core_reg_1 : std_logic; signal IP2Bus_RdAck_1 : std_logic; signal ip2Bus_RdAck_core_reg_1 : std_logic; signal IP2Bus_Error_1 : std_logic; signal ip2Bus_Data_1 : std_logic_vector(0 to (C_S_AXI_DATA_WIDTH-1)) ; signal SPISR_0_CMD_Error_frm_spi_clk : std_logic; signal SPISR_0_CMD_Error_to_axi_clk : std_logic; signal rx_fifo_reset, tx_fifo_reset : std_logic; signal reg_hole_wr_ack: std_logic; signal reg_hole_rd_ack: std_logic; signal read_ack_delay_1: std_logic; signal read_ack_delay_2: std_logic; signal read_ack_delay_3: std_logic; signal read_ack_delay_4: std_logic; signal read_ack_delay_5: std_logic; signal read_ack_delay_6: std_logic; signal read_ack_delay_7: std_logic; signal read_ack_delay_8: std_logic; signal write_ack_delay_1: std_logic; signal write_ack_delay_2: std_logic; signal write_ack_delay_3: std_logic; signal write_ack_delay_4: std_logic; signal write_ack_delay_5: std_logic; signal write_ack_delay_6: std_logic; signal write_ack_delay_7: std_logic; signal write_ack_delay_8: std_logic; signal error_ack_delay_1: std_logic; signal error_ack_delay_2: std_logic; signal error_ack_delay_3: std_logic; signal error_ack_delay_4: std_logic; signal error_ack_delay_5: std_logic; signal error_ack_delay_6: std_logic; signal error_ack_delay_7: std_logic; signal error_ack_delay_8: std_logic; signal IO2_O_int : std_logic; signal IO2_T_int : std_logic; signal IO3_O_int : std_logic; signal IO3_T_int : std_logic; signal IO2_I_int : std_logic; signal IO3_I_int : std_logic; signal fcsbo_int : std_logic; signal SS_O_int : std_logic_vector((C_NUM_SS_BITS-1) downto 0); signal SS_T_int : std_logic; signal SS_I_int : std_logic_vector((C_NUM_SS_BITS-1) downto 0); signal fcsbts_int : std_logic; ----RX_FIFO_FULL Logic signals signal Rx_FIFO_Full_Fifo_org : std_logic; signal Rx_FIFO_Full_Fifo : std_logic; signal Rx_FIFO_Full_Fifo_d1 : std_logic; signal Rx_FIFO_Full_Fifo_d1_synced : std_logic; signal Rx_FIFO_Full_Fifo_d1_synced_i : std_logic; signal Rx_FIFO_Full_Fifo_d1_flag : std_logic; signal Rx_FIFO_Full_Fifo_pos_flag : std_logic; signal Rx_FIFO_Full_Fifo_d1_sig : std_logic; -------------------------------------------------------------------------------- begin ----- DATA_STARTUP_EN : if (C_USE_STARTUP = 1 and C_UC_FAMILY = 1) generate ----- begin ----- --- DI_INT_IO3_I_REG: component FD generic map ( INIT => '0' ) port map ( Q => di_int_sync(3), C => EXT_SPI_CLK, D => di_int(3) --MOSI_I ); DI_INT_IO2_I_REG: component FD generic map ( INIT => '0' ) port map ( Q => di_int_sync(2), C => EXT_SPI_CLK, D => di_int(2) -- MISO_I ); DI_INT_IO1_I_REG: component FD generic map ( INIT => '0' ) port map ( Q => di_int_sync(1), C => EXT_SPI_CLK, D => di_int(1) ); ----------------------- DI_INT_IO0_I_REG: component FD generic map ( INIT => '0' ) port map ( Q => di_int_sync(0), C => EXT_SPI_CLK, D => di_int(0) ); --- fcsbo_int <= SS_O_int(0); fcsbts_int <= SS_T_int; NUM_SS : if (C_NUM_SS_BITS = 1) generate begin SS_O <= (others => '0'); SS_T <= '0'; end generate NUM_SS; NUM_SS_G1 : if (C_NUM_SS_BITS > 1) generate begin SS_I_int <= SS_I((C_NUM_SS_BITS-1) downto 1) & '1'; SS_O <= SS_O_int((C_NUM_SS_BITS-1) downto 1); SS_T <= SS_T_int; end generate NUM_SS_G1; str_IO0_I <= di_int_sync(0); do_int(0) <= str_IO0_O; dts_int(0) <= str_IO0_T ; str_IO1_I <= di_int_sync(1); do_int(1) <= str_IO1_O; dts_int(1) <= str_IO1_T; DATA_OUT_NQUAD: if C_SPI_MODE = 0 or C_SPI_MODE = 1 generate begin di <= di_int_sync(3) & di_int_sync(2); do_int(2) <= do(0); do_int(3) <= do(1); dts_int(2) <= dts(0); dts_int(3) <= dts(1); --do <= do_int(3) & do_int(1); --dts <= dts_int(3) & dts_int(1); end generate DATA_OUT_NQUAD; DATA_OUT_QUAD: if C_SPI_MODE = 2 generate begin --di <= "00";--di_int_sync(3) & di_int_sync(2); IO2_I_int <= di_int_sync(2); do_int(2) <= IO2_O_int;--do(2); do_int(3) <= IO3_O_int;--do(1); --do <= do_int(3) & do_int(1); IO3_I_int <= di_int_sync(3); dts_int(2) <= IO2_T_int;--dts_int(3) & dts_int(1); dts_int(3) <= IO3_T_int;--dts_int(3) & dts_int(1); end generate DATA_OUT_QUAD; end generate DATA_STARTUP_EN; DATA_STARTUP_DIS : if (C_USE_STARTUP = 0 or (C_USE_STARTUP = 1 and C_UC_FAMILY = 0)) generate ----- begin ----- str_IO0_I <= IO0_I; IO0_O <= str_IO0_O; IO0_T <= str_IO0_T; str_IO1_I <= IO1_I; IO1_O <= str_IO1_O; IO1_T <= str_IO1_T; fcsbo_int <= '0'; fcsbts_int <= '0'; SS_O <= SS_O_int; SS_T <= SS_T_int; SS_I_int <= SS_I; end generate DATA_STARTUP_DIS; ----------------------------------- -- Combinatorial operations for SPI ----------------------------------- ---- A write to read only register wont have any effect on register. ---- The transaction is completed by generating WrAck only. not_Tx_FIFO_FULL <= not Tx_FIFO_Full; Interrupt_WrCE_sig <= "00"; IPIF_Lvl_Interrupts_sig <= '0'; LEGACY_MD_WR_RD_ACK_GEN: if C_TYPE_OF_AXI4_INTERFACE = 0 generate ----- begin ----- -- A write to read only register wont have any effect on register. -- The transaction is completed by generating WrAck only. -------------------------------------------------------- -- IP2Bus_Error is generated under following conditions: -- 1. If an full transmit register/FIFO is written into. -- 2. If an empty receive register/FIFO is read from. -- Due to software driver legacy, the register rule test is not applied to SPI. -------------------------------------------------------- IP2Bus_Error_1 <= intr_ip2bus_error or rst_ip2bus_error or transmit_ip2bus_error or receive_ip2bus_error; REG_ERR_ACK_P:process(Bus2IP_Clk)is begin if (Bus2IP_Clk'event and Bus2IP_Clk = '1') then if (reset2ip_reset_int = RESET_ACTIVE) then IP2Bus_Error <= '0'; else IP2Bus_Error <= IP2Bus_Error_1; end if; end if; end process REG_ERR_ACK_P; wr_ce_or_reduce_core_cmb <= Bus2IP_WrCE(SPISR) or -- read only register Bus2IP_WrCE(SPIDRR) or -- read only register (Bus2IP_WrCE(SPIDTR) and not_Tx_FIFO_FULL) or -- common to -- spi_fifo_ifmodule_1 and -- spi_receive_reg_1 -- (FROM TRANSMITTER) module Bus2IP_WrCE(SPICR) or Bus2IP_WrCE(SPISSR) or Bus2IP_WrCE(SPITFOR)or -- locally generated Bus2IP_WrCE(SPIRFOR)or -- locally generated Bus2IP_WrCE(REG_HOLE) or -- register hole or_reduce(Bus2IP_WrCE(17 to 23)); -- holes between reset end and start of SPICR register -------------------------------------------------- WRITE_ACK_SPIDTR_REG_PROCESS: process(Bus2IP_Clk) is --------------------------- begin if (Bus2IP_Clk'event and Bus2IP_Clk = '1') then if (reset2ip_reset_int = RESET_ACTIVE) then Bus2IP_WrCE_d1 <= '0'; Bus2IP_WrCE_d2 <= '0'; Bus2IP_WrCE_d3 <= '0'; else Bus2IP_WrCE_d1 <= Bus2IP_WrCE(SPIDTR); Bus2IP_WrCE_d2 <= Bus2IP_WrCE_d1; Bus2IP_WrCE_d3 <= Bus2IP_WrCE_d2; end if; end if; end process WRITE_ACK_SPIDTR_REG_PROCESS; Bus2IP_WrCE_pulse_1 <= Bus2IP_WrCE(SPIDTR) and not Bus2IP_WrCE_d1; Bus2IP_WrCE_pulse_2 <= Bus2IP_WrCE_d1 and not Bus2IP_WrCE_d2; Bus2IP_WrCE_pulse_3 <= Bus2IP_WrCE_d2 and not Bus2IP_WrCE_d3; --end generate WR_ACK_OR_REDUCE_FIFO_1_GEN; ----------------------------------------- -- WRITE_ACK_CORE_REG_PROCESS : The commong write ACK generation logic when FIFO is -- ------------------------ not included in the design. -------------------------------------------------- -- _____|-----|__________ wr_ce_or_reduce_fifo_no -- ________|-----|_______ ip2Bus_WrAck_fifo_no_d1 -- ________|--|__________ ip2Bus_WrAck_fifo_no from common write ack register -- this ack will be used in register files for -- reference. -------------------------------------------------- WRITE_ACK_CORE_REG_PROCESS: process(Bus2IP_Clk) is --------------------------- begin if (Bus2IP_Clk'event and Bus2IP_Clk = '1') then if (reset2ip_reset_int = RESET_ACTIVE) then ip2Bus_WrAck_core_reg_d1 <= '0'; ip2Bus_WrAck_core_reg <= '0'; ip2Bus_WrAck_core_reg_1 <= '0'; else ip2Bus_WrAck_core_reg_d1 <= wr_ce_or_reduce_core_cmb; ip2Bus_WrAck_core_reg <= wr_ce_or_reduce_core_cmb and (not ip2Bus_WrAck_core_reg_d1); ip2Bus_WrAck_core_reg_1 <= ip2Bus_WrAck_core_reg; end if; end if; end process WRITE_ACK_CORE_REG_PROCESS; ------------------------------------------------- -- internal logic uses this signal wr_ce_reduce_ack_gen <= ip2Bus_WrAck_core_reg_1; ------------------------------------------------- -- common WrAck to IPIF IP2Bus_WrAck_1 <= intr_ip2bus_wrack or -- common rst_ip2bus_wrack or -- common ip2Bus_WrAck_intr_reg_hole or -- newly added to target the holes in register space ip2Bus_WrAck_core_reg;-- or --Tx_FIFO_wr_ack; -- newly added REG_WR_ACK_P:process(Bus2IP_Clk)is begin if (Bus2IP_Clk'event and Bus2IP_Clk = '1') then if (reset2ip_reset_int = RESET_ACTIVE) then IP2Bus_WrAck <= '0'; else IP2Bus_WrAck <= IP2Bus_WrAck_1; end if; end if; end process REG_WR_ACK_P; ------------------------------------------------- --end generate LEGACY_MD_WR_ACK_GEN; ------------------------------------------------- --LEGACY_MD_RD_ACK_GEN: if C_TYPE_OF_AXI4_INTERFACE = 0 generate ----- --begin ----- rd_ce_or_reduce_core_cmb <= Bus2IP_RdCE(SWRESET) or --common locally generated Bus2IP_RdCE(SPIDTR) or --common locally generated Bus2IP_RdCE(SPISR) or --common from status register Bus2IP_RdCE(SPIDRR) or --common to --spi_fifo_ifmodule_1 --and spi_receive_reg_1 --(FROM RECEIVER) module Bus2IP_RdCE(SPICR) or --common spi_cntrl_reg_1 Bus2IP_RdCE(SPISSR) or --common spi_status_reg_1 Bus2IP_RdCE(SPITFOR) or --only for fifo_occu TX reg Bus2IP_RdCE(SPIRFOR) or --only for fifo_occu RX reg Bus2IP_RdCE(REG_HOLE) or -- register hole or_reduce(Bus2IP_RdCE(17 to 23)); -- holes between reset end and start of SPICR register; --reg hole -- READ_ACK_CORE_REG_PROCESS : The commong write ACK generation logic -------------------------------------------------- -- _____|-----|__________ wr_ce_or_reduce_fifo_no -- ________|-----|_______ ip2Bus_WrAck_fifo_no_d1 -- ________|--|__________ ip2Bus_WrAck_fifo_no from common write ack register -- this ack will be used in register files for -- reference. -------------------------------------------------- READ_ACK_CORE_REG_PROCESS: process(Bus2IP_Clk) is ------------------- begin if (Bus2IP_Clk'event and Bus2IP_Clk = '1') then if (reset2ip_reset_int = RESET_ACTIVE) then ip2Bus_RdAck_core_reg_d1 <= '0'; ip2Bus_RdAck_core_reg <= '0'; ip2Bus_RdAck_core_reg_1 <= '0'; read_ack_delay_1 <= '0'; read_ack_delay_2 <= '0'; read_ack_delay_3 <= '0'; read_ack_delay_4 <= '0'; read_ack_delay_5 <= '0'; read_ack_delay_6 <= '0'; read_ack_delay_7 <= '0'; else --ip2Bus_RdAck_core_reg_d1 <= rd_ce_or_reduce_core_cmb; --ip2Bus_RdAck_core_reg <= rd_ce_or_reduce_core_cmb and -- (not ip2Bus_RdAck_core_reg_d1); read_ack_delay_1 <= rd_ce_or_reduce_core_cmb; read_ack_delay_2 <= read_ack_delay_1; read_ack_delay_3 <= read_ack_delay_2; read_ack_delay_4 <= read_ack_delay_3; read_ack_delay_5 <= read_ack_delay_4; read_ack_delay_6 <= read_ack_delay_5; read_ack_delay_7 <= read_ack_delay_6; ip2Bus_RdAck_core_reg <= read_ack_delay_6 and (not read_ack_delay_7); ip2Bus_RdAck_core_reg_1 <= ip2Bus_RdAck_core_reg; end if; end if; end process READ_ACK_CORE_REG_PROCESS; ------------------------------------------------- -- internal logic uses this signal rd_ce_reduce_ack_gen <= ip2Bus_RdAck_core_reg; ------------------------------------------------- -- common RdAck to IPIF IP2Bus_RdAck_1 <= intr_ip2bus_rdack or -- common ip2Bus_RdAck_intr_reg_hole or ip2Bus_RdAck_core_reg; REG_RD_ACK_P:process(Bus2IP_Clk)is begin if (Bus2IP_Clk'event and Bus2IP_Clk = '1') then if (reset2ip_reset_int = RESET_ACTIVE) then IP2Bus_RdAck <= '0'; else IP2Bus_RdAck <= IP2Bus_RdAck_1; end if; end if; end process REG_RD_ACK_P; --------------------------------------------------- end generate LEGACY_MD_WR_RD_ACK_GEN; ------------------------------------------------- ENHANCED_MD_WR_RD_ACK_GEN: if C_TYPE_OF_AXI4_INTERFACE = 1 generate ----- begin ----- -- A write to read only register wont have any effect on register. -- The transaction is completed by generating WrAck only. -------------------------------------------------------- -- IP2Bus_Error is generated under following conditions: -- 1. If an full transmit register/FIFO is written into. -- 2. If an empty receive register/FIFO is read from. -- Due to software driver legacy, the register rule test is not applied to SPI. -------------------------------------------------------- IP2Bus_Error <= intr_ip2bus_error or rst_ip2bus_error or transmit_ip2bus_error or receive_ip2bus_error; wr_ce_or_reduce_core_cmb <= Bus2IP_WrCE(SPISR) or -- read only register Bus2IP_WrCE(SPIDRR) or -- read only register (Bus2IP_WrCE(SPIDTR) and not_Tx_FIFO_FULL) or -- common to -- spi_fifo_ifmodule_1 and -- spi_receive_reg_1 -- (FROM TRANSMITTER) module Bus2IP_WrCE(SPICR) or Bus2IP_WrCE(SPISSR) or Bus2IP_WrCE(SPITFOR)or -- locally generated Bus2IP_WrCE(SPIRFOR)or -- locally generated Bus2IP_WrCE(REG_HOLE) or -- register hole or_reduce(Bus2IP_WrCE(17 to 23)); -- holes between reset end and start of SPICR register; -- register hole -------------------------------------------------- WRITE_ACK_SPIDTR_REG_PROCESS: process(Bus2IP_Clk) is --------------------------- begin if (Bus2IP_Clk'event and Bus2IP_Clk = '1') then if (reset2ip_reset_int = RESET_ACTIVE) then Bus2IP_WrCE_d1 <= '0'; Bus2IP_WrCE_d2 <= '0'; Bus2IP_WrCE_d3 <= '0'; else Bus2IP_WrCE_d1 <= Bus2IP_WrCE(SPIDTR); Bus2IP_WrCE_d2 <= Bus2IP_WrCE_d1; Bus2IP_WrCE_d3 <= Bus2IP_WrCE_d2; end if; end if; end process WRITE_ACK_SPIDTR_REG_PROCESS; Bus2IP_WrCE_pulse_1 <= Bus2IP_WrCE(SPIDTR) and not Bus2IP_WrCE_d1; Bus2IP_WrCE_pulse_2 <= Bus2IP_WrCE_d1 and not Bus2IP_WrCE_d2; Bus2IP_WrCE_pulse_3 <= Bus2IP_WrCE_d2 and not Bus2IP_WrCE_d3; -- WRITE_ACK_CORE_REG_PROCESS : The commong write ACK generation logic when FIFO is -- ------------------------ not included in the design. -------------------------------------------------- -- _____|-----|__________ wr_ce_or_reduce_fifo_no -- ________|-----|_______ ip2Bus_WrAck_fifo_no_d1 -- ________|--|__________ ip2Bus_WrAck_fifo_no from common write ack register -- this ack will be used in register files for -- reference. -------------------------------------------------- WRITE_ACK_CORE_REG_PROCESS: process(Bus2IP_Clk) is --------------------------- begin if (Bus2IP_Clk'event and Bus2IP_Clk = '1') then if (reset2ip_reset_int = RESET_ACTIVE) then ip2Bus_WrAck_core_reg_d1 <= '0'; ip2Bus_WrAck_core_reg <= '0'; ip2Bus_WrAck_core_reg_1 <= '0'; else ip2Bus_WrAck_core_reg_d1 <= wr_ce_or_reduce_core_cmb; ip2Bus_WrAck_core_reg <= wr_ce_or_reduce_core_cmb and (not ip2Bus_WrAck_core_reg_d1); ip2Bus_WrAck_core_reg_1 <= ip2Bus_WrAck_core_reg; end if; end if; end process WRITE_ACK_CORE_REG_PROCESS; ------------------------------------------------- -- internal logic uses this signal wr_ce_reduce_ack_gen <= ip2Bus_WrAck_core_reg;--_1; ------------------------------------------------- -- common WrAck to IPIF -- in the enhanced mode for FIFO, the IP2bus_Wrack is provided by the enhanced mode statemachine only. IP2Bus_WrAck <= intr_ip2bus_wrack or -- common rst_ip2bus_wrack or -- common ip2Bus_WrAck_intr_reg_hole or -- newly added to target the holes in register space (ip2Bus_WrAck_core_reg and (not burst_tr));-- or --(Tx_FIFO_wr_ack and burst_tr); -- newly added ------------------------------------------------- --ENHANCED_MD_RD_ACK_GEN: if C_TYPE_OF_AXI4_INTERFACE = 1 generate ----- --begin ----- FIFO_NO_RD_CE_GEN: if C_FIFO_EXIST = 0 generate begin rd_ce_or_reduce_core_cmb <= Bus2IP_RdCE(SWRESET) or --common locally generated Bus2IP_RdCE(SPIDTR) or --common locally generated Bus2IP_RdCE(SPISR) or --common from status register Bus2IP_RdCE(SPIDRR) or --common to --spi_fifo_ifmodule_1 --and spi_receive_reg_1 --(FROM RECEIVER) module Bus2IP_RdCE(SPICR) or --common spi_cntrl_reg_1 Bus2IP_RdCE(SPISSR) or --common spi_status_reg_1 Bus2IP_RdCE(SPITFOR) or --only for fifo_occu TX reg Bus2IP_RdCE(SPIRFOR) or --only for fifo_occu RX reg Bus2IP_RdCE(REG_HOLE) or -- register hole or_reduce(Bus2IP_RdCE(17 to 23)); -- holes between reset end and start of SPICR register; --reg hole end generate FIFO_NO_RD_CE_GEN; FIFO_YES_RD_CE_GEN: if C_FIFO_EXIST = 1 generate begin rd_ce_or_reduce_core_cmb <= Bus2IP_RdCE(SWRESET) or --common locally generated Bus2IP_RdCE(SPIDTR) or --common locally generated Bus2IP_RdCE(SPISR) or --common from status register --Bus2IP_RdCE(SPIDRR) or --common to --spi_fifo_ifmodule_1 --and spi_receive_reg_1 --(FROM RECEIVER) module Bus2IP_RdCE(SPICR) or --common spi_cntrl_reg_1 Bus2IP_RdCE(SPISSR) or --common spi_status_reg_1 Bus2IP_RdCE(SPITFOR) or --only for fifo_occu TX reg Bus2IP_RdCE(SPIRFOR) or --only for fifo_occu RX reg Bus2IP_RdCE(REG_HOLE) or -- register hole or_reduce(Bus2IP_RdCE(17 to 23)); -- holes between reset end and start of SPICR register; --reg hole end generate FIFO_YES_RD_CE_GEN; -- READ_ACK_CORE_REG_PROCESS : The commong write ACK generation logic -------------------------------------------------- -- _____|-----|__________ wr_ce_or_reduce_fifo_no -- ________|-----|_______ ip2Bus_WrAck_fifo_no_d1 -- ________|--|__________ ip2Bus_WrAck_fifo_no from common write ack register -- this ack will be used in register files for -- reference. -------------------------------------------------- READ_ACK_CORE_REG_PROCESS: process(Bus2IP_Clk) is ------------------- begin if (Bus2IP_Clk'event and Bus2IP_Clk = '1') then if (reset2ip_reset_int = RESET_ACTIVE) then ip2Bus_RdAck_core_reg_d1 <= '0'; ip2Bus_RdAck_core_reg <= '0'; ip2Bus_RdAck_core_reg_1 <= '0'; read_ack_delay_1 <= '0'; read_ack_delay_2 <= '0'; read_ack_delay_3 <= '0'; read_ack_delay_4 <= '0'; read_ack_delay_5 <= '0'; read_ack_delay_6 <= '0'; read_ack_delay_7 <= '0'; else --ip2Bus_RdAck_core_reg_d1 <= rd_ce_or_reduce_core_cmb; --ip2Bus_RdAck_core_reg <= rd_ce_or_reduce_core_cmb and -- (not ip2Bus_RdAck_core_reg_d1); --ip2Bus_RdAck_core_reg_1 <= ip2Bus_RdAck_core_reg; read_ack_delay_1 <= rd_ce_or_reduce_core_cmb; read_ack_delay_2 <= read_ack_delay_1; read_ack_delay_3 <= read_ack_delay_2; read_ack_delay_4 <= read_ack_delay_3; read_ack_delay_5 <= read_ack_delay_4; read_ack_delay_6 <= read_ack_delay_5; read_ack_delay_7 <= read_ack_delay_6; ip2Bus_RdAck_core_reg <= read_ack_delay_6 and (not read_ack_delay_7); ip2Bus_RdAck_core_reg_1 <= ip2Bus_RdAck_core_reg; end if; end if; end process READ_ACK_CORE_REG_PROCESS; ------------------------------------------------- -- internal logic uses this signal rd_ce_reduce_ack_gen <= ip2Bus_RdAck_core_reg; --_1; ------------------------------------------------- -- common RdAck to IPIF IP2Bus_RdAck <= intr_ip2bus_rdack or -- common ip2Bus_RdAck_intr_reg_hole or ip2Bus_RdAck_core_reg or (Rx_FIFO_rd_ack and rready); ----------------------------------------------------- end generate ENHANCED_MD_WR_RD_ACK_GEN; ------------------------------------------------- --============================================================================= TX_FIFO_OCC_DATA_FIFO_16: if C_FIFO_DEPTH = 16 generate ------------------------- begin ----- IP2Bus_Tx_FIFO_OCC_Reg_Data_int_1(0) <= IP2Bus_Tx_FIFO_OCC_Reg_Data_int(0) and not (Tx_FIFO_Empty_SPISR_to_axi_clk); -- (Tx_FIFO_Empty); IP2Bus_Tx_FIFO_OCC_Reg_Data_int_1(1) <= IP2Bus_Tx_FIFO_OCC_Reg_Data_int(1) and not (Tx_FIFO_Empty_SPISR_to_axi_clk); -- (Tx_FIFO_Empty); IP2Bus_Tx_FIFO_OCC_Reg_Data_int_1(2) <= IP2Bus_Tx_FIFO_OCC_Reg_Data_int(2) and not (Tx_FIFO_Empty_SPISR_to_axi_clk); -- (Tx_FIFO_Empty); IP2Bus_Tx_FIFO_OCC_Reg_Data_int_1(3) <= IP2Bus_Tx_FIFO_OCC_Reg_Data_int(3) and not (Tx_FIFO_Empty_SPISR_to_axi_clk); -- (Tx_FIFO_Empty); --(FIFO_Empty_tx); IP2Bus_Rx_FIFO_OCC_Reg_Data_int_1(0) <= IP2Bus_Rx_FIFO_OCC_Reg_Data_int(0) and not (Rx_FIFO_Empty); IP2Bus_Rx_FIFO_OCC_Reg_Data_int_1(1) <= IP2Bus_Rx_FIFO_OCC_Reg_Data_int(1) and not (Rx_FIFO_Empty); IP2Bus_Rx_FIFO_OCC_Reg_Data_int_1(2) <= IP2Bus_Rx_FIFO_OCC_Reg_Data_int(2) and not (Rx_FIFO_Empty); IP2Bus_Rx_FIFO_OCC_Reg_Data_int_1(3) <= IP2Bus_Rx_FIFO_OCC_Reg_Data_int(3) and not (Rx_FIFO_Empty); --(FIFO_Empty_rx); end generate TX_FIFO_OCC_DATA_FIFO_16; -------------------------------------- TX_FIFO_OCC_DATA_FIFO_256: if C_FIFO_DEPTH = 256 generate ------------------------- begin ----- IP2Bus_Tx_FIFO_OCC_Reg_Data_int_1(0) <= IP2Bus_Tx_FIFO_OCC_Reg_Data_int(0) and not (Tx_FIFO_Empty_SPISR_to_axi_clk); -- (Tx_FIFO_Empty); IP2Bus_Tx_FIFO_OCC_Reg_Data_int_1(1) <= IP2Bus_Tx_FIFO_OCC_Reg_Data_int(1) and not (Tx_FIFO_Empty_SPISR_to_axi_clk); -- (Tx_FIFO_Empty); IP2Bus_Tx_FIFO_OCC_Reg_Data_int_1(2) <= IP2Bus_Tx_FIFO_OCC_Reg_Data_int(2) and not (Tx_FIFO_Empty_SPISR_to_axi_clk); -- (Tx_FIFO_Empty); IP2Bus_Tx_FIFO_OCC_Reg_Data_int_1(3) <= IP2Bus_Tx_FIFO_OCC_Reg_Data_int(3) and not (Tx_FIFO_Empty_SPISR_to_axi_clk); -- (Tx_FIFO_Empty); IP2Bus_Tx_FIFO_OCC_Reg_Data_int_1(4) <= IP2Bus_Tx_FIFO_OCC_Reg_Data_int(4) and not (Tx_FIFO_Empty_SPISR_to_axi_clk); -- (Tx_FIFO_Empty); IP2Bus_Tx_FIFO_OCC_Reg_Data_int_1(5) <= IP2Bus_Tx_FIFO_OCC_Reg_Data_int(5) and not (Tx_FIFO_Empty_SPISR_to_axi_clk); -- (Tx_FIFO_Empty); IP2Bus_Tx_FIFO_OCC_Reg_Data_int_1(6) <= IP2Bus_Tx_FIFO_OCC_Reg_Data_int(6) and not (Tx_FIFO_Empty_SPISR_to_axi_clk); -- (Tx_FIFO_Empty); IP2Bus_Tx_FIFO_OCC_Reg_Data_int_1(7) <= IP2Bus_Tx_FIFO_OCC_Reg_Data_int(7) and not (Tx_FIFO_Empty_SPISR_to_axi_clk); -- (Tx_FIFO_Empty);-- (FIFO_Empty_tx); IP2Bus_Rx_FIFO_OCC_Reg_Data_int_1(0) <= IP2Bus_Rx_FIFO_OCC_Reg_Data_int(0) and not (Rx_FIFO_Empty); IP2Bus_Rx_FIFO_OCC_Reg_Data_int_1(1) <= IP2Bus_Rx_FIFO_OCC_Reg_Data_int(1) and not (Rx_FIFO_Empty); IP2Bus_Rx_FIFO_OCC_Reg_Data_int_1(2) <= IP2Bus_Rx_FIFO_OCC_Reg_Data_int(2) and not (Rx_FIFO_Empty); IP2Bus_Rx_FIFO_OCC_Reg_Data_int_1(3) <= IP2Bus_Rx_FIFO_OCC_Reg_Data_int(3) and not (Rx_FIFO_Empty); IP2Bus_Rx_FIFO_OCC_Reg_Data_int_1(4) <= IP2Bus_Rx_FIFO_OCC_Reg_Data_int(4) and not (Rx_FIFO_Empty); IP2Bus_Rx_FIFO_OCC_Reg_Data_int_1(5) <= IP2Bus_Rx_FIFO_OCC_Reg_Data_int(5) and not (Rx_FIFO_Empty); IP2Bus_Rx_FIFO_OCC_Reg_Data_int_1(6) <= IP2Bus_Rx_FIFO_OCC_Reg_Data_int(6) and not (Rx_FIFO_Empty); IP2Bus_Rx_FIFO_OCC_Reg_Data_int_1(7) <= IP2Bus_Rx_FIFO_OCC_Reg_Data_int(7) and not (Rx_FIFO_Empty); --(FIFO_Empty_rx); end generate TX_FIFO_OCC_DATA_FIFO_256; --***************************************************************************** ip2Bus_Data_occupancy_int(0 to (C_S_AXI_DATA_WIDTH-C_OCCUPANCY_NUM_BITS-1)) <= (others => '0'); ip2Bus_Data_occupancy_int((C_S_AXI_DATA_WIDTH-C_OCCUPANCY_NUM_BITS) to (C_S_AXI_DATA_WIDTH-1)) <= IP2Bus_Rx_FIFO_OCC_Reg_Data_int_1 or IP2Bus_Tx_FIFO_OCC_Reg_Data_int_1; ------------------------------------------------------------------------------- -- SPECIAL_CASE_WHEN_SS_NOT_EQL_32 : The Special case is executed whenever -- C_NUM_SS_BITS is less than 32 ------------------------------------------------------------------------------- SPECIAL_CASE_WHEN_SS_NOT_EQL_32: if(C_NUM_SS_BITS /= 32) generate ----- begin ----- ip2Bus_Data_SS_int(0 to (C_S_AXI_DATA_WIDTH-C_NUM_SS_BITS-1)) <= (others => '0'); end generate SPECIAL_CASE_WHEN_SS_NOT_EQL_32; --------------------------------------------- ip2Bus_Data_SS_int((C_S_AXI_DATA_WIDTH-C_NUM_SS_BITS) to (C_S_AXI_DATA_WIDTH-1)) <= IP2Bus_SPISSR_Data_int; ------------------------------------------------------------------------------- ip2Bus_Data_Reg_int(0 to C_S_AXI_DATA_WIDTH-C_SPISR_REG_WIDTH-1) <= (others => '0'); ip2Bus_Data_Reg_int(C_S_AXI_DATA_WIDTH-C_SPISR_REG_WIDTH to C_S_AXI_DATA_WIDTH-1) <= IP2Bus_SPISR_Data_int or -- SPISR - 11 bit ('0' & IP2Bus_SPICR_Data_int); -- SPICR - 10 bit ------------------------------------------------------------------------------- ----------------------- Receive_Reg_width_is_32: if(C_NUM_TRANSFER_BITS = 32) generate ----------------------- begin ----- IP2Bus_Data_received_int <= IP2Bus_Receive_Reg_Data_int; end generate Receive_Reg_width_is_32; ----------------------------------------- --------------------------- Receive_Reg_width_is_not_32: if(C_NUM_TRANSFER_BITS /= 32) generate --------------------------- begin ----- IP2Bus_Data_received_int(0 to C_S_AXI_DATA_WIDTH-C_NUM_TRANSFER_BITS-1) <= (others => '0'); IP2Bus_Data_received_int((C_S_AXI_DATA_WIDTH-C_NUM_TRANSFER_BITS) to (C_S_AXI_DATA_WIDTH-1)) <= IP2Bus_Receive_Reg_Data_int; end generate Receive_Reg_width_is_not_32; ----------------------------------------- ------------------------------------------------------------------------------- LEGACY_MD_IP2BUS_DATA_GEN: if C_TYPE_OF_AXI4_INTERFACE = 0 generate ----- begin ----- ip2Bus_Data_1 <= ip2Bus_Data_occupancy_int or -- occupancy reg data ip2Bus_Data_SS_int or -- Slave select reg data ip2Bus_Data_Reg_int or -- SPI CR & SR reg data IP2Bus_Data_received_int or -- SPI received data intr_ip2bus_data ; REG_IP2BUS_DATA_P:process(Bus2IP_Clk)is begin if (Bus2IP_Clk'event and Bus2IP_Clk = '1') then if (reset2ip_reset_int = RESET_ACTIVE) then ip2Bus_Data <= (others => '0'); else ip2Bus_Data <= ip2Bus_Data_1; end if; end if; end process REG_IP2BUS_DATA_P; end generate LEGACY_MD_IP2BUS_DATA_GEN; ------------------------------------------------------------------------------- ENHANCED_MD_IP2BUS_DATA_GEN: if C_TYPE_OF_AXI4_INTERFACE = 1 generate ----- begin ----- ip2Bus_Data <= ip2Bus_Data_occupancy_int or -- occupancy reg data ip2Bus_Data_SS_int or -- Slave select reg data ip2Bus_Data_Reg_int or -- SPI CR & SR reg data IP2Bus_Data_received_int or -- SPI received data intr_ip2bus_data ; end generate ENHANCED_MD_IP2BUS_DATA_GEN; ------------------------------------------------------------------------------- RESET_SYNC_AXI_SPI_CLK_INST:entity axi_quad_spi_v3_2_8.reset_sync_module port map( EXT_SPI_CLK => EXT_SPI_CLK ,-- in std_logic; --Bus2IP_Clk => Bus2IP_Clk ,-- in std_logic; Soft_Reset_frm_axi => reset2ip_reset_int,-- in std_logic; Rst_to_spi => Rst_to_spi_int -- out std_logic; ); -------------------------------------- -- NO_FIFO_EXISTS : Signals initialisation and module -- instantiation when C_FIFO_EXIST = 0 -------------------------------------- NO_FIFO_EXISTS: if(C_FIFO_EXIST = 0) generate ---------------------------------- signal spisel_pulse_frm_spi_clk : std_logic; signal spisel_pulse_to_axi_clk : std_logic; signal spiXfer_done_frm_spi_clk : std_logic; signal spiXfer_done_to_axi_clk : std_logic; signal modf_strobe_frm_spi_clk : std_logic; -- signal modf_strobe_to_axi_clk : std_logic; signal slave_MODF_strobe_frm_spi_clk : std_logic; signal slave_MODF_strobe_to_axi_clk : std_logic; signal receive_data_frm_spi_clk : std_logic_vector(0 to (C_NUM_TRANSFER_BITS-1)); signal receive_data_to_axi_clk : std_logic_vector(0 to (C_NUM_TRANSFER_BITS-1)); signal transmit_Data_frm_axi_clk: std_logic_vector(0 to (C_NUM_TRANSFER_BITS-1)); signal transmit_Data_to_spi_clk : std_logic_vector(0 to (C_NUM_TRANSFER_BITS-1)); signal transmit_Data_fifo_0 : std_logic_vector(0 to (C_NUM_TRANSFER_BITS-1)); signal drr_Overrun_int_frm_spi_clk: std_logic; signal drr_Overrun_int_to_axi_clk : std_logic; ----- begin ----- Rx_FIFO_rd_ack <= '0'; Tx_FIFO_Full <= '0'; -------------------------------------------------------------------------- -- I_RECEIVE_REG : INSTANTIATE RECEIVE REGISTER -------------------------------------------------------------------------- QSPI_RX_TX_REG: entity axi_quad_spi_v3_2_8.qspi_receive_transmit_reg generic map ( C_S_AXI_DATA_WIDTH => C_S_AXI_DATA_WIDTH, C_NUM_TRANSFER_BITS => C_NUM_TRANSFER_BITS ) port map ( Bus2IP_Clk => Bus2IP_Clk, -- in Soft_Reset_op => reset2ip_reset_int, -- in --SPI Receiver signals -- From AXI clock Bus2IP_Receive_Reg_RdCE => Bus2IP_RdCE(SPIDRR), -- in Receive_ip2bus_error => receive_ip2bus_error, -- out IP2Bus_Receive_Reg_Data => IP2Bus_Receive_Reg_Data_int, -- out --SPI module ports From SPI clock SPIXfer_done => spiXfer_done_to_axi_clk,--spiXfer_done_int,-- in SPI_Received_Data => receive_data_to_axi_clk,--receive_Data_int,-- in vec -- receive & transmit reg signals -- DRR_Overrun => drr_Overrun_int,-- drr_Overrun_int,-- out SR_7_Rx_Empty => Rx_FIFO_Empty_i, -- out -- From AXI clock Bus2IP_Transmit_Reg_Data=> Bus2IP_Data, -- in vec Bus2IP_Transmit_Reg_WrCE=> Bus2IP_WrCE(SPIDTR), -- in Wr_ce_reduce_ack_gen => wr_ce_reduce_ack_gen, -- in Rd_ce_reduce_ack_gen => rd_ce_reduce_ack_gen, -- in --SPI Transmitter signals from AXI clock Transmit_ip2bus_error => transmit_ip2bus_error, -- out --SPI module ports DTR_underrun => dtr_underrun_to_axi_clk,--dtr_underrun_int,-- in SR_5_Tx_Empty => sr_5_Tx_Empty_int, -- out tx_empty_signal_handshake_req => tx_empty_signal_handshake_req, -- out tx_empty_signal_handshake_gnt => tx_empty_signal_handshake_gnt, -- in DTR_Underrun_strobe => dtr_Underrun_strobe_int, -- out Transmit_Reg_Data_Out => transmit_Data_fifo_0--transmit_Data_int -- out vec ); spisel_d1_reg_frm_spi_clk <= spisel_d1_reg; spisel_pulse_frm_spi_clk <= spisel_pulse_o_int;-- from SPI module spiXfer_done_frm_spi_clk <= spiXfer_done_int ;-- from SPI module modf_strobe_frm_spi_clk <= modf_strobe_int ;-- from SPI module slave_MODF_strobe_frm_spi_clk <= slave_MODF_strobe_int;-- from SPI module receive_data_frm_spi_clk <= Data_To_Rx_FIFO ; -- from SPI module dtr_underrun_frm_spi_clk <= dtr_underrun_int; -- from SPI module transmit_Data_frm_axi_clk <= transmit_Data_fifo_0; -- From AXI clock Tx_FIFO_Empty_frm_axi_clk <= sr_5_Tx_Empty_int; Tx_FIFO_Empty_SPISR_frm_spi_clk <= sr_5_Tx_Empty_int; --Rx_FIFO_Empty_int <= Rx_FIFO_Empty; Rx_FIFO_Empty_int <= Rx_FIFO_Empty_i; drr_Overrun_int_frm_spi_clk <= drr_Overrun_int; SR_3_modf_frm_axi_clk <= SR_3_modf_int; CROSS_CLK_FIFO_0_INST:entity axi_quad_spi_v3_2_8.cross_clk_sync_fifo_0 generic map( C_NUM_TRANSFER_BITS => C_NUM_TRANSFER_BITS, Async_Clk => Async_Clk , --C_AXI_SPI_CLK_EQ_DIFF => C_AXI_SPI_CLK_EQ_DIFF, C_NUM_SS_BITS => C_NUM_SS_BITS ) port map( EXT_SPI_CLK => EXT_SPI_CLK, Bus2IP_Clk => Bus2IP_Clk , Soft_Reset_op => reset2ip_reset_int, Rst_from_axi_cdc_to_spi => Rst_to_spi_int, -- out std_logic; ---------------------------------------------------------- tx_empty_signal_handshake_req => tx_empty_signal_handshake_req, tx_empty_signal_handshake_gnt => tx_empty_signal_handshake_gnt, Tx_FIFO_Empty_cdc_from_axi => Tx_FIFO_Empty_frm_axi_clk, Tx_FIFO_Empty_cdc_to_spi => Tx_FIFO_Empty, ---------------------------------------------------------- Tx_FIFO_Empty_SPISR_cdc_from_spi => Tx_FIFO_Empty_SPISR_frm_spi_clk, Tx_FIFO_Empty_SPISR_cdc_to_axi => Tx_FIFO_Empty_SPISR_to_axi_clk, ---------------------------------------------------------- spisel_d1_reg_cdc_from_spi => spisel_d1_reg_frm_spi_clk , -- in spisel_d1_reg_cdc_to_axi => spisel_d1_reg_to_axi_clk , -- out ---------------------------------------------------------- spisel_pulse_cdc_from_spi => spisel_pulse_frm_spi_clk , -- in spisel_pulse_cdc_to_axi => spisel_pulse_to_axi_clk , -- out ---------------------------------------------------------- spiXfer_done_cdc_from_spi => spiXfer_done_frm_spi_clk , -- in spiXfer_done_cdc_to_axi => spiXfer_done_to_axi_clk , -- out ---------------------------------------------------------- modf_strobe_cdc_from_spi => modf_strobe_frm_spi_clk, -- in modf_strobe_cdc_to_axi => modf_strobe_to_axi_clk , -- out ---------------------------------------------------------- Slave_MODF_strobe_cdc_from_spi => slave_MODF_strobe_frm_spi_clk,-- in Slave_MODF_strobe_cdc_to_axi => slave_MODF_strobe_to_axi_clk ,-- out ---------------------------------------------------------- receive_Data_cdc_from_spi => receive_Data_frm_spi_clk, -- in receive_Data_cdc_to_axi => receive_data_to_axi_clk, -- out ---------------------------------------------------------- drr_Overrun_int_cdc_from_spi => drr_Overrun_int_frm_spi_clk, -- in drr_Overrun_int_cdc_to_axi => drr_Overrun_int_to_axi_clk, -- out ---------------------------------------------------------- dtr_underrun_cdc_from_spi => dtr_underrun_frm_spi_clk, -- in dtr_underrun_cdc_to_axi => dtr_underrun_to_axi_clk, -- out ---------------------------------------------------------- transmit_Data_cdc_from_axi => transmit_Data_frm_axi_clk, -- in transmit_Data_cdc_to_spi => transmit_Data_to_spi_clk, -- out ---------------------------- SPICR_0_LOOP_cdc_from_axi => SPICR_0_LOOP_frm_axi_clk,-- in std_logic; SPICR_0_LOOP_cdc_to_spi => SPICR_0_LOOP_to_spi_clk ,-- out ---------------------------- SPICR_1_SPE_cdc_from_axi => SPICR_1_SPE_frm_axi_clk ,-- in std_logic; SPICR_1_SPE_cdc_to_spi => SPICR_1_SPE_to_spi_clk ,-- out ---------------------------- SPICR_2_MST_N_SLV_cdc_from_axi => SPICR_2_MST_N_SLV_frm_axi_clk,-- in std_logic; SPICR_2_MST_N_SLV_cdc_to_spi => SPICR_2_MST_N_SLV_to_spi_clk, -- out ---------------------------- SPICR_3_CPOL_cdc_from_axi => SPICR_3_CPOL_frm_axi_clk,-- in std_logic; SPICR_3_CPOL_cdc_to_spi => SPICR_3_CPOL_to_spi_clk ,-- out ---------------------------- SPICR_4_CPHA_cdc_from_axi => SPICR_4_CPHA_frm_axi_clk,-- in std_logic; SPICR_4_CPHA_cdc_to_spi => SPICR_4_CPHA_to_spi_clk ,-- out ---------------------------- SPICR_5_TXFIFO_cdc_from_axi => SPICR_5_TXFIFO_frm_axi_clk,-- in std_logic; SPICR_5_TXFIFO_cdc_to_spi => SPICR_5_TXFIFO_to_spi_clk, -- out ---------------------------- SPICR_6_RXFIFO_RST_cdc_from_axi=> SPICR_6_RXFIFO_RST_frm_axi_clk,-- in std_logic; SPICR_6_RXFIFO_RST_cdc_to_spi => SPICR_6_RXFIFO_RST_to_spi_clk ,-- out ---------------------------- SPICR_7_SS_cdc_from_axi => SPICR_7_SS_frm_axi_clk ,-- in std_logic; SPICR_7_SS_cdc_to_spi => SPICR_7_SS_to_spi_clk ,-- out ---------------------------- SPICR_8_TR_INHIBIT_cdc_from_axi=> SPICR_8_TR_INHIBIT_frm_axi_clk,-- in std_logic; SPICR_8_TR_INHIBIT_cdc_to_spi => SPICR_8_TR_INHIBIT_to_spi_clk,-- out ---------------------------- SPICR_9_LSB_cdc_from_axi => SPICR_9_LSB_frm_axi_clk,-- in std_logic; SPICR_9_LSB_cdc_to_spi => SPICR_9_LSB_to_spi_clk,-- out ---------------------------- SPICR_bits_7_8_cdc_from_axi => SPICR_bits_7_8_frm_axi_clk,-- in std_logic_vector SPICR_bits_7_8_cdc_to_spi => SPICR_bits_7_8_to_spi_clk,-- out ---------------------------- SR_3_modf_cdc_from_axi => SR_3_modf_frm_axi_clk, -- in SR_3_modf_cdc_to_spi => SR_3_modf_to_spi_clk , -- out ---------------------------- SPISSR_cdc_from_axi => SPISSR_frm_axi_clk, -- in SPISSR_cdc_to_spi => register_Data_slvsel_int -- out ---------------------------- ); Data_From_TxFIFO <= transmit_Data_to_spi_clk; rc_FIFO_Full_strobe_int <= '0'; rc_FIFO_occ_Reversed_int <= (others => '0'); rc_FIFO_Data_Out_int <= (others => '0'); data_Exists_RcFIFO_int <= '0'; tx_FIFO_Empty_strobe_int <= '0'; tx_FIFO_occ_Reversed_int <= (others => '0'); data_Exists_TxFIFO_int <= '0'; data_From_TxFIFO_int <= (others => '0'); tx_FIFO_less_half_int <= '0'; reset_TxFIFO_ptr_int <= '0'; reset_RcFIFO_ptr_int <= '0'; IP2Bus_Rx_FIFO_OCC_Reg_Data_int_1 <= (others => '0'); IP2Bus_Tx_FIFO_OCC_Reg_Data_int_1 <= (others => '0'); Tx_FIFO_Full_int <= not(sr_5_Tx_Empty_int); -- Tx_FIFO_Empty_to_axi_clk); Rx_FIFO_Full_int <= not(Rx_FIFO_Empty_i); Rx_FIFO_Full_Fifo <= not(Rx_FIFO_Empty_i); Rx_FIFO_Full_Fifo_d1_synced <= not(Rx_FIFO_Empty_i); -------------------------------------------------------------------------- bus2IP_Data_for_interrupt_core(0 to 14) <= Bus2IP_Data(0 to 14); bus2IP_Data_for_interrupt_core(15 to 22) <= (others => '0'); -- below code manipulates the bus2ip_data going towards interrupt control -- unit. In FIFO=0, case bit 23 and 25 of IPIER are not applicable. -- Bu2IP Data to Interrupt Registers - IPISR and IPIER -- Bus2IP_Data - 0 31 -- IPISR/IPIER - 0 22 23 31 -- <---NA---> <-used-> -- 23 24 25 26 27 28 29 30 31 -- DRR_Not Slave Tx_FIFO DRR_ DRR_ DTR_ DTR Slave MODF -- _Empty Select_mode Half_Empty Over_Run Full Underrun Empty MODF -- NA-fifo-0 NA -fifo-0 bus2IP_Data_for_interrupt_core(23) <= '0'; -- DRR_Not_Empty bit in IPIER/IPISR bus2IP_Data_for_interrupt_core(24) <= Bus2IP_Data(24); bus2IP_Data_for_interrupt_core(25) <= '0'; -- Tx FIFO Half Empty bus2IP_Data_for_interrupt_core(26 to (C_S_AXI_DATA_WIDTH-1)) <= Bus2IP_Data(26 to (C_S_AXI_DATA_WIDTH-1)); -------------------------------------------------------------------------- -- Interrupt Status Register(IPISR) Mapping ip2Bus_IntrEvent_int(13) <= '0'; -- doesnt exist in the FIFO = 0 case ip2Bus_IntrEvent_int(12) <= '0'; -- doesnt exist in the FIFO = 0 case ip2Bus_IntrEvent_int(11) <= '0'; -- doesnt exist in the FIFO = 0 case ip2Bus_IntrEvent_int(10) <= '0'; -- doesnt exist in the FIFO = 0 case ip2Bus_IntrEvent_int(9) <= '0'; -- doesnt exist in the FIFO = 0 case ip2Bus_IntrEvent_int(8) <= '0'; -- doesnt exist in the FIFO = 0 case ip2Bus_IntrEvent_int(7) <= spisel_pulse_to_axi_clk; -- spisel_pulse_o_int; ip2Bus_IntrEvent_int(6) <= '0'; -- ip2Bus_IntrEvent_int(5) <= drr_Overrun_int_to_axi_clk; -- drr_Overrun_int_to_axi_clk; ip2Bus_IntrEvent_int(4) <= spiXfer_done_to_axi_clk; -- spiXfer_done_int; ip2Bus_IntrEvent_int(3) <= dtr_Underrun_strobe_int; ip2Bus_IntrEvent_int(2) <= spiXfer_done_to_axi_clk; -- spiXfer_done_int; ip2Bus_IntrEvent_int(1) <= slave_MODF_strobe_to_axi_clk; -- slave_MODF_strobe_int; ip2Bus_IntrEvent_int(0) <= modf_strobe_to_axi_clk; -- modf_strobe_int; end generate NO_FIFO_EXISTS; ------------------------------------------------------------------------------- -- FIFO_EXISTS : Signals initialisation and module -- instantiation when C_FIFO_EXIST = 1 ------------------------------------------------------------------------------- FIFO_EXISTS: if(C_FIFO_EXIST = 1) generate ------------------------------ constant C_RD_COUNT_WIDTH_INT : integer := clog2(C_FIFO_DEPTH); constant C_WR_COUNT_WIDTH_INT : integer := clog2(C_FIFO_DEPTH); constant RX_FIFO_CNTR_WIDTH: integer := clog2(C_FIFO_DEPTH); constant TX_FIFO_CNTR_WIDTH: integer := clog2(C_FIFO_DEPTH); constant ZERO_RX_FIFO_CNT : std_logic_vector(RX_FIFO_CNTR_WIDTH-1 downto 0) := (others => '0'); constant ZERO_TX_FIFO_CNT : std_logic_vector(TX_FIFO_CNTR_WIDTH-1 downto 0) := (others => '0'); signal rx_fifo_count: std_logic_vector(RX_FIFO_CNTR_WIDTH-1 downto 0); signal tx_fifo_count: std_logic_vector(TX_FIFO_CNTR_WIDTH-1 downto 0); signal tx_fifo_count_d1: std_logic_vector(TX_FIFO_CNTR_WIDTH-1 downto 0); signal tx_fifo_count_d2: std_logic_vector(TX_FIFO_CNTR_WIDTH-1 downto 0); signal Tx_FIFO_Empty_1 : std_logic; signal Tx_FIFO_Empty_intr : std_logic; signal IP2Bus_RdAck_receive_enable : std_logic; signal IP2Bus_WrAck_transmit_enable : std_logic; constant ALL_0 : std_logic_vector(0 to TX_FIFO_CNTR_WIDTH-1) := (others => '1'); signal data_Exists_RcFIFO_int_d1: std_logic; signal data_Exists_RcFIFO_pulse : std_logic; --signal FIFO_Empty_rx : std_logic; --signal SPISR_0_CMD_Error_frm_spi_clk : std_logic; --signal SPISR_0_CMD_Error_to_axi_clk : std_logic; --signal spisel_d1_reg_frm_spi_clk : std_logic; --signal spisel_d1_reg_to_axi_clk : std_logic; signal tx_occ_msb_111 : std_logic:= '0'; signal tx_occ_msb_11 : std_logic_vector(TX_FIFO_CNTR_WIDTH-1 downto 0); signal spisel_pulse_frm_spi_clk : std_logic; signal spisel_pulse_to_axi_clk : std_logic; signal slave_MODF_strobe_frm_spi_clk : std_logic; signal slave_MODF_strobe_to_axi_clk : std_logic; signal Rx_FIFO_Empty_frm_axi_clk : std_logic; signal Rx_FIFO_Empty_to_spi_clk : std_logic; signal Tx_FIFO_Full_frm_axi_clk : std_logic; signal Tx_FIFO_Full_to_spi_clk : std_logic; signal spiXfer_done_frm_spi_clk : std_logic; signal spiXfer_done_to_axi_clk : std_logic; signal SR_3_modf_frm_axi_clk : std_logic; signal spiXfer_done_to_axi_1 : std_logic; signal spiXfer_done_to_axi_d1 : std_logic; signal updown_cnt_en : std_logic; signal drr_Overrun_int_to_axi_clk : std_logic; signal drr_Overrun_int_frm_spi_clk: std_logic; ----- begin ----- SPISR_0_CMD_Error_frm_spi_clk <= SPISR_0_CMD_Error_int; spisel_d1_reg_frm_spi_clk <= spisel_d1_reg; spisel_pulse_frm_spi_clk <= spisel_pulse_o_int;-- from SPI module slave_MODF_strobe_frm_spi_clk <= slave_MODF_strobe_int; -- from SPI module modf_strobe_frm_spi_clk <= modf_strobe_int; -- spi module --Rx_FIFO_Full_frm_axi_clk <= Rx_FIFO_Full; -- from Async Receive FIFO ----RX_FIFO_FULL Logic signals Rx_FIFO_Full_frm_axi_clk <= Rx_FIFO_Full_Fifo; -- from Async Receive FIFO Tx_FIFO_Empty_frm_spi_clk <= Tx_FIFO_Empty_intr; -- Tx_FIFO_Empty; -- from Async Transmit FIFO spiXfer_done_frm_spi_clk <= spiXfer_done_int; -- from SPI module dtr_underrun_frm_spi_clk <= dtr_underrun_int; -- from SPI module Tx_FIFO_Empty_SPISR_frm_spi_clk <= Tx_FIFO_Empty;-- from TX FIFO for SPI Status register drr_Overrun_int_frm_spi_clk <= drr_Overrun_int; -- SPICR_6_RXFIFO_RST_frm_axi_clk<= SPICR_6_RXFIFO_RST_frm_axi_clk; -- from SPICR reset_RcFIFO_ptr_frm_axi_clk <= reset_RcFIFO_ptr_int; -- from AXI clock Rx_FIFO_Empty_frm_axi_clk <= Rx_FIFO_Empty; -- from Async Receive FIFO AXI side Tx_FIFO_Full_frm_axi_clk <= Tx_FIFO_Full; -- from Async Transmit FIFO AXI side SR_3_modf_frm_axi_clk <= SR_3_modf_int; --CLK_CROSS_I: CLK_CROSS_I:entity axi_quad_spi_v3_2_8.cross_clk_sync_fifo_1 generic map( C_FAMILY => C_FAMILY , C_FIFO_DEPTH => C_FIFO_DEPTH , Async_Clk => Async_Clk , C_DATA_WIDTH => C_S_AXI_DATA_WIDTH , C_S_AXI_DATA_WIDTH => C_S_AXI_DATA_WIDTH , C_NUM_TRANSFER_BITS => C_NUM_TRANSFER_BITS, C_NUM_SS_BITS => C_NUM_SS_BITS ) port map( EXT_SPI_CLK => EXT_SPI_CLK , -- in std_logic; Bus2IP_Clk => Bus2IP_Clk , -- in std_logic; Soft_Reset_op => reset2ip_reset_int , --Soft_Reset_op => Soft_Reset_op , -- in std_logic; Rst_cdc_to_spi => Rst_to_spi_int , -- out std_logic; ---------------------------- SPISR_0_CMD_Error_cdc_from_spi => SPISR_0_CMD_Error_frm_spi_clk , SPISR_0_CMD_Error_cdc_to_axi => SPISR_0_CMD_Error_to_axi_clk , ---------------------------------------------------------- spisel_d1_reg_cdc_from_spi => spisel_d1_reg_frm_spi_clk , -- in spisel_d1_reg_cdc_to_axi => spisel_d1_reg_to_axi_clk , -- out ---------------------------------------------------------- spisel_pulse_cdc_from_spi => spisel_pulse_frm_spi_clk , -- in spisel_pulse_cdc_to_axi => spisel_pulse_to_axi_clk , -- out ---------------------------- Mst_N_Slv_mode_cdc_from_spi => Mst_N_Slv_mode_frm_spi_clk , -- in Mst_N_Slv_mode_cdc_to_axi => Mst_N_Slv_mode_to_axi_clk , -- out ---------------------------- slave_MODF_strobe_cdc_from_spi => slave_MODF_strobe_frm_spi_clk, -- in slave_MODF_strobe_cdc_to_axi => slave_MODF_strobe_to_axi_clk , -- out ---------------------------- modf_strobe_cdc_from_spi => modf_strobe_frm_spi_clk , -- in modf_strobe_cdc_to_axi => modf_strobe_to_axi_clk , -- out ---------------------------- SPICR_6_RXFIFO_RST_cdc_from_axi=> SPICR_6_RXFIFO_RST_frm_axi_clk, -- in SPICR_6_RXFIFO_RST_cdc_to_spi => SPICR_6_RXFIFO_RST_to_spi_clk , -- out ---------------------------- Rx_FIFO_Full_cdc_from_axi => Rx_FIFO_Full_frm_axi_clk, -- in Rx_FIFO_Full_cdc_to_spi => Rx_FIFO_Full_to_spi_clk , -- out ---------------------------- reset_RcFIFO_ptr_cdc_from_axi => reset_RcFIFO_ptr_frm_axi_clk, -- in reset_RcFIFO_ptr_cdc_to_spi => reset_RcFIFO_ptr_to_spi_clk , -- out ---------------------------- Rx_FIFO_Empty_cdc_from_axi => Rx_FIFO_Empty_frm_axi_clk , -- in Rx_FIFO_Empty_cdc_to_spi => Rx_FIFO_Empty_to_spi_clk , -- out ---------------------------- Tx_FIFO_Empty_cdc_from_spi => Tx_FIFO_Empty_frm_spi_clk, -- in Tx_FIFO_Empty_cdc_to_axi => Tx_FIFO_Empty_to_Axi_clk, -- out ---------------------------- Tx_FIFO_Empty_SPISR_cdc_from_spi => Tx_FIFO_Empty_SPISR_frm_spi_clk, Tx_FIFO_Empty_SPISR_cdc_to_axi => Tx_FIFO_Empty_SPISR_to_axi_clk, Tx_FIFO_Full_cdc_from_axi => Tx_FIFO_Full_frm_axi_clk,-- in Tx_FIFO_Full_cdc_to_spi => Tx_FIFO_Full_to_spi_clk ,-- out ---------------------------- spiXfer_done_cdc_from_spi => spiXfer_done_frm_spi_clk, -- in spiXfer_done_cdc_to_axi => spiXfer_done_to_axi_clk, -- out ---------------------------- dtr_underrun_cdc_from_spi => dtr_underrun_frm_spi_clk, -- in dtr_underrun_cdc_to_axi => dtr_underrun_to_axi_clk , -- out ---------------------------- SPICR_0_LOOP_cdc_from_axi => SPICR_0_LOOP_frm_axi_clk,-- in std_logic; SPICR_0_LOOP_cdc_to_spi => SPICR_0_LOOP_to_spi_clk ,-- out ---------------------------- SPICR_1_SPE_cdc_from_axi => SPICR_1_SPE_frm_axi_clk ,-- in std_logic; SPICR_1_SPE_cdc_to_spi => SPICR_1_SPE_to_spi_clk ,-- out ---------------------------- SPICR_2_MST_N_SLV_cdc_from_axi => SPICR_2_MST_N_SLV_frm_axi_clk,-- in std_logic; SPICR_2_MST_N_SLV_cdc_to_spi => SPICR_2_MST_N_SLV_to_spi_clk, -- out ---------------------------- SPICR_3_CPOL_cdc_from_axi => SPICR_3_CPOL_frm_axi_clk,-- in std_logic; SPICR_3_CPOL_cdc_to_spi => SPICR_3_CPOL_to_spi_clk ,-- out ---------------------------- SPICR_4_CPHA_cdc_from_axi => SPICR_4_CPHA_frm_axi_clk,-- in std_logic; SPICR_4_CPHA_cdc_to_spi => SPICR_4_CPHA_to_spi_clk ,-- out ---------------------------- SPICR_5_TXFIFO_cdc_from_axi => SPICR_5_TXFIFO_RST_frm_axi_clk,-- in std_logic; SPICR_5_TXFIFO_cdc_to_spi => SPICR_5_TXFIFO_to_spi_clk, -- out ---------------------------- SPICR_7_SS_cdc_from_axi => SPICR_7_SS_frm_axi_clk ,-- in std_logic; SPICR_7_SS_cdc_to_spi => SPICR_7_SS_to_spi_clk ,-- out ---------------------------- SPICR_8_TR_INHIBIT_cdc_from_axi=> SPICR_8_TR_INHIBIT_frm_axi_clk,-- in std_logic; SPICR_8_TR_INHIBIT_cdc_to_spi => SPICR_8_TR_INHIBIT_to_spi_clk,-- out ---------------------------- SPICR_9_LSB_cdc_from_axi => SPICR_9_LSB_frm_axi_clk,-- in std_logic; SPICR_9_LSB_cdc_to_spi => SPICR_9_LSB_to_spi_clk,-- out ---------------------------- SPICR_bits_7_8_cdc_from_axi => SPICR_bits_7_8_frm_axi_clk,-- in std_logic_vector SPICR_bits_7_8_cdc_to_spi => SPICR_bits_7_8_to_spi_clk,-- out ---------------------------- SR_3_modf_cdc_from_axi => SR_3_modf_frm_axi_clk, -- in SR_3_modf_cdc_to_spi => SR_3_modf_to_spi_clk , -- out ---------------------------- SPISSR_cdc_from_axi => SPISSR_frm_axi_clk, -- in SPISSR_cdc_to_spi => register_Data_slvsel_int, -- out ---------------------------- spiXfer_done_cdc_to_axi_1 => spiXfer_done_to_axi_1, ---------------------------- drr_Overrun_int_cdc_from_spi => drr_Overrun_int_frm_spi_clk, drr_Overrun_int_cdc_to_axi => drr_Overrun_int_to_axi_clk ---------------------------- ); -- Bu2IP Data to Interrupt Registers - IPISR and IPIER -- Bus2IP_Data - 0 31 -- IPISR/IPIER - 0 17 18 31 -- <---NA---> <-used-> -- 18 19 20 21 22 23 24 25 26 27 28 29 30 31 -- CMD_ Loop_Bk MSB Slave_Mode CPOL_CPHA DRR_Not Slave Tx_FIFO DRR_ DRR_ DTR_ DTR Slave MODF -- Error Error Error Error Error _Empty Select_mode Half_Empty Over_Run Full Underrun Empty MODF -- In Slave -- mode_only -- <---------------------------------------> <-------------------------------------------------------------> -- In C_SPI_MODE 1 or 2 only Present in all conditions -- IPISR Write -- when FIFO = 1,all other the IPIER, IPISR interrupt bits are applicable based upon the SPI mode. -- DRR_Not_Empty bit (bit 23) - available only in case of core is selected in -- slave mode and control register mst_n_slv bit is '0'. -- Slave_select_mode bit-available only in case of core is selected in slave mode -- common assignment to SPI_MODE 1/2 and SPI_MODE = 0 bus2IP_Data_for_interrupt_core(0 to 17) <= Bus2IP_Data(0 to 17); DUAL_MD_IPISR_GEN: if C_SPI_MODE = 1 or C_SPI_MODE = 2 generate ----------------------- begin ----- bus2IP_Data_for_interrupt_core(18 to 22) <= Bus2IP_Data(18 to 22); end generate DUAL_MD_IPISR_GEN; --------------------------------------------- STD_MD_IPISR_GEN: if C_SPI_MODE = 0 generate ----------------------------------- begin ----- bus2IP_Data_for_interrupt_core(18 to 22)<= (others => '0'); end generate STD_MD_IPISR_GEN; ------------------------------------------------ bus2IP_Data_for_interrupt_core(23) <= Bus2IP_Data(23) and -- exists only when FIFO = exists AND ((not spisel_d1_reg_to_axi_clk) --spisel_d1_reg) or -- core is selected by asserting SPISEL by ext. master AND (not SPICR_2_MST_N_SLV_frm_axi_clk) --Mst_N_Slv_mode) -- core is in slave mode ); bus2IP_Data_for_interrupt_core(24 to (C_S_AXI_DATA_WIDTH-1)) <= Bus2IP_Data(24 to (C_S_AXI_DATA_WIDTH-1)); -- ---------------------------------------------------- -- _____|------------- data_Exists_RcFIFO_int -- ________|---------- data_Exists_RcFIFO_int_d1 -- _____|--|__________ data_Exists_RcFIFO_pulse ---------------------------------------------------- DRR_NOT_EMPTY_PULSE_P: process(Bus2IP_Clk) is ----- begin ----- if (Bus2IP_Clk'event and Bus2IP_Clk = '1') then if (reset2ip_reset_int = RESET_ACTIVE) then data_Exists_RcFIFO_int_d1 <= '0'; else data_Exists_RcFIFO_int_d1 <= not rx_fifo_empty_i; -- data_Exists_RcFIFO_int; end if; end if; end process DRR_NOT_EMPTY_PULSE_P; ------------------------------------ data_Exists_RcFIFO_pulse <= not rx_fifo_empty_i and (not data_Exists_RcFIFO_int_d1); ------------------------------------ --------------------------------------------------------------------------- DUAL_MD_INTR_GEN: if C_SPI_MODE = 1 or C_SPI_MODE = 2 generate ----------------------- signal SPISR_4_CPOL_CPHA_Error_d1 : std_logic; signal SPISR_3_Slave_Mode_Error_d1 : std_logic; signal SPISR_2_MSB_Error_d1 : std_logic; signal SPISR_1_LOOP_Back_Error_d1 : std_logic; signal SPISR_0_CMD_Error_d1 : std_logic; signal SPISR_4_CPOL_CPHA_Error_pulse : std_logic; signal SPISR_3_Slave_Mode_Error_pulse: std_logic; signal SPISR_2_MSB_Error_pulse : std_logic; signal SPISR_1_LOOP_Back_Error_pulse : std_logic; signal SPISR_0_CMD_Error_pulse : std_logic; ----- begin ----- INTR_UPPER_BITS_P: process(Bus2IP_Clk) is ----- begin ----- if (Bus2IP_Clk'event and Bus2IP_Clk = '1') then if (reset2ip_reset_int = RESET_ACTIVE) then SPISR_0_CMD_Error_d1 <= '0'; SPISR_1_LOOP_Back_Error_d1 <= '0'; SPISR_2_MSB_Error_d1 <= '0'; SPISR_3_Slave_Mode_Error_d1 <= '0'; SPISR_4_CPOL_CPHA_Error_d1 <= '0'; else SPISR_0_CMD_Error_d1 <= SPISR_0_CMD_Error_to_axi_clk; -- SPISR_0_CMD_Error_int; SPISR_1_LOOP_Back_Error_d1 <= SPISR_1_LOOP_Back_Error_int; -- from SPICR SPISR_2_MSB_Error_d1 <= SPISR_2_MSB_Error_int; -- from SPICR SPISR_3_Slave_Mode_Error_d1 <= SPISR_3_Slave_Mode_Error_int;-- from SPICR SPISR_4_CPOL_CPHA_Error_d1 <= SPISR_4_CPOL_CPHA_Error_int; -- from SPICR end if; end if; end process INTR_UPPER_BITS_P; ------------------------------------ SPISR_0_CMD_Error_pulse <= SPISR_0_CMD_Error_to_axi_clk -- SPISR_0_CMD_Error_int and (not SPISR_0_CMD_Error_d1); SPISR_1_LOOP_Back_Error_pulse <= SPISR_1_LOOP_Back_Error_int and (not SPISR_1_LOOP_Back_Error_d1); SPISR_2_MSB_Error_pulse <= SPISR_2_MSB_Error_int and (not SPISR_2_MSB_Error_d1); SPISR_3_Slave_Mode_Error_pulse <= SPISR_3_Slave_Mode_Error_int and (not SPISR_3_Slave_Mode_Error_d1); SPISR_4_CPOL_CPHA_Error_pulse <= SPISR_4_CPOL_CPHA_Error_int and (not SPISR_4_CPOL_CPHA_Error_d1); -- Interrupt Status Register(IPISR) Mapping ip2Bus_IntrEvent_int(13) <= SPISR_0_CMD_Error_pulse; ip2Bus_IntrEvent_int(12) <= SPISR_1_LOOP_Back_Error_pulse; ip2Bus_IntrEvent_int(11) <= SPISR_2_MSB_Error_pulse; ip2Bus_IntrEvent_int(10) <= SPISR_3_Slave_Mode_Error_pulse; ip2Bus_IntrEvent_int(9) <= SPISR_4_CPOL_CPHA_Error_pulse ; end generate DUAL_MD_INTR_GEN; -------------------------------------------- STD_MD_INTR_GEN: if C_SPI_MODE = 0 generate ----------------------- begin ----- ip2Bus_IntrEvent_int(13) <= '0'; ip2Bus_IntrEvent_int(12) <= '0'; ip2Bus_IntrEvent_int(11) <= '0'; ip2Bus_IntrEvent_int(10) <= '0'; ip2Bus_IntrEvent_int(9) <= '0'; end generate STD_MD_INTR_GEN; ----------------------------------------------- ip2Bus_IntrEvent_int(8) <= data_Exists_RcFIFO_pulse and ((not spisel_d1_reg_to_axi_clk) -- spisel_d1_reg) or (not SPICR_2_MST_N_SLV_frm_axi_clk) -- Mst_N_Slv_mode) ); ip2Bus_IntrEvent_int(7) <= spisel_pulse_to_axi_clk;-- and not SPICR_2_MST_N_SLV_frm_axi_clk; -- spisel_pulse_o_int;-- spi_module ip2Bus_IntrEvent_int(6) <= tx_FIFO_less_half_int; -- qspi_fifo_ifmodule ip2Bus_IntrEvent_int(5) <= drr_Overrun_int_to_axi_clk; -- drr_Overrun_int; -- qspi_fifo_ifmodule ip2Bus_IntrEvent_int(4) <= rc_FIFO_Full_strobe_int; -- qspi_fifo_ifmodule ip2Bus_IntrEvent_int(3) <= dtr_Underrun_strobe_int; -- qspi_fifo_ifmodule ip2Bus_IntrEvent_int(2) <= tx_FIFO_Empty_strobe_int; -- qspi_fifo_ifmodule ip2Bus_IntrEvent_int(1) <= slave_MODF_strobe_to_axi_clk; --slave_MODF_strobe_int;-- spi_module ip2Bus_IntrEvent_int(0) <= modf_strobe_to_axi_clk; -- modf_strobe_int; -- spi_module --Combinatorial operations reset_TxFIFO_ptr_int <= reset2ip_reset_int or SPICR_5_TXFIFO_RST_frm_axi_clk; reset_TxFIFO_ptr_int_to_spi <= Rst_to_spi_int or SPICR_5_TXFIFO_to_spi_clk; --reset_RcFIFO_ptr_int <= Rst_to_spi_int or SPICR_6_RXFIFO_RST_to_spi_clk; -- SPICR_6_RXFIFO_RST_int; reset_RcFIFO_ptr_int <= reset2ip_reset_int or SPICR_6_RXFIFO_RST_frm_axi_clk; sr_5_Tx_Empty_int <= not (data_Exists_TxFIFO_int); Rc_FIFO_Empty_int <= Rx_FIFO_Empty;--not (data_Exists_RcFIFO_int); -- AXI Clk domain -- __________________ SPI clk domain --Dout --|AXI clk |-- Din --Rd_en --| |-- Wr_en --Rd_clk --| |-- Wr_clk --| |-- --Rx_FIFO_Empty --| Rx FIFO |-- Rx_FIFO_Full --Rx_FIFO_almost_Empty --| |-- Rx_FIFO_almost_Full --Rx_FIFO_occ_Reversed --| |-- --Rx_FIFO_rd_ack --| |-- --| |-- --| |-- --| |-- --|__________________|-- RX_RD_EN_LEG_MD_GEN: if C_TYPE_OF_AXI4_INTERFACE = 0 generate begin ----- IP2Bus_RdAck_receive_enable <= (rd_ce_reduce_ack_gen and Bus2IP_RdCE(SPIDRR) )and (not Rx_FIFO_Empty); end generate RX_RD_EN_LEG_MD_GEN; RX_RD_EN_ENHAN_MD_GEN: if C_TYPE_OF_AXI4_INTERFACE = 1 generate begin ----- IP2Bus_RdAck_receive_enable <= --(rd_ce_reduce_ack_gen and (rready and Bus2IP_RdCE(SPIDRR) )and (not Rx_FIFO_Empty); end generate RX_RD_EN_ENHAN_MD_GEN; -- Receive FIFO Logic rx_fifo_reset <= Rst_to_spi_int or reset_RcFIFO_ptr_to_spi_clk; RX_FIFO_II: entity lib_fifo_v1_0_5.async_fifo_fg --axi_quad_spi_v3_2_8_0.async_fifo_fg --lib_fifo_v1_0_5_4.async_fifo_fg generic map( -- for first word fall through FIFO below two parameters setting is must please dont change C_PRELOAD_LATENCY => 0 ,-- this is newly added and async_fifo_fg is referred from proc common v4_0 C_PRELOAD_REGS => 1 ,-- this is newly added and async_fifo_fg is referred from proc common v4_0 -- variables C_ALLOW_2N_DEPTH => 1 , -- : Integer := 0; -- New paramter to leverage FIFO Gen 2**N depth C_FAMILY => C_FAMILY , -- : String := "virtex5"; -- new for FIFO Gen C_DATA_WIDTH => C_NUM_TRANSFER_BITS, -- : integer := 16; C_FIFO_DEPTH => C_FIFO_DEPTH , -- : integer := 15; C_RD_COUNT_WIDTH => C_RD_COUNT_WIDTH_INT,-- : integer := 3 ; C_WR_COUNT_WIDTH => C_WR_COUNT_WIDTH_INT,-- : integer := 3 ; C_HAS_ALMOST_EMPTY => 1 , -- : integer := 1 ; C_HAS_ALMOST_FULL => 1 , -- : integer := 1 ; C_HAS_RD_ACK => 1 , -- : integer := 0 ; C_HAS_RD_COUNT => 1 , -- : integer := 1 ; C_HAS_WR_ACK => 1 , -- : integer := 0 ; C_HAS_WR_COUNT => 1 , -- : integer := 1 ; -- constants C_HAS_RD_ERR => 0 , -- : integer := 0 ; C_HAS_WR_ERR => 0 , -- : integer := 0 ; C_RD_ACK_LOW => 0 , -- : integer := 0 ; C_RD_ERR_LOW => 0 , -- : integer := 0 ; C_WR_ACK_LOW => 0 , -- : integer := 0 ; C_WR_ERR_LOW => 0 , -- : integer := 0 C_ENABLE_RLOCS => 0 , -- : integer := 0 ; -- not supported in FG C_USE_BLOCKMEM => 0 -- : integer := 1 ; -- 0 = distributed RAM, 1 = BRAM ) port map( Din => Data_To_Rx_FIFO , -- : in std_logic_vector(C_DATA_WIDTH-1 downto 0) := (others => '0'); Wr_en => spiXfer_done_int, --SPIXfer_done_Rx_Wr_en, -- , -- : in std_logic := '1'; Wr_clk => EXT_SPI_CLK , -- : in std_logic := '1'; Wr_ack => Rx_FIFO_wr_ack_open , -- : out std_logic; ------ Dout => Data_From_Rx_FIFO , -- : out std_logic_vector(C_DATA_WIDTH-1 downto 0); Rd_en => IP2Bus_RdAck_receive_enable , -- : in std_logic := '0'; Rd_clk => Bus2IP_Clk , -- : in std_logic := '1'; Rd_ack => Rx_FIFO_rd_ack , -- : out std_logic; ------ Full => Rx_FIFO_Full_Fifo_org , -- : out std_logic; Empty => Rx_FIFO_Empty , -- : out std_logic; Almost_full => Rx_FIFO_almost_Full , -- : out std_logic; Almost_empty => Rx_FIFO_almost_Empty , -- : out std_logic; Rd_count => Rx_FIFO_occ_Reversed , -- : out std_logic_vector(C_RD_COUNT_WIDTH-1 downto 0); ------ Ainit => rx_fifo_reset, -- reset_RcFIFO_ptr_to_spi_clk ,--reset_RcFIFO_ptr_int, -- reset_RcFIFO_ptr_to_spi_clk ,--Rx_FIFO_ptr_RST , -- : in std_logic := '1'; Wr_count => open , -- : out std_logic_vector(C_WR_COUNT_WIDTH-1 downto 0); Rd_err => open , -- : out std_logic; Wr_err => open -- : out std_logic ); RX_FIFO_EMPTY_SYNC_AXI_2_SPI_CDC : entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 1, -- 2 is ack based level sync C_RESET_STATE => 0 , -- no reset to be used in synchronisers C_SINGLE_BIT => 1 , C_FLOP_INPUT => 0 , C_VECTOR_WIDTH => 1 , C_MTBF_STAGES => 2 ) port map ( prmry_aclk => Bus2IP_Clk , prmry_resetn => '0', prmry_in => Rx_FIFO_Empty, scndry_aclk => EXT_SPI_CLK , prmry_vect_in => (others => '0' ), scndry_resetn => '0' , scndry_out => Rx_FIFO_Empty_Synced_in_SPI_domain ); Rx_FIFO_Full_Fifo <= Rx_FIFO_Full_Fifo_org and not Rx_FIFO_Empty_Synced_in_SPI_domain; RX_FULL_DELAY_PROCESS: process(EXT_SPI_CLK) is ---------------------- begin ----- if(EXT_SPI_CLK'event and EXT_SPI_CLK='1') then if (Rst_to_spi_int = '1') then Rx_FIFO_Full_Fifo_d1 <= '0'; else Rx_FIFO_Full_Fifo_d1 <= Rx_FIFO_Full_Fifo; end if; end if; end process RX_FULL_DELAY_PROCESS; RX_FULL_EDGE_PROCESS: process(Bus2IP_Clk) is ---------------------- begin ----- if(Bus2IP_Clk'event and Bus2IP_Clk='1') then if (reset2ip_reset_int = RESET_ACTIVE) then Rx_FIFO_Full_Fifo_d1_flag <= '0'; else Rx_FIFO_Full_Fifo_d1_flag <= Rx_FIFO_Full_Fifo_d1_synced; end if; end if; end process RX_FULL_EDGE_PROCESS; Rx_FIFO_Full_Fifo_pos_flag <= Rx_FIFO_Full_Fifo_d1_synced and (not Rx_FIFO_Full_Fifo_d1_flag); --Rx_FIFO_Full_Fifo_neg_flag <= (not Rx_FIFO_Full_Fifo_d1_synced) and Rx_FIFO_Full_Fifo_d1_flag; RX_FULL_GEN_PROCESS: process(Bus2IP_Clk) is ---------------------- begin ----- if(Bus2IP_Clk'event and Bus2IP_Clk='1') then if (reset2ip_reset_int = RESET_ACTIVE) then Rx_FIFO_Full_Fifo_d1_sig <= '0'; elsif(IP2Bus_RdAck_receive_enable = '1' and Rx_FIFO_Full_Fifo_d1_synced = '1')then Rx_FIFO_Full_Fifo_d1_sig <= '0'; elsif(Rx_FIFO_Full_Fifo_pos_flag = '1') then Rx_FIFO_Full_Fifo_d1_sig <= '1'; end if; end if; end process RX_FULL_GEN_PROCESS; ---------------------------------- RX_FIFO_FULL_SYNCED_SPI_2_AXI_CDC : entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 1, -- 2 is ack based level sync C_RESET_STATE => 0 , -- no reset to be used in synchronisers C_SINGLE_BIT => 1 , C_FLOP_INPUT => 0 , C_VECTOR_WIDTH => 1 , C_MTBF_STAGES => 2 ) port map ( prmry_aclk => EXT_SPI_CLK , prmry_resetn => '0', prmry_in => Rx_FIFO_Full_Fifo_d1, scndry_aclk => Bus2IP_Clk , prmry_vect_in => (others => '0' ), scndry_resetn => '0' , scndry_out => Rx_FIFO_Full_Fifo_d1_synced ); RX_FIFO_FULL_CNTR_I : entity axi_quad_spi_v3_2_8.counter_f generic map( C_NUM_BITS => RX_FIFO_CNTR_WIDTH, C_FAMILY => "nofamily" ) port map( Clk => Bus2IP_Clk, -- in Rst => '0', -- in Load_In => ALL_0, -- in Count_Enable => updown_cnt_en_rx, -- in ---------------- Count_Load => reset_RcFIFO_ptr_int, -- in ---------------- Count_Down => IP2Bus_RdAck_receive_enable, -- in Count_Out => rx_fifo_count, -- out std_logic_vector Carry_Out => open -- out ); --updown_cnt_en_rx <= IP2Bus_RdAck_receive_enable xor spiXfer_done_to_axi_1; --fifo_full_f_int <= Rx_FIFO_Full_Fifo_d1_synced when --updown_cnt_en_rx <= ((not spiXfer_done_to_axi_1) and IP2Bus_RdAck_receive_enable and Rx_FIFO_Full_int and (not Rx_FIFO_Full_Fifo_d1_synced)) or ((IP2Bus_RdAck_receive_enable xor spiXfer_done_to_axi_1) and (not Rx_FIFO_Full_Fifo_d1_synced) and (not Rx_FIFO_Full_int)); updown_cnt_en_rx <= ((not spiXfer_done_to_axi_1) and IP2Bus_RdAck_receive_enable and Rx_FIFO_Full_int and (not (Rx_FIFO_Full_Fifo_d1_sig or Rx_FIFO_Full_Fifo_pos_flag))) or ((IP2Bus_RdAck_receive_enable xor spiXfer_done_to_axi_1) and (not (Rx_FIFO_Full_Fifo_d1_sig or Rx_FIFO_Full_Fifo_pos_flag)) and (not Rx_FIFO_Full_int)); -- updown_cnt_en_rx <= (IP2Bus_RdAck_receive_enable and Rx_FIFO_Full_int) -- or (spiXfer_done_to_axi_1 and (not Rx_FIFO_Full_int)) -- or ((IP2Bus_RdAck_receive_enable xor spiXfer_done_to_axi_1) and (not Rx_FIFO_Full_int)); RX_one_less_than_full <= and_reduce(rx_fifo_count(RX_FIFO_CNTR_WIDTH-1 downto RX_FIFO_CNTR_WIDTH-RX_FIFO_CNTR_WIDTH+1)) and (not rx_fifo_count(0))and spiXfer_done_to_axi_1; RX_FULL_EMP_MD_12_INTR_GEN: if C_SPI_MODE /= 0 generate ----- --signal rx_fifo_empty_i : std_logic; begin ----- RX_FIFO_EMPTY_P:process(Bus2IP_Clk)is begin if(Bus2IP_Clk'event and Bus2IP_Clk = '1') then if(reset2ip_reset_int = RESET_ACTIVE)then rx_fifo_empty_i <= '1'; elsif(reset_RcFIFO_ptr_int = '1')then rx_fifo_empty_i <= '1'; elsif(spiXfer_done_to_axi_1 = '1')then rx_fifo_empty_i <= '0'; end if; end if; end process RX_FIFO_EMPTY_P; RX_FIFO_FULL_P:process(Bus2IP_Clk)is begin if(Bus2IP_Clk'event and Bus2IP_Clk = '1') then if(reset2ip_reset_int = RESET_ACTIVE)then Rx_FIFO_Full_int <= '0'; --elsif(reset_RcFIFO_ptr_int = '1') or (drr_Overrun_int_to_axi_clk = '1') then --(drr_Overrun_int = '1')then elsif(reset_RcFIFO_ptr_int = '1') then --(drr_Overrun_int = '1')then Rx_FIFO_Full_int <= '0'; elsif(Rx_FIFO_Full_int = '1' and IP2Bus_RdAck_receive_enable = '1') then -- IP2Bus_RdAck_receive_enable = '1')then Rx_FIFO_Full_int <= '0'; elsif(RX_one_less_than_full = '1' and spiXfer_done_to_axi_1 = '1' and rx_fifo_empty_i = '0')then Rx_FIFO_Full_int <= '1'; end if; end if; end process RX_FIFO_FULL_P; end generate RX_FULL_EMP_MD_12_INTR_GEN; ------------------------------------ RX_FULL_EMP_MD_0_GEN: if C_SPI_MODE = 0 generate --signal rx_fifo_empty_i : std_logic; ----- begin ----- RX_FIFO_EMPTY_P:process(Bus2IP_Clk)is begin if(Bus2IP_Clk'event and Bus2IP_Clk = '1') then if(reset2ip_reset_int = RESET_ACTIVE)then rx_fifo_empty_i <= '1'; elsif(reset_RcFIFO_ptr_int = '1')then rx_fifo_empty_i <= '1'; elsif(spiXfer_done_to_axi_1 = '1')then rx_fifo_empty_i <= '0'; end if; end if; end process RX_FIFO_EMPTY_P; ------------------------------------------- RX_FIFO_ABT_TO_FULL_P:process(Bus2IP_Clk)is begin if(Bus2IP_Clk'event and Bus2IP_Clk = '1') then if(reset2ip_reset_int = RESET_ACTIVE)then Rx_FIFO_Full_i <= '0'; --elsif(reset_RcFIFO_ptr_int = '1') or (drr_Overrun_int_to_axi_clk = '1') then -- (drr_Overrun_int = '1')then elsif(reset_RcFIFO_ptr_int = '1') then -- (drr_Overrun_int = '1')then Rx_FIFO_Full_i <= '0'; elsif(Rx_FIFO_Full_int = '1')then Rx_FIFO_Full_i <= '0'; elsif(RX_one_less_than_full = '1')then Rx_FIFO_Full_i <= '1'; end if; end if; end process RX_FIFO_ABT_TO_FULL_P; ------------------------------------- RX_FIFO_FULL_P: process(Bus2IP_Clk)is begin ----- if(Bus2IP_Clk'event and Bus2IP_Clk = '1') then if(reset2ip_reset_int = RESET_ACTIVE)then Rx_FIFO_Full_int <= '0'; --elsif(reset_RcFIFO_ptr_int = '1') or (drr_Overrun_int_to_axi_clk = '1') then -- (drr_Overrun_int = '1')then elsif(reset_RcFIFO_ptr_int = '1') then -- (drr_Overrun_int = '1')then Rx_FIFO_Full_int <= '0'; elsif(Rx_FIFO_Full_int = '1' and IP2Bus_RdAck_receive_enable = '1') then -- IP2Bus_RdAck_receive_enable = '1')then Rx_FIFO_Full_int <= '0'; elsif(Rx_FIFO_Full_i = '1')then Rx_FIFO_Full_int <= '1'; end if; end if; end process RX_FIFO_FULL_P; --------------------------------- Rx_FIFO_Full <= Rx_FIFO_Full_int; end generate RX_FULL_EMP_MD_0_GEN; Rx_FIFO_Empty_int <= Rx_FIFO_Empty or Rx_FIFO_Empty_i; ----------------------------------------------------------------------------- -- AXI Clk domain -- __________________ SPI clk domain --Din --|AXI clk |-- Dout --Wr_en --| |-- Rd_en --Wr_clk --| |-- Rd_clk --| |-- --Tx_FIFO_Full --| Tx FIFO |-- Tx_FIFO_Empty --Tx_FIFO_almost_Full --| |-- Tx_FIFO_almost_Empty --Tx_FIFO_occ_Reversed --| |-- Tx_FIFO_rd_ack --Tx_FIFO_wr_ack --| |-- --| |-- --| |-- --| |-- --|__________________|-- TX_TR_EN_LEG_MD_GEN: if C_TYPE_OF_AXI4_INTERFACE = 0 generate begin ----- IP2Bus_WrAck_transmit_enable <= (wr_ce_reduce_ack_gen and Bus2IP_WrCE(SPIDTR) ) and (not Tx_FIFO_Full);-- after 100 ps; end generate TX_TR_EN_LEG_MD_GEN; TX_TR_EN_ENHAN_MD_GEN: if C_TYPE_OF_AXI4_INTERFACE = 1 generate signal local_tr_en : std_logic; begin ----- --IP2Bus_WrAck_transmit_enable <= (wr_ce_reduce_ack_gen and -- Bus2IP_WrCE(SPIDTR) -- ) and -- (not Tx_FIFO_Full) -- when burst_tr = '0' else -- (Bus2IP_WrCE(SPIDTR) -- and -- (not Tx_FIFO_Full));-- after 100 ps; local_tr_en <= Bus2IP_WrCE(SPIDTR) and (not Tx_FIFO_Full); --local_tr_en1 <= Bus2IP_WrCE_d1 and (not Tx_FIFO_Full); TR_EN_P:process(wr_ce_reduce_ack_gen, local_tr_en, burst_tr, WVALID)is begin if(burst_tr = '1') then IP2Bus_WrAck_transmit_enable <= local_tr_en and WVALID; -- Bus2IP_WrCE_d1 and (not Tx_FIFO_Full); --local_tr_en; else IP2Bus_WrAck_transmit_enable <= local_tr_en and wr_ce_reduce_ack_gen; end if; end process TR_EN_P; end generate TX_TR_EN_ENHAN_MD_GEN; Data_To_TxFIFO <= Bus2IP_Data((C_S_AXI_DATA_WIDTH-C_NUM_TRANSFER_BITS) to(C_S_AXI_DATA_WIDTH-1));-- after 100 ps; -- Transmit FIFO Logic tx_fifo_reset <= reset2ip_reset_int or reset_TxFIFO_ptr_int; TX_FIFO_II: entity lib_fifo_v1_0_5.async_fifo_fg -- entity axi_quad_spi_v3_2_8_0.async_fifo_fg -- lib_fifo_v1_0_5_4.async_fifo_fg generic map ( -- for first word fall through FIFO below two parameters setting is must please dont change C_PRELOAD_LATENCY => 0 ,-- this is newly added and async_fifo_fg is referred from proc common v4_0 C_PRELOAD_REGS => 1 ,-- this is newly added and async_fifo_fg is referred from proc common v4_0 -- variables C_ALLOW_2N_DEPTH => 1 , -- : Integer := 0; -- New paramter to leverage FIFO Gen 2**N depth C_FAMILY => C_FAMILY , -- : String := "virtex5"; -- new for FIFO Gen C_DATA_WIDTH => C_NUM_TRANSFER_BITS, -- : integer := 16; C_FIFO_DEPTH => C_FIFO_DEPTH , -- : integer := 15; C_RD_COUNT_WIDTH => C_RD_COUNT_WIDTH_INT,-- : integer := 3 ; C_WR_COUNT_WIDTH => C_WR_COUNT_WIDTH_INT,-- : integer := 3 ; C_HAS_ALMOST_EMPTY => 1 , -- : integer := 1 ; C_HAS_ALMOST_FULL => 1 , -- : integer := 1 ; C_HAS_RD_ACK => 1 , -- : integer := 0 ; C_HAS_RD_COUNT => 1 , -- : integer := 1 ; C_HAS_WR_ACK => 1 , -- : integer := 0 ; C_HAS_WR_COUNT => 1 , -- : integer := 1 ; -- constants C_HAS_RD_ERR => 0 , -- : integer := 0 ; C_HAS_WR_ERR => 0 , -- : integer := 0 ; C_RD_ACK_LOW => 0 , -- : integer := 0 ; C_RD_ERR_LOW => 0 , -- : integer := 0 ; C_WR_ACK_LOW => 0 , -- : integer := 0 ; C_WR_ERR_LOW => 0 , -- : integer := 0 C_ENABLE_RLOCS => 0 , -- : integer := 0 ; -- not supported in FG C_USE_BLOCKMEM => 0 -- : integer := 1 ; -- 0 = distributed RAM, 1 = BRAM ) port map ( -- writing will be through AXI clock Wr_clk => Bus2IP_Clk , -- : in std_logic := '1'; Din => Data_To_TxFIFO , -- : in std_logic_vector(C_DATA_WIDTH-1 downto 0) := (others => '0'); Wr_en => IP2Bus_WrAck_transmit_enable, -- : in std_logic := '1'; Wr_ack => Tx_FIFO_wr_ack , -- : out std_logic; ------ -- reading will be through SPI clock Rd_clk => EXT_SPI_CLK , -- : in std_logic := '1'; Dout => Data_From_TxFIFO , -- : out std_logic_vector(C_DATA_WIDTH-1 downto 0); Rd_en => SPIXfer_done_rd_tx_en , -- : in std_logic := '0'; Rd_ack => Tx_FIFO_rd_ack_open , -- : out std_logic; ------ Full => Tx_FIFO_Full , -- : out std_logic; Empty => Tx_FIFO_Empty , -- : out std_logic; Almost_full => Tx_FIFO_almost_Full , -- : out std_logic; Almost_empty => Tx_FIFO_almost_Empty , -- : out std_logic; Rd_count => open , -- : out std_logic_vector(C_RD_COUNT_WIDTH-1 downto 0); ------ Ainit => reset_TxFIFO_ptr_int ,--Tx_FIFO_ptr_RST , -- : in std_logic := '1'; Wr_count => Tx_FIFO_occ_Reversed , -- : out std_logic_vector(C_WR_COUNT_WIDTH-1 downto 0); Rd_err => open , -- : out std_logic; Wr_err => open -- : out std_logic ); --tx_occ_msb <= tx_fifo_count(TX_FIFO_CNTR_WIDTH-1); -- --Tx_FIFO_occ_Reversed(C_WR_COUNT_WIDTH_INT-1); --tx_occ_msb_1 <= (tx_fifo_count(TX_FIFO_CNTR_WIDTH-1));-- and not(or_reduce(tx_fifo_count(TX_FIFO_CNTR_WIDTH-2 downto 0))) ;-- --and not Tx_FIFO_Empty_SPISR_to_axi_clk;-- and not Tx_FIFO_Full_int; -- --Tx_FIFO_occ_Reversed(C_WR_COUNT_WIDTH_INT-1); tx_occ_msb_11 <= (tx_fifo_count); FIFO_16_OCC_MSB_GEN: if C_FIFO_DEPTH = 16 generate begin tx_occ_msb_1 <= tx_occ_msb_11(3); end generate FIFO_16_OCC_MSB_GEN; FIFO_256_OCC_MSB_GEN: if C_FIFO_DEPTH = 256 generate begin tx_occ_msb_1 <= tx_occ_msb_11(7); end generate FIFO_256_OCC_MSB_GEN; TX_OCC_MSB_P: process (Bus2IP_Clk)is begin if(Bus2IP_Clk'event and Bus2IP_Clk = '1') then if(reset2ip_reset_int = RESET_ACTIVE)then tx_occ_msb_2 <= '0'; tx_occ_msb_3 <= '0'; tx_occ_msb_4 <= '0'; else tx_occ_msb_2 <= tx_occ_msb_1; tx_occ_msb_3 <= tx_occ_msb_2; tx_occ_msb_4 <= tx_occ_msb_3; end if; end if; end process TX_OCC_MSB_P; tx_occ_msb <= tx_occ_msb_4 and not Tx_FIFO_Empty_SPISR_to_axi_clk; data_Exists_TxFIFO_int <= not (Tx_FIFO_Empty); ----------------------------------------------------------- TX_FIFO_EMPTY_CNTR_I : entity axi_quad_spi_v3_2_8.counter_f generic map( C_NUM_BITS => TX_FIFO_CNTR_WIDTH, C_FAMILY => "nofamily" ) port map( Clk => Bus2IP_Clk, -- in Rst => '0', -- in Load_In => ALL_0, -- in Count_Enable => updown_cnt_en, -- in ---------------- Count_Load => reset_TxFIFO_ptr_int, -- in ---------------- Count_Down => spiXfer_done_to_axi_1, -- in Count_Out => tx_fifo_count, -- out std_logic_vector Carry_Out => open -- out ); updown_cnt_en <= IP2Bus_WrAck_transmit_enable xor spiXfer_done_to_axi_1; ---------------------------------------- TX_FULL_EMP_INTR_MD_12_GEN: if C_SPI_MODE /=0 generate ----- begin ----- Tx_FIFO_Empty_intr <= not (or_reduce(tx_fifo_count(TX_FIFO_CNTR_WIDTH-1 downto 0))) -- and (tx_fifo_count(0)) and spiXfer_done_to_axi_1 and ( Tx_FIFO_Empty_SPISR_to_axi_clk); -- and ( Tx_FIFO_Empty); Tx_FIFO_Full_int <= Tx_FIFO_Full; end generate TX_FULL_EMP_INTR_MD_12_GEN; ---------------------------------------- ---------------------------------------- TX_FULL_EMP_INTR_MD_0_GEN: if C_SPI_MODE =0 generate ----- begin ----- -- Tx_FIFO_one_less_to_Empty <= not(or_reduce(tx_fifo_count(TX_FIFO_CNTR_WIDTH-1 downto 0))) -- --and (tx_fifo_count(0)) -- and spiXfer_done_to_axi_1;--tx_cntr_xfer_done_to_axi_1_clk; -- -- -------------------------------------------- -- TX_FIFO_ABT_TO_EMPTY_P:process(Bus2IP_Clk)is -- begin -- if(Bus2IP_Clk'event and Bus2IP_Clk = '1') then -- if(reset2ip_reset_int = RESET_ACTIVE)then -- Tx_FIFO_Empty_i <= '0'; -- elsif(Tx_FIFO_Empty_int = '1')then -- Tx_FIFO_Empty_i <= '0'; -- elsif(Tx_FIFO_one_less_to_Empty = '1') or then -- Tx_FIFO_Empty_i <= '1'; -- end if; -- end if; -- end process TX_FIFO_ABT_TO_EMPTY_P; -- -------------------------------------- -- TX_FIFO_EMPTY_P: process(Bus2IP_Clk)is -- begin -- ----- -- if(Bus2IP_Clk'event and Bus2IP_Clk = '1') then -- if(reset2ip_reset_int = RESET_ACTIVE)then -- Tx_FIFO_Empty_int <= '0'; -- elsif(Tx_FIFO_Empty_int = '1' and spiXfer_done_to_axi_1 = '1')then -- Tx_FIFO_Empty_int <= '0'; -- elsif(Tx_FIFO_Empty_i = '1')then -- Tx_FIFO_Empty_int <= '1'; -- end if; -- end if; -- end process TX_FIFO_EMPTY_P; -------------------------------- -- Tx_FIFO_Empty_intr <= Tx_FIFO_Empty_int and spiXfer_done_to_axi_1; -------------------------------- TX_FIFO_CNTR_DELAY_P: process(Bus2IP_Clk)is begin ----- if(Bus2IP_Clk'event and Bus2IP_Clk = '1') then if(reset2ip_reset_int = RESET_ACTIVE)then tx_fifo_count_d1 <= (others => '0'); tx_fifo_count_d2 <= (others => '0'); spiXfer_done_to_axi_d1 <= '0'; else tx_fifo_count_d1 <= tx_fifo_count; tx_fifo_count_d2 <= tx_fifo_count_d1; spiXfer_done_to_axi_d1 <= spiXfer_done_to_axi_1; end if; end if; end process TX_FIFO_CNTR_DELAY_P; Tx_FIFO_Empty_intr <= (not (or_reduce(tx_fifo_count_d2(TX_FIFO_CNTR_WIDTH-1 downto 0))) -- and (tx_fifo_count(0)) and spiXfer_done_to_axi_d1 and ( Tx_FIFO_Empty_SPISR_to_axi_clk)); TX_one_less_than_full <= and_reduce(tx_fifo_count(TX_FIFO_CNTR_WIDTH-1 downto TX_FIFO_CNTR_WIDTH-TX_FIFO_CNTR_WIDTH+1)) and (not tx_fifo_count(0))and IP2Bus_WrAck_transmit_enable; ------------------------------------------- TX_FIFO_ABT_TO_FULL_P:process(Bus2IP_Clk)is begin if(Bus2IP_Clk'event and Bus2IP_Clk = '1') then if(reset2ip_reset_int = RESET_ACTIVE)then Tx_FIFO_Full_i <= '0'; elsif(reset_TxFIFO_ptr_int = '1')then Tx_FIFO_Full_i <= '0'; elsif(Tx_FIFO_Full_int = '1')then Tx_FIFO_Full_i <= '0'; elsif(TX_one_less_than_full = '1')then Tx_FIFO_Full_i <= '1'; end if; end if; end process TX_FIFO_ABT_TO_FULL_P; ---------------------------------- TX_FIFO_FULL_P: process(Bus2IP_Clk)is begin ----- if(Bus2IP_Clk'event and Bus2IP_Clk = '1') then if(reset2ip_reset_int = RESET_ACTIVE)then Tx_FIFO_Full_int <= '0'; elsif(reset_TxFIFO_ptr_int = '1')then Tx_FIFO_Full_int <= '0'; elsif(Tx_FIFO_Full_int = '1' and spiXfer_done_to_axi_1 = '1')then Tx_FIFO_Full_int <= '0'; elsif(Tx_FIFO_Full_i = '1') then -- and spiXfer_done_to_axi_1 = '1')then Tx_FIFO_Full_int <= '1'; end if; end if; end process TX_FIFO_FULL_P; --------------------------- end generate TX_FULL_EMP_INTR_MD_0_GEN; ---------------------------------------- ------------------------------------------------------------------------------- -- I_FIFO_IF_MODULE : INSTANTIATE FIFO INTERFACE MODULE ------------------------------------------------------------------------------- Rx_FIFO_Full_Fifo_d1_synced_i <= Rx_FIFO_Full_Fifo_d1_synced and (not Rx_FIFO_Empty); FIFO_IF_MODULE_I: entity axi_quad_spi_v3_2_8.qspi_fifo_ifmodule generic map ( C_NUM_TRANSFER_BITS => C_NUM_TRANSFER_BITS ) port map ( Bus2IP_Clk => Bus2IP_Clk , -- in Soft_Reset_op => reset2ip_reset_int, -- in -- Slave attachment ports from AXI clock Bus2IP_RcFIFO_RdCE => Bus2IP_RdCE(SPIDRR),-- axiclk -- in Bus2IP_TxFIFO_WrCE => Bus2IP_WrCE(SPIDTR),-- axi clk -- in Rd_ce_reduce_ack_gen => rd_ce_reduce_ack_gen,-- axi clk -- in -- FIFO ports Data_From_TxFIFO => Data_From_TxFIFO ,-- spi clk -- in vec Data_From_Rc_FIFO => Data_From_Rx_FIFO ,-- axi clk -- in vec Tx_FIFO_Data_WithZero => transmit_Data_int ,-- spi clk -- out vec IP2Bus_RX_FIFO_Data => IP2Bus_Receive_Reg_Data_int, -- out vec --------------------- --Rc_FIFO_Full => Rx_FIFO_Full_int, -- Rx_FIFO_Full_to_axi_clk, -- in Rc_FIFO_Full => Rx_FIFO_Full_Fifo_d1_synced_i, -- Rx_FIFO_Full_to_axi_clk, -- in Rc_FIFO_Full_strobe => rc_FIFO_Full_strobe_int, -- out --------------------- Tx_FIFO_Empty => Tx_FIFO_Empty_intr , -- Tx_FIFO_Empty_to_Axi_clk, -- sr_5_Tx_Empty_int,-- spi clk -- in Tx_FIFO_Empty_strobe => tx_FIFO_Empty_strobe_int, -- out --------------------- Rc_FIFO_Empty => Rx_FIFO_Empty_int, -- 13-09-2012 rx_fifo_empty_i, -- Rx_FIFO_Empty , -- Rc_FIFO_Empty_int, -- in Receive_ip2bus_error => receive_ip2bus_error, -- out Tx_FIFO_Full => Tx_FIFO_Full_int, -- in Transmit_ip2bus_error => transmit_ip2bus_error, -- out --------------------- Tx_FIFO_Occpncy_MSB => tx_occ_msb, -- in Tx_FIFO_less_half => tx_FIFO_less_half_int, -- out --------------------- DTR_underrun => dtr_underrun_to_axi_clk,-- dtr_underrun_int,-- in DTR_Underrun_strobe => dtr_Underrun_strobe_int, -- out --------------------- SPIXfer_done => spiXfer_done_to_axi_1, -- spiXfer_done_int, -- in rready => rready -- DRR_Overrun_reg => drr_Overrun_int -- out ); ------------------------------------------------------------------------------- -- TX_OCCUPANCY_I : INSTANTIATE TRANSMIT OCCUPANCY REGISTER ------------------------------------------------------------------------------- TX_OCCUPANCY_I: entity axi_quad_spi_v3_2_8.qspi_occupancy_reg generic map ( C_OCCUPANCY_NUM_BITS => C_OCCUPANCY_NUM_BITS ) port map ( --Slave attachment ports Bus2IP_OCC_REG_RdCE => Bus2IP_RdCE(SPITFOR), -- in --FIFO port IP2Reg_OCC_Data => tx_fifo_count, -- tx_FIFO_occ_Reversed, -- in vec IP2Bus_OCC_REG_Data => IP2Bus_Tx_FIFO_OCC_Reg_Data_int -- out vec ); ------------------------------------------------------------------------------- -- RX_OCCUPANCY_I : INSTANTIATE RECEIVE OCCUPANCY REGISTER ------------------------------------------------------------------------------- RX_OCCUPANCY_I: entity axi_quad_spi_v3_2_8.qspi_occupancy_reg generic map ( C_OCCUPANCY_NUM_BITS => C_OCCUPANCY_NUM_BITS--, ) port map ( --Slave attachment ports Bus2IP_OCC_REG_RdCE => Bus2IP_RdCE(SPIRFOR), -- in --FIFO port IP2Reg_OCC_Data => rx_fifo_count, --rx_FIFO_occ_Reversed, -- in vec IP2Bus_OCC_REG_Data => IP2Bus_Rx_FIFO_OCC_Reg_Data_int -- out vec ); end generate FIFO_EXISTS; -------------------------------------------- -- LOGIC_FOR_MD_0_GEN: in stantiate the original SPI module when the core is configured in Standard SPI mode. ------------------------------ LOGIC_FOR_MD_0_GEN: if C_SPI_MODE = 0 generate --------------------------- signal SCK_O_int : std_logic; signal MISO_I_int: std_logic; ----- begin ----- -- un used IO2 and IO3 O/P ports are tied to 0 and T ports are tied to '1' DATA_STARTUP_USED : if C_USE_STARTUP = 1 generate ----- begin ----- -- IO2_O <= do(2); -- IO2_T <= dts(2); -- IO3_O <= do(3); -- IO3_T <= dts(3); IO2_O <= '0'; IO2_T <= '1'; IO3_O <= '0'; IO3_T <= '1'; SPISR_0_CMD_Error_int <= '0'; -- no command error when C_SPI_MODE= 0 end generate DATA_STARTUP_USED; ------------------------------------------------------- SCK_MISO_NO_STARTUP_USED: if C_USE_STARTUP = 0 generate ----- begin ----- IO2_O <= '0'; IO2_T <= '1'; IO3_O <= '0'; IO3_T <= '1'; SCK_O <= SCK_O_int; -- output from the core MISO_I_int <= IO1_I; -- input to the core end generate SCK_MISO_NO_STARTUP_USED; ------------------------------------------------------- ------------------------------------------------------- SCK_MISO_STARTUP_USED: if C_USE_STARTUP = 1 generate ----- begin ----- QSPI_STARTUP_BLOCK_I: entity axi_quad_spi_v3_2_8.qspi_startup_block --------------------- generic map ( C_SUB_FAMILY => C_SUB_FAMILY , -- support for V6/V7/K7/A7 families only ----------------- C_USE_STARTUP => C_USE_STARTUP, ----------------- C_SHARED_STARTUP => C_SHARED_STARTUP, ----------------- C_SPI_MODE => C_SPI_MODE ----------------- ) port map ( SCK_O => SCK_O_int, -- : in std_logic; -- input from the qspi_mode_0_module IO1_I_startup => IO1_I, -- : in std_logic; -- input from the top level port list IO1_Int => MISO_I_int,-- : out std_logic Bus2IP_Clk => Bus2IP_Clk, reset2ip_reset => reset2ip_reset_int, CFGCLK => cfgclk, -- FGCLK , -- 1-bit output: Configuration main clock output CFGMCLK => cfgmclk, -- FGMCLK , -- 1-bit output: Configuration internal oscillator clock output EOS => eos, -- OS , -- 1-bit output: Active high output signal indicating the End Of Startup. PREQ => preq, -- REQ , -- 1-bit output: PROGRAM request to fabric output DI => di_int, -- output DO => do_int, -- 4-bit input DTS => dts_int, -- 4-bit input FCSBO => fcsbo_int, -- 1-bit input FCSBTS => fcsbts_int,-- 1-bit input CLK => clk, -- 1-bit input, SetReset GSR => gsr, -- 1-bit input, SetReset GTS => gts, -- 1-bit input KEYCLEARB => keyclearb, --1-bit input PACK => pack, --1-bit input USRCCLKTS => usrcclkts, -- SRCCLKTS , -- 1-bit input USRDONEO => usrdoneo, -- SRDONEO , -- 1-bit input USRDONETS => usrdonets -- SRDONETS -- 1-bit input ); -------------------- end generate SCK_MISO_STARTUP_USED; ------------------------------------------------------- ---------------------------------------------------------------------------- -- SPI_MODULE_I : INSTANTIATE SPI MODULE ---------------------------------------------------------------------------- SPI_MODULE_I: entity axi_quad_spi_v3_2_8.qspi_mode_0_module ------------- generic map ( C_SCK_RATIO => C_SCK_RATIO , C_USE_STARTUP => C_USE_STARTUP , C_SPICR_REG_WIDTH => C_SPICR_REG_WIDTH , C_NUM_SS_BITS => C_NUM_SS_BITS , C_NUM_TRANSFER_BITS => C_NUM_TRANSFER_BITS , C_SUB_FAMILY => C_SUB_FAMILY , C_FIFO_EXIST => C_FIFO_EXIST ) port map ( Bus2IP_Clk => EXT_SPI_CLK, -- in Soft_Reset_op => Rst_to_spi_int, -- in ------------------------ SPICR_0_LOOP => SPICR_0_LOOP_to_spi_clk,--_int, SPICR_1_SPE => SPICR_1_SPE_to_spi_clk,--_int, SPICR_2_MASTER_N_SLV => SPICR_2_MST_N_SLV_to_spi_clk,--_int, SPICR_3_CPOL => SPICR_3_CPOL_to_spi_clk,--_int, SPICR_4_CPHA => SPICR_4_CPHA_to_spi_clk,--_int, SPICR_5_TXFIFO_RST => SPICR_5_TXFIFO_to_spi_clk, -- SPICR_5_TXFIFO_RST_to_spi_clk,--_int, SPICR_6_RXFIFO_RST => SPICR_6_RXFIFO_RST_to_spi_clk,--_int, SPICR_7_SS => SPICR_7_SS_to_spi_clk,--_int, SPICR_8_TR_INHIBIT => SPICR_8_TR_INHIBIT_to_spi_clk,--_int, SPICR_9_LSB => SPICR_9_LSB_to_spi_clk,--_int, ------------------------ Rx_FIFO_Empty_i_no_fifo => Rx_FIFO_Empty_i, -- in SR_3_MODF => SR_3_modf_to_spi_clk, -- in SR_5_Tx_Empty => Tx_FIFO_Empty, -- sr_5_Tx_Empty_int, -- in Slave_MODF_strobe => slave_MODF_strobe_int, -- out MODF_strobe => modf_strobe_int, -- out Slave_Select_Reg => register_Data_slvsel_int, -- already updated -- in vec Transmit_Data => Data_From_TxFIFO, -- transmit_Data_int, -- in vec Receive_Data => Data_To_Rx_FIFO, -- receive_Data_int, -- out vec SPIXfer_done => spiXfer_done_int, -- out -- SPIXfer_done_Rx_Wr_en=> SPIXfer_done_Rx_Wr_en, DTR_underrun => dtr_underrun_int, -- out SPIXfer_done_rd_tx_en=> SPIXfer_done_rd_tx_en, --SPI Ports SCK_I => SCK_I, -- in SCK_O_reg => SCK_O_int, -- out SCK_T => SCK_T, -- out MISO_I => str_IO1_I, --IO0_I, -- MOSI_I, -- in std_logic; -- MISO MISO_O => str_IO1_O,--IO0_O, -- MOSI_O, -- out std_logic; MISO_T => str_IO1_T, --IO0_T, -- MOSI_T, -- out std_logic; MOSI_I => str_IO0_I,--MISO_I_int, -- IO1_I, -- MISO_I, -- in std_logic; MOSI_O => str_IO0_O,--IO1_O, -- MISO_O, -- out std_logic; -- MOSI MOSI_T => str_IO0_T,--IO1_T, -- MISO_T, -- out std_logic; --MISO_I => MISO_I_int, -- IO1_I, -- MISO_I, -- in --MISO_O => IO1_O, -- MISO_O, -- out --MISO_T => IO1_T, -- MISO_T, -- out --MOSI_I => IO0_I, -- MOSI_I, -- in --MOSI_O => IO0_O, -- MOSI_O, -- out --MOSI_T => IO0_T, -- MOSI_T, -- out SPISEL => SPISEL, -- in SS_I => SS_I_int, -- in SS_O => SS_O_int, -- out SS_T => SS_T_int, -- out SPISEL_pulse_op => spisel_pulse_o_int , -- out std_logic; SPISEL_d1_reg => spisel_d1_reg , -- out std_logic; control_bit_7_8 => SPICR_bits_7_8_to_spi_clk, -- in vec Mst_N_Slv_mode => Mst_N_Slv_mode , --Rx_FIFO_Full => Rx_FIFO_Full_to_spi_clk, Rx_FIFO_Full => Rx_FIFO_Full_Fifo, DRR_Overrun_reg => drr_Overrun_int, -- out reset_RcFIFO_ptr_to_spi => reset_RcFIFO_ptr_to_spi_clk, tx_cntr_xfer_done => tx_cntr_xfer_done ); ------------- end generate LOGIC_FOR_MD_0_GEN; ---------------------------------------- -- LOGIC_FOR_MD_12_GEN: to generate the functionality for mode 1 and 2. ------------------------------ LOGIC_FOR_MD_12_GEN: if C_SPI_MODE /= 0 generate --------------------------- signal SCK_O_int : std_logic; signal MISO_I_int: std_logic; signal Data_Dir_int : std_logic; signal Data_Mode_1_int : std_logic; signal Data_Mode_0_int : std_logic; signal Data_Phase_int : std_logic; signal Addr_Mode_1_int : std_logic; signal Addr_Mode_0_int : std_logic; signal Addr_Bit_int : std_logic; signal Addr_Phase_int : std_logic; signal CMD_Mode_1_int : std_logic; signal CMD_Mode_0_int : std_logic; signal CMD_Error_int : std_logic; signal CMD_decoded_int : std_logic; signal Dummy_Bits_int : std_logic_vector(3 downto 0); ----- begin ----- LOGIC_FOR_C_SPI_MODE_1_GEN: if C_SPI_MODE = 1 generate ------- begin ------- -- DATA_STARTUP_USED_MODE1 : if C_USE_STARTUP = 1 generate -- ----- -- begin -- ----- -- IO2_O <= do(2); -- IO2_T <= dts(2); -- IO3_O <= do(3); -- IO3_T <= dts(3); -- --IO2_I_int <= di(2);-- assign default value as this bit is not used in thid mode -- IO2_I_int <= '0';-- assign default value as this bit is not used in thid mode -- --IO3_I_int <= di(3);-- assign default value as this bit is not used in thid mode -- IO3_I_int <= '0';-- assign default value as this bit is not used in thid mode --end generate DATA_STARTUP_USED_MODE1; -- --DATA_NOSTARTUP_USED_MODE1 : if C_USE_STARTUP = 0 generate -- ----- -- begin -- ----- IO2_O <= '0'; -- not used in the logic IO3_O <= '0'; -- not used in the logic IO2_T <= '1'; -- disable the tri-state buffers IO3_T <= '1'; -- disable the tri-state buffers IO2_I_int <= '0';-- assign default value as this bit is not used in thid mode IO3_I_int <= '0';-- assign default value as this bit is not used in thid mode --end generate DATA_NOSTARTUP_USED_MODE1; end generate LOGIC_FOR_C_SPI_MODE_1_GEN; --------------------------------------- LOGIC_FOR_C_SPI_MODE_2_GEN: if C_SPI_MODE = 2 generate ------- begin ------- DATA_STARTUP_USED_MODE2 : if (C_USE_STARTUP = 1 and C_UC_FAMILY = 1) generate ----- begin ----- di <= "00"; end generate DATA_STARTUP_USED_MODE2; DATA_NOSTARTUP_USED_MODE2 : if (C_USE_STARTUP = 0 or (C_USE_STARTUP = 1 and C_UC_FAMILY = 0)) generate ----- begin ----- IO2_I_int <= IO2_I; -- assign this bit from the top level port IO2_O <= IO2_O_int; IO2_T <= IO2_T_int; IO3_I_int <= IO3_I; -- assign this bit from the top level port IO3_O <= IO3_O_int; IO3_T <= IO3_T_int; end generate DATA_NOSTARTUP_USED_MODE2; end generate LOGIC_FOR_C_SPI_MODE_2_GEN; --------------------------------------- SPISR_0_CMD_Error_int <= CMD_Error_int; dtr_underrun_int <= '0'; -- SPI MODE 1 & 2 are master modes, so DTR under run wont be present slave_MODF_strobe_int <= '0'; -- SPI MODE 1 & 2 are master modes, so the slave mode fault error wont appear Mst_N_Slv_mode <= '1'; ------------------------------------------------------- -- SCK_O <= SCK_O_int; -- output from the core -- MISO_I_int <= IO1_I; -- input to the core -- * ------------------------------------------------------- SCK_MISO_NO_STARTUP_USED: if C_USE_STARTUP = 0 generate ----- begin ----- SCK_O <= SCK_O_int; -- output from the core MISO_I_int <= IO1_I; -- input to the core end generate SCK_MISO_NO_STARTUP_USED; ------------------------------------------------------- ------------------------------------------------------- SCK_MISO_STARTUP_USED: if C_USE_STARTUP = 1 generate ----- begin ----- QSPI_STARTUP_BLOCK_I: entity axi_quad_spi_v3_2_8.qspi_startup_block --------------------- generic map ( C_SUB_FAMILY => C_SUB_FAMILY , -- support for V6/V7/K7/A7 families only ----------------- C_USE_STARTUP => C_USE_STARTUP, ----------------- C_SHARED_STARTUP => C_SHARED_STARTUP, ----------------- C_SPI_MODE => C_SPI_MODE ----------------- ) port map ( SCK_O => SCK_O_int, -- : in std_logic; -- input from the qspi_mode_0_module IO1_I_startup => IO1_I, -- : in std_logic; -- input from the top level port list IO1_Int => MISO_I_int,-- : out std_logic Bus2IP_Clk => Bus2IP_Clk, reset2ip_reset => reset2ip_reset_int, CFGCLK => cfgclk, -- FGCLK , -- 1-bit output: Configuration main clock output CFGMCLK => cfgmclk, -- FGMCLK , -- 1-bit output: Configuration internal oscillator clock output EOS => eos, -- OS , -- 1-bit output: Active high output signal indicating the End Of Startup. PREQ => preq, -- REQ , -- 1-bit output: PROGRAM request to fabric output DI => di_int, -- output DO => do_int, -- 4-bit input DTS => dts_int, -- 4-bit input FCSBO => fcsbo_int, -- 1-bit input FCSBTS => fcsbts_int,-- 1-bit input CLK => clk, -- 1-bit input, SetReset GSR => gsr, -- 1-bit input, SetReset GTS => gts, -- 1-bit input KEYCLEARB => keyclearb, --1-bit input PACK => pack, --1-bit input USRCCLKTS => usrcclkts, -- SRCCLKTS , -- 1-bit input USRDONEO => usrdoneo, -- SRDONEO , -- 1-bit input USRDONETS => usrdonets -- SRDONETS -- 1-bit input ); -------------------- end generate SCK_MISO_STARTUP_USED; ------------------------------------------------------- -- * -- Add instance for Look up table logic SPI_MODE_1_LUT_LOGIC_I: entity axi_quad_spi_v3_2_8.qspi_look_up_logic ------------- generic map ( C_FAMILY => C_FAMILY , C_SPI_MODE => C_SPI_MODE , C_SPI_MEMORY => C_SPI_MEMORY , C_SELECT_XPM => C_SELECT_XPM , C_NUM_TRANSFER_BITS => C_NUM_TRANSFER_BITS ) port map ( EXT_SPI_CLK => EXT_SPI_CLK , -- : in std_logic; Rst_to_spi => Rst_to_spi_int , -- : in std_logic; TXFIFO_RST => reset_TxFIFO_ptr_int_to_spi, -- : in std_logic; -------------------- -- DTR_FIFO_Data_Exists=> data_Exists_TxFIFO_int, -- : in std_logic; Data_From_TxFIFO => Data_From_TxFIFO , -- : in std_logic_vector -- (0 to (C_NUM_TRANSFER_BITS-1)) pr_state_idle => pr_state_idle_int , -- -------------------- -- Data_Dir => Data_Dir_int , -- : out std_logic; Data_Mode_1 => Data_Mode_1_int , -- : out std_logic; Data_Mode_0 => Data_Mode_0_int , -- : out std_logic; Data_Phase => Data_Phase_int , -- : out std_logic; -------------------- -- Quad_Phase => Quad_Phase_int , -------------------- -- Addr_Mode_1 => Addr_Mode_1_int , -- : out std_logic; Addr_Mode_0 => Addr_Mode_0_int , -- : out std_logic; Addr_Bit => Addr_Bit_int , -- : out std_logic; Addr_Phase => Addr_Phase_int , -- : out std_logic; -------------------- -- CMD_Mode_1 => CMD_Mode_1_int , -- : out std_logic; CMD_Mode_0 => CMD_Mode_0_int , -- : out std_logic; CMD_Error => CMD_Error_int , -- : out std_logic; -------------------- -- - CMD_decoded => CMD_decoded_int -- : out std_logic ); --------- SPI_MODE_CONTROL_LOGIC_I: entity axi_quad_spi_v3_2_8.qspi_mode_control_logic ------------- generic map ( C_SCK_RATIO => C_SCK_RATIO , C_NUM_TRANSFER_BITS => C_NUM_TRANSFER_BITS , C_SPI_MODE => C_SPI_MODE , C_USE_STARTUP => C_USE_STARTUP , C_NUM_SS_BITS => C_NUM_SS_BITS , C_SPI_MEMORY => C_SPI_MEMORY , C_SUB_FAMILY => C_SUB_FAMILY ) port map ( Bus2IP_Clk => EXT_SPI_CLK , -- Bus2IP_Clk , -- in std_logic; Soft_Reset_op => Rst_to_spi_int , -- in std_logic; -------------------- , -- DTR_FIFO_Data_Exists => data_Exists_TxFIFO_int , -- in std_logic; Slave_Select_Reg => register_Data_slvsel_int , -- already updated -- in std_logic_vector(0 to (C_NUM_SS_BITS-1)); Transmit_Data => Data_From_TxFIFO,--transmit_Data_int , -- already updated -- in std_logic_vector(0 to (C_NUM_TRANSFER_BITS Receive_Data => Data_To_Rx_FIFO , -- out std_logic_vector(0 to (C_NUM_TRANSFER_BITS --Data_To_Rx_FIFO_1 => Data_To_Rx_FIFO_1, SPIXfer_done => spiXfer_done_int , -- already updated -- out std_logic; SPIXfer_done_Rx_Wr_en=> SPIXfer_done_Rx_Wr_en, MODF_strobe => modf_strobe_int , -- already updated SPIXfer_done_rd_tx_en=> SPIXfer_done_rd_tx_en, --------------------- -- SR_3_MODF => SR_3_modf_to_spi_clk , -- in std_logic; SR_5_Tx_Empty => Tx_FIFO_Empty , -- sr_5_Tx_Empty_int -- in std_logic; --SR_6_Rx_Full => Rx_FIFO_Full , -- in pr_state_idle => pr_state_idle_int , -- --------------------- -- from control register SPICR_0_LOOP => SPICR_0_LOOP_to_spi_clk ,--SPICR_0_LOOP_int , -- in std_logic; SPICR_1_SPE => SPICR_1_SPE_to_spi_clk ,--_int , -- in std_logic; SPICR_2_MASTER_N_SLV => SPICR_2_MST_N_SLV_to_spi_clk,--_int , -- in std_logic; SPICR_3_CPOL => SPICR_3_CPOL_to_spi_clk ,--_int , -- in std_logic; SPICR_4_CPHA => SPICR_4_CPHA_to_spi_clk ,--_int , -- in std_logic; SPICR_5_TXFIFO_RST => SPICR_5_TXFIFO_RST_to_spi_clk,--_int , -- in std_logic; SPICR_6_RXFIFO_RST => SPICR_6_RXFIFO_RST_to_spi_clk,--_int , -- in std_logic; SPICR_7_SS => SPICR_7_SS_to_spi_clk ,--_int , -- in std_logic; SPICR_8_TR_INHIBIT => SPICR_8_TR_INHIBIT_to_spi_clk,--_int , -- in std_logic; SPICR_9_LSB => SPICR_9_LSB_to_spi_clk ,--_int , -- in std_logic; --------------------- -- --------------------- -- from look up table Data_Dir => Data_Dir_int , -- in std_logic; Data_Mode_1 => Data_Mode_1_int , -- in std_logic; Data_Mode_0 => Data_Mode_0_int , -- in std_logic; Data_Phase => Data_Phase_int , --------------------- --Dummy_Bits => Dummy_Bits_int , -- in std_logic_vector(3 downto 0); Quad_Phase => Quad_Phase_int , --------------------- -- in std_logic; Addr_Mode_1 => Addr_Mode_1_int , -- in std_logic; Addr_Mode_0 => Addr_Mode_0_int , -- in std_logic; Addr_Bit => Addr_Bit_int , -- in std_logic; Addr_Phase => Addr_Phase_int , -- in std_logic; --------------------- CMD_Mode_1 => CMD_Mode_1_int , -- in std_logic; CMD_Mode_0 => CMD_Mode_0_int , -- in std_logic; CMD_Error => CMD_Error_int , -- in std_logic; --------------------- -- CMD_decoded => CMD_decoded_int , -- in std_logic; --SPI Interface -- SCK_I => SCK_I, -- in std_logic; SCK_O_reg => SCK_O_int, -- out std_logic; SCK_T => SCK_T, -- out std_logic; -- IO0_I => str_IO0_I, --IO0_I, -- MOSI_I, -- in std_logic; -- MISO IO0_O => str_IO0_O,--IO0_O, -- MOSI_O, -- out std_logic; IO0_T => str_IO0_T, --IO0_T, -- MOSI_T, -- out std_logic; IO1_I => str_IO1_I,--MISO_I_int, -- IO1_I, -- MISO_I, -- in std_logic; IO1_O => str_IO1_O,--IO1_O, -- MISO_O, -- out std_logic; -- MOSI IO1_T => str_IO1_T,--IO1_T, -- MISO_T, -- out std_logic; -- IO2_I => IO2_I_int, -- -- in std_logic; IO2_O => IO2_O_int, -- -- out std_logic; IO2_T => IO2_T_int, -- -- out std_logic; -- IO3_I => IO3_I_int, -- -- in std_logic; IO3_O => IO3_O_int, -- -- out std_logic; IO3_T => IO3_T_int, -- -- out std_logic; -- SPISEL => SPISEL, -- in std_logic; -- SS_I => SS_I_int, -- in std_logic_vector(0 to (C_NUM_SS_BITS-1)); SS_O => SS_O_int, -- out std_logic_vector(0 to (C_NUM_SS_BITS-1)); SS_T => SS_T_int, -- out std_logic; -- SPISEL_pulse_op => spisel_pulse_o_int , -- out std_logic; SPISEL_d1_reg => spisel_d1_reg , -- out std_logic; Control_bit_7_8 => SPICR_bits_7_8_to_spi_clk , -- in std_logic_vector(0 to 1) --(7 to 8) Rx_FIFO_Full => Rx_FIFO_Full_Fifo, DRR_Overrun_reg => drr_Overrun_int, reset_RcFIFO_ptr_to_spi => reset_RcFIFO_ptr_to_spi_clk ); ------------- end generate LOGIC_FOR_MD_12_GEN; ------------------------------------------ -------------------------------------------------------------------------------- CONTROL_REG_I: entity axi_quad_spi_v3_2_8.qspi_cntrl_reg generic map ( -------------------------- C_S_AXI_DATA_WIDTH => C_S_AXI_DATA_WIDTH, -------------------------- -- Number of bits in regis C_SPI_NUM_BITS_REG => C_SPI_NUM_BITS_REG, -------------------------- C_SPICR_REG_WIDTH => C_SPICR_REG_WIDTH, -------------------------- C_SPI_MODE => C_SPI_MODE -------------------------- ) port map ( -- in Bus2IP_Clk => Bus2IP_Clk, -- in Soft_Reset_op => reset2ip_reset_int, --------------------------- Wr_ce_reduce_ack_gen => Wr_ce_reduce_ack_gen, -- in Bus2IP_SPICR_WrCE => Bus2IP_WrCE(SPICR), -- in Bus2IP_SPICR_RdCE => Bus2IP_RdCE(SPICR), -- in Bus2IP_SPICR_data => Bus2IP_Data, -- in vec --------------------------- SPICR_0_LOOP => SPICR_0_LOOP_frm_axi_clk, -- out SPICR_1_SPE => SPICR_1_SPE_frm_axi_clk, -- out SPICR_2_MASTER_N_SLV => SPICR_2_MST_N_SLV_frm_axi_clk, -- out SPICR_3_CPOL => SPICR_3_CPOL_frm_axi_clk, -- out SPICR_4_CPHA => SPICR_4_CPHA_frm_axi_clk, -- out SPICR_5_TXFIFO_RST => SPICR_5_TXFIFO_RST_frm_axi_clk, -- out SPICR_6_RXFIFO_RST => SPICR_6_RXFIFO_RST_frm_axi_clk, -- out SPICR_7_SS => SPICR_7_SS_frm_axi_clk, -- out SPICR_8_TR_INHIBIT => SPICR_8_TR_INHIBIT_frm_axi_clk, -- out SPICR_9_LSB => SPICR_9_LSB_frm_axi_clk, -- out -- to Status Register SPISR_1_LOOP_Back_Error => SPISR_1_LOOP_Back_Error_int, -- out SPISR_2_MSB_Error => SPISR_2_MSB_Error_int, -- out SPISR_3_Slave_Mode_Error => SPISR_3_Slave_Mode_Error_int, -- out SPISR_4_CPOL_CPHA_Error => SPISR_4_CPOL_CPHA_Error_int, -- out --------------------------- IP2Bus_SPICR_Data => IP2Bus_SPICR_Data_int, -- out vec --------------------------- Control_bit_7_8 => SPICR_bits_7_8_frm_axi_clk -- out vec --------------------------- ); ------------------------------------------------------------------------------- -- STATUS_REG_I : INSTANTIATE STATUS REGISTER ------------------------------------------------------------------------------- STATUS_REG_MODE_0_GEN: if C_SPI_MODE = 0 generate begin STATUS_SLAVE_SEL_REG_I: entity axi_quad_spi_v3_2_8.qspi_status_slave_sel_reg generic map( C_SPI_NUM_BITS_REG => C_SPI_NUM_BITS_REG , ------------------------ ------------------------ C_S_AXI_DATA_WIDTH => C_S_AXI_DATA_WIDTH , ------------------------ ------------------------ C_NUM_SS_BITS => C_NUM_SS_BITS , ------------------------ ------------------------ C_SPISR_REG_WIDTH => C_SPISR_REG_WIDTH ) port map( Bus2IP_Clk => Bus2IP_Clk , -- in Soft_Reset_op => reset2ip_reset_int , -- in -- I/P from control regis SPISR_0_Command_Error => '0' , -- SPISR_0_CMD_Error_int , -- in-- should come from look up table SPISR_1_LOOP_Back_Error => SPISR_1_LOOP_Back_Error_int , -- in SPISR_2_MSB_Error => SPISR_2_MSB_Error_int , -- in SPISR_3_Slave_Mode_Error => SPISR_3_Slave_Mode_Error_int , -- in SPISR_4_CPOL_CPHA_Error => SPISR_4_CPOL_CPHA_Error_int , -- in -- I/P from other modules SPISR_Ext_SPISEL_slave => spisel_d1_reg_to_axi_clk , -- in SPISR_7_Tx_Full => Tx_FIFO_Full_int , -- in SPISR_8_Tx_Empty => Tx_FIFO_Empty_SPISR_to_axi_clk, -- Tx_FIFO_Empty_to_Axi_clk , -- in --SPISR_9_Rx_Full => Rx_FIFO_Full_int, -- Rx_FIFO_Full_to_axi_clk , -- in SPISR_9_Rx_Full => Rx_FIFO_Full_Fifo_d1_synced, -- Rx_FIFO_Full_to_axi_clk , -- in SPISR_10_Rx_Empty => Rx_FIFO_Empty_int , -- in -- Slave attachment ports ModeFault_Strobe => modf_strobe_to_axi_clk , -- in Rd_ce_reduce_ack_gen => rd_ce_reduce_ack_gen , -- in Bus2IP_SPISR_RdCE => Bus2IP_RdCE(SPISR) , -- in IP2Bus_SPISR_Data => IP2Bus_SPISR_Data_int , -- out vec SR_3_modf => SR_3_modf_int , -- out -- Slave Select Register Bus2IP_SPISSR_WrCE => Bus2IP_WrCE(SPISSR) , -- in Wr_ce_reduce_ack_gen => Wr_ce_reduce_ack_gen , -- in Bus2IP_SPISSR_RdCE => Bus2IP_RdCE(SPISSR) , -- in Bus2IP_SPISSR_Data => Bus2IP_Data , -- in vec IP2Bus_SPISSR_Data => IP2Bus_SPISSR_Data_int , -- out vec SPISSR_Data_reg_op => SPISSR_frm_axi_clk -- out vec ); end generate STATUS_REG_MODE_0_GEN; STATUS_REG_MODE_12_GEN: if C_SPI_MODE /= 0 generate begin STATUS_SLAVE_SEL_REG_I: entity axi_quad_spi_v3_2_8.qspi_status_slave_sel_reg generic map( C_SPI_NUM_BITS_REG => C_SPI_NUM_BITS_REG , ------------------------ ------------------------ C_S_AXI_DATA_WIDTH => C_S_AXI_DATA_WIDTH , ------------------------ ------------------------ C_NUM_SS_BITS => C_NUM_SS_BITS , ------------------------ ------------------------ C_SPISR_REG_WIDTH => C_SPISR_REG_WIDTH ) port map( Bus2IP_Clk => Bus2IP_Clk , -- in Soft_Reset_op => reset2ip_reset_int , -- in -- I/P from control regis SPISR_0_Command_Error => SPISR_0_CMD_Error_to_axi_clk , -- SPISR_0_CMD_Error_int , -- in-- should come from look up table SPISR_1_LOOP_Back_Error => SPISR_1_LOOP_Back_Error_int , -- in SPISR_2_MSB_Error => SPISR_2_MSB_Error_int , -- in SPISR_3_Slave_Mode_Error => SPISR_3_Slave_Mode_Error_int , -- in SPISR_4_CPOL_CPHA_Error => SPISR_4_CPOL_CPHA_Error_int , -- in -- I/P from other modules SPISR_Ext_SPISEL_slave => spisel_d1_reg_to_axi_clk , -- in SPISR_7_Tx_Full => Tx_FIFO_Full_int , -- in SPISR_8_Tx_Empty => Tx_FIFO_Empty_SPISR_to_axi_clk, -- Tx_FIFO_Empty_to_Axi_clk , -- in --SPISR_9_Rx_Full => Rx_FIFO_Full_int, -- Rx_FIFO_Full_to_axi_clk , -- in SPISR_9_Rx_Full => Rx_FIFO_Full_Fifo_d1_synced, -- Rx_FIFO_Full_to_axi_clk , -- in SPISR_10_Rx_Empty => Rx_FIFO_Empty_int , -- in -- Slave attachment ports ModeFault_Strobe => modf_strobe_to_axi_clk , -- in Rd_ce_reduce_ack_gen => rd_ce_reduce_ack_gen , -- in Bus2IP_SPISR_RdCE => Bus2IP_RdCE(SPISR) , -- in IP2Bus_SPISR_Data => IP2Bus_SPISR_Data_int , -- out vec SR_3_modf => SR_3_modf_int , -- out -- Slave Select Register Bus2IP_SPISSR_WrCE => Bus2IP_WrCE(SPISSR) , -- in Wr_ce_reduce_ack_gen => Wr_ce_reduce_ack_gen , -- in Bus2IP_SPISSR_RdCE => Bus2IP_RdCE(SPISSR) , -- in Bus2IP_SPISSR_Data => Bus2IP_Data , -- in vec IP2Bus_SPISSR_Data => IP2Bus_SPISSR_Data_int , -- out vec SPISSR_Data_reg_op => SPISSR_frm_axi_clk -- out vec ); end generate STATUS_REG_MODE_12_GEN; ------------------------------------------------------------------------------- -- SOFT_RESET_I : INSTANTIATE SOFT RESET ------------------------------------------------------------------------------- SOFT_RESET_I: entity axi_quad_spi_v3_2_8.soft_reset generic map ( C_SIPIF_DWIDTH => C_S_AXI_DATA_WIDTH, -- Width of triggered reset in Bus Clocks C_RESET_WIDTH => 16 ) port map ( -- Inputs From the PLBv46 Slave Single Bus Bus2IP_Clk => Bus2IP_Clk, -- in Bus2IP_Reset => Bus2IP_Reset, -- in Bus2IP_WrCE => Bus2IP_WrCE(SWRESET), -- in Bus2IP_Data => Bus2IP_Data, -- in Bus2IP_BE => Bus2IP_BE, -- in -- Final Device Reset Output Reset2IP_Reset => reset2ip_reset_int, -- out -- Status Reply Outputs to the Bus Reset2Bus_WrAck => rst_ip2bus_wrack, -- out Reset2Bus_Error => rst_ip2bus_error, -- out Reset2Bus_ToutSup => open -- out ); ------------------------------------------------------------------------------- -- INTERRUPT_CONTROL_I : INSTANTIATE INTERRUPT CONTROLLER ------------------------------------------------------------------------------- bus2ip_intr_rdce <= "0000000" & Bus2IP_RdCE(7) & Bus2IP_RdCE(8) & '0' & Bus2IP_RdCE(10)& "00000"; bus2ip_intr_wrce <= "0000000" & Bus2IP_WrCE(7) & Bus2IP_WrCE(8) & '0' & Bus2IP_WrCE(10)& "00000"; ------------------------------------------------------------------------------ intr_controller_rd_ce_or_reduce <= or_reduce(Bus2IP_RdCE(0 to 6)) or Bus2IP_RdCE(9) or or_reduce(Bus2IP_RdCE(11 to 15)); ------------------------------------------------------------------------------ I_READ_ACK_INTR_HOLES: process(Bus2IP_Clk) is begin if (Bus2IP_Clk'event and Bus2IP_Clk = '1') then if (reset2ip_reset_int = RESET_ACTIVE) then ip2Bus_RdAck_intr_reg_hole <= '0'; ip2Bus_RdAck_intr_reg_hole_d1 <= '0'; else ip2Bus_RdAck_intr_reg_hole_d1 <= intr_controller_rd_ce_or_reduce; ip2Bus_RdAck_intr_reg_hole <= intr_controller_rd_ce_or_reduce and (not ip2Bus_RdAck_intr_reg_hole_d1); end if; end if; end process I_READ_ACK_INTR_HOLES; ------------------------------------------------------------------------------ intr_controller_wr_ce_or_reduce <= or_reduce(Bus2IP_WrCE(0 to 6)) or Bus2IP_WrCE(9) or or_reduce(Bus2IP_WrCE(11 to 15)); ------------------------------------------------------------------------------ I_WRITE_ACK_INTR_HOLES: process(Bus2IP_Clk) is ----- begin ----- if (Bus2IP_Clk'event and Bus2IP_Clk = '1') then if (reset2ip_reset_int = RESET_ACTIVE) then ip2Bus_WrAck_intr_reg_hole <= '0'; ip2Bus_WrAck_intr_reg_hole_d1 <= '0'; else ip2Bus_WrAck_intr_reg_hole_d1 <= intr_controller_wr_ce_or_reduce; ip2Bus_WrAck_intr_reg_hole <= intr_controller_wr_ce_or_reduce and (not ip2Bus_WrAck_intr_reg_hole_d1); end if; end if; end process I_WRITE_ACK_INTR_HOLES; ------------------------------------------------------------------------------ INTERRUPT_CONTROL_I: entity interrupt_control_v3_1_4.interrupt_control generic map ( C_NUM_CE => 16, C_NUM_IPIF_IRPT_SRC => 1, -- Set to 1 to avoid null array C_IP_INTR_MODE_ARRAY => C_IP_INTR_MODE_ARRAY, -- Specifies device Priority Encoder function C_INCLUDE_DEV_PENCODER => false, -- Specifies device ISC hierarchy C_INCLUDE_DEV_ISC => false, C_IPIF_DWIDTH => C_S_AXI_DATA_WIDTH ) port map ( Bus2IP_Clk => Bus2IP_Clk, -- in Bus2IP_Reset => reset2ip_reset_int, -- in Bus2IP_Data => bus2IP_Data_for_interrupt_core, -- in vec Bus2IP_BE => Bus2IP_BE, -- in vec Interrupt_RdCE => bus2ip_intr_rdce, -- in vec Interrupt_WrCE => bus2ip_intr_wrce, -- in vec IPIF_Reg_Interrupts => "00", -- Tie off the unused reg intrs IPIF_Lvl_Interrupts => "0", -- Tie off the dummy lvl intr IP2Bus_IntrEvent => ip2Bus_IntrEvent_int, -- in Intr2Bus_DevIntr => IP2INTC_Irpt, -- out Intr2Bus_DBus => intr_ip2bus_data, -- out vec Intr2Bus_WrAck => intr_ip2bus_wrack, -- out Intr2Bus_RdAck => intr_ip2bus_rdack, -- out Intr2Bus_Error => intr_ip2bus_error, -- out Intr2Bus_Retry => open, Intr2Bus_ToutSup => open ); -------------------------------------------------------------------------------- end imp; --------------------------------------------------------------------------------
------------------------------------------------------------------------------- -- qspi_core_interface Module - entity/architecture pair ------------------------------------------------------------------------------- -- -- ******************************************************************* -- ** (c) Copyright [2010] - [2012] Xilinx, Inc. All rights reserved.* -- ** * -- ** This file contains confidential and proprietary information * -- ** of Xilinx, Inc. and is protected under U.S. and * -- ** international copyright and other intellectual property * -- ** laws. * -- ** * -- ** DISCLAIMER * -- ** This disclaimer is not a license and does not grant any * -- ** rights to the materials distributed herewith. Except as * -- ** otherwise provided in a valid license issued to you by * -- ** Xilinx, and to the maximum extent permitted by applicable * -- ** law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND * -- ** WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES * -- ** AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING * -- ** BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- * -- ** INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and * -- ** (2) Xilinx shall not be liable (whether in contract or tort, * -- ** including negligence, or under any other theory of * -- ** liability) for any loss or damage of any kind or nature * -- ** related to, arising under or in connection with these * -- ** materials, including for any direct, or any indirect, * -- ** special, incidental, or consequential loss or damage * -- ** (including loss of data, profits, goodwill, or any type of * -- ** loss or damage suffered as a result of any action brought * -- ** by a third party) even if such damage or loss was * -- ** reasonably foreseeable or Xilinx had been advised of the * -- ** possibility of the same. * -- ** * -- ** CRITICAL APPLICATIONS * -- ** Xilinx products are not designed or intended to be fail- * -- ** safe, or for use in any application requiring fail-safe * -- ** performance, such as life-support or safety devices or * -- ** systems, Class III medical devices, nuclear facilities, * -- ** applications related to the deployment of airbags, or any * -- ** other applications that could lead to death, personal * -- ** injury, or severe property or environmental damage * -- ** (individually and collectively, "Critical * -- ** Applications"). Customer assumes the sole risk and * -- ** liability of any use of Xilinx products in Critical * -- ** Applications, subject only to applicable laws and * -- ** regulations governing limitations on product liability. * -- ** * -- ** THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS * -- ** PART OF THIS FILE AT ALL TIMES. * -- ******************************************************************* -- ------------------------------------------------------------------------------- -- Filename: qspi_core_interface.vhd -- Version: v3.0 -- Description: Serial Peripheral Interface (SPI) Module for interfacing -- with a 32-bit AXI bus. -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_cmb" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; use ieee.std_logic_unsigned.all; use ieee.numeric_std.all; Library UNISIM; use UNISIM.vcomponents.all; library axi_lite_ipif_v3_0_4; use axi_lite_ipif_v3_0_4.axi_lite_ipif; use axi_lite_ipif_v3_0_4.ipif_pkg.all; library lib_fifo_v1_0_5; use lib_fifo_v1_0_5.async_fifo_fg; library lib_srl_fifo_v1_0_2; use lib_srl_fifo_v1_0_2.srl_fifo_f; library lib_cdc_v1_0_2; use lib_cdc_v1_0_2.cdc_sync; library lib_pkg_v1_0_2; use lib_pkg_v1_0_2.all; use lib_pkg_v1_0_2.lib_pkg.log2; -- use lib_pkg_v1_0_2.lib_pkg.clog2; use lib_pkg_v1_0_2.lib_pkg.max2; use lib_pkg_v1_0_2.lib_pkg.RESET_ACTIVE; library interrupt_control_v3_1_4; library axi_quad_spi_v3_2_8; use axi_quad_spi_v3_2_8.all; ------------------------------------------------------------------------------- entity qspi_core_interface is generic( C_FAMILY : string; C_SUB_FAMILY : string; C_SELECT_XPM : integer := 1; C_UC_FAMILY : integer; C_S_AXI_DATA_WIDTH : integer; Async_Clk : integer; ---------------------- -- local parameters C_NUM_CE_SIGNALS : integer; ---------------------- -- SPI parameters --C_AXI4_CLK_PS : integer; --C_EXT_SPI_CLK_PS : integer; C_FIFO_DEPTH : integer; C_SCK_RATIO : integer; C_NUM_SS_BITS : integer; C_NUM_TRANSFER_BITS : integer; C_SPI_MODE : integer; C_USE_STARTUP : integer; C_SPI_MEMORY : integer; C_SHARED_STARTUP : integer range 0 to 1 := 0; C_TYPE_OF_AXI4_INTERFACE : integer; ---------------------- -- local constants C_FIFO_EXIST : integer; C_SPI_NUM_BITS_REG : integer; C_OCCUPANCY_NUM_BITS : integer; ---------------------- -- local constants C_IP_INTR_MODE_ARRAY : INTEGER_ARRAY_TYPE; ---------------------- -- local constants C_SPICR_REG_WIDTH : integer; C_SPISR_REG_WIDTH : integer; C_LSB_STUP : integer ); port( EXT_SPI_CLK : in std_logic; ------------------------------------------------ Bus2IP_Clk : in std_logic; Bus2IP_Reset : in std_logic; ------------------------------------------------ Bus2IP_BE : in std_logic_vector(0 to ((C_S_AXI_DATA_WIDTH/8)-1)); Bus2IP_RdCE : in std_logic_vector(0 to (C_NUM_CE_SIGNALS-1)); Bus2IP_WrCE : in std_logic_vector(0 to (C_NUM_CE_SIGNALS-1)); Bus2IP_Data : in std_logic_vector(0 to (C_S_AXI_DATA_WIDTH-1)); ------------------------------------------------ IP2Bus_Data : out std_logic_vector(0 to (C_S_AXI_DATA_WIDTH-1)); IP2Bus_WrAck : out std_logic; IP2Bus_RdAck : out std_logic; IP2Bus_Error : out std_logic; ------------------------------------------------ burst_tr : in std_logic; rready : in std_logic; WVALID : in std_logic; --SPI Ports SCK_I : in std_logic; SCK_O : out std_logic; SCK_T : out std_logic; ------------------------------------------------ IO0_I : in std_logic; IO0_O : out std_logic; IO0_T : out std_logic; ------------------------------------------------ IO1_I : in std_logic; IO1_O : out std_logic; IO1_T : out std_logic; ------------------------------------------------ IO2_I : in std_logic; IO2_O : out std_logic; IO2_T : out std_logic; ------------------------------------------------ IO3_I : in std_logic; IO3_O : out std_logic; IO3_T : out std_logic; ------------------------------------------------ SPISEL : in std_logic; ------------------------------------------------ SS_I : in std_logic_vector((C_NUM_SS_BITS-1) downto C_LSB_STUP); SS_O : out std_logic_vector((C_NUM_SS_BITS-1) downto C_LSB_STUP); SS_T : out std_logic; ------------------------------------------------ IP2INTC_Irpt : out std_logic; ------------------------------------------------ ------------------------ -- STARTUP INTERFACE ------------------------ cfgclk : out std_logic; -- FGCLK , -- 1-bit output: Configuration main clock output cfgmclk : out std_logic; -- FGMCLK , -- 1-bit output: Configuration internal oscillator clock output eos : out std_logic; -- OS , -- 1-bit output: Active high output signal indicating the End Of Startup. preq : out std_logic; -- REQ , -- 1-bit output: PROGRAM request to fabric output di : out std_logic_vector(1 downto 0); -- output dts : in std_logic_vector(1 downto 0); -- input do : in std_logic_vector(1 downto 0); -- input -- fcsbo : in std_logic; -- input -- fcsbts : in std_logic; -- input clk : in std_logic; -- input gsr : in std_logic; -- input gts : in std_logic; -- input keyclearb : in std_logic; -- input pack : in std_logic; -- input usrcclkts : in std_logic; -- input usrdoneo : in std_logic; -- input usrdonets : in std_logic -- input ); end entity qspi_core_interface; ------------------------------------------------------------------------------- ------------ architecture imp of qspi_core_interface is ------------ ---------------------------------------------------------------------------------- -- below attributes are added to reduce the synth warnings in Vivado tool attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of imp : architecture is "yes"; ---------------------------------------------------------------------------------- -- function definition ---------------------- function clog2(x : positive) return natural is variable r : natural := 0; variable rp : natural := 1; -- rp tracks the value 2**r begin while rp < x loop -- Termination condition T: x <= 2**r -- Loop invariant L: 2**(r-1) < x r := r + 1; if rp > integer'high - rp then exit; end if; -- If doubling rp overflows -- the integer range, the doubled value would exceed x, so safe to exit. rp := rp + rp; end loop; -- L and T <-> 2**(r-1) < x <= 2**r <-> (r-1) < log2(x) <= r return r; -- end clog2; ------------------------------------------------------------------------------- -- constant definition constant NEW_LOGIC : integer := 0; -- These constants are indices into the "CE" arrays for the various registers. constant INTR_LO : natural := 0; constant INTR_HI : natural := 15; constant SWRESET : natural := 16; -- at address C_BASEADDR + 40 h constant SPICR : natural := 24; -- 17; -- at address C_BASEADDR + 60 h constant SPISR : natural := 25; -- 18; constant SPIDTR : natural := 26; -- 19; constant SPIDRR : natural := 27; -- 20; constant SPISSR : natural := 28; -- 21; constant SPITFOR : natural := 29; -- 22; constant SPIRFOR : natural := 30; -- 23; -- at address C_BASEADDR + 78 h constant REG_HOLE : natural := 31; -- 24; -- at address C_BASEADDR + 7C h --Startup Signals signal str_IO0_I : std_logic; signal str_IO0_O : std_logic; signal str_IO0_T : std_logic; signal str_IO1_I : std_logic; signal str_IO1_O : std_logic; signal str_IO1_T : std_logic; signal di_int : std_logic_vector(3 downto 0); -- output signal di_int_sync : std_logic_vector(3 downto 0); -- output signal dts_int : std_logic_vector(3 downto 0); -- input signal do_int : std_logic_vector(3 downto 0); -- input --SPI MODULE SIGNALS signal spiXfer_done_int : std_logic; signal dtr_underrun_int : std_logic; signal modf_strobe_int : std_logic; signal slave_MODF_strobe_int : std_logic; --OR REGISTER/FIFO SIGNALS --TO/FROM REG/FIFO DATA signal receive_Data_int : std_logic_vector(0 to (C_NUM_TRANSFER_BITS-1)); signal transmit_Data_int : std_logic_vector(0 to (C_NUM_TRANSFER_BITS-1)); --Extra bit required for signal Register_Data_ctrl signal register_Data_cntrl_int :std_logic_vector(0 to (C_SPI_NUM_BITS_REG+1)); signal register_Data_slvsel_int:std_logic_vector(0 to (C_NUM_SS_BITS-1)); signal IP2Bus_SPICR_Data_int :std_logic_vector(0 to (C_SPICR_REG_WIDTH-1)); signal IP2Bus_SPISR_Data_int :std_logic_vector(0 to (C_SPISR_REG_WIDTH-1)); signal IP2Bus_Receive_Reg_Data_int :std_logic_vector(0 to (C_NUM_TRANSFER_BITS-1)); signal IP2Bus_Data_received_int: std_logic_vector(0 to (C_S_AXI_DATA_WIDTH-1)); signal IP2Bus_SPISSR_Data_int : std_logic_vector(0 to (C_NUM_SS_BITS-1)); signal IP2Bus_Tx_FIFO_OCC_Reg_Data_int: std_logic_vector(0 to (C_OCCUPANCY_NUM_BITS-1)); signal IP2Bus_Tx_FIFO_OCC_Reg_Data_int_1: std_logic_vector((C_OCCUPANCY_NUM_BITS-1) downto 0); signal IP2Bus_Rx_FIFO_OCC_Reg_Data_int_1: std_logic_vector((C_OCCUPANCY_NUM_BITS-1) downto 0); signal IP2Bus_Rx_FIFO_OCC_Reg_Data_int: std_logic_vector(0 to (C_OCCUPANCY_NUM_BITS-1)); --STATUS REGISTER SIGNALS signal sr_3_MODF_int : std_logic; signal Tx_FIFO_Full_int : std_logic; signal sr_5_Tx_Empty_int : std_logic; signal tx_empty_signal_handshake_req : std_logic; signal tx_empty_signal_handshake_gnt : std_logic; signal sr_6_Rx_Full_int : std_logic; signal Rc_FIFO_Empty_int : std_logic; --RECEIVE AND TRANSMIT REGISTER SIGNALS signal drr_Overrun_int : std_logic; signal dtr_Underrun_strobe_int : std_logic; --FIFO SIGNALS signal rc_FIFO_Full_strobe_int : std_logic; signal rc_FIFO_occ_Reversed_int :std_logic_vector ((C_OCCUPANCY_NUM_BITS-1) downto 0); signal rc_FIFO_occ_Reversed_int_2 :std_logic_vector ((C_OCCUPANCY_NUM_BITS-1) downto 0); signal rc_FIFO_Data_Out_int : std_logic_vector (0 to (C_NUM_TRANSFER_BITS-1)); signal sr_6_Rx_Full_int_1 : std_logic; signal FIFO_Empty_rx_1 : std_logic; signal FIFO_Empty_rx : std_logic; signal data_Exists_RcFIFO_int : std_logic; signal tx_FIFO_Empty_strobe_int : std_logic; signal tx_FIFO_occ_Reversed_int : std_logic_vector ((C_OCCUPANCY_NUM_BITS-1) downto 0); signal tx_FIFO_occ_Reversed_int_2 : std_logic_vector ((C_OCCUPANCY_NUM_BITS-1) downto 0); signal data_Exists_TxFIFO_int : std_logic; signal data_Exists_TxFIFO_int_1 : std_logic; signal data_From_TxFIFO_int : std_logic_vector (0 to (C_NUM_TRANSFER_BITS-1)); signal tx_FIFO_less_half_int : std_logic; signal Tx_FIFO_Full_int_1 : std_logic; signal FIFO_Empty_tx : std_logic; signal data_From_TxFIFO_int_1 : std_logic_vector(0 to (C_NUM_TRANSFER_BITS-1)); signal tx_occ_msb : std_logic; signal tx_occ_msb_1 : std_logic:= '0'; signal tx_occ_msb_2 : std_logic; signal tx_occ_msb_3 : std_logic; signal tx_occ_msb_4 : std_logic; signal reset_TxFIFO_ptr_int : std_logic; signal reset_TxFIFO_ptr_int_to_spi : std_logic; signal reset_RcFIFO_ptr_int : std_logic; signal reset_RcFIFO_ptr_to_spi_clk : std_logic; signal ip2Bus_Data_Reg_int : std_logic_vector (0 to (C_S_AXI_DATA_WIDTH-1)); signal ip2Bus_Data_occupancy_int: std_logic_vector (0 to (C_S_AXI_DATA_WIDTH-1)); signal ip2Bus_Data_SS_int : std_logic_vector (0 to (C_S_AXI_DATA_WIDTH-1)); -- interface between signals on instance basis signal bus2IP_Reset_int : std_logic; signal bus2IP_Data_for_interrupt_core : std_logic_vector (0 to C_S_AXI_DATA_WIDTH-1); signal ip2Bus_Error_int : std_logic; signal ip2Bus_WrAck_int : std_logic;-- := '0'; signal ip2Bus_RdAck_int : std_logic;-- := '0'; signal ip2Bus_IntrEvent_int : std_logic_vector (0 to (C_IP_INTR_MODE_ARRAY'length-1)); signal transmit_ip2bus_error : std_logic; signal receive_ip2bus_error : std_logic; -- SOFT RESET SIGNALS signal reset2ip_reset_int : std_logic; signal rst_ip2bus_wrack : std_logic; signal rst_ip2bus_error : std_logic; signal rst_ip2bus_rdack : std_logic; -- INTERRUPT SIGNALS signal intr_ip2bus_data : std_logic_vector (0 to (C_S_AXI_DATA_WIDTH-1)); signal intr_ip2bus_rdack : std_logic; signal intr_ip2bus_wrack : std_logic; signal intr_ip2bus_error : std_logic; signal ip2bus_error_RdWr : std_logic; -- signal wr_ce_reduce_ack_gen: std_logic; -- signal rd_ce_reduce_ack_gen : std_logic; -- signal control_bit_7_8_int : std_logic_vector(0 to 1); signal spisel_pulse_o_int : std_logic; signal Interrupt_WrCE_sig : std_logic_vector(0 to 1); signal IPIF_Lvl_Interrupts_sig : std_logic; signal spisel_d1_reg : std_logic; signal Mst_N_Slv_mode : std_logic; ----- signal bus2ip_intr_rdce : std_logic_vector(INTR_LO to INTR_HI); signal bus2ip_intr_wrce : std_logic_vector(INTR_LO to INTR_HI); signal ip2Bus_RdAck_intr_reg_hole : std_logic; signal ip2Bus_RdAck_intr_reg_hole_d1 : std_logic; signal ip2Bus_WrAck_intr_reg_hole : std_logic; signal ip2Bus_WrAck_intr_reg_hole_d1 : std_logic; signal intr_controller_rd_ce_or_reduce : std_logic; signal intr_controller_wr_ce_or_reduce : std_logic; signal wr_ce_or_reduce_core_cmb : std_logic; signal ip2Bus_WrAck_core_reg_d1 : std_logic; signal ip2Bus_WrAck_core_reg : std_logic; signal rd_ce_or_reduce_core_cmb : std_logic; signal ip2Bus_RdAck_core_reg_d1 : std_logic; signal ip2Bus_RdAck_core_reg : std_logic; signal SPISR_0_CMD_Error_int : std_logic; signal SPISR_1_LOOP_Back_Error_int : std_logic; signal SPISR_2_MSB_Error_int : std_logic; signal SPISR_3_Slave_Mode_Error_int : std_logic; signal SPISR_4_CPOL_CPHA_Error_int : std_logic; signal SPISR_Ext_SPISEL_slave_int : std_logic; signal SPICR_5_TXFIFO_RST_int : std_logic; -- signal SPICR_6_RXFIFO_RST_int : std_logic; signal pr_state_idle_int : std_logic; signal Quad_Phase_int : std_logic; signal SPICR_0_LOOP_frm_axi :std_logic; signal SPICR_0_LOOP_to_spi :std_logic; signal SPICR_1_SPE_frm_axi :std_logic; signal SPICR_1_SPE_to_spi :std_logic; signal SPICR_2_MST_N_SLV_frm_axi :std_logic; signal SPICR_2_MST_N_SLV_to_spi :std_logic; signal SPICR_3_CPOL_frm_axi :std_logic; signal SPICR_3_CPOL_to_spi :std_logic; signal SPICR_4_CPHA_frm_axi :std_logic; signal SPICR_4_CPHA_to_spi :std_logic; signal SPICR_5_TXFIFO_frm_axi :std_logic; signal SPICR_5_TXFIFO_to_spi :std_logic; --signal SPICR_6_RXFIFO_RST_frm_axi:std_logic; --signal SPICR_6_RXFIFO_RST_to_spi :std_logic; signal SPICR_7_SS_frm_axi :std_logic; signal SPICR_7_SS_to_spi :std_logic; signal SPICR_8_TR_INHIBIT_frm_axi:std_logic; signal SPICR_8_TR_INHIBIT_to_spi :std_logic; signal SPICR_9_LSB_frm_axi :std_logic; signal SPICR_9_LSB_to_spi :std_logic; signal SPICR_bits_7_8_frm_spi :std_logic; signal SPICR_bits_7_8_to_axi :std_logic; signal Rx_FIFO_Empty : std_logic; signal Rx_FIFO_Empty_Synced_in_SPI_domain : std_logic; signal rx_fifo_full_to_spi_clk : std_logic; signal tx_fifo_empty_to_axi_clk : std_logic; signal tx_fifo_full : std_logic; signal spisel_d1_reg_to_axi_clk : std_logic; signal spicr_bits_7_8_frm_axi_clk : std_logic_vector(1 downto 0); signal spicr_8_tr_inhibit_to_spi_clk : std_logic; signal spicr_9_lsb_to_spi_clk : std_logic; signal spicr_bits_7_8_to_spi_clk : std_logic_vector(0 to 1); signal spicr_0_loop_frm_axi_clk : std_logic; signal spicr_1_spe_frm_axi_clk : std_logic; signal spicr_2_mst_n_slv_frm_axi_clk : std_logic; signal spicr_3_cpol_frm_axi_clk : std_logic; signal spicr_4_cpha_frm_axi_clk : std_logic; signal spicr_5_txfifo_rst_frm_axi_clk : std_logic; signal spicr_6_rxfifo_rst_frm_axi_clk : std_logic; signal spicr_7_ss_frm_axi_clk : std_logic; signal spicr_8_tr_inhibit_frm_axi_clk : std_logic; signal spicr_9_lsb_frm_axi_clk : std_logic; signal Tx_FIFO_wr_ack_1 : std_logic; signal rst_to_spi_int : std_logic; signal spicr_0_loop_to_spi_clk : std_logic; signal spicr_1_spe_to_spi_clk : std_logic; signal spicr_2_mas_n_slv_to_spi_clk : std_logic; signal spicr_3_cpol_to_spi_clk : std_logic; signal spicr_4_cpha_to_spi_clk : std_logic; signal spicr_5_txfifo_rst_to_spi_clk : std_logic; signal spicr_6_rxfifo_rst_to_spi_clk : std_logic; signal spicr_7_ss_to_spi_clk : std_logic; signal sr_3_modf_to_spi_clk : std_logic; signal sr_3_modf_frm_axi_clk : std_logic; signal data_from_txfifo : std_logic_vector(0 to (C_NUM_TRANSFER_BITS-1)); signal Bus2IP_WrCE_d1 : std_logic; signal Bus2IP_WrCE_d2 : std_logic; signal Bus2IP_WrCE_d3 : std_logic; signal Bus2IP_WrCE_pulse_1 : std_logic; signal Bus2IP_WrCE_pulse_2 : std_logic; signal Bus2IP_WrCE_pulse_3 : std_logic; signal data_to_txfifo : std_logic_vector(0 to (C_NUM_TRANSFER_BITS-1)); signal tx_fifo_wr_ack : std_logic; -- signal ext_spi_clk : std_logic; signal tx_fifo_rd_ack_open : std_logic; signal tx_fifo_empty : std_logic; signal tx_fifo_almost_full : std_logic; signal tx_fifo_almost_empty : std_logic; signal tx_fifo_occ_reversed : std_logic_vector((C_OCCUPANCY_NUM_BITS-1) downto 0); signal c_wr_count_width : std_logic; signal rx_fifo_wr_ack_open : std_logic; signal data_from_rx_fifo : std_logic_vector(0 to (C_NUM_TRANSFER_BITS-1)); signal rx_fifo_rd_ack : std_logic; signal rx_fifo_full : std_logic; signal rx_fifo_almost_full : std_logic; signal rx_fifo_almost_empty : std_logic; signal rx_fifo_occ_reversed : std_logic_vector((C_OCCUPANCY_NUM_BITS-1) downto 0); signal SPISSR_frm_axi_clk : std_logic_vector(0 to (C_NUM_SS_BITS-1)); signal modf_strobe_frm_spi_clk : std_logic; signal modf_strobe_to_axi_clk : std_logic; signal dtr_underrun_frm_spi_clk : std_logic; signal dtr_underrun_to_axi_clk : std_logic; signal data_to_rx_fifo : std_logic_vector (0 to (C_NUM_TRANSFER_BITS-1)); signal spisel_d1_reg_frm_spi_clk : std_logic; signal Mst_N_Slv_mode_frm_spi_clk: std_logic; signal Mst_N_Slv_mode_to_axi_clk : std_logic; signal SPICR_2_MST_N_SLV_to_spi_clk : std_logic; signal spicr_5_txfifo_frm_axi_clk : std_logic; signal spicr_5_txfifo_to_spi_clk: std_logic; signal reset_RcFIFO_ptr_frm_axi_clk : std_logic; -- signal reset_RcFIFO_ptr_to_spi_clk : std_logic; signal Data_To_Rx_FIFO_1 : std_logic_vector(0 to (C_NUM_TRANSFER_BITS-1)); signal SPIXfer_done_Rx_Wr_en, SPIXfer_done_rd_tx_en: std_logic; signal Tx_FIFO_Empty_SPISR_frm_spi_clk : std_logic; signal Tx_FIFO_Empty_SPISR_to_axi_clk : std_logic; signal Tx_FIFO_Empty_frm_spi_clk : std_logic; signal Rx_FIFO_Full_frm_axi_clk : std_logic; signal Rx_FIFO_Full_int,Rx_FIFO_Full_i,RX_one_less_than_full, not_Tx_FIFO_FULL : std_logic; signal updown_cnt_en_tx, updown_cnt_en_rx : std_logic; signal TX_one_less_than_full : std_logic; signal tx_cntr_xfer_done : std_logic; signal Tx_FIFO_one_less_to_Empty, Tx_FIFO_Full_i: std_logic; signal Tx_FIFO_Empty_i, Tx_FIFO_Empty_int : std_logic; signal Tx_FIFO_Empty_frm_axi_clk : std_logic; signal rx_fifo_empty_i : std_logic; signal Rx_FIFO_Empty_int : std_logic; signal IP2Bus_WrAck_1 : std_logic; signal ip2Bus_WrAck_core_reg_1 : std_logic; signal IP2Bus_RdAck_1 : std_logic; signal ip2Bus_RdAck_core_reg_1 : std_logic; signal IP2Bus_Error_1 : std_logic; signal ip2Bus_Data_1 : std_logic_vector(0 to (C_S_AXI_DATA_WIDTH-1)) ; signal SPISR_0_CMD_Error_frm_spi_clk : std_logic; signal SPISR_0_CMD_Error_to_axi_clk : std_logic; signal rx_fifo_reset, tx_fifo_reset : std_logic; signal reg_hole_wr_ack: std_logic; signal reg_hole_rd_ack: std_logic; signal read_ack_delay_1: std_logic; signal read_ack_delay_2: std_logic; signal read_ack_delay_3: std_logic; signal read_ack_delay_4: std_logic; signal read_ack_delay_5: std_logic; signal read_ack_delay_6: std_logic; signal read_ack_delay_7: std_logic; signal read_ack_delay_8: std_logic; signal write_ack_delay_1: std_logic; signal write_ack_delay_2: std_logic; signal write_ack_delay_3: std_logic; signal write_ack_delay_4: std_logic; signal write_ack_delay_5: std_logic; signal write_ack_delay_6: std_logic; signal write_ack_delay_7: std_logic; signal write_ack_delay_8: std_logic; signal error_ack_delay_1: std_logic; signal error_ack_delay_2: std_logic; signal error_ack_delay_3: std_logic; signal error_ack_delay_4: std_logic; signal error_ack_delay_5: std_logic; signal error_ack_delay_6: std_logic; signal error_ack_delay_7: std_logic; signal error_ack_delay_8: std_logic; signal IO2_O_int : std_logic; signal IO2_T_int : std_logic; signal IO3_O_int : std_logic; signal IO3_T_int : std_logic; signal IO2_I_int : std_logic; signal IO3_I_int : std_logic; signal fcsbo_int : std_logic; signal SS_O_int : std_logic_vector((C_NUM_SS_BITS-1) downto 0); signal SS_T_int : std_logic; signal SS_I_int : std_logic_vector((C_NUM_SS_BITS-1) downto 0); signal fcsbts_int : std_logic; ----RX_FIFO_FULL Logic signals signal Rx_FIFO_Full_Fifo_org : std_logic; signal Rx_FIFO_Full_Fifo : std_logic; signal Rx_FIFO_Full_Fifo_d1 : std_logic; signal Rx_FIFO_Full_Fifo_d1_synced : std_logic; signal Rx_FIFO_Full_Fifo_d1_synced_i : std_logic; signal Rx_FIFO_Full_Fifo_d1_flag : std_logic; signal Rx_FIFO_Full_Fifo_pos_flag : std_logic; signal Rx_FIFO_Full_Fifo_d1_sig : std_logic; -------------------------------------------------------------------------------- begin ----- DATA_STARTUP_EN : if (C_USE_STARTUP = 1 and C_UC_FAMILY = 1) generate ----- begin ----- --- DI_INT_IO3_I_REG: component FD generic map ( INIT => '0' ) port map ( Q => di_int_sync(3), C => EXT_SPI_CLK, D => di_int(3) --MOSI_I ); DI_INT_IO2_I_REG: component FD generic map ( INIT => '0' ) port map ( Q => di_int_sync(2), C => EXT_SPI_CLK, D => di_int(2) -- MISO_I ); DI_INT_IO1_I_REG: component FD generic map ( INIT => '0' ) port map ( Q => di_int_sync(1), C => EXT_SPI_CLK, D => di_int(1) ); ----------------------- DI_INT_IO0_I_REG: component FD generic map ( INIT => '0' ) port map ( Q => di_int_sync(0), C => EXT_SPI_CLK, D => di_int(0) ); --- fcsbo_int <= SS_O_int(0); fcsbts_int <= SS_T_int; NUM_SS : if (C_NUM_SS_BITS = 1) generate begin SS_O <= (others => '0'); SS_T <= '0'; end generate NUM_SS; NUM_SS_G1 : if (C_NUM_SS_BITS > 1) generate begin SS_I_int <= SS_I((C_NUM_SS_BITS-1) downto 1) & '1'; SS_O <= SS_O_int((C_NUM_SS_BITS-1) downto 1); SS_T <= SS_T_int; end generate NUM_SS_G1; str_IO0_I <= di_int_sync(0); do_int(0) <= str_IO0_O; dts_int(0) <= str_IO0_T ; str_IO1_I <= di_int_sync(1); do_int(1) <= str_IO1_O; dts_int(1) <= str_IO1_T; DATA_OUT_NQUAD: if C_SPI_MODE = 0 or C_SPI_MODE = 1 generate begin di <= di_int_sync(3) & di_int_sync(2); do_int(2) <= do(0); do_int(3) <= do(1); dts_int(2) <= dts(0); dts_int(3) <= dts(1); --do <= do_int(3) & do_int(1); --dts <= dts_int(3) & dts_int(1); end generate DATA_OUT_NQUAD; DATA_OUT_QUAD: if C_SPI_MODE = 2 generate begin --di <= "00";--di_int_sync(3) & di_int_sync(2); IO2_I_int <= di_int_sync(2); do_int(2) <= IO2_O_int;--do(2); do_int(3) <= IO3_O_int;--do(1); --do <= do_int(3) & do_int(1); IO3_I_int <= di_int_sync(3); dts_int(2) <= IO2_T_int;--dts_int(3) & dts_int(1); dts_int(3) <= IO3_T_int;--dts_int(3) & dts_int(1); end generate DATA_OUT_QUAD; end generate DATA_STARTUP_EN; DATA_STARTUP_DIS : if (C_USE_STARTUP = 0 or (C_USE_STARTUP = 1 and C_UC_FAMILY = 0)) generate ----- begin ----- str_IO0_I <= IO0_I; IO0_O <= str_IO0_O; IO0_T <= str_IO0_T; str_IO1_I <= IO1_I; IO1_O <= str_IO1_O; IO1_T <= str_IO1_T; fcsbo_int <= '0'; fcsbts_int <= '0'; SS_O <= SS_O_int; SS_T <= SS_T_int; SS_I_int <= SS_I; end generate DATA_STARTUP_DIS; ----------------------------------- -- Combinatorial operations for SPI ----------------------------------- ---- A write to read only register wont have any effect on register. ---- The transaction is completed by generating WrAck only. not_Tx_FIFO_FULL <= not Tx_FIFO_Full; Interrupt_WrCE_sig <= "00"; IPIF_Lvl_Interrupts_sig <= '0'; LEGACY_MD_WR_RD_ACK_GEN: if C_TYPE_OF_AXI4_INTERFACE = 0 generate ----- begin ----- -- A write to read only register wont have any effect on register. -- The transaction is completed by generating WrAck only. -------------------------------------------------------- -- IP2Bus_Error is generated under following conditions: -- 1. If an full transmit register/FIFO is written into. -- 2. If an empty receive register/FIFO is read from. -- Due to software driver legacy, the register rule test is not applied to SPI. -------------------------------------------------------- IP2Bus_Error_1 <= intr_ip2bus_error or rst_ip2bus_error or transmit_ip2bus_error or receive_ip2bus_error; REG_ERR_ACK_P:process(Bus2IP_Clk)is begin if (Bus2IP_Clk'event and Bus2IP_Clk = '1') then if (reset2ip_reset_int = RESET_ACTIVE) then IP2Bus_Error <= '0'; else IP2Bus_Error <= IP2Bus_Error_1; end if; end if; end process REG_ERR_ACK_P; wr_ce_or_reduce_core_cmb <= Bus2IP_WrCE(SPISR) or -- read only register Bus2IP_WrCE(SPIDRR) or -- read only register (Bus2IP_WrCE(SPIDTR) and not_Tx_FIFO_FULL) or -- common to -- spi_fifo_ifmodule_1 and -- spi_receive_reg_1 -- (FROM TRANSMITTER) module Bus2IP_WrCE(SPICR) or Bus2IP_WrCE(SPISSR) or Bus2IP_WrCE(SPITFOR)or -- locally generated Bus2IP_WrCE(SPIRFOR)or -- locally generated Bus2IP_WrCE(REG_HOLE) or -- register hole or_reduce(Bus2IP_WrCE(17 to 23)); -- holes between reset end and start of SPICR register -------------------------------------------------- WRITE_ACK_SPIDTR_REG_PROCESS: process(Bus2IP_Clk) is --------------------------- begin if (Bus2IP_Clk'event and Bus2IP_Clk = '1') then if (reset2ip_reset_int = RESET_ACTIVE) then Bus2IP_WrCE_d1 <= '0'; Bus2IP_WrCE_d2 <= '0'; Bus2IP_WrCE_d3 <= '0'; else Bus2IP_WrCE_d1 <= Bus2IP_WrCE(SPIDTR); Bus2IP_WrCE_d2 <= Bus2IP_WrCE_d1; Bus2IP_WrCE_d3 <= Bus2IP_WrCE_d2; end if; end if; end process WRITE_ACK_SPIDTR_REG_PROCESS; Bus2IP_WrCE_pulse_1 <= Bus2IP_WrCE(SPIDTR) and not Bus2IP_WrCE_d1; Bus2IP_WrCE_pulse_2 <= Bus2IP_WrCE_d1 and not Bus2IP_WrCE_d2; Bus2IP_WrCE_pulse_3 <= Bus2IP_WrCE_d2 and not Bus2IP_WrCE_d3; --end generate WR_ACK_OR_REDUCE_FIFO_1_GEN; ----------------------------------------- -- WRITE_ACK_CORE_REG_PROCESS : The commong write ACK generation logic when FIFO is -- ------------------------ not included in the design. -------------------------------------------------- -- _____|-----|__________ wr_ce_or_reduce_fifo_no -- ________|-----|_______ ip2Bus_WrAck_fifo_no_d1 -- ________|--|__________ ip2Bus_WrAck_fifo_no from common write ack register -- this ack will be used in register files for -- reference. -------------------------------------------------- WRITE_ACK_CORE_REG_PROCESS: process(Bus2IP_Clk) is --------------------------- begin if (Bus2IP_Clk'event and Bus2IP_Clk = '1') then if (reset2ip_reset_int = RESET_ACTIVE) then ip2Bus_WrAck_core_reg_d1 <= '0'; ip2Bus_WrAck_core_reg <= '0'; ip2Bus_WrAck_core_reg_1 <= '0'; else ip2Bus_WrAck_core_reg_d1 <= wr_ce_or_reduce_core_cmb; ip2Bus_WrAck_core_reg <= wr_ce_or_reduce_core_cmb and (not ip2Bus_WrAck_core_reg_d1); ip2Bus_WrAck_core_reg_1 <= ip2Bus_WrAck_core_reg; end if; end if; end process WRITE_ACK_CORE_REG_PROCESS; ------------------------------------------------- -- internal logic uses this signal wr_ce_reduce_ack_gen <= ip2Bus_WrAck_core_reg_1; ------------------------------------------------- -- common WrAck to IPIF IP2Bus_WrAck_1 <= intr_ip2bus_wrack or -- common rst_ip2bus_wrack or -- common ip2Bus_WrAck_intr_reg_hole or -- newly added to target the holes in register space ip2Bus_WrAck_core_reg;-- or --Tx_FIFO_wr_ack; -- newly added REG_WR_ACK_P:process(Bus2IP_Clk)is begin if (Bus2IP_Clk'event and Bus2IP_Clk = '1') then if (reset2ip_reset_int = RESET_ACTIVE) then IP2Bus_WrAck <= '0'; else IP2Bus_WrAck <= IP2Bus_WrAck_1; end if; end if; end process REG_WR_ACK_P; ------------------------------------------------- --end generate LEGACY_MD_WR_ACK_GEN; ------------------------------------------------- --LEGACY_MD_RD_ACK_GEN: if C_TYPE_OF_AXI4_INTERFACE = 0 generate ----- --begin ----- rd_ce_or_reduce_core_cmb <= Bus2IP_RdCE(SWRESET) or --common locally generated Bus2IP_RdCE(SPIDTR) or --common locally generated Bus2IP_RdCE(SPISR) or --common from status register Bus2IP_RdCE(SPIDRR) or --common to --spi_fifo_ifmodule_1 --and spi_receive_reg_1 --(FROM RECEIVER) module Bus2IP_RdCE(SPICR) or --common spi_cntrl_reg_1 Bus2IP_RdCE(SPISSR) or --common spi_status_reg_1 Bus2IP_RdCE(SPITFOR) or --only for fifo_occu TX reg Bus2IP_RdCE(SPIRFOR) or --only for fifo_occu RX reg Bus2IP_RdCE(REG_HOLE) or -- register hole or_reduce(Bus2IP_RdCE(17 to 23)); -- holes between reset end and start of SPICR register; --reg hole -- READ_ACK_CORE_REG_PROCESS : The commong write ACK generation logic -------------------------------------------------- -- _____|-----|__________ wr_ce_or_reduce_fifo_no -- ________|-----|_______ ip2Bus_WrAck_fifo_no_d1 -- ________|--|__________ ip2Bus_WrAck_fifo_no from common write ack register -- this ack will be used in register files for -- reference. -------------------------------------------------- READ_ACK_CORE_REG_PROCESS: process(Bus2IP_Clk) is ------------------- begin if (Bus2IP_Clk'event and Bus2IP_Clk = '1') then if (reset2ip_reset_int = RESET_ACTIVE) then ip2Bus_RdAck_core_reg_d1 <= '0'; ip2Bus_RdAck_core_reg <= '0'; ip2Bus_RdAck_core_reg_1 <= '0'; read_ack_delay_1 <= '0'; read_ack_delay_2 <= '0'; read_ack_delay_3 <= '0'; read_ack_delay_4 <= '0'; read_ack_delay_5 <= '0'; read_ack_delay_6 <= '0'; read_ack_delay_7 <= '0'; else --ip2Bus_RdAck_core_reg_d1 <= rd_ce_or_reduce_core_cmb; --ip2Bus_RdAck_core_reg <= rd_ce_or_reduce_core_cmb and -- (not ip2Bus_RdAck_core_reg_d1); read_ack_delay_1 <= rd_ce_or_reduce_core_cmb; read_ack_delay_2 <= read_ack_delay_1; read_ack_delay_3 <= read_ack_delay_2; read_ack_delay_4 <= read_ack_delay_3; read_ack_delay_5 <= read_ack_delay_4; read_ack_delay_6 <= read_ack_delay_5; read_ack_delay_7 <= read_ack_delay_6; ip2Bus_RdAck_core_reg <= read_ack_delay_6 and (not read_ack_delay_7); ip2Bus_RdAck_core_reg_1 <= ip2Bus_RdAck_core_reg; end if; end if; end process READ_ACK_CORE_REG_PROCESS; ------------------------------------------------- -- internal logic uses this signal rd_ce_reduce_ack_gen <= ip2Bus_RdAck_core_reg; ------------------------------------------------- -- common RdAck to IPIF IP2Bus_RdAck_1 <= intr_ip2bus_rdack or -- common ip2Bus_RdAck_intr_reg_hole or ip2Bus_RdAck_core_reg; REG_RD_ACK_P:process(Bus2IP_Clk)is begin if (Bus2IP_Clk'event and Bus2IP_Clk = '1') then if (reset2ip_reset_int = RESET_ACTIVE) then IP2Bus_RdAck <= '0'; else IP2Bus_RdAck <= IP2Bus_RdAck_1; end if; end if; end process REG_RD_ACK_P; --------------------------------------------------- end generate LEGACY_MD_WR_RD_ACK_GEN; ------------------------------------------------- ENHANCED_MD_WR_RD_ACK_GEN: if C_TYPE_OF_AXI4_INTERFACE = 1 generate ----- begin ----- -- A write to read only register wont have any effect on register. -- The transaction is completed by generating WrAck only. -------------------------------------------------------- -- IP2Bus_Error is generated under following conditions: -- 1. If an full transmit register/FIFO is written into. -- 2. If an empty receive register/FIFO is read from. -- Due to software driver legacy, the register rule test is not applied to SPI. -------------------------------------------------------- IP2Bus_Error <= intr_ip2bus_error or rst_ip2bus_error or transmit_ip2bus_error or receive_ip2bus_error; wr_ce_or_reduce_core_cmb <= Bus2IP_WrCE(SPISR) or -- read only register Bus2IP_WrCE(SPIDRR) or -- read only register (Bus2IP_WrCE(SPIDTR) and not_Tx_FIFO_FULL) or -- common to -- spi_fifo_ifmodule_1 and -- spi_receive_reg_1 -- (FROM TRANSMITTER) module Bus2IP_WrCE(SPICR) or Bus2IP_WrCE(SPISSR) or Bus2IP_WrCE(SPITFOR)or -- locally generated Bus2IP_WrCE(SPIRFOR)or -- locally generated Bus2IP_WrCE(REG_HOLE) or -- register hole or_reduce(Bus2IP_WrCE(17 to 23)); -- holes between reset end and start of SPICR register; -- register hole -------------------------------------------------- WRITE_ACK_SPIDTR_REG_PROCESS: process(Bus2IP_Clk) is --------------------------- begin if (Bus2IP_Clk'event and Bus2IP_Clk = '1') then if (reset2ip_reset_int = RESET_ACTIVE) then Bus2IP_WrCE_d1 <= '0'; Bus2IP_WrCE_d2 <= '0'; Bus2IP_WrCE_d3 <= '0'; else Bus2IP_WrCE_d1 <= Bus2IP_WrCE(SPIDTR); Bus2IP_WrCE_d2 <= Bus2IP_WrCE_d1; Bus2IP_WrCE_d3 <= Bus2IP_WrCE_d2; end if; end if; end process WRITE_ACK_SPIDTR_REG_PROCESS; Bus2IP_WrCE_pulse_1 <= Bus2IP_WrCE(SPIDTR) and not Bus2IP_WrCE_d1; Bus2IP_WrCE_pulse_2 <= Bus2IP_WrCE_d1 and not Bus2IP_WrCE_d2; Bus2IP_WrCE_pulse_3 <= Bus2IP_WrCE_d2 and not Bus2IP_WrCE_d3; -- WRITE_ACK_CORE_REG_PROCESS : The commong write ACK generation logic when FIFO is -- ------------------------ not included in the design. -------------------------------------------------- -- _____|-----|__________ wr_ce_or_reduce_fifo_no -- ________|-----|_______ ip2Bus_WrAck_fifo_no_d1 -- ________|--|__________ ip2Bus_WrAck_fifo_no from common write ack register -- this ack will be used in register files for -- reference. -------------------------------------------------- WRITE_ACK_CORE_REG_PROCESS: process(Bus2IP_Clk) is --------------------------- begin if (Bus2IP_Clk'event and Bus2IP_Clk = '1') then if (reset2ip_reset_int = RESET_ACTIVE) then ip2Bus_WrAck_core_reg_d1 <= '0'; ip2Bus_WrAck_core_reg <= '0'; ip2Bus_WrAck_core_reg_1 <= '0'; else ip2Bus_WrAck_core_reg_d1 <= wr_ce_or_reduce_core_cmb; ip2Bus_WrAck_core_reg <= wr_ce_or_reduce_core_cmb and (not ip2Bus_WrAck_core_reg_d1); ip2Bus_WrAck_core_reg_1 <= ip2Bus_WrAck_core_reg; end if; end if; end process WRITE_ACK_CORE_REG_PROCESS; ------------------------------------------------- -- internal logic uses this signal wr_ce_reduce_ack_gen <= ip2Bus_WrAck_core_reg;--_1; ------------------------------------------------- -- common WrAck to IPIF -- in the enhanced mode for FIFO, the IP2bus_Wrack is provided by the enhanced mode statemachine only. IP2Bus_WrAck <= intr_ip2bus_wrack or -- common rst_ip2bus_wrack or -- common ip2Bus_WrAck_intr_reg_hole or -- newly added to target the holes in register space (ip2Bus_WrAck_core_reg and (not burst_tr));-- or --(Tx_FIFO_wr_ack and burst_tr); -- newly added ------------------------------------------------- --ENHANCED_MD_RD_ACK_GEN: if C_TYPE_OF_AXI4_INTERFACE = 1 generate ----- --begin ----- FIFO_NO_RD_CE_GEN: if C_FIFO_EXIST = 0 generate begin rd_ce_or_reduce_core_cmb <= Bus2IP_RdCE(SWRESET) or --common locally generated Bus2IP_RdCE(SPIDTR) or --common locally generated Bus2IP_RdCE(SPISR) or --common from status register Bus2IP_RdCE(SPIDRR) or --common to --spi_fifo_ifmodule_1 --and spi_receive_reg_1 --(FROM RECEIVER) module Bus2IP_RdCE(SPICR) or --common spi_cntrl_reg_1 Bus2IP_RdCE(SPISSR) or --common spi_status_reg_1 Bus2IP_RdCE(SPITFOR) or --only for fifo_occu TX reg Bus2IP_RdCE(SPIRFOR) or --only for fifo_occu RX reg Bus2IP_RdCE(REG_HOLE) or -- register hole or_reduce(Bus2IP_RdCE(17 to 23)); -- holes between reset end and start of SPICR register; --reg hole end generate FIFO_NO_RD_CE_GEN; FIFO_YES_RD_CE_GEN: if C_FIFO_EXIST = 1 generate begin rd_ce_or_reduce_core_cmb <= Bus2IP_RdCE(SWRESET) or --common locally generated Bus2IP_RdCE(SPIDTR) or --common locally generated Bus2IP_RdCE(SPISR) or --common from status register --Bus2IP_RdCE(SPIDRR) or --common to --spi_fifo_ifmodule_1 --and spi_receive_reg_1 --(FROM RECEIVER) module Bus2IP_RdCE(SPICR) or --common spi_cntrl_reg_1 Bus2IP_RdCE(SPISSR) or --common spi_status_reg_1 Bus2IP_RdCE(SPITFOR) or --only for fifo_occu TX reg Bus2IP_RdCE(SPIRFOR) or --only for fifo_occu RX reg Bus2IP_RdCE(REG_HOLE) or -- register hole or_reduce(Bus2IP_RdCE(17 to 23)); -- holes between reset end and start of SPICR register; --reg hole end generate FIFO_YES_RD_CE_GEN; -- READ_ACK_CORE_REG_PROCESS : The commong write ACK generation logic -------------------------------------------------- -- _____|-----|__________ wr_ce_or_reduce_fifo_no -- ________|-----|_______ ip2Bus_WrAck_fifo_no_d1 -- ________|--|__________ ip2Bus_WrAck_fifo_no from common write ack register -- this ack will be used in register files for -- reference. -------------------------------------------------- READ_ACK_CORE_REG_PROCESS: process(Bus2IP_Clk) is ------------------- begin if (Bus2IP_Clk'event and Bus2IP_Clk = '1') then if (reset2ip_reset_int = RESET_ACTIVE) then ip2Bus_RdAck_core_reg_d1 <= '0'; ip2Bus_RdAck_core_reg <= '0'; ip2Bus_RdAck_core_reg_1 <= '0'; read_ack_delay_1 <= '0'; read_ack_delay_2 <= '0'; read_ack_delay_3 <= '0'; read_ack_delay_4 <= '0'; read_ack_delay_5 <= '0'; read_ack_delay_6 <= '0'; read_ack_delay_7 <= '0'; else --ip2Bus_RdAck_core_reg_d1 <= rd_ce_or_reduce_core_cmb; --ip2Bus_RdAck_core_reg <= rd_ce_or_reduce_core_cmb and -- (not ip2Bus_RdAck_core_reg_d1); --ip2Bus_RdAck_core_reg_1 <= ip2Bus_RdAck_core_reg; read_ack_delay_1 <= rd_ce_or_reduce_core_cmb; read_ack_delay_2 <= read_ack_delay_1; read_ack_delay_3 <= read_ack_delay_2; read_ack_delay_4 <= read_ack_delay_3; read_ack_delay_5 <= read_ack_delay_4; read_ack_delay_6 <= read_ack_delay_5; read_ack_delay_7 <= read_ack_delay_6; ip2Bus_RdAck_core_reg <= read_ack_delay_6 and (not read_ack_delay_7); ip2Bus_RdAck_core_reg_1 <= ip2Bus_RdAck_core_reg; end if; end if; end process READ_ACK_CORE_REG_PROCESS; ------------------------------------------------- -- internal logic uses this signal rd_ce_reduce_ack_gen <= ip2Bus_RdAck_core_reg; --_1; ------------------------------------------------- -- common RdAck to IPIF IP2Bus_RdAck <= intr_ip2bus_rdack or -- common ip2Bus_RdAck_intr_reg_hole or ip2Bus_RdAck_core_reg or (Rx_FIFO_rd_ack and rready); ----------------------------------------------------- end generate ENHANCED_MD_WR_RD_ACK_GEN; ------------------------------------------------- --============================================================================= TX_FIFO_OCC_DATA_FIFO_16: if C_FIFO_DEPTH = 16 generate ------------------------- begin ----- IP2Bus_Tx_FIFO_OCC_Reg_Data_int_1(0) <= IP2Bus_Tx_FIFO_OCC_Reg_Data_int(0) and not (Tx_FIFO_Empty_SPISR_to_axi_clk); -- (Tx_FIFO_Empty); IP2Bus_Tx_FIFO_OCC_Reg_Data_int_1(1) <= IP2Bus_Tx_FIFO_OCC_Reg_Data_int(1) and not (Tx_FIFO_Empty_SPISR_to_axi_clk); -- (Tx_FIFO_Empty); IP2Bus_Tx_FIFO_OCC_Reg_Data_int_1(2) <= IP2Bus_Tx_FIFO_OCC_Reg_Data_int(2) and not (Tx_FIFO_Empty_SPISR_to_axi_clk); -- (Tx_FIFO_Empty); IP2Bus_Tx_FIFO_OCC_Reg_Data_int_1(3) <= IP2Bus_Tx_FIFO_OCC_Reg_Data_int(3) and not (Tx_FIFO_Empty_SPISR_to_axi_clk); -- (Tx_FIFO_Empty); --(FIFO_Empty_tx); IP2Bus_Rx_FIFO_OCC_Reg_Data_int_1(0) <= IP2Bus_Rx_FIFO_OCC_Reg_Data_int(0) and not (Rx_FIFO_Empty); IP2Bus_Rx_FIFO_OCC_Reg_Data_int_1(1) <= IP2Bus_Rx_FIFO_OCC_Reg_Data_int(1) and not (Rx_FIFO_Empty); IP2Bus_Rx_FIFO_OCC_Reg_Data_int_1(2) <= IP2Bus_Rx_FIFO_OCC_Reg_Data_int(2) and not (Rx_FIFO_Empty); IP2Bus_Rx_FIFO_OCC_Reg_Data_int_1(3) <= IP2Bus_Rx_FIFO_OCC_Reg_Data_int(3) and not (Rx_FIFO_Empty); --(FIFO_Empty_rx); end generate TX_FIFO_OCC_DATA_FIFO_16; -------------------------------------- TX_FIFO_OCC_DATA_FIFO_256: if C_FIFO_DEPTH = 256 generate ------------------------- begin ----- IP2Bus_Tx_FIFO_OCC_Reg_Data_int_1(0) <= IP2Bus_Tx_FIFO_OCC_Reg_Data_int(0) and not (Tx_FIFO_Empty_SPISR_to_axi_clk); -- (Tx_FIFO_Empty); IP2Bus_Tx_FIFO_OCC_Reg_Data_int_1(1) <= IP2Bus_Tx_FIFO_OCC_Reg_Data_int(1) and not (Tx_FIFO_Empty_SPISR_to_axi_clk); -- (Tx_FIFO_Empty); IP2Bus_Tx_FIFO_OCC_Reg_Data_int_1(2) <= IP2Bus_Tx_FIFO_OCC_Reg_Data_int(2) and not (Tx_FIFO_Empty_SPISR_to_axi_clk); -- (Tx_FIFO_Empty); IP2Bus_Tx_FIFO_OCC_Reg_Data_int_1(3) <= IP2Bus_Tx_FIFO_OCC_Reg_Data_int(3) and not (Tx_FIFO_Empty_SPISR_to_axi_clk); -- (Tx_FIFO_Empty); IP2Bus_Tx_FIFO_OCC_Reg_Data_int_1(4) <= IP2Bus_Tx_FIFO_OCC_Reg_Data_int(4) and not (Tx_FIFO_Empty_SPISR_to_axi_clk); -- (Tx_FIFO_Empty); IP2Bus_Tx_FIFO_OCC_Reg_Data_int_1(5) <= IP2Bus_Tx_FIFO_OCC_Reg_Data_int(5) and not (Tx_FIFO_Empty_SPISR_to_axi_clk); -- (Tx_FIFO_Empty); IP2Bus_Tx_FIFO_OCC_Reg_Data_int_1(6) <= IP2Bus_Tx_FIFO_OCC_Reg_Data_int(6) and not (Tx_FIFO_Empty_SPISR_to_axi_clk); -- (Tx_FIFO_Empty); IP2Bus_Tx_FIFO_OCC_Reg_Data_int_1(7) <= IP2Bus_Tx_FIFO_OCC_Reg_Data_int(7) and not (Tx_FIFO_Empty_SPISR_to_axi_clk); -- (Tx_FIFO_Empty);-- (FIFO_Empty_tx); IP2Bus_Rx_FIFO_OCC_Reg_Data_int_1(0) <= IP2Bus_Rx_FIFO_OCC_Reg_Data_int(0) and not (Rx_FIFO_Empty); IP2Bus_Rx_FIFO_OCC_Reg_Data_int_1(1) <= IP2Bus_Rx_FIFO_OCC_Reg_Data_int(1) and not (Rx_FIFO_Empty); IP2Bus_Rx_FIFO_OCC_Reg_Data_int_1(2) <= IP2Bus_Rx_FIFO_OCC_Reg_Data_int(2) and not (Rx_FIFO_Empty); IP2Bus_Rx_FIFO_OCC_Reg_Data_int_1(3) <= IP2Bus_Rx_FIFO_OCC_Reg_Data_int(3) and not (Rx_FIFO_Empty); IP2Bus_Rx_FIFO_OCC_Reg_Data_int_1(4) <= IP2Bus_Rx_FIFO_OCC_Reg_Data_int(4) and not (Rx_FIFO_Empty); IP2Bus_Rx_FIFO_OCC_Reg_Data_int_1(5) <= IP2Bus_Rx_FIFO_OCC_Reg_Data_int(5) and not (Rx_FIFO_Empty); IP2Bus_Rx_FIFO_OCC_Reg_Data_int_1(6) <= IP2Bus_Rx_FIFO_OCC_Reg_Data_int(6) and not (Rx_FIFO_Empty); IP2Bus_Rx_FIFO_OCC_Reg_Data_int_1(7) <= IP2Bus_Rx_FIFO_OCC_Reg_Data_int(7) and not (Rx_FIFO_Empty); --(FIFO_Empty_rx); end generate TX_FIFO_OCC_DATA_FIFO_256; --***************************************************************************** ip2Bus_Data_occupancy_int(0 to (C_S_AXI_DATA_WIDTH-C_OCCUPANCY_NUM_BITS-1)) <= (others => '0'); ip2Bus_Data_occupancy_int((C_S_AXI_DATA_WIDTH-C_OCCUPANCY_NUM_BITS) to (C_S_AXI_DATA_WIDTH-1)) <= IP2Bus_Rx_FIFO_OCC_Reg_Data_int_1 or IP2Bus_Tx_FIFO_OCC_Reg_Data_int_1; ------------------------------------------------------------------------------- -- SPECIAL_CASE_WHEN_SS_NOT_EQL_32 : The Special case is executed whenever -- C_NUM_SS_BITS is less than 32 ------------------------------------------------------------------------------- SPECIAL_CASE_WHEN_SS_NOT_EQL_32: if(C_NUM_SS_BITS /= 32) generate ----- begin ----- ip2Bus_Data_SS_int(0 to (C_S_AXI_DATA_WIDTH-C_NUM_SS_BITS-1)) <= (others => '0'); end generate SPECIAL_CASE_WHEN_SS_NOT_EQL_32; --------------------------------------------- ip2Bus_Data_SS_int((C_S_AXI_DATA_WIDTH-C_NUM_SS_BITS) to (C_S_AXI_DATA_WIDTH-1)) <= IP2Bus_SPISSR_Data_int; ------------------------------------------------------------------------------- ip2Bus_Data_Reg_int(0 to C_S_AXI_DATA_WIDTH-C_SPISR_REG_WIDTH-1) <= (others => '0'); ip2Bus_Data_Reg_int(C_S_AXI_DATA_WIDTH-C_SPISR_REG_WIDTH to C_S_AXI_DATA_WIDTH-1) <= IP2Bus_SPISR_Data_int or -- SPISR - 11 bit ('0' & IP2Bus_SPICR_Data_int); -- SPICR - 10 bit ------------------------------------------------------------------------------- ----------------------- Receive_Reg_width_is_32: if(C_NUM_TRANSFER_BITS = 32) generate ----------------------- begin ----- IP2Bus_Data_received_int <= IP2Bus_Receive_Reg_Data_int; end generate Receive_Reg_width_is_32; ----------------------------------------- --------------------------- Receive_Reg_width_is_not_32: if(C_NUM_TRANSFER_BITS /= 32) generate --------------------------- begin ----- IP2Bus_Data_received_int(0 to C_S_AXI_DATA_WIDTH-C_NUM_TRANSFER_BITS-1) <= (others => '0'); IP2Bus_Data_received_int((C_S_AXI_DATA_WIDTH-C_NUM_TRANSFER_BITS) to (C_S_AXI_DATA_WIDTH-1)) <= IP2Bus_Receive_Reg_Data_int; end generate Receive_Reg_width_is_not_32; ----------------------------------------- ------------------------------------------------------------------------------- LEGACY_MD_IP2BUS_DATA_GEN: if C_TYPE_OF_AXI4_INTERFACE = 0 generate ----- begin ----- ip2Bus_Data_1 <= ip2Bus_Data_occupancy_int or -- occupancy reg data ip2Bus_Data_SS_int or -- Slave select reg data ip2Bus_Data_Reg_int or -- SPI CR & SR reg data IP2Bus_Data_received_int or -- SPI received data intr_ip2bus_data ; REG_IP2BUS_DATA_P:process(Bus2IP_Clk)is begin if (Bus2IP_Clk'event and Bus2IP_Clk = '1') then if (reset2ip_reset_int = RESET_ACTIVE) then ip2Bus_Data <= (others => '0'); else ip2Bus_Data <= ip2Bus_Data_1; end if; end if; end process REG_IP2BUS_DATA_P; end generate LEGACY_MD_IP2BUS_DATA_GEN; ------------------------------------------------------------------------------- ENHANCED_MD_IP2BUS_DATA_GEN: if C_TYPE_OF_AXI4_INTERFACE = 1 generate ----- begin ----- ip2Bus_Data <= ip2Bus_Data_occupancy_int or -- occupancy reg data ip2Bus_Data_SS_int or -- Slave select reg data ip2Bus_Data_Reg_int or -- SPI CR & SR reg data IP2Bus_Data_received_int or -- SPI received data intr_ip2bus_data ; end generate ENHANCED_MD_IP2BUS_DATA_GEN; ------------------------------------------------------------------------------- RESET_SYNC_AXI_SPI_CLK_INST:entity axi_quad_spi_v3_2_8.reset_sync_module port map( EXT_SPI_CLK => EXT_SPI_CLK ,-- in std_logic; --Bus2IP_Clk => Bus2IP_Clk ,-- in std_logic; Soft_Reset_frm_axi => reset2ip_reset_int,-- in std_logic; Rst_to_spi => Rst_to_spi_int -- out std_logic; ); -------------------------------------- -- NO_FIFO_EXISTS : Signals initialisation and module -- instantiation when C_FIFO_EXIST = 0 -------------------------------------- NO_FIFO_EXISTS: if(C_FIFO_EXIST = 0) generate ---------------------------------- signal spisel_pulse_frm_spi_clk : std_logic; signal spisel_pulse_to_axi_clk : std_logic; signal spiXfer_done_frm_spi_clk : std_logic; signal spiXfer_done_to_axi_clk : std_logic; signal modf_strobe_frm_spi_clk : std_logic; -- signal modf_strobe_to_axi_clk : std_logic; signal slave_MODF_strobe_frm_spi_clk : std_logic; signal slave_MODF_strobe_to_axi_clk : std_logic; signal receive_data_frm_spi_clk : std_logic_vector(0 to (C_NUM_TRANSFER_BITS-1)); signal receive_data_to_axi_clk : std_logic_vector(0 to (C_NUM_TRANSFER_BITS-1)); signal transmit_Data_frm_axi_clk: std_logic_vector(0 to (C_NUM_TRANSFER_BITS-1)); signal transmit_Data_to_spi_clk : std_logic_vector(0 to (C_NUM_TRANSFER_BITS-1)); signal transmit_Data_fifo_0 : std_logic_vector(0 to (C_NUM_TRANSFER_BITS-1)); signal drr_Overrun_int_frm_spi_clk: std_logic; signal drr_Overrun_int_to_axi_clk : std_logic; ----- begin ----- Rx_FIFO_rd_ack <= '0'; Tx_FIFO_Full <= '0'; -------------------------------------------------------------------------- -- I_RECEIVE_REG : INSTANTIATE RECEIVE REGISTER -------------------------------------------------------------------------- QSPI_RX_TX_REG: entity axi_quad_spi_v3_2_8.qspi_receive_transmit_reg generic map ( C_S_AXI_DATA_WIDTH => C_S_AXI_DATA_WIDTH, C_NUM_TRANSFER_BITS => C_NUM_TRANSFER_BITS ) port map ( Bus2IP_Clk => Bus2IP_Clk, -- in Soft_Reset_op => reset2ip_reset_int, -- in --SPI Receiver signals -- From AXI clock Bus2IP_Receive_Reg_RdCE => Bus2IP_RdCE(SPIDRR), -- in Receive_ip2bus_error => receive_ip2bus_error, -- out IP2Bus_Receive_Reg_Data => IP2Bus_Receive_Reg_Data_int, -- out --SPI module ports From SPI clock SPIXfer_done => spiXfer_done_to_axi_clk,--spiXfer_done_int,-- in SPI_Received_Data => receive_data_to_axi_clk,--receive_Data_int,-- in vec -- receive & transmit reg signals -- DRR_Overrun => drr_Overrun_int,-- drr_Overrun_int,-- out SR_7_Rx_Empty => Rx_FIFO_Empty_i, -- out -- From AXI clock Bus2IP_Transmit_Reg_Data=> Bus2IP_Data, -- in vec Bus2IP_Transmit_Reg_WrCE=> Bus2IP_WrCE(SPIDTR), -- in Wr_ce_reduce_ack_gen => wr_ce_reduce_ack_gen, -- in Rd_ce_reduce_ack_gen => rd_ce_reduce_ack_gen, -- in --SPI Transmitter signals from AXI clock Transmit_ip2bus_error => transmit_ip2bus_error, -- out --SPI module ports DTR_underrun => dtr_underrun_to_axi_clk,--dtr_underrun_int,-- in SR_5_Tx_Empty => sr_5_Tx_Empty_int, -- out tx_empty_signal_handshake_req => tx_empty_signal_handshake_req, -- out tx_empty_signal_handshake_gnt => tx_empty_signal_handshake_gnt, -- in DTR_Underrun_strobe => dtr_Underrun_strobe_int, -- out Transmit_Reg_Data_Out => transmit_Data_fifo_0--transmit_Data_int -- out vec ); spisel_d1_reg_frm_spi_clk <= spisel_d1_reg; spisel_pulse_frm_spi_clk <= spisel_pulse_o_int;-- from SPI module spiXfer_done_frm_spi_clk <= spiXfer_done_int ;-- from SPI module modf_strobe_frm_spi_clk <= modf_strobe_int ;-- from SPI module slave_MODF_strobe_frm_spi_clk <= slave_MODF_strobe_int;-- from SPI module receive_data_frm_spi_clk <= Data_To_Rx_FIFO ; -- from SPI module dtr_underrun_frm_spi_clk <= dtr_underrun_int; -- from SPI module transmit_Data_frm_axi_clk <= transmit_Data_fifo_0; -- From AXI clock Tx_FIFO_Empty_frm_axi_clk <= sr_5_Tx_Empty_int; Tx_FIFO_Empty_SPISR_frm_spi_clk <= sr_5_Tx_Empty_int; --Rx_FIFO_Empty_int <= Rx_FIFO_Empty; Rx_FIFO_Empty_int <= Rx_FIFO_Empty_i; drr_Overrun_int_frm_spi_clk <= drr_Overrun_int; SR_3_modf_frm_axi_clk <= SR_3_modf_int; CROSS_CLK_FIFO_0_INST:entity axi_quad_spi_v3_2_8.cross_clk_sync_fifo_0 generic map( C_NUM_TRANSFER_BITS => C_NUM_TRANSFER_BITS, Async_Clk => Async_Clk , --C_AXI_SPI_CLK_EQ_DIFF => C_AXI_SPI_CLK_EQ_DIFF, C_NUM_SS_BITS => C_NUM_SS_BITS ) port map( EXT_SPI_CLK => EXT_SPI_CLK, Bus2IP_Clk => Bus2IP_Clk , Soft_Reset_op => reset2ip_reset_int, Rst_from_axi_cdc_to_spi => Rst_to_spi_int, -- out std_logic; ---------------------------------------------------------- tx_empty_signal_handshake_req => tx_empty_signal_handshake_req, tx_empty_signal_handshake_gnt => tx_empty_signal_handshake_gnt, Tx_FIFO_Empty_cdc_from_axi => Tx_FIFO_Empty_frm_axi_clk, Tx_FIFO_Empty_cdc_to_spi => Tx_FIFO_Empty, ---------------------------------------------------------- Tx_FIFO_Empty_SPISR_cdc_from_spi => Tx_FIFO_Empty_SPISR_frm_spi_clk, Tx_FIFO_Empty_SPISR_cdc_to_axi => Tx_FIFO_Empty_SPISR_to_axi_clk, ---------------------------------------------------------- spisel_d1_reg_cdc_from_spi => spisel_d1_reg_frm_spi_clk , -- in spisel_d1_reg_cdc_to_axi => spisel_d1_reg_to_axi_clk , -- out ---------------------------------------------------------- spisel_pulse_cdc_from_spi => spisel_pulse_frm_spi_clk , -- in spisel_pulse_cdc_to_axi => spisel_pulse_to_axi_clk , -- out ---------------------------------------------------------- spiXfer_done_cdc_from_spi => spiXfer_done_frm_spi_clk , -- in spiXfer_done_cdc_to_axi => spiXfer_done_to_axi_clk , -- out ---------------------------------------------------------- modf_strobe_cdc_from_spi => modf_strobe_frm_spi_clk, -- in modf_strobe_cdc_to_axi => modf_strobe_to_axi_clk , -- out ---------------------------------------------------------- Slave_MODF_strobe_cdc_from_spi => slave_MODF_strobe_frm_spi_clk,-- in Slave_MODF_strobe_cdc_to_axi => slave_MODF_strobe_to_axi_clk ,-- out ---------------------------------------------------------- receive_Data_cdc_from_spi => receive_Data_frm_spi_clk, -- in receive_Data_cdc_to_axi => receive_data_to_axi_clk, -- out ---------------------------------------------------------- drr_Overrun_int_cdc_from_spi => drr_Overrun_int_frm_spi_clk, -- in drr_Overrun_int_cdc_to_axi => drr_Overrun_int_to_axi_clk, -- out ---------------------------------------------------------- dtr_underrun_cdc_from_spi => dtr_underrun_frm_spi_clk, -- in dtr_underrun_cdc_to_axi => dtr_underrun_to_axi_clk, -- out ---------------------------------------------------------- transmit_Data_cdc_from_axi => transmit_Data_frm_axi_clk, -- in transmit_Data_cdc_to_spi => transmit_Data_to_spi_clk, -- out ---------------------------- SPICR_0_LOOP_cdc_from_axi => SPICR_0_LOOP_frm_axi_clk,-- in std_logic; SPICR_0_LOOP_cdc_to_spi => SPICR_0_LOOP_to_spi_clk ,-- out ---------------------------- SPICR_1_SPE_cdc_from_axi => SPICR_1_SPE_frm_axi_clk ,-- in std_logic; SPICR_1_SPE_cdc_to_spi => SPICR_1_SPE_to_spi_clk ,-- out ---------------------------- SPICR_2_MST_N_SLV_cdc_from_axi => SPICR_2_MST_N_SLV_frm_axi_clk,-- in std_logic; SPICR_2_MST_N_SLV_cdc_to_spi => SPICR_2_MST_N_SLV_to_spi_clk, -- out ---------------------------- SPICR_3_CPOL_cdc_from_axi => SPICR_3_CPOL_frm_axi_clk,-- in std_logic; SPICR_3_CPOL_cdc_to_spi => SPICR_3_CPOL_to_spi_clk ,-- out ---------------------------- SPICR_4_CPHA_cdc_from_axi => SPICR_4_CPHA_frm_axi_clk,-- in std_logic; SPICR_4_CPHA_cdc_to_spi => SPICR_4_CPHA_to_spi_clk ,-- out ---------------------------- SPICR_5_TXFIFO_cdc_from_axi => SPICR_5_TXFIFO_frm_axi_clk,-- in std_logic; SPICR_5_TXFIFO_cdc_to_spi => SPICR_5_TXFIFO_to_spi_clk, -- out ---------------------------- SPICR_6_RXFIFO_RST_cdc_from_axi=> SPICR_6_RXFIFO_RST_frm_axi_clk,-- in std_logic; SPICR_6_RXFIFO_RST_cdc_to_spi => SPICR_6_RXFIFO_RST_to_spi_clk ,-- out ---------------------------- SPICR_7_SS_cdc_from_axi => SPICR_7_SS_frm_axi_clk ,-- in std_logic; SPICR_7_SS_cdc_to_spi => SPICR_7_SS_to_spi_clk ,-- out ---------------------------- SPICR_8_TR_INHIBIT_cdc_from_axi=> SPICR_8_TR_INHIBIT_frm_axi_clk,-- in std_logic; SPICR_8_TR_INHIBIT_cdc_to_spi => SPICR_8_TR_INHIBIT_to_spi_clk,-- out ---------------------------- SPICR_9_LSB_cdc_from_axi => SPICR_9_LSB_frm_axi_clk,-- in std_logic; SPICR_9_LSB_cdc_to_spi => SPICR_9_LSB_to_spi_clk,-- out ---------------------------- SPICR_bits_7_8_cdc_from_axi => SPICR_bits_7_8_frm_axi_clk,-- in std_logic_vector SPICR_bits_7_8_cdc_to_spi => SPICR_bits_7_8_to_spi_clk,-- out ---------------------------- SR_3_modf_cdc_from_axi => SR_3_modf_frm_axi_clk, -- in SR_3_modf_cdc_to_spi => SR_3_modf_to_spi_clk , -- out ---------------------------- SPISSR_cdc_from_axi => SPISSR_frm_axi_clk, -- in SPISSR_cdc_to_spi => register_Data_slvsel_int -- out ---------------------------- ); Data_From_TxFIFO <= transmit_Data_to_spi_clk; rc_FIFO_Full_strobe_int <= '0'; rc_FIFO_occ_Reversed_int <= (others => '0'); rc_FIFO_Data_Out_int <= (others => '0'); data_Exists_RcFIFO_int <= '0'; tx_FIFO_Empty_strobe_int <= '0'; tx_FIFO_occ_Reversed_int <= (others => '0'); data_Exists_TxFIFO_int <= '0'; data_From_TxFIFO_int <= (others => '0'); tx_FIFO_less_half_int <= '0'; reset_TxFIFO_ptr_int <= '0'; reset_RcFIFO_ptr_int <= '0'; IP2Bus_Rx_FIFO_OCC_Reg_Data_int_1 <= (others => '0'); IP2Bus_Tx_FIFO_OCC_Reg_Data_int_1 <= (others => '0'); Tx_FIFO_Full_int <= not(sr_5_Tx_Empty_int); -- Tx_FIFO_Empty_to_axi_clk); Rx_FIFO_Full_int <= not(Rx_FIFO_Empty_i); Rx_FIFO_Full_Fifo <= not(Rx_FIFO_Empty_i); Rx_FIFO_Full_Fifo_d1_synced <= not(Rx_FIFO_Empty_i); -------------------------------------------------------------------------- bus2IP_Data_for_interrupt_core(0 to 14) <= Bus2IP_Data(0 to 14); bus2IP_Data_for_interrupt_core(15 to 22) <= (others => '0'); -- below code manipulates the bus2ip_data going towards interrupt control -- unit. In FIFO=0, case bit 23 and 25 of IPIER are not applicable. -- Bu2IP Data to Interrupt Registers - IPISR and IPIER -- Bus2IP_Data - 0 31 -- IPISR/IPIER - 0 22 23 31 -- <---NA---> <-used-> -- 23 24 25 26 27 28 29 30 31 -- DRR_Not Slave Tx_FIFO DRR_ DRR_ DTR_ DTR Slave MODF -- _Empty Select_mode Half_Empty Over_Run Full Underrun Empty MODF -- NA-fifo-0 NA -fifo-0 bus2IP_Data_for_interrupt_core(23) <= '0'; -- DRR_Not_Empty bit in IPIER/IPISR bus2IP_Data_for_interrupt_core(24) <= Bus2IP_Data(24); bus2IP_Data_for_interrupt_core(25) <= '0'; -- Tx FIFO Half Empty bus2IP_Data_for_interrupt_core(26 to (C_S_AXI_DATA_WIDTH-1)) <= Bus2IP_Data(26 to (C_S_AXI_DATA_WIDTH-1)); -------------------------------------------------------------------------- -- Interrupt Status Register(IPISR) Mapping ip2Bus_IntrEvent_int(13) <= '0'; -- doesnt exist in the FIFO = 0 case ip2Bus_IntrEvent_int(12) <= '0'; -- doesnt exist in the FIFO = 0 case ip2Bus_IntrEvent_int(11) <= '0'; -- doesnt exist in the FIFO = 0 case ip2Bus_IntrEvent_int(10) <= '0'; -- doesnt exist in the FIFO = 0 case ip2Bus_IntrEvent_int(9) <= '0'; -- doesnt exist in the FIFO = 0 case ip2Bus_IntrEvent_int(8) <= '0'; -- doesnt exist in the FIFO = 0 case ip2Bus_IntrEvent_int(7) <= spisel_pulse_to_axi_clk; -- spisel_pulse_o_int; ip2Bus_IntrEvent_int(6) <= '0'; -- ip2Bus_IntrEvent_int(5) <= drr_Overrun_int_to_axi_clk; -- drr_Overrun_int_to_axi_clk; ip2Bus_IntrEvent_int(4) <= spiXfer_done_to_axi_clk; -- spiXfer_done_int; ip2Bus_IntrEvent_int(3) <= dtr_Underrun_strobe_int; ip2Bus_IntrEvent_int(2) <= spiXfer_done_to_axi_clk; -- spiXfer_done_int; ip2Bus_IntrEvent_int(1) <= slave_MODF_strobe_to_axi_clk; -- slave_MODF_strobe_int; ip2Bus_IntrEvent_int(0) <= modf_strobe_to_axi_clk; -- modf_strobe_int; end generate NO_FIFO_EXISTS; ------------------------------------------------------------------------------- -- FIFO_EXISTS : Signals initialisation and module -- instantiation when C_FIFO_EXIST = 1 ------------------------------------------------------------------------------- FIFO_EXISTS: if(C_FIFO_EXIST = 1) generate ------------------------------ constant C_RD_COUNT_WIDTH_INT : integer := clog2(C_FIFO_DEPTH); constant C_WR_COUNT_WIDTH_INT : integer := clog2(C_FIFO_DEPTH); constant RX_FIFO_CNTR_WIDTH: integer := clog2(C_FIFO_DEPTH); constant TX_FIFO_CNTR_WIDTH: integer := clog2(C_FIFO_DEPTH); constant ZERO_RX_FIFO_CNT : std_logic_vector(RX_FIFO_CNTR_WIDTH-1 downto 0) := (others => '0'); constant ZERO_TX_FIFO_CNT : std_logic_vector(TX_FIFO_CNTR_WIDTH-1 downto 0) := (others => '0'); signal rx_fifo_count: std_logic_vector(RX_FIFO_CNTR_WIDTH-1 downto 0); signal tx_fifo_count: std_logic_vector(TX_FIFO_CNTR_WIDTH-1 downto 0); signal tx_fifo_count_d1: std_logic_vector(TX_FIFO_CNTR_WIDTH-1 downto 0); signal tx_fifo_count_d2: std_logic_vector(TX_FIFO_CNTR_WIDTH-1 downto 0); signal Tx_FIFO_Empty_1 : std_logic; signal Tx_FIFO_Empty_intr : std_logic; signal IP2Bus_RdAck_receive_enable : std_logic; signal IP2Bus_WrAck_transmit_enable : std_logic; constant ALL_0 : std_logic_vector(0 to TX_FIFO_CNTR_WIDTH-1) := (others => '1'); signal data_Exists_RcFIFO_int_d1: std_logic; signal data_Exists_RcFIFO_pulse : std_logic; --signal FIFO_Empty_rx : std_logic; --signal SPISR_0_CMD_Error_frm_spi_clk : std_logic; --signal SPISR_0_CMD_Error_to_axi_clk : std_logic; --signal spisel_d1_reg_frm_spi_clk : std_logic; --signal spisel_d1_reg_to_axi_clk : std_logic; signal tx_occ_msb_111 : std_logic:= '0'; signal tx_occ_msb_11 : std_logic_vector(TX_FIFO_CNTR_WIDTH-1 downto 0); signal spisel_pulse_frm_spi_clk : std_logic; signal spisel_pulse_to_axi_clk : std_logic; signal slave_MODF_strobe_frm_spi_clk : std_logic; signal slave_MODF_strobe_to_axi_clk : std_logic; signal Rx_FIFO_Empty_frm_axi_clk : std_logic; signal Rx_FIFO_Empty_to_spi_clk : std_logic; signal Tx_FIFO_Full_frm_axi_clk : std_logic; signal Tx_FIFO_Full_to_spi_clk : std_logic; signal spiXfer_done_frm_spi_clk : std_logic; signal spiXfer_done_to_axi_clk : std_logic; signal SR_3_modf_frm_axi_clk : std_logic; signal spiXfer_done_to_axi_1 : std_logic; signal spiXfer_done_to_axi_d1 : std_logic; signal updown_cnt_en : std_logic; signal drr_Overrun_int_to_axi_clk : std_logic; signal drr_Overrun_int_frm_spi_clk: std_logic; ----- begin ----- SPISR_0_CMD_Error_frm_spi_clk <= SPISR_0_CMD_Error_int; spisel_d1_reg_frm_spi_clk <= spisel_d1_reg; spisel_pulse_frm_spi_clk <= spisel_pulse_o_int;-- from SPI module slave_MODF_strobe_frm_spi_clk <= slave_MODF_strobe_int; -- from SPI module modf_strobe_frm_spi_clk <= modf_strobe_int; -- spi module --Rx_FIFO_Full_frm_axi_clk <= Rx_FIFO_Full; -- from Async Receive FIFO ----RX_FIFO_FULL Logic signals Rx_FIFO_Full_frm_axi_clk <= Rx_FIFO_Full_Fifo; -- from Async Receive FIFO Tx_FIFO_Empty_frm_spi_clk <= Tx_FIFO_Empty_intr; -- Tx_FIFO_Empty; -- from Async Transmit FIFO spiXfer_done_frm_spi_clk <= spiXfer_done_int; -- from SPI module dtr_underrun_frm_spi_clk <= dtr_underrun_int; -- from SPI module Tx_FIFO_Empty_SPISR_frm_spi_clk <= Tx_FIFO_Empty;-- from TX FIFO for SPI Status register drr_Overrun_int_frm_spi_clk <= drr_Overrun_int; -- SPICR_6_RXFIFO_RST_frm_axi_clk<= SPICR_6_RXFIFO_RST_frm_axi_clk; -- from SPICR reset_RcFIFO_ptr_frm_axi_clk <= reset_RcFIFO_ptr_int; -- from AXI clock Rx_FIFO_Empty_frm_axi_clk <= Rx_FIFO_Empty; -- from Async Receive FIFO AXI side Tx_FIFO_Full_frm_axi_clk <= Tx_FIFO_Full; -- from Async Transmit FIFO AXI side SR_3_modf_frm_axi_clk <= SR_3_modf_int; --CLK_CROSS_I: CLK_CROSS_I:entity axi_quad_spi_v3_2_8.cross_clk_sync_fifo_1 generic map( C_FAMILY => C_FAMILY , C_FIFO_DEPTH => C_FIFO_DEPTH , Async_Clk => Async_Clk , C_DATA_WIDTH => C_S_AXI_DATA_WIDTH , C_S_AXI_DATA_WIDTH => C_S_AXI_DATA_WIDTH , C_NUM_TRANSFER_BITS => C_NUM_TRANSFER_BITS, C_NUM_SS_BITS => C_NUM_SS_BITS ) port map( EXT_SPI_CLK => EXT_SPI_CLK , -- in std_logic; Bus2IP_Clk => Bus2IP_Clk , -- in std_logic; Soft_Reset_op => reset2ip_reset_int , --Soft_Reset_op => Soft_Reset_op , -- in std_logic; Rst_cdc_to_spi => Rst_to_spi_int , -- out std_logic; ---------------------------- SPISR_0_CMD_Error_cdc_from_spi => SPISR_0_CMD_Error_frm_spi_clk , SPISR_0_CMD_Error_cdc_to_axi => SPISR_0_CMD_Error_to_axi_clk , ---------------------------------------------------------- spisel_d1_reg_cdc_from_spi => spisel_d1_reg_frm_spi_clk , -- in spisel_d1_reg_cdc_to_axi => spisel_d1_reg_to_axi_clk , -- out ---------------------------------------------------------- spisel_pulse_cdc_from_spi => spisel_pulse_frm_spi_clk , -- in spisel_pulse_cdc_to_axi => spisel_pulse_to_axi_clk , -- out ---------------------------- Mst_N_Slv_mode_cdc_from_spi => Mst_N_Slv_mode_frm_spi_clk , -- in Mst_N_Slv_mode_cdc_to_axi => Mst_N_Slv_mode_to_axi_clk , -- out ---------------------------- slave_MODF_strobe_cdc_from_spi => slave_MODF_strobe_frm_spi_clk, -- in slave_MODF_strobe_cdc_to_axi => slave_MODF_strobe_to_axi_clk , -- out ---------------------------- modf_strobe_cdc_from_spi => modf_strobe_frm_spi_clk , -- in modf_strobe_cdc_to_axi => modf_strobe_to_axi_clk , -- out ---------------------------- SPICR_6_RXFIFO_RST_cdc_from_axi=> SPICR_6_RXFIFO_RST_frm_axi_clk, -- in SPICR_6_RXFIFO_RST_cdc_to_spi => SPICR_6_RXFIFO_RST_to_spi_clk , -- out ---------------------------- Rx_FIFO_Full_cdc_from_axi => Rx_FIFO_Full_frm_axi_clk, -- in Rx_FIFO_Full_cdc_to_spi => Rx_FIFO_Full_to_spi_clk , -- out ---------------------------- reset_RcFIFO_ptr_cdc_from_axi => reset_RcFIFO_ptr_frm_axi_clk, -- in reset_RcFIFO_ptr_cdc_to_spi => reset_RcFIFO_ptr_to_spi_clk , -- out ---------------------------- Rx_FIFO_Empty_cdc_from_axi => Rx_FIFO_Empty_frm_axi_clk , -- in Rx_FIFO_Empty_cdc_to_spi => Rx_FIFO_Empty_to_spi_clk , -- out ---------------------------- Tx_FIFO_Empty_cdc_from_spi => Tx_FIFO_Empty_frm_spi_clk, -- in Tx_FIFO_Empty_cdc_to_axi => Tx_FIFO_Empty_to_Axi_clk, -- out ---------------------------- Tx_FIFO_Empty_SPISR_cdc_from_spi => Tx_FIFO_Empty_SPISR_frm_spi_clk, Tx_FIFO_Empty_SPISR_cdc_to_axi => Tx_FIFO_Empty_SPISR_to_axi_clk, Tx_FIFO_Full_cdc_from_axi => Tx_FIFO_Full_frm_axi_clk,-- in Tx_FIFO_Full_cdc_to_spi => Tx_FIFO_Full_to_spi_clk ,-- out ---------------------------- spiXfer_done_cdc_from_spi => spiXfer_done_frm_spi_clk, -- in spiXfer_done_cdc_to_axi => spiXfer_done_to_axi_clk, -- out ---------------------------- dtr_underrun_cdc_from_spi => dtr_underrun_frm_spi_clk, -- in dtr_underrun_cdc_to_axi => dtr_underrun_to_axi_clk , -- out ---------------------------- SPICR_0_LOOP_cdc_from_axi => SPICR_0_LOOP_frm_axi_clk,-- in std_logic; SPICR_0_LOOP_cdc_to_spi => SPICR_0_LOOP_to_spi_clk ,-- out ---------------------------- SPICR_1_SPE_cdc_from_axi => SPICR_1_SPE_frm_axi_clk ,-- in std_logic; SPICR_1_SPE_cdc_to_spi => SPICR_1_SPE_to_spi_clk ,-- out ---------------------------- SPICR_2_MST_N_SLV_cdc_from_axi => SPICR_2_MST_N_SLV_frm_axi_clk,-- in std_logic; SPICR_2_MST_N_SLV_cdc_to_spi => SPICR_2_MST_N_SLV_to_spi_clk, -- out ---------------------------- SPICR_3_CPOL_cdc_from_axi => SPICR_3_CPOL_frm_axi_clk,-- in std_logic; SPICR_3_CPOL_cdc_to_spi => SPICR_3_CPOL_to_spi_clk ,-- out ---------------------------- SPICR_4_CPHA_cdc_from_axi => SPICR_4_CPHA_frm_axi_clk,-- in std_logic; SPICR_4_CPHA_cdc_to_spi => SPICR_4_CPHA_to_spi_clk ,-- out ---------------------------- SPICR_5_TXFIFO_cdc_from_axi => SPICR_5_TXFIFO_RST_frm_axi_clk,-- in std_logic; SPICR_5_TXFIFO_cdc_to_spi => SPICR_5_TXFIFO_to_spi_clk, -- out ---------------------------- SPICR_7_SS_cdc_from_axi => SPICR_7_SS_frm_axi_clk ,-- in std_logic; SPICR_7_SS_cdc_to_spi => SPICR_7_SS_to_spi_clk ,-- out ---------------------------- SPICR_8_TR_INHIBIT_cdc_from_axi=> SPICR_8_TR_INHIBIT_frm_axi_clk,-- in std_logic; SPICR_8_TR_INHIBIT_cdc_to_spi => SPICR_8_TR_INHIBIT_to_spi_clk,-- out ---------------------------- SPICR_9_LSB_cdc_from_axi => SPICR_9_LSB_frm_axi_clk,-- in std_logic; SPICR_9_LSB_cdc_to_spi => SPICR_9_LSB_to_spi_clk,-- out ---------------------------- SPICR_bits_7_8_cdc_from_axi => SPICR_bits_7_8_frm_axi_clk,-- in std_logic_vector SPICR_bits_7_8_cdc_to_spi => SPICR_bits_7_8_to_spi_clk,-- out ---------------------------- SR_3_modf_cdc_from_axi => SR_3_modf_frm_axi_clk, -- in SR_3_modf_cdc_to_spi => SR_3_modf_to_spi_clk , -- out ---------------------------- SPISSR_cdc_from_axi => SPISSR_frm_axi_clk, -- in SPISSR_cdc_to_spi => register_Data_slvsel_int, -- out ---------------------------- spiXfer_done_cdc_to_axi_1 => spiXfer_done_to_axi_1, ---------------------------- drr_Overrun_int_cdc_from_spi => drr_Overrun_int_frm_spi_clk, drr_Overrun_int_cdc_to_axi => drr_Overrun_int_to_axi_clk ---------------------------- ); -- Bu2IP Data to Interrupt Registers - IPISR and IPIER -- Bus2IP_Data - 0 31 -- IPISR/IPIER - 0 17 18 31 -- <---NA---> <-used-> -- 18 19 20 21 22 23 24 25 26 27 28 29 30 31 -- CMD_ Loop_Bk MSB Slave_Mode CPOL_CPHA DRR_Not Slave Tx_FIFO DRR_ DRR_ DTR_ DTR Slave MODF -- Error Error Error Error Error _Empty Select_mode Half_Empty Over_Run Full Underrun Empty MODF -- In Slave -- mode_only -- <---------------------------------------> <-------------------------------------------------------------> -- In C_SPI_MODE 1 or 2 only Present in all conditions -- IPISR Write -- when FIFO = 1,all other the IPIER, IPISR interrupt bits are applicable based upon the SPI mode. -- DRR_Not_Empty bit (bit 23) - available only in case of core is selected in -- slave mode and control register mst_n_slv bit is '0'. -- Slave_select_mode bit-available only in case of core is selected in slave mode -- common assignment to SPI_MODE 1/2 and SPI_MODE = 0 bus2IP_Data_for_interrupt_core(0 to 17) <= Bus2IP_Data(0 to 17); DUAL_MD_IPISR_GEN: if C_SPI_MODE = 1 or C_SPI_MODE = 2 generate ----------------------- begin ----- bus2IP_Data_for_interrupt_core(18 to 22) <= Bus2IP_Data(18 to 22); end generate DUAL_MD_IPISR_GEN; --------------------------------------------- STD_MD_IPISR_GEN: if C_SPI_MODE = 0 generate ----------------------------------- begin ----- bus2IP_Data_for_interrupt_core(18 to 22)<= (others => '0'); end generate STD_MD_IPISR_GEN; ------------------------------------------------ bus2IP_Data_for_interrupt_core(23) <= Bus2IP_Data(23) and -- exists only when FIFO = exists AND ((not spisel_d1_reg_to_axi_clk) --spisel_d1_reg) or -- core is selected by asserting SPISEL by ext. master AND (not SPICR_2_MST_N_SLV_frm_axi_clk) --Mst_N_Slv_mode) -- core is in slave mode ); bus2IP_Data_for_interrupt_core(24 to (C_S_AXI_DATA_WIDTH-1)) <= Bus2IP_Data(24 to (C_S_AXI_DATA_WIDTH-1)); -- ---------------------------------------------------- -- _____|------------- data_Exists_RcFIFO_int -- ________|---------- data_Exists_RcFIFO_int_d1 -- _____|--|__________ data_Exists_RcFIFO_pulse ---------------------------------------------------- DRR_NOT_EMPTY_PULSE_P: process(Bus2IP_Clk) is ----- begin ----- if (Bus2IP_Clk'event and Bus2IP_Clk = '1') then if (reset2ip_reset_int = RESET_ACTIVE) then data_Exists_RcFIFO_int_d1 <= '0'; else data_Exists_RcFIFO_int_d1 <= not rx_fifo_empty_i; -- data_Exists_RcFIFO_int; end if; end if; end process DRR_NOT_EMPTY_PULSE_P; ------------------------------------ data_Exists_RcFIFO_pulse <= not rx_fifo_empty_i and (not data_Exists_RcFIFO_int_d1); ------------------------------------ --------------------------------------------------------------------------- DUAL_MD_INTR_GEN: if C_SPI_MODE = 1 or C_SPI_MODE = 2 generate ----------------------- signal SPISR_4_CPOL_CPHA_Error_d1 : std_logic; signal SPISR_3_Slave_Mode_Error_d1 : std_logic; signal SPISR_2_MSB_Error_d1 : std_logic; signal SPISR_1_LOOP_Back_Error_d1 : std_logic; signal SPISR_0_CMD_Error_d1 : std_logic; signal SPISR_4_CPOL_CPHA_Error_pulse : std_logic; signal SPISR_3_Slave_Mode_Error_pulse: std_logic; signal SPISR_2_MSB_Error_pulse : std_logic; signal SPISR_1_LOOP_Back_Error_pulse : std_logic; signal SPISR_0_CMD_Error_pulse : std_logic; ----- begin ----- INTR_UPPER_BITS_P: process(Bus2IP_Clk) is ----- begin ----- if (Bus2IP_Clk'event and Bus2IP_Clk = '1') then if (reset2ip_reset_int = RESET_ACTIVE) then SPISR_0_CMD_Error_d1 <= '0'; SPISR_1_LOOP_Back_Error_d1 <= '0'; SPISR_2_MSB_Error_d1 <= '0'; SPISR_3_Slave_Mode_Error_d1 <= '0'; SPISR_4_CPOL_CPHA_Error_d1 <= '0'; else SPISR_0_CMD_Error_d1 <= SPISR_0_CMD_Error_to_axi_clk; -- SPISR_0_CMD_Error_int; SPISR_1_LOOP_Back_Error_d1 <= SPISR_1_LOOP_Back_Error_int; -- from SPICR SPISR_2_MSB_Error_d1 <= SPISR_2_MSB_Error_int; -- from SPICR SPISR_3_Slave_Mode_Error_d1 <= SPISR_3_Slave_Mode_Error_int;-- from SPICR SPISR_4_CPOL_CPHA_Error_d1 <= SPISR_4_CPOL_CPHA_Error_int; -- from SPICR end if; end if; end process INTR_UPPER_BITS_P; ------------------------------------ SPISR_0_CMD_Error_pulse <= SPISR_0_CMD_Error_to_axi_clk -- SPISR_0_CMD_Error_int and (not SPISR_0_CMD_Error_d1); SPISR_1_LOOP_Back_Error_pulse <= SPISR_1_LOOP_Back_Error_int and (not SPISR_1_LOOP_Back_Error_d1); SPISR_2_MSB_Error_pulse <= SPISR_2_MSB_Error_int and (not SPISR_2_MSB_Error_d1); SPISR_3_Slave_Mode_Error_pulse <= SPISR_3_Slave_Mode_Error_int and (not SPISR_3_Slave_Mode_Error_d1); SPISR_4_CPOL_CPHA_Error_pulse <= SPISR_4_CPOL_CPHA_Error_int and (not SPISR_4_CPOL_CPHA_Error_d1); -- Interrupt Status Register(IPISR) Mapping ip2Bus_IntrEvent_int(13) <= SPISR_0_CMD_Error_pulse; ip2Bus_IntrEvent_int(12) <= SPISR_1_LOOP_Back_Error_pulse; ip2Bus_IntrEvent_int(11) <= SPISR_2_MSB_Error_pulse; ip2Bus_IntrEvent_int(10) <= SPISR_3_Slave_Mode_Error_pulse; ip2Bus_IntrEvent_int(9) <= SPISR_4_CPOL_CPHA_Error_pulse ; end generate DUAL_MD_INTR_GEN; -------------------------------------------- STD_MD_INTR_GEN: if C_SPI_MODE = 0 generate ----------------------- begin ----- ip2Bus_IntrEvent_int(13) <= '0'; ip2Bus_IntrEvent_int(12) <= '0'; ip2Bus_IntrEvent_int(11) <= '0'; ip2Bus_IntrEvent_int(10) <= '0'; ip2Bus_IntrEvent_int(9) <= '0'; end generate STD_MD_INTR_GEN; ----------------------------------------------- ip2Bus_IntrEvent_int(8) <= data_Exists_RcFIFO_pulse and ((not spisel_d1_reg_to_axi_clk) -- spisel_d1_reg) or (not SPICR_2_MST_N_SLV_frm_axi_clk) -- Mst_N_Slv_mode) ); ip2Bus_IntrEvent_int(7) <= spisel_pulse_to_axi_clk;-- and not SPICR_2_MST_N_SLV_frm_axi_clk; -- spisel_pulse_o_int;-- spi_module ip2Bus_IntrEvent_int(6) <= tx_FIFO_less_half_int; -- qspi_fifo_ifmodule ip2Bus_IntrEvent_int(5) <= drr_Overrun_int_to_axi_clk; -- drr_Overrun_int; -- qspi_fifo_ifmodule ip2Bus_IntrEvent_int(4) <= rc_FIFO_Full_strobe_int; -- qspi_fifo_ifmodule ip2Bus_IntrEvent_int(3) <= dtr_Underrun_strobe_int; -- qspi_fifo_ifmodule ip2Bus_IntrEvent_int(2) <= tx_FIFO_Empty_strobe_int; -- qspi_fifo_ifmodule ip2Bus_IntrEvent_int(1) <= slave_MODF_strobe_to_axi_clk; --slave_MODF_strobe_int;-- spi_module ip2Bus_IntrEvent_int(0) <= modf_strobe_to_axi_clk; -- modf_strobe_int; -- spi_module --Combinatorial operations reset_TxFIFO_ptr_int <= reset2ip_reset_int or SPICR_5_TXFIFO_RST_frm_axi_clk; reset_TxFIFO_ptr_int_to_spi <= Rst_to_spi_int or SPICR_5_TXFIFO_to_spi_clk; --reset_RcFIFO_ptr_int <= Rst_to_spi_int or SPICR_6_RXFIFO_RST_to_spi_clk; -- SPICR_6_RXFIFO_RST_int; reset_RcFIFO_ptr_int <= reset2ip_reset_int or SPICR_6_RXFIFO_RST_frm_axi_clk; sr_5_Tx_Empty_int <= not (data_Exists_TxFIFO_int); Rc_FIFO_Empty_int <= Rx_FIFO_Empty;--not (data_Exists_RcFIFO_int); -- AXI Clk domain -- __________________ SPI clk domain --Dout --|AXI clk |-- Din --Rd_en --| |-- Wr_en --Rd_clk --| |-- Wr_clk --| |-- --Rx_FIFO_Empty --| Rx FIFO |-- Rx_FIFO_Full --Rx_FIFO_almost_Empty --| |-- Rx_FIFO_almost_Full --Rx_FIFO_occ_Reversed --| |-- --Rx_FIFO_rd_ack --| |-- --| |-- --| |-- --| |-- --|__________________|-- RX_RD_EN_LEG_MD_GEN: if C_TYPE_OF_AXI4_INTERFACE = 0 generate begin ----- IP2Bus_RdAck_receive_enable <= (rd_ce_reduce_ack_gen and Bus2IP_RdCE(SPIDRR) )and (not Rx_FIFO_Empty); end generate RX_RD_EN_LEG_MD_GEN; RX_RD_EN_ENHAN_MD_GEN: if C_TYPE_OF_AXI4_INTERFACE = 1 generate begin ----- IP2Bus_RdAck_receive_enable <= --(rd_ce_reduce_ack_gen and (rready and Bus2IP_RdCE(SPIDRR) )and (not Rx_FIFO_Empty); end generate RX_RD_EN_ENHAN_MD_GEN; -- Receive FIFO Logic rx_fifo_reset <= Rst_to_spi_int or reset_RcFIFO_ptr_to_spi_clk; RX_FIFO_II: entity lib_fifo_v1_0_5.async_fifo_fg --axi_quad_spi_v3_2_8_0.async_fifo_fg --lib_fifo_v1_0_5_4.async_fifo_fg generic map( -- for first word fall through FIFO below two parameters setting is must please dont change C_PRELOAD_LATENCY => 0 ,-- this is newly added and async_fifo_fg is referred from proc common v4_0 C_PRELOAD_REGS => 1 ,-- this is newly added and async_fifo_fg is referred from proc common v4_0 -- variables C_ALLOW_2N_DEPTH => 1 , -- : Integer := 0; -- New paramter to leverage FIFO Gen 2**N depth C_FAMILY => C_FAMILY , -- : String := "virtex5"; -- new for FIFO Gen C_DATA_WIDTH => C_NUM_TRANSFER_BITS, -- : integer := 16; C_FIFO_DEPTH => C_FIFO_DEPTH , -- : integer := 15; C_RD_COUNT_WIDTH => C_RD_COUNT_WIDTH_INT,-- : integer := 3 ; C_WR_COUNT_WIDTH => C_WR_COUNT_WIDTH_INT,-- : integer := 3 ; C_HAS_ALMOST_EMPTY => 1 , -- : integer := 1 ; C_HAS_ALMOST_FULL => 1 , -- : integer := 1 ; C_HAS_RD_ACK => 1 , -- : integer := 0 ; C_HAS_RD_COUNT => 1 , -- : integer := 1 ; C_HAS_WR_ACK => 1 , -- : integer := 0 ; C_HAS_WR_COUNT => 1 , -- : integer := 1 ; -- constants C_HAS_RD_ERR => 0 , -- : integer := 0 ; C_HAS_WR_ERR => 0 , -- : integer := 0 ; C_RD_ACK_LOW => 0 , -- : integer := 0 ; C_RD_ERR_LOW => 0 , -- : integer := 0 ; C_WR_ACK_LOW => 0 , -- : integer := 0 ; C_WR_ERR_LOW => 0 , -- : integer := 0 C_ENABLE_RLOCS => 0 , -- : integer := 0 ; -- not supported in FG C_USE_BLOCKMEM => 0 -- : integer := 1 ; -- 0 = distributed RAM, 1 = BRAM ) port map( Din => Data_To_Rx_FIFO , -- : in std_logic_vector(C_DATA_WIDTH-1 downto 0) := (others => '0'); Wr_en => spiXfer_done_int, --SPIXfer_done_Rx_Wr_en, -- , -- : in std_logic := '1'; Wr_clk => EXT_SPI_CLK , -- : in std_logic := '1'; Wr_ack => Rx_FIFO_wr_ack_open , -- : out std_logic; ------ Dout => Data_From_Rx_FIFO , -- : out std_logic_vector(C_DATA_WIDTH-1 downto 0); Rd_en => IP2Bus_RdAck_receive_enable , -- : in std_logic := '0'; Rd_clk => Bus2IP_Clk , -- : in std_logic := '1'; Rd_ack => Rx_FIFO_rd_ack , -- : out std_logic; ------ Full => Rx_FIFO_Full_Fifo_org , -- : out std_logic; Empty => Rx_FIFO_Empty , -- : out std_logic; Almost_full => Rx_FIFO_almost_Full , -- : out std_logic; Almost_empty => Rx_FIFO_almost_Empty , -- : out std_logic; Rd_count => Rx_FIFO_occ_Reversed , -- : out std_logic_vector(C_RD_COUNT_WIDTH-1 downto 0); ------ Ainit => rx_fifo_reset, -- reset_RcFIFO_ptr_to_spi_clk ,--reset_RcFIFO_ptr_int, -- reset_RcFIFO_ptr_to_spi_clk ,--Rx_FIFO_ptr_RST , -- : in std_logic := '1'; Wr_count => open , -- : out std_logic_vector(C_WR_COUNT_WIDTH-1 downto 0); Rd_err => open , -- : out std_logic; Wr_err => open -- : out std_logic ); RX_FIFO_EMPTY_SYNC_AXI_2_SPI_CDC : entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 1, -- 2 is ack based level sync C_RESET_STATE => 0 , -- no reset to be used in synchronisers C_SINGLE_BIT => 1 , C_FLOP_INPUT => 0 , C_VECTOR_WIDTH => 1 , C_MTBF_STAGES => 2 ) port map ( prmry_aclk => Bus2IP_Clk , prmry_resetn => '0', prmry_in => Rx_FIFO_Empty, scndry_aclk => EXT_SPI_CLK , prmry_vect_in => (others => '0' ), scndry_resetn => '0' , scndry_out => Rx_FIFO_Empty_Synced_in_SPI_domain ); Rx_FIFO_Full_Fifo <= Rx_FIFO_Full_Fifo_org and not Rx_FIFO_Empty_Synced_in_SPI_domain; RX_FULL_DELAY_PROCESS: process(EXT_SPI_CLK) is ---------------------- begin ----- if(EXT_SPI_CLK'event and EXT_SPI_CLK='1') then if (Rst_to_spi_int = '1') then Rx_FIFO_Full_Fifo_d1 <= '0'; else Rx_FIFO_Full_Fifo_d1 <= Rx_FIFO_Full_Fifo; end if; end if; end process RX_FULL_DELAY_PROCESS; RX_FULL_EDGE_PROCESS: process(Bus2IP_Clk) is ---------------------- begin ----- if(Bus2IP_Clk'event and Bus2IP_Clk='1') then if (reset2ip_reset_int = RESET_ACTIVE) then Rx_FIFO_Full_Fifo_d1_flag <= '0'; else Rx_FIFO_Full_Fifo_d1_flag <= Rx_FIFO_Full_Fifo_d1_synced; end if; end if; end process RX_FULL_EDGE_PROCESS; Rx_FIFO_Full_Fifo_pos_flag <= Rx_FIFO_Full_Fifo_d1_synced and (not Rx_FIFO_Full_Fifo_d1_flag); --Rx_FIFO_Full_Fifo_neg_flag <= (not Rx_FIFO_Full_Fifo_d1_synced) and Rx_FIFO_Full_Fifo_d1_flag; RX_FULL_GEN_PROCESS: process(Bus2IP_Clk) is ---------------------- begin ----- if(Bus2IP_Clk'event and Bus2IP_Clk='1') then if (reset2ip_reset_int = RESET_ACTIVE) then Rx_FIFO_Full_Fifo_d1_sig <= '0'; elsif(IP2Bus_RdAck_receive_enable = '1' and Rx_FIFO_Full_Fifo_d1_synced = '1')then Rx_FIFO_Full_Fifo_d1_sig <= '0'; elsif(Rx_FIFO_Full_Fifo_pos_flag = '1') then Rx_FIFO_Full_Fifo_d1_sig <= '1'; end if; end if; end process RX_FULL_GEN_PROCESS; ---------------------------------- RX_FIFO_FULL_SYNCED_SPI_2_AXI_CDC : entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 1, -- 2 is ack based level sync C_RESET_STATE => 0 , -- no reset to be used in synchronisers C_SINGLE_BIT => 1 , C_FLOP_INPUT => 0 , C_VECTOR_WIDTH => 1 , C_MTBF_STAGES => 2 ) port map ( prmry_aclk => EXT_SPI_CLK , prmry_resetn => '0', prmry_in => Rx_FIFO_Full_Fifo_d1, scndry_aclk => Bus2IP_Clk , prmry_vect_in => (others => '0' ), scndry_resetn => '0' , scndry_out => Rx_FIFO_Full_Fifo_d1_synced ); RX_FIFO_FULL_CNTR_I : entity axi_quad_spi_v3_2_8.counter_f generic map( C_NUM_BITS => RX_FIFO_CNTR_WIDTH, C_FAMILY => "nofamily" ) port map( Clk => Bus2IP_Clk, -- in Rst => '0', -- in Load_In => ALL_0, -- in Count_Enable => updown_cnt_en_rx, -- in ---------------- Count_Load => reset_RcFIFO_ptr_int, -- in ---------------- Count_Down => IP2Bus_RdAck_receive_enable, -- in Count_Out => rx_fifo_count, -- out std_logic_vector Carry_Out => open -- out ); --updown_cnt_en_rx <= IP2Bus_RdAck_receive_enable xor spiXfer_done_to_axi_1; --fifo_full_f_int <= Rx_FIFO_Full_Fifo_d1_synced when --updown_cnt_en_rx <= ((not spiXfer_done_to_axi_1) and IP2Bus_RdAck_receive_enable and Rx_FIFO_Full_int and (not Rx_FIFO_Full_Fifo_d1_synced)) or ((IP2Bus_RdAck_receive_enable xor spiXfer_done_to_axi_1) and (not Rx_FIFO_Full_Fifo_d1_synced) and (not Rx_FIFO_Full_int)); updown_cnt_en_rx <= ((not spiXfer_done_to_axi_1) and IP2Bus_RdAck_receive_enable and Rx_FIFO_Full_int and (not (Rx_FIFO_Full_Fifo_d1_sig or Rx_FIFO_Full_Fifo_pos_flag))) or ((IP2Bus_RdAck_receive_enable xor spiXfer_done_to_axi_1) and (not (Rx_FIFO_Full_Fifo_d1_sig or Rx_FIFO_Full_Fifo_pos_flag)) and (not Rx_FIFO_Full_int)); -- updown_cnt_en_rx <= (IP2Bus_RdAck_receive_enable and Rx_FIFO_Full_int) -- or (spiXfer_done_to_axi_1 and (not Rx_FIFO_Full_int)) -- or ((IP2Bus_RdAck_receive_enable xor spiXfer_done_to_axi_1) and (not Rx_FIFO_Full_int)); RX_one_less_than_full <= and_reduce(rx_fifo_count(RX_FIFO_CNTR_WIDTH-1 downto RX_FIFO_CNTR_WIDTH-RX_FIFO_CNTR_WIDTH+1)) and (not rx_fifo_count(0))and spiXfer_done_to_axi_1; RX_FULL_EMP_MD_12_INTR_GEN: if C_SPI_MODE /= 0 generate ----- --signal rx_fifo_empty_i : std_logic; begin ----- RX_FIFO_EMPTY_P:process(Bus2IP_Clk)is begin if(Bus2IP_Clk'event and Bus2IP_Clk = '1') then if(reset2ip_reset_int = RESET_ACTIVE)then rx_fifo_empty_i <= '1'; elsif(reset_RcFIFO_ptr_int = '1')then rx_fifo_empty_i <= '1'; elsif(spiXfer_done_to_axi_1 = '1')then rx_fifo_empty_i <= '0'; end if; end if; end process RX_FIFO_EMPTY_P; RX_FIFO_FULL_P:process(Bus2IP_Clk)is begin if(Bus2IP_Clk'event and Bus2IP_Clk = '1') then if(reset2ip_reset_int = RESET_ACTIVE)then Rx_FIFO_Full_int <= '0'; --elsif(reset_RcFIFO_ptr_int = '1') or (drr_Overrun_int_to_axi_clk = '1') then --(drr_Overrun_int = '1')then elsif(reset_RcFIFO_ptr_int = '1') then --(drr_Overrun_int = '1')then Rx_FIFO_Full_int <= '0'; elsif(Rx_FIFO_Full_int = '1' and IP2Bus_RdAck_receive_enable = '1') then -- IP2Bus_RdAck_receive_enable = '1')then Rx_FIFO_Full_int <= '0'; elsif(RX_one_less_than_full = '1' and spiXfer_done_to_axi_1 = '1' and rx_fifo_empty_i = '0')then Rx_FIFO_Full_int <= '1'; end if; end if; end process RX_FIFO_FULL_P; end generate RX_FULL_EMP_MD_12_INTR_GEN; ------------------------------------ RX_FULL_EMP_MD_0_GEN: if C_SPI_MODE = 0 generate --signal rx_fifo_empty_i : std_logic; ----- begin ----- RX_FIFO_EMPTY_P:process(Bus2IP_Clk)is begin if(Bus2IP_Clk'event and Bus2IP_Clk = '1') then if(reset2ip_reset_int = RESET_ACTIVE)then rx_fifo_empty_i <= '1'; elsif(reset_RcFIFO_ptr_int = '1')then rx_fifo_empty_i <= '1'; elsif(spiXfer_done_to_axi_1 = '1')then rx_fifo_empty_i <= '0'; end if; end if; end process RX_FIFO_EMPTY_P; ------------------------------------------- RX_FIFO_ABT_TO_FULL_P:process(Bus2IP_Clk)is begin if(Bus2IP_Clk'event and Bus2IP_Clk = '1') then if(reset2ip_reset_int = RESET_ACTIVE)then Rx_FIFO_Full_i <= '0'; --elsif(reset_RcFIFO_ptr_int = '1') or (drr_Overrun_int_to_axi_clk = '1') then -- (drr_Overrun_int = '1')then elsif(reset_RcFIFO_ptr_int = '1') then -- (drr_Overrun_int = '1')then Rx_FIFO_Full_i <= '0'; elsif(Rx_FIFO_Full_int = '1')then Rx_FIFO_Full_i <= '0'; elsif(RX_one_less_than_full = '1')then Rx_FIFO_Full_i <= '1'; end if; end if; end process RX_FIFO_ABT_TO_FULL_P; ------------------------------------- RX_FIFO_FULL_P: process(Bus2IP_Clk)is begin ----- if(Bus2IP_Clk'event and Bus2IP_Clk = '1') then if(reset2ip_reset_int = RESET_ACTIVE)then Rx_FIFO_Full_int <= '0'; --elsif(reset_RcFIFO_ptr_int = '1') or (drr_Overrun_int_to_axi_clk = '1') then -- (drr_Overrun_int = '1')then elsif(reset_RcFIFO_ptr_int = '1') then -- (drr_Overrun_int = '1')then Rx_FIFO_Full_int <= '0'; elsif(Rx_FIFO_Full_int = '1' and IP2Bus_RdAck_receive_enable = '1') then -- IP2Bus_RdAck_receive_enable = '1')then Rx_FIFO_Full_int <= '0'; elsif(Rx_FIFO_Full_i = '1')then Rx_FIFO_Full_int <= '1'; end if; end if; end process RX_FIFO_FULL_P; --------------------------------- Rx_FIFO_Full <= Rx_FIFO_Full_int; end generate RX_FULL_EMP_MD_0_GEN; Rx_FIFO_Empty_int <= Rx_FIFO_Empty or Rx_FIFO_Empty_i; ----------------------------------------------------------------------------- -- AXI Clk domain -- __________________ SPI clk domain --Din --|AXI clk |-- Dout --Wr_en --| |-- Rd_en --Wr_clk --| |-- Rd_clk --| |-- --Tx_FIFO_Full --| Tx FIFO |-- Tx_FIFO_Empty --Tx_FIFO_almost_Full --| |-- Tx_FIFO_almost_Empty --Tx_FIFO_occ_Reversed --| |-- Tx_FIFO_rd_ack --Tx_FIFO_wr_ack --| |-- --| |-- --| |-- --| |-- --|__________________|-- TX_TR_EN_LEG_MD_GEN: if C_TYPE_OF_AXI4_INTERFACE = 0 generate begin ----- IP2Bus_WrAck_transmit_enable <= (wr_ce_reduce_ack_gen and Bus2IP_WrCE(SPIDTR) ) and (not Tx_FIFO_Full);-- after 100 ps; end generate TX_TR_EN_LEG_MD_GEN; TX_TR_EN_ENHAN_MD_GEN: if C_TYPE_OF_AXI4_INTERFACE = 1 generate signal local_tr_en : std_logic; begin ----- --IP2Bus_WrAck_transmit_enable <= (wr_ce_reduce_ack_gen and -- Bus2IP_WrCE(SPIDTR) -- ) and -- (not Tx_FIFO_Full) -- when burst_tr = '0' else -- (Bus2IP_WrCE(SPIDTR) -- and -- (not Tx_FIFO_Full));-- after 100 ps; local_tr_en <= Bus2IP_WrCE(SPIDTR) and (not Tx_FIFO_Full); --local_tr_en1 <= Bus2IP_WrCE_d1 and (not Tx_FIFO_Full); TR_EN_P:process(wr_ce_reduce_ack_gen, local_tr_en, burst_tr, WVALID)is begin if(burst_tr = '1') then IP2Bus_WrAck_transmit_enable <= local_tr_en and WVALID; -- Bus2IP_WrCE_d1 and (not Tx_FIFO_Full); --local_tr_en; else IP2Bus_WrAck_transmit_enable <= local_tr_en and wr_ce_reduce_ack_gen; end if; end process TR_EN_P; end generate TX_TR_EN_ENHAN_MD_GEN; Data_To_TxFIFO <= Bus2IP_Data((C_S_AXI_DATA_WIDTH-C_NUM_TRANSFER_BITS) to(C_S_AXI_DATA_WIDTH-1));-- after 100 ps; -- Transmit FIFO Logic tx_fifo_reset <= reset2ip_reset_int or reset_TxFIFO_ptr_int; TX_FIFO_II: entity lib_fifo_v1_0_5.async_fifo_fg -- entity axi_quad_spi_v3_2_8_0.async_fifo_fg -- lib_fifo_v1_0_5_4.async_fifo_fg generic map ( -- for first word fall through FIFO below two parameters setting is must please dont change C_PRELOAD_LATENCY => 0 ,-- this is newly added and async_fifo_fg is referred from proc common v4_0 C_PRELOAD_REGS => 1 ,-- this is newly added and async_fifo_fg is referred from proc common v4_0 -- variables C_ALLOW_2N_DEPTH => 1 , -- : Integer := 0; -- New paramter to leverage FIFO Gen 2**N depth C_FAMILY => C_FAMILY , -- : String := "virtex5"; -- new for FIFO Gen C_DATA_WIDTH => C_NUM_TRANSFER_BITS, -- : integer := 16; C_FIFO_DEPTH => C_FIFO_DEPTH , -- : integer := 15; C_RD_COUNT_WIDTH => C_RD_COUNT_WIDTH_INT,-- : integer := 3 ; C_WR_COUNT_WIDTH => C_WR_COUNT_WIDTH_INT,-- : integer := 3 ; C_HAS_ALMOST_EMPTY => 1 , -- : integer := 1 ; C_HAS_ALMOST_FULL => 1 , -- : integer := 1 ; C_HAS_RD_ACK => 1 , -- : integer := 0 ; C_HAS_RD_COUNT => 1 , -- : integer := 1 ; C_HAS_WR_ACK => 1 , -- : integer := 0 ; C_HAS_WR_COUNT => 1 , -- : integer := 1 ; -- constants C_HAS_RD_ERR => 0 , -- : integer := 0 ; C_HAS_WR_ERR => 0 , -- : integer := 0 ; C_RD_ACK_LOW => 0 , -- : integer := 0 ; C_RD_ERR_LOW => 0 , -- : integer := 0 ; C_WR_ACK_LOW => 0 , -- : integer := 0 ; C_WR_ERR_LOW => 0 , -- : integer := 0 C_ENABLE_RLOCS => 0 , -- : integer := 0 ; -- not supported in FG C_USE_BLOCKMEM => 0 -- : integer := 1 ; -- 0 = distributed RAM, 1 = BRAM ) port map ( -- writing will be through AXI clock Wr_clk => Bus2IP_Clk , -- : in std_logic := '1'; Din => Data_To_TxFIFO , -- : in std_logic_vector(C_DATA_WIDTH-1 downto 0) := (others => '0'); Wr_en => IP2Bus_WrAck_transmit_enable, -- : in std_logic := '1'; Wr_ack => Tx_FIFO_wr_ack , -- : out std_logic; ------ -- reading will be through SPI clock Rd_clk => EXT_SPI_CLK , -- : in std_logic := '1'; Dout => Data_From_TxFIFO , -- : out std_logic_vector(C_DATA_WIDTH-1 downto 0); Rd_en => SPIXfer_done_rd_tx_en , -- : in std_logic := '0'; Rd_ack => Tx_FIFO_rd_ack_open , -- : out std_logic; ------ Full => Tx_FIFO_Full , -- : out std_logic; Empty => Tx_FIFO_Empty , -- : out std_logic; Almost_full => Tx_FIFO_almost_Full , -- : out std_logic; Almost_empty => Tx_FIFO_almost_Empty , -- : out std_logic; Rd_count => open , -- : out std_logic_vector(C_RD_COUNT_WIDTH-1 downto 0); ------ Ainit => reset_TxFIFO_ptr_int ,--Tx_FIFO_ptr_RST , -- : in std_logic := '1'; Wr_count => Tx_FIFO_occ_Reversed , -- : out std_logic_vector(C_WR_COUNT_WIDTH-1 downto 0); Rd_err => open , -- : out std_logic; Wr_err => open -- : out std_logic ); --tx_occ_msb <= tx_fifo_count(TX_FIFO_CNTR_WIDTH-1); -- --Tx_FIFO_occ_Reversed(C_WR_COUNT_WIDTH_INT-1); --tx_occ_msb_1 <= (tx_fifo_count(TX_FIFO_CNTR_WIDTH-1));-- and not(or_reduce(tx_fifo_count(TX_FIFO_CNTR_WIDTH-2 downto 0))) ;-- --and not Tx_FIFO_Empty_SPISR_to_axi_clk;-- and not Tx_FIFO_Full_int; -- --Tx_FIFO_occ_Reversed(C_WR_COUNT_WIDTH_INT-1); tx_occ_msb_11 <= (tx_fifo_count); FIFO_16_OCC_MSB_GEN: if C_FIFO_DEPTH = 16 generate begin tx_occ_msb_1 <= tx_occ_msb_11(3); end generate FIFO_16_OCC_MSB_GEN; FIFO_256_OCC_MSB_GEN: if C_FIFO_DEPTH = 256 generate begin tx_occ_msb_1 <= tx_occ_msb_11(7); end generate FIFO_256_OCC_MSB_GEN; TX_OCC_MSB_P: process (Bus2IP_Clk)is begin if(Bus2IP_Clk'event and Bus2IP_Clk = '1') then if(reset2ip_reset_int = RESET_ACTIVE)then tx_occ_msb_2 <= '0'; tx_occ_msb_3 <= '0'; tx_occ_msb_4 <= '0'; else tx_occ_msb_2 <= tx_occ_msb_1; tx_occ_msb_3 <= tx_occ_msb_2; tx_occ_msb_4 <= tx_occ_msb_3; end if; end if; end process TX_OCC_MSB_P; tx_occ_msb <= tx_occ_msb_4 and not Tx_FIFO_Empty_SPISR_to_axi_clk; data_Exists_TxFIFO_int <= not (Tx_FIFO_Empty); ----------------------------------------------------------- TX_FIFO_EMPTY_CNTR_I : entity axi_quad_spi_v3_2_8.counter_f generic map( C_NUM_BITS => TX_FIFO_CNTR_WIDTH, C_FAMILY => "nofamily" ) port map( Clk => Bus2IP_Clk, -- in Rst => '0', -- in Load_In => ALL_0, -- in Count_Enable => updown_cnt_en, -- in ---------------- Count_Load => reset_TxFIFO_ptr_int, -- in ---------------- Count_Down => spiXfer_done_to_axi_1, -- in Count_Out => tx_fifo_count, -- out std_logic_vector Carry_Out => open -- out ); updown_cnt_en <= IP2Bus_WrAck_transmit_enable xor spiXfer_done_to_axi_1; ---------------------------------------- TX_FULL_EMP_INTR_MD_12_GEN: if C_SPI_MODE /=0 generate ----- begin ----- Tx_FIFO_Empty_intr <= not (or_reduce(tx_fifo_count(TX_FIFO_CNTR_WIDTH-1 downto 0))) -- and (tx_fifo_count(0)) and spiXfer_done_to_axi_1 and ( Tx_FIFO_Empty_SPISR_to_axi_clk); -- and ( Tx_FIFO_Empty); Tx_FIFO_Full_int <= Tx_FIFO_Full; end generate TX_FULL_EMP_INTR_MD_12_GEN; ---------------------------------------- ---------------------------------------- TX_FULL_EMP_INTR_MD_0_GEN: if C_SPI_MODE =0 generate ----- begin ----- -- Tx_FIFO_one_less_to_Empty <= not(or_reduce(tx_fifo_count(TX_FIFO_CNTR_WIDTH-1 downto 0))) -- --and (tx_fifo_count(0)) -- and spiXfer_done_to_axi_1;--tx_cntr_xfer_done_to_axi_1_clk; -- -- -------------------------------------------- -- TX_FIFO_ABT_TO_EMPTY_P:process(Bus2IP_Clk)is -- begin -- if(Bus2IP_Clk'event and Bus2IP_Clk = '1') then -- if(reset2ip_reset_int = RESET_ACTIVE)then -- Tx_FIFO_Empty_i <= '0'; -- elsif(Tx_FIFO_Empty_int = '1')then -- Tx_FIFO_Empty_i <= '0'; -- elsif(Tx_FIFO_one_less_to_Empty = '1') or then -- Tx_FIFO_Empty_i <= '1'; -- end if; -- end if; -- end process TX_FIFO_ABT_TO_EMPTY_P; -- -------------------------------------- -- TX_FIFO_EMPTY_P: process(Bus2IP_Clk)is -- begin -- ----- -- if(Bus2IP_Clk'event and Bus2IP_Clk = '1') then -- if(reset2ip_reset_int = RESET_ACTIVE)then -- Tx_FIFO_Empty_int <= '0'; -- elsif(Tx_FIFO_Empty_int = '1' and spiXfer_done_to_axi_1 = '1')then -- Tx_FIFO_Empty_int <= '0'; -- elsif(Tx_FIFO_Empty_i = '1')then -- Tx_FIFO_Empty_int <= '1'; -- end if; -- end if; -- end process TX_FIFO_EMPTY_P; -------------------------------- -- Tx_FIFO_Empty_intr <= Tx_FIFO_Empty_int and spiXfer_done_to_axi_1; -------------------------------- TX_FIFO_CNTR_DELAY_P: process(Bus2IP_Clk)is begin ----- if(Bus2IP_Clk'event and Bus2IP_Clk = '1') then if(reset2ip_reset_int = RESET_ACTIVE)then tx_fifo_count_d1 <= (others => '0'); tx_fifo_count_d2 <= (others => '0'); spiXfer_done_to_axi_d1 <= '0'; else tx_fifo_count_d1 <= tx_fifo_count; tx_fifo_count_d2 <= tx_fifo_count_d1; spiXfer_done_to_axi_d1 <= spiXfer_done_to_axi_1; end if; end if; end process TX_FIFO_CNTR_DELAY_P; Tx_FIFO_Empty_intr <= (not (or_reduce(tx_fifo_count_d2(TX_FIFO_CNTR_WIDTH-1 downto 0))) -- and (tx_fifo_count(0)) and spiXfer_done_to_axi_d1 and ( Tx_FIFO_Empty_SPISR_to_axi_clk)); TX_one_less_than_full <= and_reduce(tx_fifo_count(TX_FIFO_CNTR_WIDTH-1 downto TX_FIFO_CNTR_WIDTH-TX_FIFO_CNTR_WIDTH+1)) and (not tx_fifo_count(0))and IP2Bus_WrAck_transmit_enable; ------------------------------------------- TX_FIFO_ABT_TO_FULL_P:process(Bus2IP_Clk)is begin if(Bus2IP_Clk'event and Bus2IP_Clk = '1') then if(reset2ip_reset_int = RESET_ACTIVE)then Tx_FIFO_Full_i <= '0'; elsif(reset_TxFIFO_ptr_int = '1')then Tx_FIFO_Full_i <= '0'; elsif(Tx_FIFO_Full_int = '1')then Tx_FIFO_Full_i <= '0'; elsif(TX_one_less_than_full = '1')then Tx_FIFO_Full_i <= '1'; end if; end if; end process TX_FIFO_ABT_TO_FULL_P; ---------------------------------- TX_FIFO_FULL_P: process(Bus2IP_Clk)is begin ----- if(Bus2IP_Clk'event and Bus2IP_Clk = '1') then if(reset2ip_reset_int = RESET_ACTIVE)then Tx_FIFO_Full_int <= '0'; elsif(reset_TxFIFO_ptr_int = '1')then Tx_FIFO_Full_int <= '0'; elsif(Tx_FIFO_Full_int = '1' and spiXfer_done_to_axi_1 = '1')then Tx_FIFO_Full_int <= '0'; elsif(Tx_FIFO_Full_i = '1') then -- and spiXfer_done_to_axi_1 = '1')then Tx_FIFO_Full_int <= '1'; end if; end if; end process TX_FIFO_FULL_P; --------------------------- end generate TX_FULL_EMP_INTR_MD_0_GEN; ---------------------------------------- ------------------------------------------------------------------------------- -- I_FIFO_IF_MODULE : INSTANTIATE FIFO INTERFACE MODULE ------------------------------------------------------------------------------- Rx_FIFO_Full_Fifo_d1_synced_i <= Rx_FIFO_Full_Fifo_d1_synced and (not Rx_FIFO_Empty); FIFO_IF_MODULE_I: entity axi_quad_spi_v3_2_8.qspi_fifo_ifmodule generic map ( C_NUM_TRANSFER_BITS => C_NUM_TRANSFER_BITS ) port map ( Bus2IP_Clk => Bus2IP_Clk , -- in Soft_Reset_op => reset2ip_reset_int, -- in -- Slave attachment ports from AXI clock Bus2IP_RcFIFO_RdCE => Bus2IP_RdCE(SPIDRR),-- axiclk -- in Bus2IP_TxFIFO_WrCE => Bus2IP_WrCE(SPIDTR),-- axi clk -- in Rd_ce_reduce_ack_gen => rd_ce_reduce_ack_gen,-- axi clk -- in -- FIFO ports Data_From_TxFIFO => Data_From_TxFIFO ,-- spi clk -- in vec Data_From_Rc_FIFO => Data_From_Rx_FIFO ,-- axi clk -- in vec Tx_FIFO_Data_WithZero => transmit_Data_int ,-- spi clk -- out vec IP2Bus_RX_FIFO_Data => IP2Bus_Receive_Reg_Data_int, -- out vec --------------------- --Rc_FIFO_Full => Rx_FIFO_Full_int, -- Rx_FIFO_Full_to_axi_clk, -- in Rc_FIFO_Full => Rx_FIFO_Full_Fifo_d1_synced_i, -- Rx_FIFO_Full_to_axi_clk, -- in Rc_FIFO_Full_strobe => rc_FIFO_Full_strobe_int, -- out --------------------- Tx_FIFO_Empty => Tx_FIFO_Empty_intr , -- Tx_FIFO_Empty_to_Axi_clk, -- sr_5_Tx_Empty_int,-- spi clk -- in Tx_FIFO_Empty_strobe => tx_FIFO_Empty_strobe_int, -- out --------------------- Rc_FIFO_Empty => Rx_FIFO_Empty_int, -- 13-09-2012 rx_fifo_empty_i, -- Rx_FIFO_Empty , -- Rc_FIFO_Empty_int, -- in Receive_ip2bus_error => receive_ip2bus_error, -- out Tx_FIFO_Full => Tx_FIFO_Full_int, -- in Transmit_ip2bus_error => transmit_ip2bus_error, -- out --------------------- Tx_FIFO_Occpncy_MSB => tx_occ_msb, -- in Tx_FIFO_less_half => tx_FIFO_less_half_int, -- out --------------------- DTR_underrun => dtr_underrun_to_axi_clk,-- dtr_underrun_int,-- in DTR_Underrun_strobe => dtr_Underrun_strobe_int, -- out --------------------- SPIXfer_done => spiXfer_done_to_axi_1, -- spiXfer_done_int, -- in rready => rready -- DRR_Overrun_reg => drr_Overrun_int -- out ); ------------------------------------------------------------------------------- -- TX_OCCUPANCY_I : INSTANTIATE TRANSMIT OCCUPANCY REGISTER ------------------------------------------------------------------------------- TX_OCCUPANCY_I: entity axi_quad_spi_v3_2_8.qspi_occupancy_reg generic map ( C_OCCUPANCY_NUM_BITS => C_OCCUPANCY_NUM_BITS ) port map ( --Slave attachment ports Bus2IP_OCC_REG_RdCE => Bus2IP_RdCE(SPITFOR), -- in --FIFO port IP2Reg_OCC_Data => tx_fifo_count, -- tx_FIFO_occ_Reversed, -- in vec IP2Bus_OCC_REG_Data => IP2Bus_Tx_FIFO_OCC_Reg_Data_int -- out vec ); ------------------------------------------------------------------------------- -- RX_OCCUPANCY_I : INSTANTIATE RECEIVE OCCUPANCY REGISTER ------------------------------------------------------------------------------- RX_OCCUPANCY_I: entity axi_quad_spi_v3_2_8.qspi_occupancy_reg generic map ( C_OCCUPANCY_NUM_BITS => C_OCCUPANCY_NUM_BITS--, ) port map ( --Slave attachment ports Bus2IP_OCC_REG_RdCE => Bus2IP_RdCE(SPIRFOR), -- in --FIFO port IP2Reg_OCC_Data => rx_fifo_count, --rx_FIFO_occ_Reversed, -- in vec IP2Bus_OCC_REG_Data => IP2Bus_Rx_FIFO_OCC_Reg_Data_int -- out vec ); end generate FIFO_EXISTS; -------------------------------------------- -- LOGIC_FOR_MD_0_GEN: in stantiate the original SPI module when the core is configured in Standard SPI mode. ------------------------------ LOGIC_FOR_MD_0_GEN: if C_SPI_MODE = 0 generate --------------------------- signal SCK_O_int : std_logic; signal MISO_I_int: std_logic; ----- begin ----- -- un used IO2 and IO3 O/P ports are tied to 0 and T ports are tied to '1' DATA_STARTUP_USED : if C_USE_STARTUP = 1 generate ----- begin ----- -- IO2_O <= do(2); -- IO2_T <= dts(2); -- IO3_O <= do(3); -- IO3_T <= dts(3); IO2_O <= '0'; IO2_T <= '1'; IO3_O <= '0'; IO3_T <= '1'; SPISR_0_CMD_Error_int <= '0'; -- no command error when C_SPI_MODE= 0 end generate DATA_STARTUP_USED; ------------------------------------------------------- SCK_MISO_NO_STARTUP_USED: if C_USE_STARTUP = 0 generate ----- begin ----- IO2_O <= '0'; IO2_T <= '1'; IO3_O <= '0'; IO3_T <= '1'; SCK_O <= SCK_O_int; -- output from the core MISO_I_int <= IO1_I; -- input to the core end generate SCK_MISO_NO_STARTUP_USED; ------------------------------------------------------- ------------------------------------------------------- SCK_MISO_STARTUP_USED: if C_USE_STARTUP = 1 generate ----- begin ----- QSPI_STARTUP_BLOCK_I: entity axi_quad_spi_v3_2_8.qspi_startup_block --------------------- generic map ( C_SUB_FAMILY => C_SUB_FAMILY , -- support for V6/V7/K7/A7 families only ----------------- C_USE_STARTUP => C_USE_STARTUP, ----------------- C_SHARED_STARTUP => C_SHARED_STARTUP, ----------------- C_SPI_MODE => C_SPI_MODE ----------------- ) port map ( SCK_O => SCK_O_int, -- : in std_logic; -- input from the qspi_mode_0_module IO1_I_startup => IO1_I, -- : in std_logic; -- input from the top level port list IO1_Int => MISO_I_int,-- : out std_logic Bus2IP_Clk => Bus2IP_Clk, reset2ip_reset => reset2ip_reset_int, CFGCLK => cfgclk, -- FGCLK , -- 1-bit output: Configuration main clock output CFGMCLK => cfgmclk, -- FGMCLK , -- 1-bit output: Configuration internal oscillator clock output EOS => eos, -- OS , -- 1-bit output: Active high output signal indicating the End Of Startup. PREQ => preq, -- REQ , -- 1-bit output: PROGRAM request to fabric output DI => di_int, -- output DO => do_int, -- 4-bit input DTS => dts_int, -- 4-bit input FCSBO => fcsbo_int, -- 1-bit input FCSBTS => fcsbts_int,-- 1-bit input CLK => clk, -- 1-bit input, SetReset GSR => gsr, -- 1-bit input, SetReset GTS => gts, -- 1-bit input KEYCLEARB => keyclearb, --1-bit input PACK => pack, --1-bit input USRCCLKTS => usrcclkts, -- SRCCLKTS , -- 1-bit input USRDONEO => usrdoneo, -- SRDONEO , -- 1-bit input USRDONETS => usrdonets -- SRDONETS -- 1-bit input ); -------------------- end generate SCK_MISO_STARTUP_USED; ------------------------------------------------------- ---------------------------------------------------------------------------- -- SPI_MODULE_I : INSTANTIATE SPI MODULE ---------------------------------------------------------------------------- SPI_MODULE_I: entity axi_quad_spi_v3_2_8.qspi_mode_0_module ------------- generic map ( C_SCK_RATIO => C_SCK_RATIO , C_USE_STARTUP => C_USE_STARTUP , C_SPICR_REG_WIDTH => C_SPICR_REG_WIDTH , C_NUM_SS_BITS => C_NUM_SS_BITS , C_NUM_TRANSFER_BITS => C_NUM_TRANSFER_BITS , C_SUB_FAMILY => C_SUB_FAMILY , C_FIFO_EXIST => C_FIFO_EXIST ) port map ( Bus2IP_Clk => EXT_SPI_CLK, -- in Soft_Reset_op => Rst_to_spi_int, -- in ------------------------ SPICR_0_LOOP => SPICR_0_LOOP_to_spi_clk,--_int, SPICR_1_SPE => SPICR_1_SPE_to_spi_clk,--_int, SPICR_2_MASTER_N_SLV => SPICR_2_MST_N_SLV_to_spi_clk,--_int, SPICR_3_CPOL => SPICR_3_CPOL_to_spi_clk,--_int, SPICR_4_CPHA => SPICR_4_CPHA_to_spi_clk,--_int, SPICR_5_TXFIFO_RST => SPICR_5_TXFIFO_to_spi_clk, -- SPICR_5_TXFIFO_RST_to_spi_clk,--_int, SPICR_6_RXFIFO_RST => SPICR_6_RXFIFO_RST_to_spi_clk,--_int, SPICR_7_SS => SPICR_7_SS_to_spi_clk,--_int, SPICR_8_TR_INHIBIT => SPICR_8_TR_INHIBIT_to_spi_clk,--_int, SPICR_9_LSB => SPICR_9_LSB_to_spi_clk,--_int, ------------------------ Rx_FIFO_Empty_i_no_fifo => Rx_FIFO_Empty_i, -- in SR_3_MODF => SR_3_modf_to_spi_clk, -- in SR_5_Tx_Empty => Tx_FIFO_Empty, -- sr_5_Tx_Empty_int, -- in Slave_MODF_strobe => slave_MODF_strobe_int, -- out MODF_strobe => modf_strobe_int, -- out Slave_Select_Reg => register_Data_slvsel_int, -- already updated -- in vec Transmit_Data => Data_From_TxFIFO, -- transmit_Data_int, -- in vec Receive_Data => Data_To_Rx_FIFO, -- receive_Data_int, -- out vec SPIXfer_done => spiXfer_done_int, -- out -- SPIXfer_done_Rx_Wr_en=> SPIXfer_done_Rx_Wr_en, DTR_underrun => dtr_underrun_int, -- out SPIXfer_done_rd_tx_en=> SPIXfer_done_rd_tx_en, --SPI Ports SCK_I => SCK_I, -- in SCK_O_reg => SCK_O_int, -- out SCK_T => SCK_T, -- out MISO_I => str_IO1_I, --IO0_I, -- MOSI_I, -- in std_logic; -- MISO MISO_O => str_IO1_O,--IO0_O, -- MOSI_O, -- out std_logic; MISO_T => str_IO1_T, --IO0_T, -- MOSI_T, -- out std_logic; MOSI_I => str_IO0_I,--MISO_I_int, -- IO1_I, -- MISO_I, -- in std_logic; MOSI_O => str_IO0_O,--IO1_O, -- MISO_O, -- out std_logic; -- MOSI MOSI_T => str_IO0_T,--IO1_T, -- MISO_T, -- out std_logic; --MISO_I => MISO_I_int, -- IO1_I, -- MISO_I, -- in --MISO_O => IO1_O, -- MISO_O, -- out --MISO_T => IO1_T, -- MISO_T, -- out --MOSI_I => IO0_I, -- MOSI_I, -- in --MOSI_O => IO0_O, -- MOSI_O, -- out --MOSI_T => IO0_T, -- MOSI_T, -- out SPISEL => SPISEL, -- in SS_I => SS_I_int, -- in SS_O => SS_O_int, -- out SS_T => SS_T_int, -- out SPISEL_pulse_op => spisel_pulse_o_int , -- out std_logic; SPISEL_d1_reg => spisel_d1_reg , -- out std_logic; control_bit_7_8 => SPICR_bits_7_8_to_spi_clk, -- in vec Mst_N_Slv_mode => Mst_N_Slv_mode , --Rx_FIFO_Full => Rx_FIFO_Full_to_spi_clk, Rx_FIFO_Full => Rx_FIFO_Full_Fifo, DRR_Overrun_reg => drr_Overrun_int, -- out reset_RcFIFO_ptr_to_spi => reset_RcFIFO_ptr_to_spi_clk, tx_cntr_xfer_done => tx_cntr_xfer_done ); ------------- end generate LOGIC_FOR_MD_0_GEN; ---------------------------------------- -- LOGIC_FOR_MD_12_GEN: to generate the functionality for mode 1 and 2. ------------------------------ LOGIC_FOR_MD_12_GEN: if C_SPI_MODE /= 0 generate --------------------------- signal SCK_O_int : std_logic; signal MISO_I_int: std_logic; signal Data_Dir_int : std_logic; signal Data_Mode_1_int : std_logic; signal Data_Mode_0_int : std_logic; signal Data_Phase_int : std_logic; signal Addr_Mode_1_int : std_logic; signal Addr_Mode_0_int : std_logic; signal Addr_Bit_int : std_logic; signal Addr_Phase_int : std_logic; signal CMD_Mode_1_int : std_logic; signal CMD_Mode_0_int : std_logic; signal CMD_Error_int : std_logic; signal CMD_decoded_int : std_logic; signal Dummy_Bits_int : std_logic_vector(3 downto 0); ----- begin ----- LOGIC_FOR_C_SPI_MODE_1_GEN: if C_SPI_MODE = 1 generate ------- begin ------- -- DATA_STARTUP_USED_MODE1 : if C_USE_STARTUP = 1 generate -- ----- -- begin -- ----- -- IO2_O <= do(2); -- IO2_T <= dts(2); -- IO3_O <= do(3); -- IO3_T <= dts(3); -- --IO2_I_int <= di(2);-- assign default value as this bit is not used in thid mode -- IO2_I_int <= '0';-- assign default value as this bit is not used in thid mode -- --IO3_I_int <= di(3);-- assign default value as this bit is not used in thid mode -- IO3_I_int <= '0';-- assign default value as this bit is not used in thid mode --end generate DATA_STARTUP_USED_MODE1; -- --DATA_NOSTARTUP_USED_MODE1 : if C_USE_STARTUP = 0 generate -- ----- -- begin -- ----- IO2_O <= '0'; -- not used in the logic IO3_O <= '0'; -- not used in the logic IO2_T <= '1'; -- disable the tri-state buffers IO3_T <= '1'; -- disable the tri-state buffers IO2_I_int <= '0';-- assign default value as this bit is not used in thid mode IO3_I_int <= '0';-- assign default value as this bit is not used in thid mode --end generate DATA_NOSTARTUP_USED_MODE1; end generate LOGIC_FOR_C_SPI_MODE_1_GEN; --------------------------------------- LOGIC_FOR_C_SPI_MODE_2_GEN: if C_SPI_MODE = 2 generate ------- begin ------- DATA_STARTUP_USED_MODE2 : if (C_USE_STARTUP = 1 and C_UC_FAMILY = 1) generate ----- begin ----- di <= "00"; end generate DATA_STARTUP_USED_MODE2; DATA_NOSTARTUP_USED_MODE2 : if (C_USE_STARTUP = 0 or (C_USE_STARTUP = 1 and C_UC_FAMILY = 0)) generate ----- begin ----- IO2_I_int <= IO2_I; -- assign this bit from the top level port IO2_O <= IO2_O_int; IO2_T <= IO2_T_int; IO3_I_int <= IO3_I; -- assign this bit from the top level port IO3_O <= IO3_O_int; IO3_T <= IO3_T_int; end generate DATA_NOSTARTUP_USED_MODE2; end generate LOGIC_FOR_C_SPI_MODE_2_GEN; --------------------------------------- SPISR_0_CMD_Error_int <= CMD_Error_int; dtr_underrun_int <= '0'; -- SPI MODE 1 & 2 are master modes, so DTR under run wont be present slave_MODF_strobe_int <= '0'; -- SPI MODE 1 & 2 are master modes, so the slave mode fault error wont appear Mst_N_Slv_mode <= '1'; ------------------------------------------------------- -- SCK_O <= SCK_O_int; -- output from the core -- MISO_I_int <= IO1_I; -- input to the core -- * ------------------------------------------------------- SCK_MISO_NO_STARTUP_USED: if C_USE_STARTUP = 0 generate ----- begin ----- SCK_O <= SCK_O_int; -- output from the core MISO_I_int <= IO1_I; -- input to the core end generate SCK_MISO_NO_STARTUP_USED; ------------------------------------------------------- ------------------------------------------------------- SCK_MISO_STARTUP_USED: if C_USE_STARTUP = 1 generate ----- begin ----- QSPI_STARTUP_BLOCK_I: entity axi_quad_spi_v3_2_8.qspi_startup_block --------------------- generic map ( C_SUB_FAMILY => C_SUB_FAMILY , -- support for V6/V7/K7/A7 families only ----------------- C_USE_STARTUP => C_USE_STARTUP, ----------------- C_SHARED_STARTUP => C_SHARED_STARTUP, ----------------- C_SPI_MODE => C_SPI_MODE ----------------- ) port map ( SCK_O => SCK_O_int, -- : in std_logic; -- input from the qspi_mode_0_module IO1_I_startup => IO1_I, -- : in std_logic; -- input from the top level port list IO1_Int => MISO_I_int,-- : out std_logic Bus2IP_Clk => Bus2IP_Clk, reset2ip_reset => reset2ip_reset_int, CFGCLK => cfgclk, -- FGCLK , -- 1-bit output: Configuration main clock output CFGMCLK => cfgmclk, -- FGMCLK , -- 1-bit output: Configuration internal oscillator clock output EOS => eos, -- OS , -- 1-bit output: Active high output signal indicating the End Of Startup. PREQ => preq, -- REQ , -- 1-bit output: PROGRAM request to fabric output DI => di_int, -- output DO => do_int, -- 4-bit input DTS => dts_int, -- 4-bit input FCSBO => fcsbo_int, -- 1-bit input FCSBTS => fcsbts_int,-- 1-bit input CLK => clk, -- 1-bit input, SetReset GSR => gsr, -- 1-bit input, SetReset GTS => gts, -- 1-bit input KEYCLEARB => keyclearb, --1-bit input PACK => pack, --1-bit input USRCCLKTS => usrcclkts, -- SRCCLKTS , -- 1-bit input USRDONEO => usrdoneo, -- SRDONEO , -- 1-bit input USRDONETS => usrdonets -- SRDONETS -- 1-bit input ); -------------------- end generate SCK_MISO_STARTUP_USED; ------------------------------------------------------- -- * -- Add instance for Look up table logic SPI_MODE_1_LUT_LOGIC_I: entity axi_quad_spi_v3_2_8.qspi_look_up_logic ------------- generic map ( C_FAMILY => C_FAMILY , C_SPI_MODE => C_SPI_MODE , C_SPI_MEMORY => C_SPI_MEMORY , C_SELECT_XPM => C_SELECT_XPM , C_NUM_TRANSFER_BITS => C_NUM_TRANSFER_BITS ) port map ( EXT_SPI_CLK => EXT_SPI_CLK , -- : in std_logic; Rst_to_spi => Rst_to_spi_int , -- : in std_logic; TXFIFO_RST => reset_TxFIFO_ptr_int_to_spi, -- : in std_logic; -------------------- -- DTR_FIFO_Data_Exists=> data_Exists_TxFIFO_int, -- : in std_logic; Data_From_TxFIFO => Data_From_TxFIFO , -- : in std_logic_vector -- (0 to (C_NUM_TRANSFER_BITS-1)) pr_state_idle => pr_state_idle_int , -- -------------------- -- Data_Dir => Data_Dir_int , -- : out std_logic; Data_Mode_1 => Data_Mode_1_int , -- : out std_logic; Data_Mode_0 => Data_Mode_0_int , -- : out std_logic; Data_Phase => Data_Phase_int , -- : out std_logic; -------------------- -- Quad_Phase => Quad_Phase_int , -------------------- -- Addr_Mode_1 => Addr_Mode_1_int , -- : out std_logic; Addr_Mode_0 => Addr_Mode_0_int , -- : out std_logic; Addr_Bit => Addr_Bit_int , -- : out std_logic; Addr_Phase => Addr_Phase_int , -- : out std_logic; -------------------- -- CMD_Mode_1 => CMD_Mode_1_int , -- : out std_logic; CMD_Mode_0 => CMD_Mode_0_int , -- : out std_logic; CMD_Error => CMD_Error_int , -- : out std_logic; -------------------- -- - CMD_decoded => CMD_decoded_int -- : out std_logic ); --------- SPI_MODE_CONTROL_LOGIC_I: entity axi_quad_spi_v3_2_8.qspi_mode_control_logic ------------- generic map ( C_SCK_RATIO => C_SCK_RATIO , C_NUM_TRANSFER_BITS => C_NUM_TRANSFER_BITS , C_SPI_MODE => C_SPI_MODE , C_USE_STARTUP => C_USE_STARTUP , C_NUM_SS_BITS => C_NUM_SS_BITS , C_SPI_MEMORY => C_SPI_MEMORY , C_SUB_FAMILY => C_SUB_FAMILY ) port map ( Bus2IP_Clk => EXT_SPI_CLK , -- Bus2IP_Clk , -- in std_logic; Soft_Reset_op => Rst_to_spi_int , -- in std_logic; -------------------- , -- DTR_FIFO_Data_Exists => data_Exists_TxFIFO_int , -- in std_logic; Slave_Select_Reg => register_Data_slvsel_int , -- already updated -- in std_logic_vector(0 to (C_NUM_SS_BITS-1)); Transmit_Data => Data_From_TxFIFO,--transmit_Data_int , -- already updated -- in std_logic_vector(0 to (C_NUM_TRANSFER_BITS Receive_Data => Data_To_Rx_FIFO , -- out std_logic_vector(0 to (C_NUM_TRANSFER_BITS --Data_To_Rx_FIFO_1 => Data_To_Rx_FIFO_1, SPIXfer_done => spiXfer_done_int , -- already updated -- out std_logic; SPIXfer_done_Rx_Wr_en=> SPIXfer_done_Rx_Wr_en, MODF_strobe => modf_strobe_int , -- already updated SPIXfer_done_rd_tx_en=> SPIXfer_done_rd_tx_en, --------------------- -- SR_3_MODF => SR_3_modf_to_spi_clk , -- in std_logic; SR_5_Tx_Empty => Tx_FIFO_Empty , -- sr_5_Tx_Empty_int -- in std_logic; --SR_6_Rx_Full => Rx_FIFO_Full , -- in pr_state_idle => pr_state_idle_int , -- --------------------- -- from control register SPICR_0_LOOP => SPICR_0_LOOP_to_spi_clk ,--SPICR_0_LOOP_int , -- in std_logic; SPICR_1_SPE => SPICR_1_SPE_to_spi_clk ,--_int , -- in std_logic; SPICR_2_MASTER_N_SLV => SPICR_2_MST_N_SLV_to_spi_clk,--_int , -- in std_logic; SPICR_3_CPOL => SPICR_3_CPOL_to_spi_clk ,--_int , -- in std_logic; SPICR_4_CPHA => SPICR_4_CPHA_to_spi_clk ,--_int , -- in std_logic; SPICR_5_TXFIFO_RST => SPICR_5_TXFIFO_RST_to_spi_clk,--_int , -- in std_logic; SPICR_6_RXFIFO_RST => SPICR_6_RXFIFO_RST_to_spi_clk,--_int , -- in std_logic; SPICR_7_SS => SPICR_7_SS_to_spi_clk ,--_int , -- in std_logic; SPICR_8_TR_INHIBIT => SPICR_8_TR_INHIBIT_to_spi_clk,--_int , -- in std_logic; SPICR_9_LSB => SPICR_9_LSB_to_spi_clk ,--_int , -- in std_logic; --------------------- -- --------------------- -- from look up table Data_Dir => Data_Dir_int , -- in std_logic; Data_Mode_1 => Data_Mode_1_int , -- in std_logic; Data_Mode_0 => Data_Mode_0_int , -- in std_logic; Data_Phase => Data_Phase_int , --------------------- --Dummy_Bits => Dummy_Bits_int , -- in std_logic_vector(3 downto 0); Quad_Phase => Quad_Phase_int , --------------------- -- in std_logic; Addr_Mode_1 => Addr_Mode_1_int , -- in std_logic; Addr_Mode_0 => Addr_Mode_0_int , -- in std_logic; Addr_Bit => Addr_Bit_int , -- in std_logic; Addr_Phase => Addr_Phase_int , -- in std_logic; --------------------- CMD_Mode_1 => CMD_Mode_1_int , -- in std_logic; CMD_Mode_0 => CMD_Mode_0_int , -- in std_logic; CMD_Error => CMD_Error_int , -- in std_logic; --------------------- -- CMD_decoded => CMD_decoded_int , -- in std_logic; --SPI Interface -- SCK_I => SCK_I, -- in std_logic; SCK_O_reg => SCK_O_int, -- out std_logic; SCK_T => SCK_T, -- out std_logic; -- IO0_I => str_IO0_I, --IO0_I, -- MOSI_I, -- in std_logic; -- MISO IO0_O => str_IO0_O,--IO0_O, -- MOSI_O, -- out std_logic; IO0_T => str_IO0_T, --IO0_T, -- MOSI_T, -- out std_logic; IO1_I => str_IO1_I,--MISO_I_int, -- IO1_I, -- MISO_I, -- in std_logic; IO1_O => str_IO1_O,--IO1_O, -- MISO_O, -- out std_logic; -- MOSI IO1_T => str_IO1_T,--IO1_T, -- MISO_T, -- out std_logic; -- IO2_I => IO2_I_int, -- -- in std_logic; IO2_O => IO2_O_int, -- -- out std_logic; IO2_T => IO2_T_int, -- -- out std_logic; -- IO3_I => IO3_I_int, -- -- in std_logic; IO3_O => IO3_O_int, -- -- out std_logic; IO3_T => IO3_T_int, -- -- out std_logic; -- SPISEL => SPISEL, -- in std_logic; -- SS_I => SS_I_int, -- in std_logic_vector(0 to (C_NUM_SS_BITS-1)); SS_O => SS_O_int, -- out std_logic_vector(0 to (C_NUM_SS_BITS-1)); SS_T => SS_T_int, -- out std_logic; -- SPISEL_pulse_op => spisel_pulse_o_int , -- out std_logic; SPISEL_d1_reg => spisel_d1_reg , -- out std_logic; Control_bit_7_8 => SPICR_bits_7_8_to_spi_clk , -- in std_logic_vector(0 to 1) --(7 to 8) Rx_FIFO_Full => Rx_FIFO_Full_Fifo, DRR_Overrun_reg => drr_Overrun_int, reset_RcFIFO_ptr_to_spi => reset_RcFIFO_ptr_to_spi_clk ); ------------- end generate LOGIC_FOR_MD_12_GEN; ------------------------------------------ -------------------------------------------------------------------------------- CONTROL_REG_I: entity axi_quad_spi_v3_2_8.qspi_cntrl_reg generic map ( -------------------------- C_S_AXI_DATA_WIDTH => C_S_AXI_DATA_WIDTH, -------------------------- -- Number of bits in regis C_SPI_NUM_BITS_REG => C_SPI_NUM_BITS_REG, -------------------------- C_SPICR_REG_WIDTH => C_SPICR_REG_WIDTH, -------------------------- C_SPI_MODE => C_SPI_MODE -------------------------- ) port map ( -- in Bus2IP_Clk => Bus2IP_Clk, -- in Soft_Reset_op => reset2ip_reset_int, --------------------------- Wr_ce_reduce_ack_gen => Wr_ce_reduce_ack_gen, -- in Bus2IP_SPICR_WrCE => Bus2IP_WrCE(SPICR), -- in Bus2IP_SPICR_RdCE => Bus2IP_RdCE(SPICR), -- in Bus2IP_SPICR_data => Bus2IP_Data, -- in vec --------------------------- SPICR_0_LOOP => SPICR_0_LOOP_frm_axi_clk, -- out SPICR_1_SPE => SPICR_1_SPE_frm_axi_clk, -- out SPICR_2_MASTER_N_SLV => SPICR_2_MST_N_SLV_frm_axi_clk, -- out SPICR_3_CPOL => SPICR_3_CPOL_frm_axi_clk, -- out SPICR_4_CPHA => SPICR_4_CPHA_frm_axi_clk, -- out SPICR_5_TXFIFO_RST => SPICR_5_TXFIFO_RST_frm_axi_clk, -- out SPICR_6_RXFIFO_RST => SPICR_6_RXFIFO_RST_frm_axi_clk, -- out SPICR_7_SS => SPICR_7_SS_frm_axi_clk, -- out SPICR_8_TR_INHIBIT => SPICR_8_TR_INHIBIT_frm_axi_clk, -- out SPICR_9_LSB => SPICR_9_LSB_frm_axi_clk, -- out -- to Status Register SPISR_1_LOOP_Back_Error => SPISR_1_LOOP_Back_Error_int, -- out SPISR_2_MSB_Error => SPISR_2_MSB_Error_int, -- out SPISR_3_Slave_Mode_Error => SPISR_3_Slave_Mode_Error_int, -- out SPISR_4_CPOL_CPHA_Error => SPISR_4_CPOL_CPHA_Error_int, -- out --------------------------- IP2Bus_SPICR_Data => IP2Bus_SPICR_Data_int, -- out vec --------------------------- Control_bit_7_8 => SPICR_bits_7_8_frm_axi_clk -- out vec --------------------------- ); ------------------------------------------------------------------------------- -- STATUS_REG_I : INSTANTIATE STATUS REGISTER ------------------------------------------------------------------------------- STATUS_REG_MODE_0_GEN: if C_SPI_MODE = 0 generate begin STATUS_SLAVE_SEL_REG_I: entity axi_quad_spi_v3_2_8.qspi_status_slave_sel_reg generic map( C_SPI_NUM_BITS_REG => C_SPI_NUM_BITS_REG , ------------------------ ------------------------ C_S_AXI_DATA_WIDTH => C_S_AXI_DATA_WIDTH , ------------------------ ------------------------ C_NUM_SS_BITS => C_NUM_SS_BITS , ------------------------ ------------------------ C_SPISR_REG_WIDTH => C_SPISR_REG_WIDTH ) port map( Bus2IP_Clk => Bus2IP_Clk , -- in Soft_Reset_op => reset2ip_reset_int , -- in -- I/P from control regis SPISR_0_Command_Error => '0' , -- SPISR_0_CMD_Error_int , -- in-- should come from look up table SPISR_1_LOOP_Back_Error => SPISR_1_LOOP_Back_Error_int , -- in SPISR_2_MSB_Error => SPISR_2_MSB_Error_int , -- in SPISR_3_Slave_Mode_Error => SPISR_3_Slave_Mode_Error_int , -- in SPISR_4_CPOL_CPHA_Error => SPISR_4_CPOL_CPHA_Error_int , -- in -- I/P from other modules SPISR_Ext_SPISEL_slave => spisel_d1_reg_to_axi_clk , -- in SPISR_7_Tx_Full => Tx_FIFO_Full_int , -- in SPISR_8_Tx_Empty => Tx_FIFO_Empty_SPISR_to_axi_clk, -- Tx_FIFO_Empty_to_Axi_clk , -- in --SPISR_9_Rx_Full => Rx_FIFO_Full_int, -- Rx_FIFO_Full_to_axi_clk , -- in SPISR_9_Rx_Full => Rx_FIFO_Full_Fifo_d1_synced, -- Rx_FIFO_Full_to_axi_clk , -- in SPISR_10_Rx_Empty => Rx_FIFO_Empty_int , -- in -- Slave attachment ports ModeFault_Strobe => modf_strobe_to_axi_clk , -- in Rd_ce_reduce_ack_gen => rd_ce_reduce_ack_gen , -- in Bus2IP_SPISR_RdCE => Bus2IP_RdCE(SPISR) , -- in IP2Bus_SPISR_Data => IP2Bus_SPISR_Data_int , -- out vec SR_3_modf => SR_3_modf_int , -- out -- Slave Select Register Bus2IP_SPISSR_WrCE => Bus2IP_WrCE(SPISSR) , -- in Wr_ce_reduce_ack_gen => Wr_ce_reduce_ack_gen , -- in Bus2IP_SPISSR_RdCE => Bus2IP_RdCE(SPISSR) , -- in Bus2IP_SPISSR_Data => Bus2IP_Data , -- in vec IP2Bus_SPISSR_Data => IP2Bus_SPISSR_Data_int , -- out vec SPISSR_Data_reg_op => SPISSR_frm_axi_clk -- out vec ); end generate STATUS_REG_MODE_0_GEN; STATUS_REG_MODE_12_GEN: if C_SPI_MODE /= 0 generate begin STATUS_SLAVE_SEL_REG_I: entity axi_quad_spi_v3_2_8.qspi_status_slave_sel_reg generic map( C_SPI_NUM_BITS_REG => C_SPI_NUM_BITS_REG , ------------------------ ------------------------ C_S_AXI_DATA_WIDTH => C_S_AXI_DATA_WIDTH , ------------------------ ------------------------ C_NUM_SS_BITS => C_NUM_SS_BITS , ------------------------ ------------------------ C_SPISR_REG_WIDTH => C_SPISR_REG_WIDTH ) port map( Bus2IP_Clk => Bus2IP_Clk , -- in Soft_Reset_op => reset2ip_reset_int , -- in -- I/P from control regis SPISR_0_Command_Error => SPISR_0_CMD_Error_to_axi_clk , -- SPISR_0_CMD_Error_int , -- in-- should come from look up table SPISR_1_LOOP_Back_Error => SPISR_1_LOOP_Back_Error_int , -- in SPISR_2_MSB_Error => SPISR_2_MSB_Error_int , -- in SPISR_3_Slave_Mode_Error => SPISR_3_Slave_Mode_Error_int , -- in SPISR_4_CPOL_CPHA_Error => SPISR_4_CPOL_CPHA_Error_int , -- in -- I/P from other modules SPISR_Ext_SPISEL_slave => spisel_d1_reg_to_axi_clk , -- in SPISR_7_Tx_Full => Tx_FIFO_Full_int , -- in SPISR_8_Tx_Empty => Tx_FIFO_Empty_SPISR_to_axi_clk, -- Tx_FIFO_Empty_to_Axi_clk , -- in --SPISR_9_Rx_Full => Rx_FIFO_Full_int, -- Rx_FIFO_Full_to_axi_clk , -- in SPISR_9_Rx_Full => Rx_FIFO_Full_Fifo_d1_synced, -- Rx_FIFO_Full_to_axi_clk , -- in SPISR_10_Rx_Empty => Rx_FIFO_Empty_int , -- in -- Slave attachment ports ModeFault_Strobe => modf_strobe_to_axi_clk , -- in Rd_ce_reduce_ack_gen => rd_ce_reduce_ack_gen , -- in Bus2IP_SPISR_RdCE => Bus2IP_RdCE(SPISR) , -- in IP2Bus_SPISR_Data => IP2Bus_SPISR_Data_int , -- out vec SR_3_modf => SR_3_modf_int , -- out -- Slave Select Register Bus2IP_SPISSR_WrCE => Bus2IP_WrCE(SPISSR) , -- in Wr_ce_reduce_ack_gen => Wr_ce_reduce_ack_gen , -- in Bus2IP_SPISSR_RdCE => Bus2IP_RdCE(SPISSR) , -- in Bus2IP_SPISSR_Data => Bus2IP_Data , -- in vec IP2Bus_SPISSR_Data => IP2Bus_SPISSR_Data_int , -- out vec SPISSR_Data_reg_op => SPISSR_frm_axi_clk -- out vec ); end generate STATUS_REG_MODE_12_GEN; ------------------------------------------------------------------------------- -- SOFT_RESET_I : INSTANTIATE SOFT RESET ------------------------------------------------------------------------------- SOFT_RESET_I: entity axi_quad_spi_v3_2_8.soft_reset generic map ( C_SIPIF_DWIDTH => C_S_AXI_DATA_WIDTH, -- Width of triggered reset in Bus Clocks C_RESET_WIDTH => 16 ) port map ( -- Inputs From the PLBv46 Slave Single Bus Bus2IP_Clk => Bus2IP_Clk, -- in Bus2IP_Reset => Bus2IP_Reset, -- in Bus2IP_WrCE => Bus2IP_WrCE(SWRESET), -- in Bus2IP_Data => Bus2IP_Data, -- in Bus2IP_BE => Bus2IP_BE, -- in -- Final Device Reset Output Reset2IP_Reset => reset2ip_reset_int, -- out -- Status Reply Outputs to the Bus Reset2Bus_WrAck => rst_ip2bus_wrack, -- out Reset2Bus_Error => rst_ip2bus_error, -- out Reset2Bus_ToutSup => open -- out ); ------------------------------------------------------------------------------- -- INTERRUPT_CONTROL_I : INSTANTIATE INTERRUPT CONTROLLER ------------------------------------------------------------------------------- bus2ip_intr_rdce <= "0000000" & Bus2IP_RdCE(7) & Bus2IP_RdCE(8) & '0' & Bus2IP_RdCE(10)& "00000"; bus2ip_intr_wrce <= "0000000" & Bus2IP_WrCE(7) & Bus2IP_WrCE(8) & '0' & Bus2IP_WrCE(10)& "00000"; ------------------------------------------------------------------------------ intr_controller_rd_ce_or_reduce <= or_reduce(Bus2IP_RdCE(0 to 6)) or Bus2IP_RdCE(9) or or_reduce(Bus2IP_RdCE(11 to 15)); ------------------------------------------------------------------------------ I_READ_ACK_INTR_HOLES: process(Bus2IP_Clk) is begin if (Bus2IP_Clk'event and Bus2IP_Clk = '1') then if (reset2ip_reset_int = RESET_ACTIVE) then ip2Bus_RdAck_intr_reg_hole <= '0'; ip2Bus_RdAck_intr_reg_hole_d1 <= '0'; else ip2Bus_RdAck_intr_reg_hole_d1 <= intr_controller_rd_ce_or_reduce; ip2Bus_RdAck_intr_reg_hole <= intr_controller_rd_ce_or_reduce and (not ip2Bus_RdAck_intr_reg_hole_d1); end if; end if; end process I_READ_ACK_INTR_HOLES; ------------------------------------------------------------------------------ intr_controller_wr_ce_or_reduce <= or_reduce(Bus2IP_WrCE(0 to 6)) or Bus2IP_WrCE(9) or or_reduce(Bus2IP_WrCE(11 to 15)); ------------------------------------------------------------------------------ I_WRITE_ACK_INTR_HOLES: process(Bus2IP_Clk) is ----- begin ----- if (Bus2IP_Clk'event and Bus2IP_Clk = '1') then if (reset2ip_reset_int = RESET_ACTIVE) then ip2Bus_WrAck_intr_reg_hole <= '0'; ip2Bus_WrAck_intr_reg_hole_d1 <= '0'; else ip2Bus_WrAck_intr_reg_hole_d1 <= intr_controller_wr_ce_or_reduce; ip2Bus_WrAck_intr_reg_hole <= intr_controller_wr_ce_or_reduce and (not ip2Bus_WrAck_intr_reg_hole_d1); end if; end if; end process I_WRITE_ACK_INTR_HOLES; ------------------------------------------------------------------------------ INTERRUPT_CONTROL_I: entity interrupt_control_v3_1_4.interrupt_control generic map ( C_NUM_CE => 16, C_NUM_IPIF_IRPT_SRC => 1, -- Set to 1 to avoid null array C_IP_INTR_MODE_ARRAY => C_IP_INTR_MODE_ARRAY, -- Specifies device Priority Encoder function C_INCLUDE_DEV_PENCODER => false, -- Specifies device ISC hierarchy C_INCLUDE_DEV_ISC => false, C_IPIF_DWIDTH => C_S_AXI_DATA_WIDTH ) port map ( Bus2IP_Clk => Bus2IP_Clk, -- in Bus2IP_Reset => reset2ip_reset_int, -- in Bus2IP_Data => bus2IP_Data_for_interrupt_core, -- in vec Bus2IP_BE => Bus2IP_BE, -- in vec Interrupt_RdCE => bus2ip_intr_rdce, -- in vec Interrupt_WrCE => bus2ip_intr_wrce, -- in vec IPIF_Reg_Interrupts => "00", -- Tie off the unused reg intrs IPIF_Lvl_Interrupts => "0", -- Tie off the dummy lvl intr IP2Bus_IntrEvent => ip2Bus_IntrEvent_int, -- in Intr2Bus_DevIntr => IP2INTC_Irpt, -- out Intr2Bus_DBus => intr_ip2bus_data, -- out vec Intr2Bus_WrAck => intr_ip2bus_wrack, -- out Intr2Bus_RdAck => intr_ip2bus_rdack, -- out Intr2Bus_Error => intr_ip2bus_error, -- out Intr2Bus_Retry => open, Intr2Bus_ToutSup => open ); -------------------------------------------------------------------------------- end imp; --------------------------------------------------------------------------------
library IEEE; use IEEE.std_logic_1164.all; entity bcd_2_adder is port ( Carry_in : in std_logic; Carry_out : out std_logic; Adig0: in STD_LOGIC_VECTOR (3 downto 0); Adig1: in STD_LOGIC_VECTOR (3 downto 0); Bdig0: in STD_LOGIC_VECTOR (3 downto 0); Bdig1: in STD_LOGIC_VECTOR (3 downto 0); Sdig0: out STD_LOGIC_VECTOR (3 downto 0); Sdig1: out STD_LOGIC_VECTOR (3 downto 0) ); end bcd_2_adder; architecture bcd_2_adder_arch of bcd_2_adder is COMPONENT bcd_1_adder port ( A: in STD_LOGIC_VECTOR (3 downto 0); B: in STD_LOGIC_VECTOR (3 downto 0); C_IN: in STD_LOGIC; SUM: out STD_LOGIC_VECTOR (3 downto 0); C_OUT: out STD_LOGIC ); end component; signal C_out1 : std_logic := '0'; BEGIN u1: bcd_1_adder PORT MAP(Adig0, Bdig0, Carry_in, Sdig0, C_out1); u2: bcd_1_adder PORT MAP(Adig1, Bdig1, C_out1, Sdig1, Carry_out); end bcd_2_adder_arch;
library IEEE; use IEEE.std_logic_1164.all; entity bcd_2_adder is port ( Carry_in : in std_logic; Carry_out : out std_logic; Adig0: in STD_LOGIC_VECTOR (3 downto 0); Adig1: in STD_LOGIC_VECTOR (3 downto 0); Bdig0: in STD_LOGIC_VECTOR (3 downto 0); Bdig1: in STD_LOGIC_VECTOR (3 downto 0); Sdig0: out STD_LOGIC_VECTOR (3 downto 0); Sdig1: out STD_LOGIC_VECTOR (3 downto 0) ); end bcd_2_adder; architecture bcd_2_adder_arch of bcd_2_adder is COMPONENT bcd_1_adder port ( A: in STD_LOGIC_VECTOR (3 downto 0); B: in STD_LOGIC_VECTOR (3 downto 0); C_IN: in STD_LOGIC; SUM: out STD_LOGIC_VECTOR (3 downto 0); C_OUT: out STD_LOGIC ); end component; signal C_out1 : std_logic := '0'; BEGIN u1: bcd_1_adder PORT MAP(Adig0, Bdig0, Carry_in, Sdig0, C_out1); u2: bcd_1_adder PORT MAP(Adig1, Bdig1, C_out1, Sdig1, Carry_out); end bcd_2_adder_arch;
-- ------------------------------------------------------------- -- -- File Name: hdlsrc/ifft_16_bit/TWDLMULT_SDNF1_3_block.vhd -- Created: 2017-03-28 01:00:37 -- -- Generated by MATLAB 9.1 and HDL Coder 3.9 -- -- ------------------------------------------------------------- -- ------------------------------------------------------------- -- -- Module: TWDLMULT_SDNF1_3_block -- Source Path: ifft_16_bit/IFFT HDL Optimized/TWDLMULT_SDNF1_3 -- Hierarchy Level: 2 -- -- ------------------------------------------------------------- LIBRARY IEEE; USE IEEE.std_logic_1164.ALL; USE IEEE.numeric_std.ALL; ENTITY TWDLMULT_SDNF1_3_block IS PORT( clk : IN std_logic; reset : IN std_logic; enb : IN std_logic; dout_5_re : IN std_logic_vector(16 DOWNTO 0); -- sfix17 dout_5_im : IN std_logic_vector(16 DOWNTO 0); -- sfix17 dout_7_re : IN std_logic_vector(16 DOWNTO 0); -- sfix17 dout_7_im : IN std_logic_vector(16 DOWNTO 0); -- sfix17 dout_2_vld : IN std_logic; twdl_3_3_re : IN std_logic_vector(16 DOWNTO 0); -- sfix17_En15 twdl_3_3_im : IN std_logic_vector(16 DOWNTO 0); -- sfix17_En15 twdl_3_4_re : IN std_logic_vector(16 DOWNTO 0); -- sfix17_En15 twdl_3_4_im : IN std_logic_vector(16 DOWNTO 0); -- sfix17_En15 twdl_3_4_vld : IN std_logic; softReset : IN std_logic; twdlXdin_3_re : OUT std_logic_vector(16 DOWNTO 0); -- sfix17 twdlXdin_3_im : OUT std_logic_vector(16 DOWNTO 0); -- sfix17 twdlXdin_4_re : OUT std_logic_vector(16 DOWNTO 0); -- sfix17 twdlXdin_4_im : OUT std_logic_vector(16 DOWNTO 0); -- sfix17 twdlXdin_3_vld : OUT std_logic ); END TWDLMULT_SDNF1_3_block; ARCHITECTURE rtl OF TWDLMULT_SDNF1_3_block IS -- Component Declarations COMPONENT Complex3Multiply_block PORT( clk : IN std_logic; reset : IN std_logic; enb : IN std_logic; din1_re_dly3 : IN std_logic_vector(16 DOWNTO 0); -- sfix17 din1_im_dly3 : IN std_logic_vector(16 DOWNTO 0); -- sfix17 din1_vld_dly3 : IN std_logic; twdl_3_3_re : IN std_logic_vector(16 DOWNTO 0); -- sfix17_En15 twdl_3_3_im : IN std_logic_vector(16 DOWNTO 0); -- sfix17_En15 softReset : IN std_logic; twdlXdin_3_re : OUT std_logic_vector(16 DOWNTO 0); -- sfix17 twdlXdin_3_im : OUT std_logic_vector(16 DOWNTO 0); -- sfix17 twdlXdin1_vld : OUT std_logic ); END COMPONENT; COMPONENT Complex3Multiply_block1 PORT( clk : IN std_logic; reset : IN std_logic; enb : IN std_logic; din2_re_dly3 : IN std_logic_vector(16 DOWNTO 0); -- sfix17 din2_im_dly3 : IN std_logic_vector(16 DOWNTO 0); -- sfix17 di2_vld_dly3 : IN std_logic; twdl_3_4_re : IN std_logic_vector(16 DOWNTO 0); -- sfix17_En15 twdl_3_4_im : IN std_logic_vector(16 DOWNTO 0); -- sfix17_En15 softReset : IN std_logic; twdlXdin_4_re : OUT std_logic_vector(16 DOWNTO 0); -- sfix17 twdlXdin_4_im : OUT std_logic_vector(16 DOWNTO 0); -- sfix17 twdlXdin2_vld : OUT std_logic ); END COMPONENT; -- Component Configuration Statements FOR ALL : Complex3Multiply_block USE ENTITY work.Complex3Multiply_block(rtl); FOR ALL : Complex3Multiply_block1 USE ENTITY work.Complex3Multiply_block1(rtl); -- Signals SIGNAL dout_5_re_signed : signed(16 DOWNTO 0); -- sfix17 SIGNAL din1_re_dly1 : signed(16 DOWNTO 0); -- sfix17 SIGNAL din1_re_dly2 : signed(16 DOWNTO 0); -- sfix17 SIGNAL dout_5_im_signed : signed(16 DOWNTO 0); -- sfix17 SIGNAL din1_im_dly1 : signed(16 DOWNTO 0); -- sfix17 SIGNAL din1_im_dly2 : signed(16 DOWNTO 0); -- sfix17 SIGNAL din1_re_dly3 : signed(16 DOWNTO 0); -- sfix17 SIGNAL din1_im_dly3 : signed(16 DOWNTO 0); -- sfix17 SIGNAL din1_vld_dly1 : std_logic; SIGNAL din1_vld_dly2 : std_logic; SIGNAL din1_vld_dly3 : std_logic; SIGNAL twdlXdin_3_re_tmp : std_logic_vector(16 DOWNTO 0); -- ufix17 SIGNAL twdlXdin_3_im_tmp : std_logic_vector(16 DOWNTO 0); -- ufix17 SIGNAL twdlXdin1_vld : std_logic; SIGNAL dout_7_re_signed : signed(16 DOWNTO 0); -- sfix17 SIGNAL din2_re_dly1 : signed(16 DOWNTO 0); -- sfix17 SIGNAL din2_re_dly2 : signed(16 DOWNTO 0); -- sfix17 SIGNAL dout_7_im_signed : signed(16 DOWNTO 0); -- sfix17 SIGNAL din2_im_dly1 : signed(16 DOWNTO 0); -- sfix17 SIGNAL din2_im_dly2 : signed(16 DOWNTO 0); -- sfix17 SIGNAL din2_re_dly3 : signed(16 DOWNTO 0); -- sfix17 SIGNAL din2_im_dly3 : signed(16 DOWNTO 0); -- sfix17 SIGNAL di2_vld_dly1 : std_logic; SIGNAL di2_vld_dly2 : std_logic; SIGNAL di2_vld_dly3 : std_logic; SIGNAL twdlXdin_4_re_tmp : std_logic_vector(16 DOWNTO 0); -- ufix17 SIGNAL twdlXdin_4_im_tmp : std_logic_vector(16 DOWNTO 0); -- ufix17 BEGIN u_MUL3_1 : Complex3Multiply_block PORT MAP( clk => clk, reset => reset, enb => enb, din1_re_dly3 => std_logic_vector(din1_re_dly3), -- sfix17 din1_im_dly3 => std_logic_vector(din1_im_dly3), -- sfix17 din1_vld_dly3 => din1_vld_dly3, twdl_3_3_re => twdl_3_3_re, -- sfix17_En15 twdl_3_3_im => twdl_3_3_im, -- sfix17_En15 softReset => softReset, twdlXdin_3_re => twdlXdin_3_re_tmp, -- sfix17 twdlXdin_3_im => twdlXdin_3_im_tmp, -- sfix17 twdlXdin1_vld => twdlXdin1_vld ); u_MUL3_2 : Complex3Multiply_block1 PORT MAP( clk => clk, reset => reset, enb => enb, din2_re_dly3 => std_logic_vector(din2_re_dly3), -- sfix17 din2_im_dly3 => std_logic_vector(din2_im_dly3), -- sfix17 di2_vld_dly3 => di2_vld_dly3, twdl_3_4_re => twdl_3_4_re, -- sfix17_En15 twdl_3_4_im => twdl_3_4_im, -- sfix17_En15 softReset => softReset, twdlXdin_4_re => twdlXdin_4_re_tmp, -- sfix17 twdlXdin_4_im => twdlXdin_4_im_tmp, -- sfix17 twdlXdin2_vld => twdlXdin_3_vld ); dout_5_re_signed <= signed(dout_5_re); intdelay_process : PROCESS (clk, reset) BEGIN IF reset = '1' THEN din1_re_dly1 <= to_signed(16#00000#, 17); ELSIF clk'EVENT AND clk = '1' THEN IF enb = '1' THEN din1_re_dly1 <= dout_5_re_signed; END IF; END IF; END PROCESS intdelay_process; intdelay_1_process : PROCESS (clk, reset) BEGIN IF reset = '1' THEN din1_re_dly2 <= to_signed(16#00000#, 17); ELSIF clk'EVENT AND clk = '1' THEN IF enb = '1' THEN din1_re_dly2 <= din1_re_dly1; END IF; END IF; END PROCESS intdelay_1_process; dout_5_im_signed <= signed(dout_5_im); intdelay_2_process : PROCESS (clk, reset) BEGIN IF reset = '1' THEN din1_im_dly1 <= to_signed(16#00000#, 17); ELSIF clk'EVENT AND clk = '1' THEN IF enb = '1' THEN din1_im_dly1 <= dout_5_im_signed; END IF; END IF; END PROCESS intdelay_2_process; intdelay_3_process : PROCESS (clk, reset) BEGIN IF reset = '1' THEN din1_im_dly2 <= to_signed(16#00000#, 17); ELSIF clk'EVENT AND clk = '1' THEN IF enb = '1' THEN din1_im_dly2 <= din1_im_dly1; END IF; END IF; END PROCESS intdelay_3_process; intdelay_4_process : PROCESS (clk, reset) BEGIN IF reset = '1' THEN din1_re_dly3 <= to_signed(16#00000#, 17); ELSIF clk'EVENT AND clk = '1' THEN IF enb = '1' THEN din1_re_dly3 <= din1_re_dly2; END IF; END IF; END PROCESS intdelay_4_process; intdelay_5_process : PROCESS (clk, reset) BEGIN IF reset = '1' THEN din1_im_dly3 <= to_signed(16#00000#, 17); ELSIF clk'EVENT AND clk = '1' THEN IF enb = '1' THEN din1_im_dly3 <= din1_im_dly2; END IF; END IF; END PROCESS intdelay_5_process; intdelay_6_process : PROCESS (clk, reset) BEGIN IF reset = '1' THEN din1_vld_dly1 <= '0'; ELSIF clk'EVENT AND clk = '1' THEN IF enb = '1' THEN din1_vld_dly1 <= dout_2_vld; END IF; END IF; END PROCESS intdelay_6_process; intdelay_7_process : PROCESS (clk, reset) BEGIN IF reset = '1' THEN din1_vld_dly2 <= '0'; ELSIF clk'EVENT AND clk = '1' THEN IF enb = '1' THEN din1_vld_dly2 <= din1_vld_dly1; END IF; END IF; END PROCESS intdelay_7_process; intdelay_8_process : PROCESS (clk, reset) BEGIN IF reset = '1' THEN din1_vld_dly3 <= '0'; ELSIF clk'EVENT AND clk = '1' THEN IF enb = '1' THEN din1_vld_dly3 <= din1_vld_dly2; END IF; END IF; END PROCESS intdelay_8_process; dout_7_re_signed <= signed(dout_7_re); intdelay_9_process : PROCESS (clk, reset) BEGIN IF reset = '1' THEN din2_re_dly1 <= to_signed(16#00000#, 17); ELSIF clk'EVENT AND clk = '1' THEN IF enb = '1' THEN din2_re_dly1 <= dout_7_re_signed; END IF; END IF; END PROCESS intdelay_9_process; intdelay_10_process : PROCESS (clk, reset) BEGIN IF reset = '1' THEN din2_re_dly2 <= to_signed(16#00000#, 17); ELSIF clk'EVENT AND clk = '1' THEN IF enb = '1' THEN din2_re_dly2 <= din2_re_dly1; END IF; END IF; END PROCESS intdelay_10_process; dout_7_im_signed <= signed(dout_7_im); intdelay_11_process : PROCESS (clk, reset) BEGIN IF reset = '1' THEN din2_im_dly1 <= to_signed(16#00000#, 17); ELSIF clk'EVENT AND clk = '1' THEN IF enb = '1' THEN din2_im_dly1 <= dout_7_im_signed; END IF; END IF; END PROCESS intdelay_11_process; intdelay_12_process : PROCESS (clk, reset) BEGIN IF reset = '1' THEN din2_im_dly2 <= to_signed(16#00000#, 17); ELSIF clk'EVENT AND clk = '1' THEN IF enb = '1' THEN din2_im_dly2 <= din2_im_dly1; END IF; END IF; END PROCESS intdelay_12_process; intdelay_13_process : PROCESS (clk, reset) BEGIN IF reset = '1' THEN din2_re_dly3 <= to_signed(16#00000#, 17); ELSIF clk'EVENT AND clk = '1' THEN IF enb = '1' THEN din2_re_dly3 <= din2_re_dly2; END IF; END IF; END PROCESS intdelay_13_process; intdelay_14_process : PROCESS (clk, reset) BEGIN IF reset = '1' THEN din2_im_dly3 <= to_signed(16#00000#, 17); ELSIF clk'EVENT AND clk = '1' THEN IF enb = '1' THEN din2_im_dly3 <= din2_im_dly2; END IF; END IF; END PROCESS intdelay_14_process; intdelay_15_process : PROCESS (clk, reset) BEGIN IF reset = '1' THEN di2_vld_dly1 <= '0'; ELSIF clk'EVENT AND clk = '1' THEN IF enb = '1' THEN di2_vld_dly1 <= dout_2_vld; END IF; END IF; END PROCESS intdelay_15_process; intdelay_16_process : PROCESS (clk, reset) BEGIN IF reset = '1' THEN di2_vld_dly2 <= '0'; ELSIF clk'EVENT AND clk = '1' THEN IF enb = '1' THEN di2_vld_dly2 <= di2_vld_dly1; END IF; END IF; END PROCESS intdelay_16_process; intdelay_17_process : PROCESS (clk, reset) BEGIN IF reset = '1' THEN di2_vld_dly3 <= '0'; ELSIF clk'EVENT AND clk = '1' THEN IF enb = '1' THEN di2_vld_dly3 <= di2_vld_dly2; END IF; END IF; END PROCESS intdelay_17_process; twdlXdin_3_re <= twdlXdin_3_re_tmp; twdlXdin_3_im <= twdlXdin_3_im_tmp; twdlXdin_4_re <= twdlXdin_4_re_tmp; twdlXdin_4_im <= twdlXdin_4_im_tmp; END rtl;
-------------------------------------------------------------------------- -- SOME VHDL DATA TYPES TO FACILITATE SYNTHESIS -- Developed on Nov 1, 1991 by : -- Indraneel Ghosh, -- CADLAB, -- Univ. of Calif. , Irvine. -------------------------------------------------------------------------- use work.TYPES.all; package SYNTHESIS_TYPES is subtype clock is MVL7; type Memory is array (integer range <>) of MVL7_vector(3 downto 0); type Memory_12_bit is array (integer range <>) of MVL7_vector(11 downto 0); end SYNTHESIS_TYPES;
-------------------------------------------------------------------------- -- SOME VHDL DATA TYPES TO FACILITATE SYNTHESIS -- Developed on Nov 1, 1991 by : -- Indraneel Ghosh, -- CADLAB, -- Univ. of Calif. , Irvine. -------------------------------------------------------------------------- use work.TYPES.all; package SYNTHESIS_TYPES is subtype clock is MVL7; type Memory is array (integer range <>) of MVL7_vector(3 downto 0); type Memory_12_bit is array (integer range <>) of MVL7_vector(11 downto 0); end SYNTHESIS_TYPES;
-------------------------------------------------------------------------- -- SOME VHDL DATA TYPES TO FACILITATE SYNTHESIS -- Developed on Nov 1, 1991 by : -- Indraneel Ghosh, -- CADLAB, -- Univ. of Calif. , Irvine. -------------------------------------------------------------------------- use work.TYPES.all; package SYNTHESIS_TYPES is subtype clock is MVL7; type Memory is array (integer range <>) of MVL7_vector(3 downto 0); type Memory_12_bit is array (integer range <>) of MVL7_vector(11 downto 0); end SYNTHESIS_TYPES;
-------------------------------------------------------------------------- -- SOME VHDL DATA TYPES TO FACILITATE SYNTHESIS -- Developed on Nov 1, 1991 by : -- Indraneel Ghosh, -- CADLAB, -- Univ. of Calif. , Irvine. -------------------------------------------------------------------------- use work.TYPES.all; package SYNTHESIS_TYPES is subtype clock is MVL7; type Memory is array (integer range <>) of MVL7_vector(3 downto 0); type Memory_12_bit is array (integer range <>) of MVL7_vector(11 downto 0); end SYNTHESIS_TYPES;
-------------------------------------------------------------------------- -- SOME VHDL DATA TYPES TO FACILITATE SYNTHESIS -- Developed on Nov 1, 1991 by : -- Indraneel Ghosh, -- CADLAB, -- Univ. of Calif. , Irvine. -------------------------------------------------------------------------- use work.TYPES.all; package SYNTHESIS_TYPES is subtype clock is MVL7; type Memory is array (integer range <>) of MVL7_vector(3 downto 0); type Memory_12_bit is array (integer range <>) of MVL7_vector(11 downto 0); end SYNTHESIS_TYPES;
-------------------------------------------------------------------------- -- SOME VHDL DATA TYPES TO FACILITATE SYNTHESIS -- Developed on Nov 1, 1991 by : -- Indraneel Ghosh, -- CADLAB, -- Univ. of Calif. , Irvine. -------------------------------------------------------------------------- use work.TYPES.all; package SYNTHESIS_TYPES is subtype clock is MVL7; type Memory is array (integer range <>) of MVL7_vector(3 downto 0); type Memory_12_bit is array (integer range <>) of MVL7_vector(11 downto 0); end SYNTHESIS_TYPES;
library accum; use accum.OneHotAccum.all; library ieee; use ieee.STD_LOGIC_UNSIGNED.all; use ieee.std_logic_1164.all; -- Add your library and packages declaration here ... entity mram_tb is end mram_tb; architecture TB_ARCHITECTURE of mram_tb is -- Component declaration of the tested unit component mram port( CLK : in STD_LOGIC; RW : in STD_LOGIC; ADDR : in mem_addr; DIN : in operand; DOUT : out operand ); end component; -- Stimulus signals - signals mapped to the input and inout ports of tested entity signal CLK : STD_LOGIC; signal RW : STD_LOGIC; signal ADDR : mem_addr; signal DIN : operand; -- Observed signals - signals mapped to the output ports of tested entity signal DOUT : operand; -- Add your code here ... constant CLK_period: time := 10 ns; begin -- Unit Under Test port map UUT : mram port map ( CLK => CLK, RW => RW, ADDR => ADDR, DIN => DIN, DOUT => DOUT ); CLK_Process: process begin CLK <= '0'; wait for CLK_Period/2; CLK <= '1'; wait for CLK_Period/2; end process; main: process begin wait for 1 * CLK_PERIOD; addr <= "00010"; din <= "0000000000000100"; wait for 1 * CLK_PERIOD; addr <= "00001"; rw <= '1'; wait for 1 * CLK_PERIOD; addr <= "00000"; din <= "0000000000010000"; rw <= '0'; wait for 1 * CLK_PERIOD; wait for 100 * CLK_PERIOD; wait; end process; end TB_ARCHITECTURE; configuration TESTBENCH_FOR_mram of mram_tb is for TB_ARCHITECTURE for UUT : mram use entity work.mram(beh); end for; end for; end TESTBENCH_FOR_mram;
-- Copyright (c) 2013 Antonio de la Piedra -- This program is free software: you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation, either version 3 of the License, or -- (at your option) any later version. -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- You should have received a copy of the GNU General Public License -- along with this program. If not, see <http://www.gnu.org/licenses/>. library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- This is an iterative implementation of the NOEKEON block -- cipher relying on the direct mode of the cipher. This means that -- key schedule is not performed. entity noekeon is port(clk : in std_logic; rst : in std_logic; enc : in std_logic; -- (enc, 0) / (dec, 1) a_0_in : in std_logic_vector(31 downto 0); a_1_in : in std_logic_vector(31 downto 0); a_2_in : in std_logic_vector(31 downto 0); a_3_in : in std_logic_vector(31 downto 0); k_0_in : in std_logic_vector(31 downto 0); k_1_in : in std_logic_vector(31 downto 0); k_2_in : in std_logic_vector(31 downto 0); k_3_in : in std_logic_vector(31 downto 0); a_0_out : out std_logic_vector(31 downto 0); a_1_out : out std_logic_vector(31 downto 0); a_2_out : out std_logic_vector(31 downto 0); a_3_out : out std_logic_vector(31 downto 0)); end noekeon; architecture Behavioral of noekeon is component round_f is port(clk : in std_logic; rst : in std_logic; enc : in std_logic; rc_in : in std_logic_vector(31 downto 0); a_0_in : in std_logic_vector(31 downto 0); a_1_in : in std_logic_vector(31 downto 0); a_2_in : in std_logic_vector(31 downto 0); a_3_in : in std_logic_vector(31 downto 0); k_0_in : in std_logic_vector(31 downto 0); k_1_in : in std_logic_vector(31 downto 0); k_2_in : in std_logic_vector(31 downto 0); k_3_in : in std_logic_vector(31 downto 0); a_0_out : out std_logic_vector(31 downto 0); a_1_out : out std_logic_vector(31 downto 0); a_2_out : out std_logic_vector(31 downto 0); a_3_out : out std_logic_vector(31 downto 0)); end component; component rc_gen is port(clk : in std_logic; rst : in std_logic; enc : in std_logic; -- (enc, 0) / (dec, 1) rc_out : out std_logic_vector(7 downto 0)); end component; component output_trans is port(clk : in std_logic; enc : in std_logic; -- (enc, 0) / (dec, 1) rc_in : in std_logic_vector(31 downto 0); a_0_in : in std_logic_vector(31 downto 0); a_1_in : in std_logic_vector(31 downto 0); a_2_in : in std_logic_vector(31 downto 0); a_3_in : in std_logic_vector(31 downto 0); k_0_in : in std_logic_vector(31 downto 0); k_1_in : in std_logic_vector(31 downto 0); k_2_in : in std_logic_vector(31 downto 0); k_3_in : in std_logic_vector(31 downto 0); a_0_out : out std_logic_vector(31 downto 0); a_1_out : out std_logic_vector(31 downto 0); a_2_out : out std_logic_vector(31 downto 0); a_3_out : out std_logic_vector(31 downto 0)); end component; component theta is port(a_0_in : in std_logic_vector(31 downto 0); a_1_in : in std_logic_vector(31 downto 0); a_2_in : in std_logic_vector(31 downto 0); a_3_in : in std_logic_vector(31 downto 0); k_0_in : in std_logic_vector(31 downto 0); k_1_in : in std_logic_vector(31 downto 0); k_2_in : in std_logic_vector(31 downto 0); k_3_in : in std_logic_vector(31 downto 0); a_0_out : out std_logic_vector(31 downto 0); a_1_out : out std_logic_vector(31 downto 0); a_2_out : out std_logic_vector(31 downto 0); a_3_out : out std_logic_vector(31 downto 0)); end component; component rc_shr is port(clk : in std_logic; rst : in std_logic; rc_in : in std_logic_vector(407 downto 0); rc_out : out std_logic_vector(7 downto 0)); end component; signal rc_s : std_logic_vector(7 downto 0); signal rc_ext_s : std_logic_vector(31 downto 0); signal a_0_in_s : std_logic_vector(31 downto 0); signal a_1_in_s : std_logic_vector(31 downto 0); signal a_2_in_s : std_logic_vector(31 downto 0); signal a_3_in_s : std_logic_vector(31 downto 0); signal out_t_a_0_in_s : std_logic_vector(31 downto 0); signal out_t_a_1_in_s : std_logic_vector(31 downto 0); signal out_t_a_2_in_s : std_logic_vector(31 downto 0); signal out_t_a_3_in_s : std_logic_vector(31 downto 0); signal a_0_out_s : std_logic_vector(31 downto 0); signal a_1_out_s : std_logic_vector(31 downto 0); signal a_2_out_s : std_logic_vector(31 downto 0); signal a_3_out_s : std_logic_vector(31 downto 0); signal k_0_d_s : std_logic_vector(31 downto 0); signal k_1_d_s : std_logic_vector(31 downto 0); signal k_2_d_s : std_logic_vector(31 downto 0); signal k_3_d_s : std_logic_vector(31 downto 0); signal k_0_mux_s : std_logic_vector(31 downto 0); signal k_1_mux_s : std_logic_vector(31 downto 0); signal k_2_mux_s : std_logic_vector(31 downto 0); signal k_3_mux_s : std_logic_vector(31 downto 0); signal rc_in_s : std_logic_vector(407 downto 0); begin -- rc_in_s <= X"80 1b 36 6c d8 ab 4d 9a 2f 5e bc 63 c6 97 35 6a d4"; -- rc_in_s <= X"80801b1b36366c6cd8d8abab4d4d9a9a2f2f5e5ebcbc6363c6c6979735356a6ad4d4"; rc_in_s <= X"8080801b1b1b3636366c6c6cd8d8d8ababab4d4d4d9a9a9a2f2f2f5e5e5ebcbcbc636363c6c6c69797973535356a6a6ad4d4d4"; --00000000000000000000000000000000000000000000"; --RC_GEN_0 : rc_gen port map (clk, rst, enc, rc_s); RC_SHR_0: rc_shr port map (clk, rst, rc_in_s, rc_s); rc_ext_s <= X"000000" & rc_s; ROUND_F_0 : round_f port map (clk, rst, enc, rc_ext_s, a_0_in_s, a_1_in_s, a_2_in_s, a_3_in_s, k_0_mux_s, k_1_mux_s, k_2_mux_s, k_3_mux_s, a_0_out_s, a_1_out_s, a_2_out_s, a_3_out_s); pr_noe: process(clk, rst, enc) begin if rising_edge(clk) then if rst = '1' then a_0_in_s <= a_0_in; a_1_in_s <= a_1_in; a_2_in_s <= a_2_in; a_3_in_s <= a_3_in; else a_0_in_s <= a_0_out_s; a_1_in_s <= a_1_out_s; a_2_in_s <= a_2_out_s; a_3_in_s <= a_3_out_s; end if; end if; end process; -- Key decryption as k' = theta(0, k) -- This is the key required for decryption -- in NOEKEON THETA_DECRYPT_0 : theta port map ( k_0_in, k_1_in, k_2_in, k_3_in, (others => '0'), (others => '0'), (others => '0'), (others => '0'), k_0_d_s, k_1_d_s, k_2_d_s, k_3_d_s); -- These multiplexers select the key that is used -- in each mode i.e. during decryption the key generated -- as k' = theta(0, k) (THETA_DECRYPT_0) is utilized. k_0_mux_s <= k_0_in when enc = '0' else k_0_d_s; k_1_mux_s <= k_1_in when enc = '0' else k_1_d_s; k_2_mux_s <= k_2_in when enc = '0' else k_2_d_s; k_3_mux_s <= k_3_in when enc = '0' else k_3_d_s; out_trans_pr: process(clk, rst, a_0_out_s, a_1_out_s, a_2_out_s, a_3_out_s) begin if rising_edge(clk) then out_t_a_0_in_s <= a_0_out_s; out_t_a_1_in_s <= a_1_out_s; out_t_a_2_in_s <= a_2_out_s; out_t_a_3_in_s <= a_3_out_s; end if; end process; -- This component performs the last operation -- with theta. OUT_TRANS_0 : output_trans port map (clk, enc, rc_ext_s, out_t_a_0_in_s, out_t_a_1_in_s, out_t_a_2_in_s, out_t_a_3_in_s, k_0_mux_s, k_1_mux_s, k_2_mux_s, k_3_mux_s, a_0_out, a_1_out, a_2_out, a_3_out); end Behavioral;
-- -- Counter testbench -- -- Author(s): -- * Rodrigo A. Melo -- -- Copyright (c) 2017 Authors and INTI -- Distributed under the BSD 3-Clause License -- library IEEE; use IEEE.std_logic_1164.all; library FPGALIB; use FPGALIB.Numeric.all; use FPGALIB.Simul.all; entity Counter_tb is end entity Counter_tb; architecture TestBench of Counter_tb is constant DEPTH : positive:=5; signal stop : boolean; signal clk, rst : std_logic; signal ena, last : std_logic; signal count : std_logic_vector(clog2(DEPTH)-1 downto 0); begin clock_i : Clock generic map(FREQUENCY => 2) port map(clk_o => clk, rst_o => rst, stop_i => stop); counter_i: counter generic map ( DEPTH => DEPTH ) port map ( clk_i => clk, rst_i => rst, ena_i => ena, count_o => count, last_o => last ); test_p : process begin ena <= '0'; print("* Start of Test (DEPTH=5)"); wait until rising_edge(clk) and rst = '0'; assert count="000" report "Counter value is not 0" severity failure; assert last='0' report "Wrong last value indication" severity failure; print("Ena 1"); ena <= '1'; wait until rising_edge(clk); print("Ena 2"); ena <= '1'; assert count="000" report "Counter value is not 0" severity failure; assert last='0' report "Wrong last value indication" severity failure; wait until rising_edge(clk); assert count="001" report "Counter value is not 1" severity failure; assert last='0' report "Wrong last value indication" severity failure; ena <= '0'; wait until rising_edge(clk); print("Ena 3"); ena <= '1'; wait until rising_edge(clk); assert count="010" report "Counter value is not 2" severity failure; assert last='0' report "Wrong last value indication" severity failure; ena <= '0'; wait until rising_edge(clk); print("Ena 4"); ena <= '1'; wait until rising_edge(clk); assert count="011" report "Counter value is not 3" severity failure; assert last='0' report "Wrong last value indication" severity failure; print("Ena 5"); ena <= '1'; wait until rising_edge(clk); assert count="100" report "Counter value is not 4" severity failure; assert last='1' report "Wrong last value indication" severity failure; print("Ena 6"); ena <= '1'; wait until rising_edge(clk); assert count="000" report "Counter value is not 0" severity failure; assert last='0' report "Wrong last value indication" severity failure; ena <= '0'; wait until rising_edge(clk); print("* End of Test"); stop <= TRUE; wait; end process test_p; end architecture TestBench;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc1787.vhd,v 1.2 2001-10-26 16:29:43 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- entity c09s06b00x00p04n05i01787ent_a is generic ( g0 : Boolean ; g1 : Bit ; g2 : Character ; g3 : SEVERITY_LEVEL ; g4 : Integer ; g5 : Real ; g6 : TIME ; g7 : Natural ; g8 : Positive ; g9 : String ; gA : Bit_vector ); port ( port0 : out Boolean ; port1 : out Bit ; port2 : out Character ; port3 : out SEVERITY_LEVEL ; port4 : out Integer ; port5 : out Real ; port6 : out TIME ; port7 : out Natural ; port8 : out Positive ; port9 : out String ; portA : out Bit_vector ); end c09s06b00x00p04n05i01787ent_a; architecture c09s06b00x00p04n05i01787arch_a of c09s06b00x00p04n05i01787ent_a is begin port0 <= g0 after 11 ns; port1 <= g1 after 11 ns; port2 <= g2 after 11 ns; port3 <= g3 after 11 ns; port4 <= g4 after 11 ns; port5 <= g5 after 11 ns; port6 <= g6 after 11 ns; port7 <= g7 after 11 ns; port8 <= g8 after 11 ns; port9 <= g9 after 11 ns; portA <= gA after 11 ns; end c09s06b00x00p04n05i01787arch_a; ENTITY c09s06b00x00p04n05i01787ent IS END c09s06b00x00p04n05i01787ent; ARCHITECTURE c09s06b00x00p04n05i01787arch OF c09s06b00x00p04n05i01787ent IS component MultiType generic ( g0 : Boolean ; g1 : Bit ; g2 : Character ; g3 : SEVERITY_LEVEL ; g4 : Integer ; g5 : Real ; g6 : TIME ; g7 : Natural ; g8 : Positive ; g9 : String ; gA : Bit_vector ); port ( port0 : out Boolean ; port1 : out Bit ; port2 : out Character ; port3 : out SEVERITY_LEVEL ; port4 : out Integer ; port5 : out Real ; port6 : out TIME ; port7 : out Natural ; port8 : out Positive ; port9 : out String ; portA : out Bit_vector ); end component; for u1 : MultiType use entity work.c09s06b00x00p04n05i01787ent_a(c09s06b00x00p04n05i01787arch_a); subtype reg32 is Bit_vector ( 31 downto 0 ); subtype string16 is String ( 1 to 16 ); signal signal0 : Boolean ; signal signal1 : Bit ; signal signal2 : Character ; signal signal3 : SEVERITY_LEVEL ; signal signal4 : Integer ; signal signal5 : Real ; signal signal6 : TIME ; signal signal7 : Natural ; signal signal8 : Positive ; signal signal9 : String16 ; signal signalA : Reg32 ; BEGIN u1 : MultiType generic map ( True, '0', '@', NOTE, 123456789, 987654321.5, 110 ns, 12312, 3423, "16 characters OK", B"0101_0010_1001_0101_0010_1010_0101_0100" ) port map ( signal0 , signal1 , signal2 , signal3 , signal4 , signal5 , signal6 , signal7 , signal8 , signal9 , signalA ); TESTING: PROCESS BEGIN wait on signal0,signal1,signal2,signal3,signal4,signal5,signal6,signal7,signal8; assert NOT( signal0 = True and signal1 = '0' and signal2 = '@' and signal3 = NOTE and signal4 = 123456789 and signal5 = 987654321.5 and signal6 = 110 ns and signal7 = 12312 and signal8 = 3423 and signal9 = "16 characters OK" and signalA = B"01010010100101010010101001010100") report "***PASSED TEST: c09s06b00x00p04n05i01787" severity NOTE; assert ( signal0 = True and signal1 = '0' and signal2 = '@' and signal3 = NOTE and signal4 = 123456789 and signal5 = 987654321.5 and signal6 = 110 ns and signal7 = 12312 and signal8 = 3423 and signal9 = "16 characters OK" and signalA = B"01010010100101010010101001010100") report "***FAILED TEST: c09s06b00x00p04n05i01787 - The generic map aspect, if present, should associate a single actual with each local generic in the corresponding component declaration." severity ERROR; wait; END PROCESS TESTING; END c09s06b00x00p04n05i01787arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc1787.vhd,v 1.2 2001-10-26 16:29:43 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- entity c09s06b00x00p04n05i01787ent_a is generic ( g0 : Boolean ; g1 : Bit ; g2 : Character ; g3 : SEVERITY_LEVEL ; g4 : Integer ; g5 : Real ; g6 : TIME ; g7 : Natural ; g8 : Positive ; g9 : String ; gA : Bit_vector ); port ( port0 : out Boolean ; port1 : out Bit ; port2 : out Character ; port3 : out SEVERITY_LEVEL ; port4 : out Integer ; port5 : out Real ; port6 : out TIME ; port7 : out Natural ; port8 : out Positive ; port9 : out String ; portA : out Bit_vector ); end c09s06b00x00p04n05i01787ent_a; architecture c09s06b00x00p04n05i01787arch_a of c09s06b00x00p04n05i01787ent_a is begin port0 <= g0 after 11 ns; port1 <= g1 after 11 ns; port2 <= g2 after 11 ns; port3 <= g3 after 11 ns; port4 <= g4 after 11 ns; port5 <= g5 after 11 ns; port6 <= g6 after 11 ns; port7 <= g7 after 11 ns; port8 <= g8 after 11 ns; port9 <= g9 after 11 ns; portA <= gA after 11 ns; end c09s06b00x00p04n05i01787arch_a; ENTITY c09s06b00x00p04n05i01787ent IS END c09s06b00x00p04n05i01787ent; ARCHITECTURE c09s06b00x00p04n05i01787arch OF c09s06b00x00p04n05i01787ent IS component MultiType generic ( g0 : Boolean ; g1 : Bit ; g2 : Character ; g3 : SEVERITY_LEVEL ; g4 : Integer ; g5 : Real ; g6 : TIME ; g7 : Natural ; g8 : Positive ; g9 : String ; gA : Bit_vector ); port ( port0 : out Boolean ; port1 : out Bit ; port2 : out Character ; port3 : out SEVERITY_LEVEL ; port4 : out Integer ; port5 : out Real ; port6 : out TIME ; port7 : out Natural ; port8 : out Positive ; port9 : out String ; portA : out Bit_vector ); end component; for u1 : MultiType use entity work.c09s06b00x00p04n05i01787ent_a(c09s06b00x00p04n05i01787arch_a); subtype reg32 is Bit_vector ( 31 downto 0 ); subtype string16 is String ( 1 to 16 ); signal signal0 : Boolean ; signal signal1 : Bit ; signal signal2 : Character ; signal signal3 : SEVERITY_LEVEL ; signal signal4 : Integer ; signal signal5 : Real ; signal signal6 : TIME ; signal signal7 : Natural ; signal signal8 : Positive ; signal signal9 : String16 ; signal signalA : Reg32 ; BEGIN u1 : MultiType generic map ( True, '0', '@', NOTE, 123456789, 987654321.5, 110 ns, 12312, 3423, "16 characters OK", B"0101_0010_1001_0101_0010_1010_0101_0100" ) port map ( signal0 , signal1 , signal2 , signal3 , signal4 , signal5 , signal6 , signal7 , signal8 , signal9 , signalA ); TESTING: PROCESS BEGIN wait on signal0,signal1,signal2,signal3,signal4,signal5,signal6,signal7,signal8; assert NOT( signal0 = True and signal1 = '0' and signal2 = '@' and signal3 = NOTE and signal4 = 123456789 and signal5 = 987654321.5 and signal6 = 110 ns and signal7 = 12312 and signal8 = 3423 and signal9 = "16 characters OK" and signalA = B"01010010100101010010101001010100") report "***PASSED TEST: c09s06b00x00p04n05i01787" severity NOTE; assert ( signal0 = True and signal1 = '0' and signal2 = '@' and signal3 = NOTE and signal4 = 123456789 and signal5 = 987654321.5 and signal6 = 110 ns and signal7 = 12312 and signal8 = 3423 and signal9 = "16 characters OK" and signalA = B"01010010100101010010101001010100") report "***FAILED TEST: c09s06b00x00p04n05i01787 - The generic map aspect, if present, should associate a single actual with each local generic in the corresponding component declaration." severity ERROR; wait; END PROCESS TESTING; END c09s06b00x00p04n05i01787arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc1787.vhd,v 1.2 2001-10-26 16:29:43 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- entity c09s06b00x00p04n05i01787ent_a is generic ( g0 : Boolean ; g1 : Bit ; g2 : Character ; g3 : SEVERITY_LEVEL ; g4 : Integer ; g5 : Real ; g6 : TIME ; g7 : Natural ; g8 : Positive ; g9 : String ; gA : Bit_vector ); port ( port0 : out Boolean ; port1 : out Bit ; port2 : out Character ; port3 : out SEVERITY_LEVEL ; port4 : out Integer ; port5 : out Real ; port6 : out TIME ; port7 : out Natural ; port8 : out Positive ; port9 : out String ; portA : out Bit_vector ); end c09s06b00x00p04n05i01787ent_a; architecture c09s06b00x00p04n05i01787arch_a of c09s06b00x00p04n05i01787ent_a is begin port0 <= g0 after 11 ns; port1 <= g1 after 11 ns; port2 <= g2 after 11 ns; port3 <= g3 after 11 ns; port4 <= g4 after 11 ns; port5 <= g5 after 11 ns; port6 <= g6 after 11 ns; port7 <= g7 after 11 ns; port8 <= g8 after 11 ns; port9 <= g9 after 11 ns; portA <= gA after 11 ns; end c09s06b00x00p04n05i01787arch_a; ENTITY c09s06b00x00p04n05i01787ent IS END c09s06b00x00p04n05i01787ent; ARCHITECTURE c09s06b00x00p04n05i01787arch OF c09s06b00x00p04n05i01787ent IS component MultiType generic ( g0 : Boolean ; g1 : Bit ; g2 : Character ; g3 : SEVERITY_LEVEL ; g4 : Integer ; g5 : Real ; g6 : TIME ; g7 : Natural ; g8 : Positive ; g9 : String ; gA : Bit_vector ); port ( port0 : out Boolean ; port1 : out Bit ; port2 : out Character ; port3 : out SEVERITY_LEVEL ; port4 : out Integer ; port5 : out Real ; port6 : out TIME ; port7 : out Natural ; port8 : out Positive ; port9 : out String ; portA : out Bit_vector ); end component; for u1 : MultiType use entity work.c09s06b00x00p04n05i01787ent_a(c09s06b00x00p04n05i01787arch_a); subtype reg32 is Bit_vector ( 31 downto 0 ); subtype string16 is String ( 1 to 16 ); signal signal0 : Boolean ; signal signal1 : Bit ; signal signal2 : Character ; signal signal3 : SEVERITY_LEVEL ; signal signal4 : Integer ; signal signal5 : Real ; signal signal6 : TIME ; signal signal7 : Natural ; signal signal8 : Positive ; signal signal9 : String16 ; signal signalA : Reg32 ; BEGIN u1 : MultiType generic map ( True, '0', '@', NOTE, 123456789, 987654321.5, 110 ns, 12312, 3423, "16 characters OK", B"0101_0010_1001_0101_0010_1010_0101_0100" ) port map ( signal0 , signal1 , signal2 , signal3 , signal4 , signal5 , signal6 , signal7 , signal8 , signal9 , signalA ); TESTING: PROCESS BEGIN wait on signal0,signal1,signal2,signal3,signal4,signal5,signal6,signal7,signal8; assert NOT( signal0 = True and signal1 = '0' and signal2 = '@' and signal3 = NOTE and signal4 = 123456789 and signal5 = 987654321.5 and signal6 = 110 ns and signal7 = 12312 and signal8 = 3423 and signal9 = "16 characters OK" and signalA = B"01010010100101010010101001010100") report "***PASSED TEST: c09s06b00x00p04n05i01787" severity NOTE; assert ( signal0 = True and signal1 = '0' and signal2 = '@' and signal3 = NOTE and signal4 = 123456789 and signal5 = 987654321.5 and signal6 = 110 ns and signal7 = 12312 and signal8 = 3423 and signal9 = "16 characters OK" and signalA = B"01010010100101010010101001010100") report "***FAILED TEST: c09s06b00x00p04n05i01787 - The generic map aspect, if present, should associate a single actual with each local generic in the corresponding component declaration." severity ERROR; wait; END PROCESS TESTING; END c09s06b00x00p04n05i01787arch;
------------------------------------------------------------------------------- -- Title : Testbench for design "ws2812" ------------------------------------------------------------------------------- -- Author : [email protected] ------------------------------------------------------------------------------- -- Created : 2014-12-13 ------------------------------------------------------------------------------- -- Copyright (c) 2014, Carl Treudler -- All Rights Reserved. -- -- The file is part for the Loa project and is released under the -- 3-clause BSD license. See the file `LICENSE` for the full license -- governing this code. ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; library work; use work.ws2812_pkg.all; use work.ws2812_cfg_pkg.all; ------------------------------------------------------------------------------- entity ws2812_tb is end ws2812_tb; ------------------------------------------------------------------------------- architecture tb of ws2812_tb is -- component ports signal ws2812_in : ws2812_in_type; signal ws2812_out : ws2812_out_type; signal ws2812_chain_out : ws2812_chain_out_type; -- clock signal Clk : std_logic := '1'; signal reset : std_logic := '1'; begin -- tb -- component instantiation DUT : ws2812 port map ( ws2812_in => ws2812_in, ws2812_out => ws2812_out, ws2812_chain_out => ws2812_chain_out, reset => reset, clk => clk); -- clock generation Clk <= not Clk after 10 ns; -- waveform generation WaveGen_Proc : process begin wait until Clk = '1'; reset <='0'; wait until Clk = '1'; -- insert signal assignments here ws2812_in.send_reset <= '0'; ws2812_in.we <= '0'; ws2812_in.d <= x"000000"; wait until Clk = '1'; ws2812_in.d <= x"aa0f55"; ws2812_in.we <= '1'; wait until Clk = '1'; ws2812_in.we <= '0'; wait for 40 us; ws2812_in.send_reset <= '1'; wait until Clk = '1'; ws2812_in.send_reset <= '0'; wait for 80 us; end process WaveGen_Proc; end tb; ------------------------------------------------------------------------------- configuration ws2812_tb_tb_cfg of ws2812_tb is for tb end for; end ws2812_tb_tb_cfg; -------------------------------------------------------------------------------
library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity MAC4 is Port ( A : in STD_LOGIC_VECTOR (3 downto 0); B : in STD_LOGIC_VECTOR (3 downto 0); Z : out STD_LOGIC_VECTOR (7 downto 0)); end MAC4; architecture MAC4_arch of MAC4 is component HA port(A,B: in STD_LOGIC; Sout, Cout: out STD_LOGIC); end component; component HAM port(X,Y,B: in STD_LOGIC; Sout, Cout: out STD_LOGIC); end component; component FA port(A,B,Cin: in STD_LOGIC; Sout, Cout: out STD_LOGIC); end component; component FAM port(X,Y,B,Cin: in STD_LOGIC; Sout, Cout: out STD_LOGIC); end component; signal S0,S1,S2,S3,S4,C1,C2,C3,C4 : STD_LOGIC_VECTOR(3 downto 0); begin S0(0) <= A(0) AND B(0); S0(1) <= A(1) AND B(0); S0(2) <= A(2) AND B(0); S0(3) <= A(3) AND B(0); HAM10: HAM port map(A(0),B(1),S0(1),S1(0),C1(0)); HAM11: HAM port map(A(1),B(1),S0(2),S1(1),C1(1)); HAM12: HAM port map(A(2),B(1),S0(3),S1(2),C1(2)); HAM13: HAM port map(A(3),B(1),'0',S1(3),C1(3)); FAM20: FAM port map(A(0),B(2),S1(1),C1(0),S2(0),C2(0)); FAM21: FAM port map(A(1),B(2),S1(2),C1(1),S2(1),C2(1)); FAM22: FAM port map(A(2),B(2),S1(3),C1(2),S2(2),C2(2)); HAM23: HAM port map(A(3),B(2),C1(3),S2(3),C2(3)); FAM30: FAM port map(A(0),B(3),S2(1),C2(0),S3(0),C3(0)); FAM31: FAM port map(A(1),B(3),S2(2),C2(1),S3(1),C3(1)); FAM32: FAM port map(A(2),B(3),S2(3),C2(2),S3(2),C3(2)); HAM33: HAM port map(A(3),B(3),C2(3),S3(3),C3(3)); HA40: HA port map(S3(1),C3(0),S4(0),C4(0)); FA41: FA port map(S3(2),C3(1),C4(0),S4(1),C4(1)); FA42: FA port map(S3(3),C3(2),C4(1),S4(2),C4(2)); HA44: HA port map(C3(3),C4(2),S4(3),open); Z(0)<=S0(0); Z(1)<=S1(0); Z(2)<=S2(0); Z(3)<=S3(0); Z(4)<=S4(0); Z(5)<=S4(1); Z(6)<=S4(2); Z(7)<=S4(3); end MAC4_arch;
-- megafunction wizard: %ALTPLL% -- GENERATION: STANDARD -- VERSION: WM1.0 -- MODULE: altpll -- ============================================================ -- File Name: pll8.vhd -- Megafunction Name(s): -- altpll -- -- Simulation Library Files(s): -- altera_mf -- ============================================================ -- ************************************************************ -- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! -- -- 10.1 Build 153 11/29/2010 SJ Web Edition -- ************************************************************ --Copyright (C) 1991-2010 Altera Corporation --Your use of Altera Corporation's design tools, logic functions --and other software and tools, and its AMPP partner logic --functions, and any output files from any of the foregoing --(including device programming or simulation files), and any --associated documentation or information are expressly subject --to the terms and conditions of the Altera Program License --Subscription Agreement, Altera MegaCore Function License --Agreement, or other applicable license agreement, including, --without limitation, that your use is for the sole purpose of --programming logic devices manufactured by Altera and sold by --Altera or its authorized distributors. Please refer to the --applicable agreement for further details. LIBRARY ieee; USE ieee.std_logic_1164.all; LIBRARY altera_mf; USE altera_mf.all; ENTITY pll8 IS PORT ( inclk0 : IN STD_LOGIC := '0'; c0 : OUT STD_LOGIC ; locked : OUT STD_LOGIC ); END pll8; ARCHITECTURE SYN OF pll8 IS SIGNAL sub_wire0 : STD_LOGIC_VECTOR (4 DOWNTO 0); SIGNAL sub_wire1 : STD_LOGIC ; SIGNAL sub_wire2 : STD_LOGIC ; SIGNAL sub_wire3 : STD_LOGIC ; SIGNAL sub_wire4 : STD_LOGIC_VECTOR (1 DOWNTO 0); SIGNAL sub_wire5_bv : BIT_VECTOR (0 DOWNTO 0); SIGNAL sub_wire5 : STD_LOGIC_VECTOR (0 DOWNTO 0); COMPONENT altpll GENERIC ( bandwidth_type : STRING; clk0_divide_by : NATURAL; clk0_duty_cycle : NATURAL; clk0_multiply_by : NATURAL; clk0_phase_shift : STRING; compensate_clock : STRING; inclk0_input_frequency : NATURAL; intended_device_family : STRING; lpm_hint : STRING; lpm_type : STRING; operation_mode : STRING; pll_type : STRING; port_activeclock : STRING; port_areset : STRING; port_clkbad0 : STRING; port_clkbad1 : STRING; port_clkloss : STRING; port_clkswitch : STRING; port_configupdate : STRING; port_fbin : STRING; port_inclk0 : STRING; port_inclk1 : STRING; port_locked : STRING; port_pfdena : STRING; port_phasecounterselect : STRING; port_phasedone : STRING; port_phasestep : STRING; port_phaseupdown : STRING; port_pllena : STRING; port_scanaclr : STRING; port_scanclk : STRING; port_scanclkena : STRING; port_scandata : STRING; port_scandataout : STRING; port_scandone : STRING; port_scanread : STRING; port_scanwrite : STRING; port_clk0 : STRING; port_clk1 : STRING; port_clk2 : STRING; port_clk3 : STRING; port_clk4 : STRING; port_clk5 : STRING; port_clkena0 : STRING; port_clkena1 : STRING; port_clkena2 : STRING; port_clkena3 : STRING; port_clkena4 : STRING; port_clkena5 : STRING; port_extclk0 : STRING; port_extclk1 : STRING; port_extclk2 : STRING; port_extclk3 : STRING; self_reset_on_loss_lock : STRING; width_clock : NATURAL ); PORT ( clk : OUT STD_LOGIC_VECTOR (4 DOWNTO 0); inclk : IN STD_LOGIC_VECTOR (1 DOWNTO 0); locked : OUT STD_LOGIC ); END COMPONENT; BEGIN sub_wire5_bv(0 DOWNTO 0) <= "0"; sub_wire5 <= To_stdlogicvector(sub_wire5_bv); sub_wire1 <= sub_wire0(0); c0 <= sub_wire1; locked <= sub_wire2; sub_wire3 <= inclk0; sub_wire4 <= sub_wire5(0 DOWNTO 0) & sub_wire3; altpll_component : altpll GENERIC MAP ( bandwidth_type => "AUTO", clk0_divide_by => 2, clk0_duty_cycle => 50, clk0_multiply_by => 25, clk0_phase_shift => "0", compensate_clock => "CLK0", inclk0_input_frequency => 125000, intended_device_family => "Cyclone III", lpm_hint => "CBX_MODULE_PREFIX=pll8", lpm_type => "altpll", operation_mode => "NORMAL", pll_type => "AUTO", port_activeclock => "PORT_UNUSED", port_areset => "PORT_UNUSED", port_clkbad0 => "PORT_UNUSED", port_clkbad1 => "PORT_UNUSED", port_clkloss => "PORT_UNUSED", port_clkswitch => "PORT_UNUSED", port_configupdate => "PORT_UNUSED", port_fbin => "PORT_UNUSED", port_inclk0 => "PORT_USED", port_inclk1 => "PORT_UNUSED", port_locked => "PORT_USED", port_pfdena => "PORT_UNUSED", port_phasecounterselect => "PORT_UNUSED", port_phasedone => "PORT_UNUSED", port_phasestep => "PORT_UNUSED", port_phaseupdown => "PORT_UNUSED", port_pllena => "PORT_UNUSED", port_scanaclr => "PORT_UNUSED", port_scanclk => "PORT_UNUSED", port_scanclkena => "PORT_UNUSED", port_scandata => "PORT_UNUSED", port_scandataout => "PORT_UNUSED", port_scandone => "PORT_UNUSED", port_scanread => "PORT_UNUSED", port_scanwrite => "PORT_UNUSED", port_clk0 => "PORT_USED", port_clk1 => "PORT_UNUSED", port_clk2 => "PORT_UNUSED", port_clk3 => "PORT_UNUSED", port_clk4 => "PORT_UNUSED", port_clk5 => "PORT_UNUSED", port_clkena0 => "PORT_UNUSED", port_clkena1 => "PORT_UNUSED", port_clkena2 => "PORT_UNUSED", port_clkena3 => "PORT_UNUSED", port_clkena4 => "PORT_UNUSED", port_clkena5 => "PORT_UNUSED", port_extclk0 => "PORT_UNUSED", port_extclk1 => "PORT_UNUSED", port_extclk2 => "PORT_UNUSED", port_extclk3 => "PORT_UNUSED", self_reset_on_loss_lock => "OFF", width_clock => 5 ) PORT MAP ( inclk => sub_wire4, clk => sub_wire0, locked => sub_wire2 ); END SYN; -- ============================================================ -- CNX file retrieval info -- ============================================================ -- Retrieval info: PRIVATE: ACTIVECLK_CHECK STRING "0" -- Retrieval info: PRIVATE: BANDWIDTH STRING "1.000" -- Retrieval info: PRIVATE: BANDWIDTH_FEATURE_ENABLED STRING "1" -- Retrieval info: PRIVATE: BANDWIDTH_FREQ_UNIT STRING "MHz" -- Retrieval info: PRIVATE: BANDWIDTH_PRESET STRING "Low" -- Retrieval info: PRIVATE: BANDWIDTH_USE_AUTO STRING "1" -- Retrieval info: PRIVATE: BANDWIDTH_USE_PRESET STRING "0" -- Retrieval info: PRIVATE: CLKBAD_SWITCHOVER_CHECK STRING "0" -- Retrieval info: PRIVATE: CLKLOSS_CHECK STRING "0" -- Retrieval info: PRIVATE: CLKSWITCH_CHECK STRING "0" -- Retrieval info: PRIVATE: CNX_NO_COMPENSATE_RADIO STRING "0" -- Retrieval info: PRIVATE: CREATE_CLKBAD_CHECK STRING "0" -- Retrieval info: PRIVATE: CREATE_INCLK1_CHECK STRING "0" -- Retrieval info: PRIVATE: CUR_DEDICATED_CLK STRING "c0" -- Retrieval info: PRIVATE: CUR_FBIN_CLK STRING "c0" -- Retrieval info: PRIVATE: DEVICE_SPEED_GRADE STRING "8" -- Retrieval info: PRIVATE: DIV_FACTOR0 NUMERIC "1" -- Retrieval info: PRIVATE: DUTY_CYCLE0 STRING "50.00000000" -- Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE0 STRING "100.000000" -- Retrieval info: PRIVATE: EXPLICIT_SWITCHOVER_COUNTER STRING "0" -- Retrieval info: PRIVATE: EXT_FEEDBACK_RADIO STRING "0" -- Retrieval info: PRIVATE: GLOCKED_COUNTER_EDIT_CHANGED STRING "1" -- Retrieval info: PRIVATE: GLOCKED_FEATURE_ENABLED STRING "0" -- Retrieval info: PRIVATE: GLOCKED_MODE_CHECK STRING "0" -- Retrieval info: PRIVATE: GLOCK_COUNTER_EDIT NUMERIC "1048575" -- Retrieval info: PRIVATE: HAS_MANUAL_SWITCHOVER STRING "1" -- Retrieval info: PRIVATE: INCLK0_FREQ_EDIT STRING "8.000" -- Retrieval info: PRIVATE: INCLK0_FREQ_UNIT_COMBO STRING "MHz" -- Retrieval info: PRIVATE: INCLK1_FREQ_EDIT STRING "100.000" -- Retrieval info: PRIVATE: INCLK1_FREQ_EDIT_CHANGED STRING "1" -- Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_CHANGED STRING "1" -- Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_COMBO STRING "MHz" -- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone III" -- Retrieval info: PRIVATE: INT_FEEDBACK__MODE_RADIO STRING "1" -- Retrieval info: PRIVATE: LOCKED_OUTPUT_CHECK STRING "1" -- Retrieval info: PRIVATE: LONG_SCAN_RADIO STRING "1" -- Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE STRING "Not Available" -- Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE_DIRTY NUMERIC "0" -- Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT0 STRING "deg" -- Retrieval info: PRIVATE: MIG_DEVICE_SPEED_GRADE STRING "Any" -- Retrieval info: PRIVATE: MIRROR_CLK0 STRING "0" -- Retrieval info: PRIVATE: MULT_FACTOR0 NUMERIC "1" -- Retrieval info: PRIVATE: NORMAL_MODE_RADIO STRING "1" -- Retrieval info: PRIVATE: OUTPUT_FREQ0 STRING "100.00000000" -- Retrieval info: PRIVATE: OUTPUT_FREQ_MODE0 STRING "1" -- Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT0 STRING "MHz" -- Retrieval info: PRIVATE: PHASE_RECONFIG_FEATURE_ENABLED STRING "1" -- Retrieval info: PRIVATE: PHASE_RECONFIG_INPUTS_CHECK STRING "0" -- Retrieval info: PRIVATE: PHASE_SHIFT0 STRING "0.00000000" -- Retrieval info: PRIVATE: PHASE_SHIFT_STEP_ENABLED_CHECK STRING "0" -- Retrieval info: PRIVATE: PHASE_SHIFT_UNIT0 STRING "deg" -- Retrieval info: PRIVATE: PLL_ADVANCED_PARAM_CHECK STRING "0" -- Retrieval info: PRIVATE: PLL_ARESET_CHECK STRING "0" -- Retrieval info: PRIVATE: PLL_AUTOPLL_CHECK NUMERIC "1" -- Retrieval info: PRIVATE: PLL_ENHPLL_CHECK NUMERIC "0" -- Retrieval info: PRIVATE: PLL_FASTPLL_CHECK NUMERIC "0" -- Retrieval info: PRIVATE: PLL_FBMIMIC_CHECK STRING "0" -- Retrieval info: PRIVATE: PLL_LVDS_PLL_CHECK NUMERIC "0" -- Retrieval info: PRIVATE: PLL_PFDENA_CHECK STRING "0" -- Retrieval info: PRIVATE: PLL_TARGET_HARCOPY_CHECK NUMERIC "0" -- Retrieval info: PRIVATE: PRIMARY_CLK_COMBO STRING "inclk0" -- Retrieval info: PRIVATE: RECONFIG_FILE STRING "pll8.mif" -- Retrieval info: PRIVATE: SACN_INPUTS_CHECK STRING "0" -- Retrieval info: PRIVATE: SCAN_FEATURE_ENABLED STRING "1" -- Retrieval info: PRIVATE: SELF_RESET_LOCK_LOSS STRING "0" -- Retrieval info: PRIVATE: SHORT_SCAN_RADIO STRING "0" -- Retrieval info: PRIVATE: SPREAD_FEATURE_ENABLED STRING "0" -- Retrieval info: PRIVATE: SPREAD_FREQ STRING "50.000" -- Retrieval info: PRIVATE: SPREAD_FREQ_UNIT STRING "KHz" -- Retrieval info: PRIVATE: SPREAD_PERCENT STRING "0.500" -- Retrieval info: PRIVATE: SPREAD_USE STRING "0" -- Retrieval info: PRIVATE: SRC_SYNCH_COMP_RADIO STRING "0" -- Retrieval info: PRIVATE: STICKY_CLK0 STRING "1" -- Retrieval info: PRIVATE: SWITCHOVER_COUNT_EDIT NUMERIC "1" -- Retrieval info: PRIVATE: SWITCHOVER_FEATURE_ENABLED STRING "1" -- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0" -- Retrieval info: PRIVATE: USE_CLK0 STRING "1" -- Retrieval info: PRIVATE: USE_CLKENA0 STRING "0" -- Retrieval info: PRIVATE: USE_MIL_SPEED_GRADE NUMERIC "0" -- Retrieval info: PRIVATE: ZERO_DELAY_RADIO STRING "0" -- Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all -- Retrieval info: CONSTANT: BANDWIDTH_TYPE STRING "AUTO" -- Retrieval info: CONSTANT: CLK0_DIVIDE_BY NUMERIC "2" -- Retrieval info: CONSTANT: CLK0_DUTY_CYCLE NUMERIC "50" -- Retrieval info: CONSTANT: CLK0_MULTIPLY_BY NUMERIC "25" -- Retrieval info: CONSTANT: CLK0_PHASE_SHIFT STRING "0" -- Retrieval info: CONSTANT: COMPENSATE_CLOCK STRING "CLK0" -- Retrieval info: CONSTANT: INCLK0_INPUT_FREQUENCY NUMERIC "125000" -- Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone III" -- Retrieval info: CONSTANT: LPM_TYPE STRING "altpll" -- Retrieval info: CONSTANT: OPERATION_MODE STRING "NORMAL" -- Retrieval info: CONSTANT: PLL_TYPE STRING "AUTO" -- Retrieval info: CONSTANT: PORT_ACTIVECLOCK STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_ARESET STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_CLKBAD0 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_CLKBAD1 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_CLKLOSS STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_CLKSWITCH STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_CONFIGUPDATE STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_FBIN STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_INCLK0 STRING "PORT_USED" -- Retrieval info: CONSTANT: PORT_INCLK1 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_LOCKED STRING "PORT_USED" -- Retrieval info: CONSTANT: PORT_PFDENA STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_PHASECOUNTERSELECT STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_PHASEDONE STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_PHASESTEP STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_PHASEUPDOWN STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_PLLENA STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_SCANACLR STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_SCANCLK STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_SCANCLKENA STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_SCANDATA STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_SCANDATAOUT STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_SCANDONE STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_SCANREAD STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_SCANWRITE STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_clk0 STRING "PORT_USED" -- Retrieval info: CONSTANT: PORT_clk1 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_clk2 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_clk3 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_clk4 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_clk5 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_clkena0 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_clkena1 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_clkena2 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_clkena3 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_clkena4 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_clkena5 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_extclk0 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_extclk1 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_extclk2 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: PORT_extclk3 STRING "PORT_UNUSED" -- Retrieval info: CONSTANT: SELF_RESET_ON_LOSS_LOCK STRING "OFF" -- Retrieval info: CONSTANT: WIDTH_CLOCK NUMERIC "5" -- Retrieval info: USED_PORT: @clk 0 0 5 0 OUTPUT_CLK_EXT VCC "@clk[4..0]" -- Retrieval info: USED_PORT: @inclk 0 0 2 0 INPUT_CLK_EXT VCC "@inclk[1..0]" -- Retrieval info: USED_PORT: c0 0 0 0 0 OUTPUT_CLK_EXT VCC "c0" -- Retrieval info: USED_PORT: inclk0 0 0 0 0 INPUT_CLK_EXT GND "inclk0" -- Retrieval info: USED_PORT: locked 0 0 0 0 OUTPUT GND "locked" -- Retrieval info: CONNECT: @inclk 0 0 1 1 GND 0 0 0 0 -- Retrieval info: CONNECT: @inclk 0 0 1 0 inclk0 0 0 0 0 -- Retrieval info: CONNECT: c0 0 0 0 0 @clk 0 0 1 0 -- Retrieval info: CONNECT: locked 0 0 0 0 @locked 0 0 0 0 -- Retrieval info: GEN_FILE: TYPE_NORMAL pll8.vhd TRUE -- Retrieval info: GEN_FILE: TYPE_NORMAL pll8.ppf TRUE -- Retrieval info: GEN_FILE: TYPE_NORMAL pll8.inc FALSE -- Retrieval info: GEN_FILE: TYPE_NORMAL pll8.cmp TRUE -- Retrieval info: GEN_FILE: TYPE_NORMAL pll8.bsf FALSE -- Retrieval info: GEN_FILE: TYPE_NORMAL pll8_inst.vhd FALSE -- Retrieval info: LIB_FILE: altera_mf -- Retrieval info: CBX_MODULE_PREFIX: ON
---------------------------------------------------------------------------------- -- Engineer: Mike Field <[email protected]> -- -- Description: Captures the pixels coming from the OV7670 camera and -- Stores them in block RAM ---------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.ALL; use ieee.NUMERIC_STD.ALL; entity ov7670_capture is port ( pclk : in std_logic; vsync : in std_logic; href : in std_logic; d : in std_logic_vector ( 7 downto 0); addr : out std_logic_vector (17 downto 0); dout : out std_logic_vector (11 downto 0); we : out std_logic ); end ov7670_capture; architecture behavioral of ov7670_capture is signal d_latch : std_logic_vector(15 downto 0) := (others => '0'); signal address : std_logic_vector(18 downto 0) := (others => '0'); signal address_next : std_logic_vector(18 downto 0) := (others => '0'); signal wr_hold : std_logic_vector( 1 downto 0) := (others => '0'); begin addr <= address(18 downto 1); process(pclk) begin if rising_edge(pclk) then -- This is a bit tricky href starts a pixel transfer that takes 3 cycles -- Input | state after clock tick -- href | wr_hold d_latch d we address address_next -- cycle -1 x | xx xxxxxxxxxxxxxxxx xxxxxxxxxxxxxxxx x xxxx xxxx -- cycle 0 1 | x1 xxxxxxxxRRRRRGGG xxxxxxxxxxxxxxxx x xxxx addr -- cycle 1 0 | 10 RRRRRGGGGGGBBBBB xxxxxxxxRRRRRGGG x addr addr -- cycle 2 x | 0x GGGBBBBBxxxxxxxx RRRRRGGGGGGBBBBB 1 addr addr+1 if vsync = '1' then address <= (others => '0'); address_next <= (others => '0'); wr_hold <= (others => '0'); else -- This should be a different order, but seems to be GRB! dout <= d_latch(15 downto 12) & d_latch(10 downto 7) & d_latch(4 downto 1); address <= address_next; we <= wr_hold(1); wr_hold <= wr_hold(0) & (href and not wr_hold(0)); d_latch <= d_latch( 7 downto 0) & d; if wr_hold(1) = '1' then address_next <= std_logic_vector(unsigned(address_next)+1); end if; end if; end if; end process; end behavioral;
------------------------------------------------------------------------------- -- TC_TYPES - package ------------------------------------------------------------------------------- -- -- *************************************************************************** -- DISCLAIMER OF LIABILITY -- -- This file contains proprietary and confidential information of -- Xilinx, Inc. ("Xilinx"), that is distributed under a license -- from Xilinx, and may be used, copied and/or disclosed only -- pursuant to the terms of a valid license agreement with Xilinx. -- -- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION -- ("MATERIALS") "AS IS" WITHOUT WARRANTY OF ANY KIND, EITHER -- EXPRESSED, IMPLIED, OR STATUTORY, INCLUDING WITHOUT -- LIMITATION, ANY WARRANTY WITH RESPECT TO NONINFRINGEMENT, -- MERCHANTABILITY OR FITNESS FOR ANY PARTICULAR PURPOSE. Xilinx -- does not warrant that functions included in the Materials will -- meet the requirements of Licensee, or that the operation of the -- Materials will be uninterrupted or error-free, or that defects -- in the Materials will be corrected. Furthermore, Xilinx does -- not warrant or make any representations regarding use, or the -- results of the use, of the Materials in terms of correctness, -- accuracy, reliability or otherwise. -- -- Xilinx products are not designed or intended to be fail-safe, -- or for use in any application requiring fail-safe performance, -- such as life-support or safety devices or systems, Class III -- medical devices, nuclear facilities, applications related to -- the deployment of airbags, or any other applications that could -- lead to death, personal injury or severe property or -- environmental damage (individually and collectively, "critical -- applications"). Customer assumes the sole risk and liability -- of any use of Xilinx products in critical applications, -- subject only to applicable laws and regulations governing -- limitations on product liability. -- -- Copyright 2001, 2002, 2003, 2004, 2008, 2009 Xilinx, Inc. -- All rights reserved. -- -- This disclaimer and copyright notice must be retained as part -- of this file at all times. -- *************************************************************************** -- ------------------------------------------------------------------------------- -- Filename :tc_types.vhd -- Company :Xilinx -- Version :v2.0 -- Description :Type definitions for Timer/Counter -- Standard :VHDL-93 -- ------------------------------------------------------------------------------- -- Structure: -- -- tc_types.vhd ------------------------------------------------------------------------------- -- ^^^^^^ -- Author: BSB -- History: -- BSB 03/18/2010 -- Ceated the version v1.00.a -- ^^^^^^ -- Author: BSB -- History: -- BSB 09/18/2010 -- Ceated the version v1.01.a -- -- axi lite ipif v1.01.a used -- ^^^ ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_cmb" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; ------------------------------------------------------------------------------- --Package Declaration ------------------------------------------------------------------------------- package TC_Types is subtype QUADLET_TYPE is std_logic_vector(0 to 31); subtype ELEVEN_BIT_TYPE is std_logic_vector(21 to 31); subtype TWELVE_BIT_TYPE is std_logic_vector(20 to 31); subtype QUADLET_PLUS1_TYPE is std_logic_vector(0 to 32); subtype BYTE_TYPE is std_logic_vector(0 to 7); subtype ALU_OP_TYPE is std_logic_vector(0 to 1); subtype ADDR_WORD_TYPE is std_logic_vector(0 to 31); subtype BYTE_ENABLE_TYPE is std_logic_vector(0 to 3); subtype DATA_WORD_TYPE is QUADLET_TYPE; subtype INSTRUCTION_WORD_TYPE is QUADLET_TYPE; -- Bus interface data types subtype PLB_DWIDTH_TYPE is QUADLET_TYPE; subtype PLB_AWIDTH_TYPE is QUADLET_TYPE; subtype PLB_BEWIDTH_TYPE is std_logic_vector(0 to 3); subtype BYTE_PLUS1_TYPE is std_logic_vector(0 to 8); subtype NIBBLE_TYPE is std_logic_vector(0 to 3); type TWO_QUADLET_TYPE is array (0 to 1) of QUADLET_TYPE; constant CASC_POS : integer := 20; constant ENALL_POS : integer := 21; constant PWMA0_POS : integer := 22; constant T0INT_POS : integer := 23; constant ENT0_POS : integer := 24; constant ENIT0_POS : integer := 25; constant LOAD0_POS : integer := 26; constant ARHT0_POS : integer := 27; constant CAPT0_POS : integer := 28; constant CMPT0_POS : integer := 29; constant UDT0_POS : integer := 30; constant MDT0_POS : integer := 31; constant PWMB0_POS : integer := 22; constant T1INT_POS : integer := 23; constant ENT1_POS : integer := 24; constant ENIT1_POS : integer := 25; constant LOAD1_POS : integer := 26; constant ARHT1_POS : integer := 27; constant CAPT1_POS : integer := 28; constant CMPT1_POS : integer := 29; constant UDT1_POS : integer := 30; constant MDT1_POS : integer := 31; constant LS_ADDR : std_logic_vector(0 to 1) := "11"; constant NEXT_MSB_BIT : integer := -1; constant NEXT_LSB_BIT : integer := 1; -- The following four constants arer reversed from what's -- in microblaze_isa_be_pkg.vhd constant BYTE_ENABLE_BYTE_0 : natural := 0; constant BYTE_ENABLE_BYTE_1 : natural := 1; constant BYTE_ENABLE_BYTE_2 : natural := 2; constant BYTE_ENABLE_BYTE_3 : natural := 3; end package TC_TYPES;
------------------------------------------------------------------------------- -- TC_TYPES - package ------------------------------------------------------------------------------- -- -- *************************************************************************** -- DISCLAIMER OF LIABILITY -- -- This file contains proprietary and confidential information of -- Xilinx, Inc. ("Xilinx"), that is distributed under a license -- from Xilinx, and may be used, copied and/or disclosed only -- pursuant to the terms of a valid license agreement with Xilinx. -- -- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION -- ("MATERIALS") "AS IS" WITHOUT WARRANTY OF ANY KIND, EITHER -- EXPRESSED, IMPLIED, OR STATUTORY, INCLUDING WITHOUT -- LIMITATION, ANY WARRANTY WITH RESPECT TO NONINFRINGEMENT, -- MERCHANTABILITY OR FITNESS FOR ANY PARTICULAR PURPOSE. Xilinx -- does not warrant that functions included in the Materials will -- meet the requirements of Licensee, or that the operation of the -- Materials will be uninterrupted or error-free, or that defects -- in the Materials will be corrected. Furthermore, Xilinx does -- not warrant or make any representations regarding use, or the -- results of the use, of the Materials in terms of correctness, -- accuracy, reliability or otherwise. -- -- Xilinx products are not designed or intended to be fail-safe, -- or for use in any application requiring fail-safe performance, -- such as life-support or safety devices or systems, Class III -- medical devices, nuclear facilities, applications related to -- the deployment of airbags, or any other applications that could -- lead to death, personal injury or severe property or -- environmental damage (individually and collectively, "critical -- applications"). Customer assumes the sole risk and liability -- of any use of Xilinx products in critical applications, -- subject only to applicable laws and regulations governing -- limitations on product liability. -- -- Copyright 2001, 2002, 2003, 2004, 2008, 2009 Xilinx, Inc. -- All rights reserved. -- -- This disclaimer and copyright notice must be retained as part -- of this file at all times. -- *************************************************************************** -- ------------------------------------------------------------------------------- -- Filename :tc_types.vhd -- Company :Xilinx -- Version :v2.0 -- Description :Type definitions for Timer/Counter -- Standard :VHDL-93 -- ------------------------------------------------------------------------------- -- Structure: -- -- tc_types.vhd ------------------------------------------------------------------------------- -- ^^^^^^ -- Author: BSB -- History: -- BSB 03/18/2010 -- Ceated the version v1.00.a -- ^^^^^^ -- Author: BSB -- History: -- BSB 09/18/2010 -- Ceated the version v1.01.a -- -- axi lite ipif v1.01.a used -- ^^^ ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_cmb" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; ------------------------------------------------------------------------------- --Package Declaration ------------------------------------------------------------------------------- package TC_Types is subtype QUADLET_TYPE is std_logic_vector(0 to 31); subtype ELEVEN_BIT_TYPE is std_logic_vector(21 to 31); subtype TWELVE_BIT_TYPE is std_logic_vector(20 to 31); subtype QUADLET_PLUS1_TYPE is std_logic_vector(0 to 32); subtype BYTE_TYPE is std_logic_vector(0 to 7); subtype ALU_OP_TYPE is std_logic_vector(0 to 1); subtype ADDR_WORD_TYPE is std_logic_vector(0 to 31); subtype BYTE_ENABLE_TYPE is std_logic_vector(0 to 3); subtype DATA_WORD_TYPE is QUADLET_TYPE; subtype INSTRUCTION_WORD_TYPE is QUADLET_TYPE; -- Bus interface data types subtype PLB_DWIDTH_TYPE is QUADLET_TYPE; subtype PLB_AWIDTH_TYPE is QUADLET_TYPE; subtype PLB_BEWIDTH_TYPE is std_logic_vector(0 to 3); subtype BYTE_PLUS1_TYPE is std_logic_vector(0 to 8); subtype NIBBLE_TYPE is std_logic_vector(0 to 3); type TWO_QUADLET_TYPE is array (0 to 1) of QUADLET_TYPE; constant CASC_POS : integer := 20; constant ENALL_POS : integer := 21; constant PWMA0_POS : integer := 22; constant T0INT_POS : integer := 23; constant ENT0_POS : integer := 24; constant ENIT0_POS : integer := 25; constant LOAD0_POS : integer := 26; constant ARHT0_POS : integer := 27; constant CAPT0_POS : integer := 28; constant CMPT0_POS : integer := 29; constant UDT0_POS : integer := 30; constant MDT0_POS : integer := 31; constant PWMB0_POS : integer := 22; constant T1INT_POS : integer := 23; constant ENT1_POS : integer := 24; constant ENIT1_POS : integer := 25; constant LOAD1_POS : integer := 26; constant ARHT1_POS : integer := 27; constant CAPT1_POS : integer := 28; constant CMPT1_POS : integer := 29; constant UDT1_POS : integer := 30; constant MDT1_POS : integer := 31; constant LS_ADDR : std_logic_vector(0 to 1) := "11"; constant NEXT_MSB_BIT : integer := -1; constant NEXT_LSB_BIT : integer := 1; -- The following four constants arer reversed from what's -- in microblaze_isa_be_pkg.vhd constant BYTE_ENABLE_BYTE_0 : natural := 0; constant BYTE_ENABLE_BYTE_1 : natural := 1; constant BYTE_ENABLE_BYTE_2 : natural := 2; constant BYTE_ENABLE_BYTE_3 : natural := 3; end package TC_TYPES;
------------------------------------------------------------------------------- -- TC_TYPES - package ------------------------------------------------------------------------------- -- -- *************************************************************************** -- DISCLAIMER OF LIABILITY -- -- This file contains proprietary and confidential information of -- Xilinx, Inc. ("Xilinx"), that is distributed under a license -- from Xilinx, and may be used, copied and/or disclosed only -- pursuant to the terms of a valid license agreement with Xilinx. -- -- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION -- ("MATERIALS") "AS IS" WITHOUT WARRANTY OF ANY KIND, EITHER -- EXPRESSED, IMPLIED, OR STATUTORY, INCLUDING WITHOUT -- LIMITATION, ANY WARRANTY WITH RESPECT TO NONINFRINGEMENT, -- MERCHANTABILITY OR FITNESS FOR ANY PARTICULAR PURPOSE. Xilinx -- does not warrant that functions included in the Materials will -- meet the requirements of Licensee, or that the operation of the -- Materials will be uninterrupted or error-free, or that defects -- in the Materials will be corrected. Furthermore, Xilinx does -- not warrant or make any representations regarding use, or the -- results of the use, of the Materials in terms of correctness, -- accuracy, reliability or otherwise. -- -- Xilinx products are not designed or intended to be fail-safe, -- or for use in any application requiring fail-safe performance, -- such as life-support or safety devices or systems, Class III -- medical devices, nuclear facilities, applications related to -- the deployment of airbags, or any other applications that could -- lead to death, personal injury or severe property or -- environmental damage (individually and collectively, "critical -- applications"). Customer assumes the sole risk and liability -- of any use of Xilinx products in critical applications, -- subject only to applicable laws and regulations governing -- limitations on product liability. -- -- Copyright 2001, 2002, 2003, 2004, 2008, 2009 Xilinx, Inc. -- All rights reserved. -- -- This disclaimer and copyright notice must be retained as part -- of this file at all times. -- *************************************************************************** -- ------------------------------------------------------------------------------- -- Filename :tc_types.vhd -- Company :Xilinx -- Version :v2.0 -- Description :Type definitions for Timer/Counter -- Standard :VHDL-93 -- ------------------------------------------------------------------------------- -- Structure: -- -- tc_types.vhd ------------------------------------------------------------------------------- -- ^^^^^^ -- Author: BSB -- History: -- BSB 03/18/2010 -- Ceated the version v1.00.a -- ^^^^^^ -- Author: BSB -- History: -- BSB 09/18/2010 -- Ceated the version v1.01.a -- -- axi lite ipif v1.01.a used -- ^^^ ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_cmb" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; ------------------------------------------------------------------------------- --Package Declaration ------------------------------------------------------------------------------- package TC_Types is subtype QUADLET_TYPE is std_logic_vector(0 to 31); subtype ELEVEN_BIT_TYPE is std_logic_vector(21 to 31); subtype TWELVE_BIT_TYPE is std_logic_vector(20 to 31); subtype QUADLET_PLUS1_TYPE is std_logic_vector(0 to 32); subtype BYTE_TYPE is std_logic_vector(0 to 7); subtype ALU_OP_TYPE is std_logic_vector(0 to 1); subtype ADDR_WORD_TYPE is std_logic_vector(0 to 31); subtype BYTE_ENABLE_TYPE is std_logic_vector(0 to 3); subtype DATA_WORD_TYPE is QUADLET_TYPE; subtype INSTRUCTION_WORD_TYPE is QUADLET_TYPE; -- Bus interface data types subtype PLB_DWIDTH_TYPE is QUADLET_TYPE; subtype PLB_AWIDTH_TYPE is QUADLET_TYPE; subtype PLB_BEWIDTH_TYPE is std_logic_vector(0 to 3); subtype BYTE_PLUS1_TYPE is std_logic_vector(0 to 8); subtype NIBBLE_TYPE is std_logic_vector(0 to 3); type TWO_QUADLET_TYPE is array (0 to 1) of QUADLET_TYPE; constant CASC_POS : integer := 20; constant ENALL_POS : integer := 21; constant PWMA0_POS : integer := 22; constant T0INT_POS : integer := 23; constant ENT0_POS : integer := 24; constant ENIT0_POS : integer := 25; constant LOAD0_POS : integer := 26; constant ARHT0_POS : integer := 27; constant CAPT0_POS : integer := 28; constant CMPT0_POS : integer := 29; constant UDT0_POS : integer := 30; constant MDT0_POS : integer := 31; constant PWMB0_POS : integer := 22; constant T1INT_POS : integer := 23; constant ENT1_POS : integer := 24; constant ENIT1_POS : integer := 25; constant LOAD1_POS : integer := 26; constant ARHT1_POS : integer := 27; constant CAPT1_POS : integer := 28; constant CMPT1_POS : integer := 29; constant UDT1_POS : integer := 30; constant MDT1_POS : integer := 31; constant LS_ADDR : std_logic_vector(0 to 1) := "11"; constant NEXT_MSB_BIT : integer := -1; constant NEXT_LSB_BIT : integer := 1; -- The following four constants arer reversed from what's -- in microblaze_isa_be_pkg.vhd constant BYTE_ENABLE_BYTE_0 : natural := 0; constant BYTE_ENABLE_BYTE_1 : natural := 1; constant BYTE_ENABLE_BYTE_2 : natural := 2; constant BYTE_ENABLE_BYTE_3 : natural := 3; end package TC_TYPES;
------------------------------------------------------------------------------- -- TC_TYPES - package ------------------------------------------------------------------------------- -- -- *************************************************************************** -- DISCLAIMER OF LIABILITY -- -- This file contains proprietary and confidential information of -- Xilinx, Inc. ("Xilinx"), that is distributed under a license -- from Xilinx, and may be used, copied and/or disclosed only -- pursuant to the terms of a valid license agreement with Xilinx. -- -- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION -- ("MATERIALS") "AS IS" WITHOUT WARRANTY OF ANY KIND, EITHER -- EXPRESSED, IMPLIED, OR STATUTORY, INCLUDING WITHOUT -- LIMITATION, ANY WARRANTY WITH RESPECT TO NONINFRINGEMENT, -- MERCHANTABILITY OR FITNESS FOR ANY PARTICULAR PURPOSE. Xilinx -- does not warrant that functions included in the Materials will -- meet the requirements of Licensee, or that the operation of the -- Materials will be uninterrupted or error-free, or that defects -- in the Materials will be corrected. Furthermore, Xilinx does -- not warrant or make any representations regarding use, or the -- results of the use, of the Materials in terms of correctness, -- accuracy, reliability or otherwise. -- -- Xilinx products are not designed or intended to be fail-safe, -- or for use in any application requiring fail-safe performance, -- such as life-support or safety devices or systems, Class III -- medical devices, nuclear facilities, applications related to -- the deployment of airbags, or any other applications that could -- lead to death, personal injury or severe property or -- environmental damage (individually and collectively, "critical -- applications"). Customer assumes the sole risk and liability -- of any use of Xilinx products in critical applications, -- subject only to applicable laws and regulations governing -- limitations on product liability. -- -- Copyright 2001, 2002, 2003, 2004, 2008, 2009 Xilinx, Inc. -- All rights reserved. -- -- This disclaimer and copyright notice must be retained as part -- of this file at all times. -- *************************************************************************** -- ------------------------------------------------------------------------------- -- Filename :tc_types.vhd -- Company :Xilinx -- Version :v2.0 -- Description :Type definitions for Timer/Counter -- Standard :VHDL-93 -- ------------------------------------------------------------------------------- -- Structure: -- -- tc_types.vhd ------------------------------------------------------------------------------- -- ^^^^^^ -- Author: BSB -- History: -- BSB 03/18/2010 -- Ceated the version v1.00.a -- ^^^^^^ -- Author: BSB -- History: -- BSB 09/18/2010 -- Ceated the version v1.01.a -- -- axi lite ipif v1.01.a used -- ^^^ ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_cmb" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; ------------------------------------------------------------------------------- --Package Declaration ------------------------------------------------------------------------------- package TC_Types is subtype QUADLET_TYPE is std_logic_vector(0 to 31); subtype ELEVEN_BIT_TYPE is std_logic_vector(21 to 31); subtype TWELVE_BIT_TYPE is std_logic_vector(20 to 31); subtype QUADLET_PLUS1_TYPE is std_logic_vector(0 to 32); subtype BYTE_TYPE is std_logic_vector(0 to 7); subtype ALU_OP_TYPE is std_logic_vector(0 to 1); subtype ADDR_WORD_TYPE is std_logic_vector(0 to 31); subtype BYTE_ENABLE_TYPE is std_logic_vector(0 to 3); subtype DATA_WORD_TYPE is QUADLET_TYPE; subtype INSTRUCTION_WORD_TYPE is QUADLET_TYPE; -- Bus interface data types subtype PLB_DWIDTH_TYPE is QUADLET_TYPE; subtype PLB_AWIDTH_TYPE is QUADLET_TYPE; subtype PLB_BEWIDTH_TYPE is std_logic_vector(0 to 3); subtype BYTE_PLUS1_TYPE is std_logic_vector(0 to 8); subtype NIBBLE_TYPE is std_logic_vector(0 to 3); type TWO_QUADLET_TYPE is array (0 to 1) of QUADLET_TYPE; constant CASC_POS : integer := 20; constant ENALL_POS : integer := 21; constant PWMA0_POS : integer := 22; constant T0INT_POS : integer := 23; constant ENT0_POS : integer := 24; constant ENIT0_POS : integer := 25; constant LOAD0_POS : integer := 26; constant ARHT0_POS : integer := 27; constant CAPT0_POS : integer := 28; constant CMPT0_POS : integer := 29; constant UDT0_POS : integer := 30; constant MDT0_POS : integer := 31; constant PWMB0_POS : integer := 22; constant T1INT_POS : integer := 23; constant ENT1_POS : integer := 24; constant ENIT1_POS : integer := 25; constant LOAD1_POS : integer := 26; constant ARHT1_POS : integer := 27; constant CAPT1_POS : integer := 28; constant CMPT1_POS : integer := 29; constant UDT1_POS : integer := 30; constant MDT1_POS : integer := 31; constant LS_ADDR : std_logic_vector(0 to 1) := "11"; constant NEXT_MSB_BIT : integer := -1; constant NEXT_LSB_BIT : integer := 1; -- The following four constants arer reversed from what's -- in microblaze_isa_be_pkg.vhd constant BYTE_ENABLE_BYTE_0 : natural := 0; constant BYTE_ENABLE_BYTE_1 : natural := 1; constant BYTE_ENABLE_BYTE_2 : natural := 2; constant BYTE_ENABLE_BYTE_3 : natural := 3; end package TC_TYPES;
------------------------------------------------------------------------------- -- TC_TYPES - package ------------------------------------------------------------------------------- -- -- *************************************************************************** -- DISCLAIMER OF LIABILITY -- -- This file contains proprietary and confidential information of -- Xilinx, Inc. ("Xilinx"), that is distributed under a license -- from Xilinx, and may be used, copied and/or disclosed only -- pursuant to the terms of a valid license agreement with Xilinx. -- -- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION -- ("MATERIALS") "AS IS" WITHOUT WARRANTY OF ANY KIND, EITHER -- EXPRESSED, IMPLIED, OR STATUTORY, INCLUDING WITHOUT -- LIMITATION, ANY WARRANTY WITH RESPECT TO NONINFRINGEMENT, -- MERCHANTABILITY OR FITNESS FOR ANY PARTICULAR PURPOSE. Xilinx -- does not warrant that functions included in the Materials will -- meet the requirements of Licensee, or that the operation of the -- Materials will be uninterrupted or error-free, or that defects -- in the Materials will be corrected. Furthermore, Xilinx does -- not warrant or make any representations regarding use, or the -- results of the use, of the Materials in terms of correctness, -- accuracy, reliability or otherwise. -- -- Xilinx products are not designed or intended to be fail-safe, -- or for use in any application requiring fail-safe performance, -- such as life-support or safety devices or systems, Class III -- medical devices, nuclear facilities, applications related to -- the deployment of airbags, or any other applications that could -- lead to death, personal injury or severe property or -- environmental damage (individually and collectively, "critical -- applications"). Customer assumes the sole risk and liability -- of any use of Xilinx products in critical applications, -- subject only to applicable laws and regulations governing -- limitations on product liability. -- -- Copyright 2001, 2002, 2003, 2004, 2008, 2009 Xilinx, Inc. -- All rights reserved. -- -- This disclaimer and copyright notice must be retained as part -- of this file at all times. -- *************************************************************************** -- ------------------------------------------------------------------------------- -- Filename :tc_types.vhd -- Company :Xilinx -- Version :v2.0 -- Description :Type definitions for Timer/Counter -- Standard :VHDL-93 -- ------------------------------------------------------------------------------- -- Structure: -- -- tc_types.vhd ------------------------------------------------------------------------------- -- ^^^^^^ -- Author: BSB -- History: -- BSB 03/18/2010 -- Ceated the version v1.00.a -- ^^^^^^ -- Author: BSB -- History: -- BSB 09/18/2010 -- Ceated the version v1.01.a -- -- axi lite ipif v1.01.a used -- ^^^ ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_cmb" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; ------------------------------------------------------------------------------- --Package Declaration ------------------------------------------------------------------------------- package TC_Types is subtype QUADLET_TYPE is std_logic_vector(0 to 31); subtype ELEVEN_BIT_TYPE is std_logic_vector(21 to 31); subtype TWELVE_BIT_TYPE is std_logic_vector(20 to 31); subtype QUADLET_PLUS1_TYPE is std_logic_vector(0 to 32); subtype BYTE_TYPE is std_logic_vector(0 to 7); subtype ALU_OP_TYPE is std_logic_vector(0 to 1); subtype ADDR_WORD_TYPE is std_logic_vector(0 to 31); subtype BYTE_ENABLE_TYPE is std_logic_vector(0 to 3); subtype DATA_WORD_TYPE is QUADLET_TYPE; subtype INSTRUCTION_WORD_TYPE is QUADLET_TYPE; -- Bus interface data types subtype PLB_DWIDTH_TYPE is QUADLET_TYPE; subtype PLB_AWIDTH_TYPE is QUADLET_TYPE; subtype PLB_BEWIDTH_TYPE is std_logic_vector(0 to 3); subtype BYTE_PLUS1_TYPE is std_logic_vector(0 to 8); subtype NIBBLE_TYPE is std_logic_vector(0 to 3); type TWO_QUADLET_TYPE is array (0 to 1) of QUADLET_TYPE; constant CASC_POS : integer := 20; constant ENALL_POS : integer := 21; constant PWMA0_POS : integer := 22; constant T0INT_POS : integer := 23; constant ENT0_POS : integer := 24; constant ENIT0_POS : integer := 25; constant LOAD0_POS : integer := 26; constant ARHT0_POS : integer := 27; constant CAPT0_POS : integer := 28; constant CMPT0_POS : integer := 29; constant UDT0_POS : integer := 30; constant MDT0_POS : integer := 31; constant PWMB0_POS : integer := 22; constant T1INT_POS : integer := 23; constant ENT1_POS : integer := 24; constant ENIT1_POS : integer := 25; constant LOAD1_POS : integer := 26; constant ARHT1_POS : integer := 27; constant CAPT1_POS : integer := 28; constant CMPT1_POS : integer := 29; constant UDT1_POS : integer := 30; constant MDT1_POS : integer := 31; constant LS_ADDR : std_logic_vector(0 to 1) := "11"; constant NEXT_MSB_BIT : integer := -1; constant NEXT_LSB_BIT : integer := 1; -- The following four constants arer reversed from what's -- in microblaze_isa_be_pkg.vhd constant BYTE_ENABLE_BYTE_0 : natural := 0; constant BYTE_ENABLE_BYTE_1 : natural := 1; constant BYTE_ENABLE_BYTE_2 : natural := 2; constant BYTE_ENABLE_BYTE_3 : natural := 3; end package TC_TYPES;
------------------------------------------------------------------------------- -- TC_TYPES - package ------------------------------------------------------------------------------- -- -- *************************************************************************** -- DISCLAIMER OF LIABILITY -- -- This file contains proprietary and confidential information of -- Xilinx, Inc. ("Xilinx"), that is distributed under a license -- from Xilinx, and may be used, copied and/or disclosed only -- pursuant to the terms of a valid license agreement with Xilinx. -- -- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION -- ("MATERIALS") "AS IS" WITHOUT WARRANTY OF ANY KIND, EITHER -- EXPRESSED, IMPLIED, OR STATUTORY, INCLUDING WITHOUT -- LIMITATION, ANY WARRANTY WITH RESPECT TO NONINFRINGEMENT, -- MERCHANTABILITY OR FITNESS FOR ANY PARTICULAR PURPOSE. Xilinx -- does not warrant that functions included in the Materials will -- meet the requirements of Licensee, or that the operation of the -- Materials will be uninterrupted or error-free, or that defects -- in the Materials will be corrected. Furthermore, Xilinx does -- not warrant or make any representations regarding use, or the -- results of the use, of the Materials in terms of correctness, -- accuracy, reliability or otherwise. -- -- Xilinx products are not designed or intended to be fail-safe, -- or for use in any application requiring fail-safe performance, -- such as life-support or safety devices or systems, Class III -- medical devices, nuclear facilities, applications related to -- the deployment of airbags, or any other applications that could -- lead to death, personal injury or severe property or -- environmental damage (individually and collectively, "critical -- applications"). Customer assumes the sole risk and liability -- of any use of Xilinx products in critical applications, -- subject only to applicable laws and regulations governing -- limitations on product liability. -- -- Copyright 2001, 2002, 2003, 2004, 2008, 2009 Xilinx, Inc. -- All rights reserved. -- -- This disclaimer and copyright notice must be retained as part -- of this file at all times. -- *************************************************************************** -- ------------------------------------------------------------------------------- -- Filename :tc_types.vhd -- Company :Xilinx -- Version :v2.0 -- Description :Type definitions for Timer/Counter -- Standard :VHDL-93 -- ------------------------------------------------------------------------------- -- Structure: -- -- tc_types.vhd ------------------------------------------------------------------------------- -- ^^^^^^ -- Author: BSB -- History: -- BSB 03/18/2010 -- Ceated the version v1.00.a -- ^^^^^^ -- Author: BSB -- History: -- BSB 09/18/2010 -- Ceated the version v1.01.a -- -- axi lite ipif v1.01.a used -- ^^^ ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_cmb" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; ------------------------------------------------------------------------------- --Package Declaration ------------------------------------------------------------------------------- package TC_Types is subtype QUADLET_TYPE is std_logic_vector(0 to 31); subtype ELEVEN_BIT_TYPE is std_logic_vector(21 to 31); subtype TWELVE_BIT_TYPE is std_logic_vector(20 to 31); subtype QUADLET_PLUS1_TYPE is std_logic_vector(0 to 32); subtype BYTE_TYPE is std_logic_vector(0 to 7); subtype ALU_OP_TYPE is std_logic_vector(0 to 1); subtype ADDR_WORD_TYPE is std_logic_vector(0 to 31); subtype BYTE_ENABLE_TYPE is std_logic_vector(0 to 3); subtype DATA_WORD_TYPE is QUADLET_TYPE; subtype INSTRUCTION_WORD_TYPE is QUADLET_TYPE; -- Bus interface data types subtype PLB_DWIDTH_TYPE is QUADLET_TYPE; subtype PLB_AWIDTH_TYPE is QUADLET_TYPE; subtype PLB_BEWIDTH_TYPE is std_logic_vector(0 to 3); subtype BYTE_PLUS1_TYPE is std_logic_vector(0 to 8); subtype NIBBLE_TYPE is std_logic_vector(0 to 3); type TWO_QUADLET_TYPE is array (0 to 1) of QUADLET_TYPE; constant CASC_POS : integer := 20; constant ENALL_POS : integer := 21; constant PWMA0_POS : integer := 22; constant T0INT_POS : integer := 23; constant ENT0_POS : integer := 24; constant ENIT0_POS : integer := 25; constant LOAD0_POS : integer := 26; constant ARHT0_POS : integer := 27; constant CAPT0_POS : integer := 28; constant CMPT0_POS : integer := 29; constant UDT0_POS : integer := 30; constant MDT0_POS : integer := 31; constant PWMB0_POS : integer := 22; constant T1INT_POS : integer := 23; constant ENT1_POS : integer := 24; constant ENIT1_POS : integer := 25; constant LOAD1_POS : integer := 26; constant ARHT1_POS : integer := 27; constant CAPT1_POS : integer := 28; constant CMPT1_POS : integer := 29; constant UDT1_POS : integer := 30; constant MDT1_POS : integer := 31; constant LS_ADDR : std_logic_vector(0 to 1) := "11"; constant NEXT_MSB_BIT : integer := -1; constant NEXT_LSB_BIT : integer := 1; -- The following four constants arer reversed from what's -- in microblaze_isa_be_pkg.vhd constant BYTE_ENABLE_BYTE_0 : natural := 0; constant BYTE_ENABLE_BYTE_1 : natural := 1; constant BYTE_ENABLE_BYTE_2 : natural := 2; constant BYTE_ENABLE_BYTE_3 : natural := 3; end package TC_TYPES;
------------------------------------------------------------------------------- -- TC_TYPES - package ------------------------------------------------------------------------------- -- -- *************************************************************************** -- DISCLAIMER OF LIABILITY -- -- This file contains proprietary and confidential information of -- Xilinx, Inc. ("Xilinx"), that is distributed under a license -- from Xilinx, and may be used, copied and/or disclosed only -- pursuant to the terms of a valid license agreement with Xilinx. -- -- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION -- ("MATERIALS") "AS IS" WITHOUT WARRANTY OF ANY KIND, EITHER -- EXPRESSED, IMPLIED, OR STATUTORY, INCLUDING WITHOUT -- LIMITATION, ANY WARRANTY WITH RESPECT TO NONINFRINGEMENT, -- MERCHANTABILITY OR FITNESS FOR ANY PARTICULAR PURPOSE. Xilinx -- does not warrant that functions included in the Materials will -- meet the requirements of Licensee, or that the operation of the -- Materials will be uninterrupted or error-free, or that defects -- in the Materials will be corrected. Furthermore, Xilinx does -- not warrant or make any representations regarding use, or the -- results of the use, of the Materials in terms of correctness, -- accuracy, reliability or otherwise. -- -- Xilinx products are not designed or intended to be fail-safe, -- or for use in any application requiring fail-safe performance, -- such as life-support or safety devices or systems, Class III -- medical devices, nuclear facilities, applications related to -- the deployment of airbags, or any other applications that could -- lead to death, personal injury or severe property or -- environmental damage (individually and collectively, "critical -- applications"). Customer assumes the sole risk and liability -- of any use of Xilinx products in critical applications, -- subject only to applicable laws and regulations governing -- limitations on product liability. -- -- Copyright 2001, 2002, 2003, 2004, 2008, 2009 Xilinx, Inc. -- All rights reserved. -- -- This disclaimer and copyright notice must be retained as part -- of this file at all times. -- *************************************************************************** -- ------------------------------------------------------------------------------- -- Filename :tc_types.vhd -- Company :Xilinx -- Version :v2.0 -- Description :Type definitions for Timer/Counter -- Standard :VHDL-93 -- ------------------------------------------------------------------------------- -- Structure: -- -- tc_types.vhd ------------------------------------------------------------------------------- -- ^^^^^^ -- Author: BSB -- History: -- BSB 03/18/2010 -- Ceated the version v1.00.a -- ^^^^^^ -- Author: BSB -- History: -- BSB 09/18/2010 -- Ceated the version v1.01.a -- -- axi lite ipif v1.01.a used -- ^^^ ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_cmb" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; ------------------------------------------------------------------------------- --Package Declaration ------------------------------------------------------------------------------- package TC_Types is subtype QUADLET_TYPE is std_logic_vector(0 to 31); subtype ELEVEN_BIT_TYPE is std_logic_vector(21 to 31); subtype TWELVE_BIT_TYPE is std_logic_vector(20 to 31); subtype QUADLET_PLUS1_TYPE is std_logic_vector(0 to 32); subtype BYTE_TYPE is std_logic_vector(0 to 7); subtype ALU_OP_TYPE is std_logic_vector(0 to 1); subtype ADDR_WORD_TYPE is std_logic_vector(0 to 31); subtype BYTE_ENABLE_TYPE is std_logic_vector(0 to 3); subtype DATA_WORD_TYPE is QUADLET_TYPE; subtype INSTRUCTION_WORD_TYPE is QUADLET_TYPE; -- Bus interface data types subtype PLB_DWIDTH_TYPE is QUADLET_TYPE; subtype PLB_AWIDTH_TYPE is QUADLET_TYPE; subtype PLB_BEWIDTH_TYPE is std_logic_vector(0 to 3); subtype BYTE_PLUS1_TYPE is std_logic_vector(0 to 8); subtype NIBBLE_TYPE is std_logic_vector(0 to 3); type TWO_QUADLET_TYPE is array (0 to 1) of QUADLET_TYPE; constant CASC_POS : integer := 20; constant ENALL_POS : integer := 21; constant PWMA0_POS : integer := 22; constant T0INT_POS : integer := 23; constant ENT0_POS : integer := 24; constant ENIT0_POS : integer := 25; constant LOAD0_POS : integer := 26; constant ARHT0_POS : integer := 27; constant CAPT0_POS : integer := 28; constant CMPT0_POS : integer := 29; constant UDT0_POS : integer := 30; constant MDT0_POS : integer := 31; constant PWMB0_POS : integer := 22; constant T1INT_POS : integer := 23; constant ENT1_POS : integer := 24; constant ENIT1_POS : integer := 25; constant LOAD1_POS : integer := 26; constant ARHT1_POS : integer := 27; constant CAPT1_POS : integer := 28; constant CMPT1_POS : integer := 29; constant UDT1_POS : integer := 30; constant MDT1_POS : integer := 31; constant LS_ADDR : std_logic_vector(0 to 1) := "11"; constant NEXT_MSB_BIT : integer := -1; constant NEXT_LSB_BIT : integer := 1; -- The following four constants arer reversed from what's -- in microblaze_isa_be_pkg.vhd constant BYTE_ENABLE_BYTE_0 : natural := 0; constant BYTE_ENABLE_BYTE_1 : natural := 1; constant BYTE_ENABLE_BYTE_2 : natural := 2; constant BYTE_ENABLE_BYTE_3 : natural := 3; end package TC_TYPES;
------------------------------------------------------------------------------- -- TC_TYPES - package ------------------------------------------------------------------------------- -- -- *************************************************************************** -- DISCLAIMER OF LIABILITY -- -- This file contains proprietary and confidential information of -- Xilinx, Inc. ("Xilinx"), that is distributed under a license -- from Xilinx, and may be used, copied and/or disclosed only -- pursuant to the terms of a valid license agreement with Xilinx. -- -- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION -- ("MATERIALS") "AS IS" WITHOUT WARRANTY OF ANY KIND, EITHER -- EXPRESSED, IMPLIED, OR STATUTORY, INCLUDING WITHOUT -- LIMITATION, ANY WARRANTY WITH RESPECT TO NONINFRINGEMENT, -- MERCHANTABILITY OR FITNESS FOR ANY PARTICULAR PURPOSE. Xilinx -- does not warrant that functions included in the Materials will -- meet the requirements of Licensee, or that the operation of the -- Materials will be uninterrupted or error-free, or that defects -- in the Materials will be corrected. Furthermore, Xilinx does -- not warrant or make any representations regarding use, or the -- results of the use, of the Materials in terms of correctness, -- accuracy, reliability or otherwise. -- -- Xilinx products are not designed or intended to be fail-safe, -- or for use in any application requiring fail-safe performance, -- such as life-support or safety devices or systems, Class III -- medical devices, nuclear facilities, applications related to -- the deployment of airbags, or any other applications that could -- lead to death, personal injury or severe property or -- environmental damage (individually and collectively, "critical -- applications"). Customer assumes the sole risk and liability -- of any use of Xilinx products in critical applications, -- subject only to applicable laws and regulations governing -- limitations on product liability. -- -- Copyright 2001, 2002, 2003, 2004, 2008, 2009 Xilinx, Inc. -- All rights reserved. -- -- This disclaimer and copyright notice must be retained as part -- of this file at all times. -- *************************************************************************** -- ------------------------------------------------------------------------------- -- Filename :tc_types.vhd -- Company :Xilinx -- Version :v2.0 -- Description :Type definitions for Timer/Counter -- Standard :VHDL-93 -- ------------------------------------------------------------------------------- -- Structure: -- -- tc_types.vhd ------------------------------------------------------------------------------- -- ^^^^^^ -- Author: BSB -- History: -- BSB 03/18/2010 -- Ceated the version v1.00.a -- ^^^^^^ -- Author: BSB -- History: -- BSB 09/18/2010 -- Ceated the version v1.01.a -- -- axi lite ipif v1.01.a used -- ^^^ ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_cmb" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; ------------------------------------------------------------------------------- --Package Declaration ------------------------------------------------------------------------------- package TC_Types is subtype QUADLET_TYPE is std_logic_vector(0 to 31); subtype ELEVEN_BIT_TYPE is std_logic_vector(21 to 31); subtype TWELVE_BIT_TYPE is std_logic_vector(20 to 31); subtype QUADLET_PLUS1_TYPE is std_logic_vector(0 to 32); subtype BYTE_TYPE is std_logic_vector(0 to 7); subtype ALU_OP_TYPE is std_logic_vector(0 to 1); subtype ADDR_WORD_TYPE is std_logic_vector(0 to 31); subtype BYTE_ENABLE_TYPE is std_logic_vector(0 to 3); subtype DATA_WORD_TYPE is QUADLET_TYPE; subtype INSTRUCTION_WORD_TYPE is QUADLET_TYPE; -- Bus interface data types subtype PLB_DWIDTH_TYPE is QUADLET_TYPE; subtype PLB_AWIDTH_TYPE is QUADLET_TYPE; subtype PLB_BEWIDTH_TYPE is std_logic_vector(0 to 3); subtype BYTE_PLUS1_TYPE is std_logic_vector(0 to 8); subtype NIBBLE_TYPE is std_logic_vector(0 to 3); type TWO_QUADLET_TYPE is array (0 to 1) of QUADLET_TYPE; constant CASC_POS : integer := 20; constant ENALL_POS : integer := 21; constant PWMA0_POS : integer := 22; constant T0INT_POS : integer := 23; constant ENT0_POS : integer := 24; constant ENIT0_POS : integer := 25; constant LOAD0_POS : integer := 26; constant ARHT0_POS : integer := 27; constant CAPT0_POS : integer := 28; constant CMPT0_POS : integer := 29; constant UDT0_POS : integer := 30; constant MDT0_POS : integer := 31; constant PWMB0_POS : integer := 22; constant T1INT_POS : integer := 23; constant ENT1_POS : integer := 24; constant ENIT1_POS : integer := 25; constant LOAD1_POS : integer := 26; constant ARHT1_POS : integer := 27; constant CAPT1_POS : integer := 28; constant CMPT1_POS : integer := 29; constant UDT1_POS : integer := 30; constant MDT1_POS : integer := 31; constant LS_ADDR : std_logic_vector(0 to 1) := "11"; constant NEXT_MSB_BIT : integer := -1; constant NEXT_LSB_BIT : integer := 1; -- The following four constants arer reversed from what's -- in microblaze_isa_be_pkg.vhd constant BYTE_ENABLE_BYTE_0 : natural := 0; constant BYTE_ENABLE_BYTE_1 : natural := 1; constant BYTE_ENABLE_BYTE_2 : natural := 2; constant BYTE_ENABLE_BYTE_3 : natural := 3; end package TC_TYPES;
------------------------------------------------------------------------------- -- TC_TYPES - package ------------------------------------------------------------------------------- -- -- *************************************************************************** -- DISCLAIMER OF LIABILITY -- -- This file contains proprietary and confidential information of -- Xilinx, Inc. ("Xilinx"), that is distributed under a license -- from Xilinx, and may be used, copied and/or disclosed only -- pursuant to the terms of a valid license agreement with Xilinx. -- -- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION -- ("MATERIALS") "AS IS" WITHOUT WARRANTY OF ANY KIND, EITHER -- EXPRESSED, IMPLIED, OR STATUTORY, INCLUDING WITHOUT -- LIMITATION, ANY WARRANTY WITH RESPECT TO NONINFRINGEMENT, -- MERCHANTABILITY OR FITNESS FOR ANY PARTICULAR PURPOSE. Xilinx -- does not warrant that functions included in the Materials will -- meet the requirements of Licensee, or that the operation of the -- Materials will be uninterrupted or error-free, or that defects -- in the Materials will be corrected. Furthermore, Xilinx does -- not warrant or make any representations regarding use, or the -- results of the use, of the Materials in terms of correctness, -- accuracy, reliability or otherwise. -- -- Xilinx products are not designed or intended to be fail-safe, -- or for use in any application requiring fail-safe performance, -- such as life-support or safety devices or systems, Class III -- medical devices, nuclear facilities, applications related to -- the deployment of airbags, or any other applications that could -- lead to death, personal injury or severe property or -- environmental damage (individually and collectively, "critical -- applications"). Customer assumes the sole risk and liability -- of any use of Xilinx products in critical applications, -- subject only to applicable laws and regulations governing -- limitations on product liability. -- -- Copyright 2001, 2002, 2003, 2004, 2008, 2009 Xilinx, Inc. -- All rights reserved. -- -- This disclaimer and copyright notice must be retained as part -- of this file at all times. -- *************************************************************************** -- ------------------------------------------------------------------------------- -- Filename :tc_types.vhd -- Company :Xilinx -- Version :v2.0 -- Description :Type definitions for Timer/Counter -- Standard :VHDL-93 -- ------------------------------------------------------------------------------- -- Structure: -- -- tc_types.vhd ------------------------------------------------------------------------------- -- ^^^^^^ -- Author: BSB -- History: -- BSB 03/18/2010 -- Ceated the version v1.00.a -- ^^^^^^ -- Author: BSB -- History: -- BSB 09/18/2010 -- Ceated the version v1.01.a -- -- axi lite ipif v1.01.a used -- ^^^ ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_cmb" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; ------------------------------------------------------------------------------- --Package Declaration ------------------------------------------------------------------------------- package TC_Types is subtype QUADLET_TYPE is std_logic_vector(0 to 31); subtype ELEVEN_BIT_TYPE is std_logic_vector(21 to 31); subtype TWELVE_BIT_TYPE is std_logic_vector(20 to 31); subtype QUADLET_PLUS1_TYPE is std_logic_vector(0 to 32); subtype BYTE_TYPE is std_logic_vector(0 to 7); subtype ALU_OP_TYPE is std_logic_vector(0 to 1); subtype ADDR_WORD_TYPE is std_logic_vector(0 to 31); subtype BYTE_ENABLE_TYPE is std_logic_vector(0 to 3); subtype DATA_WORD_TYPE is QUADLET_TYPE; subtype INSTRUCTION_WORD_TYPE is QUADLET_TYPE; -- Bus interface data types subtype PLB_DWIDTH_TYPE is QUADLET_TYPE; subtype PLB_AWIDTH_TYPE is QUADLET_TYPE; subtype PLB_BEWIDTH_TYPE is std_logic_vector(0 to 3); subtype BYTE_PLUS1_TYPE is std_logic_vector(0 to 8); subtype NIBBLE_TYPE is std_logic_vector(0 to 3); type TWO_QUADLET_TYPE is array (0 to 1) of QUADLET_TYPE; constant CASC_POS : integer := 20; constant ENALL_POS : integer := 21; constant PWMA0_POS : integer := 22; constant T0INT_POS : integer := 23; constant ENT0_POS : integer := 24; constant ENIT0_POS : integer := 25; constant LOAD0_POS : integer := 26; constant ARHT0_POS : integer := 27; constant CAPT0_POS : integer := 28; constant CMPT0_POS : integer := 29; constant UDT0_POS : integer := 30; constant MDT0_POS : integer := 31; constant PWMB0_POS : integer := 22; constant T1INT_POS : integer := 23; constant ENT1_POS : integer := 24; constant ENIT1_POS : integer := 25; constant LOAD1_POS : integer := 26; constant ARHT1_POS : integer := 27; constant CAPT1_POS : integer := 28; constant CMPT1_POS : integer := 29; constant UDT1_POS : integer := 30; constant MDT1_POS : integer := 31; constant LS_ADDR : std_logic_vector(0 to 1) := "11"; constant NEXT_MSB_BIT : integer := -1; constant NEXT_LSB_BIT : integer := 1; -- The following four constants arer reversed from what's -- in microblaze_isa_be_pkg.vhd constant BYTE_ENABLE_BYTE_0 : natural := 0; constant BYTE_ENABLE_BYTE_1 : natural := 1; constant BYTE_ENABLE_BYTE_2 : natural := 2; constant BYTE_ENABLE_BYTE_3 : natural := 3; end package TC_TYPES;
------------------------------------------------------------------------------- -- TC_TYPES - package ------------------------------------------------------------------------------- -- -- *************************************************************************** -- DISCLAIMER OF LIABILITY -- -- This file contains proprietary and confidential information of -- Xilinx, Inc. ("Xilinx"), that is distributed under a license -- from Xilinx, and may be used, copied and/or disclosed only -- pursuant to the terms of a valid license agreement with Xilinx. -- -- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION -- ("MATERIALS") "AS IS" WITHOUT WARRANTY OF ANY KIND, EITHER -- EXPRESSED, IMPLIED, OR STATUTORY, INCLUDING WITHOUT -- LIMITATION, ANY WARRANTY WITH RESPECT TO NONINFRINGEMENT, -- MERCHANTABILITY OR FITNESS FOR ANY PARTICULAR PURPOSE. Xilinx -- does not warrant that functions included in the Materials will -- meet the requirements of Licensee, or that the operation of the -- Materials will be uninterrupted or error-free, or that defects -- in the Materials will be corrected. Furthermore, Xilinx does -- not warrant or make any representations regarding use, or the -- results of the use, of the Materials in terms of correctness, -- accuracy, reliability or otherwise. -- -- Xilinx products are not designed or intended to be fail-safe, -- or for use in any application requiring fail-safe performance, -- such as life-support or safety devices or systems, Class III -- medical devices, nuclear facilities, applications related to -- the deployment of airbags, or any other applications that could -- lead to death, personal injury or severe property or -- environmental damage (individually and collectively, "critical -- applications"). Customer assumes the sole risk and liability -- of any use of Xilinx products in critical applications, -- subject only to applicable laws and regulations governing -- limitations on product liability. -- -- Copyright 2001, 2002, 2003, 2004, 2008, 2009 Xilinx, Inc. -- All rights reserved. -- -- This disclaimer and copyright notice must be retained as part -- of this file at all times. -- *************************************************************************** -- ------------------------------------------------------------------------------- -- Filename :tc_types.vhd -- Company :Xilinx -- Version :v2.0 -- Description :Type definitions for Timer/Counter -- Standard :VHDL-93 -- ------------------------------------------------------------------------------- -- Structure: -- -- tc_types.vhd ------------------------------------------------------------------------------- -- ^^^^^^ -- Author: BSB -- History: -- BSB 03/18/2010 -- Ceated the version v1.00.a -- ^^^^^^ -- Author: BSB -- History: -- BSB 09/18/2010 -- Ceated the version v1.01.a -- -- axi lite ipif v1.01.a used -- ^^^ ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_cmb" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; ------------------------------------------------------------------------------- --Package Declaration ------------------------------------------------------------------------------- package TC_Types is subtype QUADLET_TYPE is std_logic_vector(0 to 31); subtype ELEVEN_BIT_TYPE is std_logic_vector(21 to 31); subtype TWELVE_BIT_TYPE is std_logic_vector(20 to 31); subtype QUADLET_PLUS1_TYPE is std_logic_vector(0 to 32); subtype BYTE_TYPE is std_logic_vector(0 to 7); subtype ALU_OP_TYPE is std_logic_vector(0 to 1); subtype ADDR_WORD_TYPE is std_logic_vector(0 to 31); subtype BYTE_ENABLE_TYPE is std_logic_vector(0 to 3); subtype DATA_WORD_TYPE is QUADLET_TYPE; subtype INSTRUCTION_WORD_TYPE is QUADLET_TYPE; -- Bus interface data types subtype PLB_DWIDTH_TYPE is QUADLET_TYPE; subtype PLB_AWIDTH_TYPE is QUADLET_TYPE; subtype PLB_BEWIDTH_TYPE is std_logic_vector(0 to 3); subtype BYTE_PLUS1_TYPE is std_logic_vector(0 to 8); subtype NIBBLE_TYPE is std_logic_vector(0 to 3); type TWO_QUADLET_TYPE is array (0 to 1) of QUADLET_TYPE; constant CASC_POS : integer := 20; constant ENALL_POS : integer := 21; constant PWMA0_POS : integer := 22; constant T0INT_POS : integer := 23; constant ENT0_POS : integer := 24; constant ENIT0_POS : integer := 25; constant LOAD0_POS : integer := 26; constant ARHT0_POS : integer := 27; constant CAPT0_POS : integer := 28; constant CMPT0_POS : integer := 29; constant UDT0_POS : integer := 30; constant MDT0_POS : integer := 31; constant PWMB0_POS : integer := 22; constant T1INT_POS : integer := 23; constant ENT1_POS : integer := 24; constant ENIT1_POS : integer := 25; constant LOAD1_POS : integer := 26; constant ARHT1_POS : integer := 27; constant CAPT1_POS : integer := 28; constant CMPT1_POS : integer := 29; constant UDT1_POS : integer := 30; constant MDT1_POS : integer := 31; constant LS_ADDR : std_logic_vector(0 to 1) := "11"; constant NEXT_MSB_BIT : integer := -1; constant NEXT_LSB_BIT : integer := 1; -- The following four constants arer reversed from what's -- in microblaze_isa_be_pkg.vhd constant BYTE_ENABLE_BYTE_0 : natural := 0; constant BYTE_ENABLE_BYTE_1 : natural := 1; constant BYTE_ENABLE_BYTE_2 : natural := 2; constant BYTE_ENABLE_BYTE_3 : natural := 3; end package TC_TYPES;
--! @file symbolizer_tb.vhd --! @brief Symbolizer block testbench --! @author Scott Teal ([email protected]) --! @date 2013-11-05 --! @copyright --! Copyright 2013 Richard Scott Teal, Jr. --! --! Licensed under the Apache License, Version 2.0 (the "License"); you may not --! use this file except in compliance with the License. You may obtain a copy --! of the License at --! --! http://www.apache.org/licenses/LICENSE-2.0 --! --! Unless required by applicable law or agreed to in writing, software --! distributed under the License is distributed on an "AS IS" BASIS, WITHOUT --! WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. See the --! License for the specific language governing permissions and limitations --! under the License. --! Standard IEEE library library ieee; use ieee.std_logic_1164.all; use ieee.math_real.all; use ieee.numeric_std.all; library boostdsp; use boostdsp.fixed_pkg.all; use boostdsp.util_pkg.all; use boostdsp.basic_pkg; entity symbolizer_tb is end entity symbolizer_tb; architecture rtl of symbolizer_tb is constant clk_hp : time := 1 ns; signal clk : std_logic := '1'; signal rst : std_logic := '1'; signal data_in : unsigned(7 downto 0) := (others => '0'); signal data_in_std : std_logic_vector(data_in'range) := (others => '0'); signal busy : std_logic; signal data_valid : std_logic := '0'; signal fetch_symbol : std_logic := '0'; signal symbol_out : std_logic_vector(6 downto 0) := (others => '0'); begin data_in_std <= std_logic_vector(data_in); uut : basic_pkg.symbolizer port map ( clk => clk, rst => rst, data_in => data_in_std, busy => busy, data_valid => data_valid, fetch_symbol => fetch_symbol, symbol_out => symbol_out ); clk_proc : process begin wait for clk_hp; clk <= not clk; end process; rst_proc : process begin wait for clk_hp*4; rst <= '0'; end process; fetch_symbols : process begin wait for clk_hp*4; while(true) loop wait for (clk_hp*2)*12; fetch_symbol <= '1'; wait for (clk_hp*2); fetch_symbol <= '0'; end loop; end process; send_data : process begin wait for clk_hp*4; if busy = '0' then data_in <= data_in + 1; data_valid <= '1'; wait for clk_hp*2; data_valid <= '0'; end if; end process; end rtl;
-- Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2017.2.1 (win64) Build 1957588 Wed Aug 9 16:32:24 MDT 2017 -- Date : Fri Sep 22 17:40:25 2017 -- Host : EffulgentTome running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode synth_stub -rename_top decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix -prefix -- decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix_ zqynq_lab_1_design_processing_system7_0_1_stub.vhdl -- Design : zqynq_lab_1_design_processing_system7_0_1 -- Purpose : Stub declaration of top-level module interface -- Device : xc7z020clg484-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is Port ( TTC0_WAVE0_OUT : out STD_LOGIC; TTC0_WAVE1_OUT : out STD_LOGIC; TTC0_WAVE2_OUT : out STD_LOGIC; USB0_PORT_INDCTL : out STD_LOGIC_VECTOR ( 1 downto 0 ); USB0_VBUS_PWRSELECT : out STD_LOGIC; USB0_VBUS_PWRFAULT : in STD_LOGIC; M_AXI_GP0_ARVALID : out STD_LOGIC; M_AXI_GP0_AWVALID : out STD_LOGIC; M_AXI_GP0_BREADY : out STD_LOGIC; M_AXI_GP0_RREADY : out STD_LOGIC; M_AXI_GP0_WLAST : out STD_LOGIC; M_AXI_GP0_WVALID : out STD_LOGIC; M_AXI_GP0_ARID : out STD_LOGIC_VECTOR ( 11 downto 0 ); M_AXI_GP0_AWID : out STD_LOGIC_VECTOR ( 11 downto 0 ); M_AXI_GP0_WID : out STD_LOGIC_VECTOR ( 11 downto 0 ); M_AXI_GP0_ARBURST : out STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_GP0_ARLOCK : out STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_GP0_ARSIZE : out STD_LOGIC_VECTOR ( 2 downto 0 ); M_AXI_GP0_AWBURST : out STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_GP0_AWLOCK : out STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_GP0_AWSIZE : out STD_LOGIC_VECTOR ( 2 downto 0 ); M_AXI_GP0_ARPROT : out STD_LOGIC_VECTOR ( 2 downto 0 ); M_AXI_GP0_AWPROT : out STD_LOGIC_VECTOR ( 2 downto 0 ); M_AXI_GP0_ARADDR : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_GP0_AWADDR : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_GP0_WDATA : out STD_LOGIC_VECTOR ( 31 downto 0 ); M_AXI_GP0_ARCACHE : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP0_ARLEN : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP0_ARQOS : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP0_AWCACHE : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP0_AWLEN : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP0_AWQOS : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP0_WSTRB : out STD_LOGIC_VECTOR ( 3 downto 0 ); M_AXI_GP0_ACLK : in STD_LOGIC; M_AXI_GP0_ARREADY : in STD_LOGIC; M_AXI_GP0_AWREADY : in STD_LOGIC; M_AXI_GP0_BVALID : in STD_LOGIC; M_AXI_GP0_RLAST : in STD_LOGIC; M_AXI_GP0_RVALID : in STD_LOGIC; M_AXI_GP0_WREADY : in STD_LOGIC; M_AXI_GP0_BID : in STD_LOGIC_VECTOR ( 11 downto 0 ); M_AXI_GP0_RID : in STD_LOGIC_VECTOR ( 11 downto 0 ); M_AXI_GP0_BRESP : in STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_GP0_RRESP : in STD_LOGIC_VECTOR ( 1 downto 0 ); M_AXI_GP0_RDATA : in STD_LOGIC_VECTOR ( 31 downto 0 ); IRQ_F2P : in STD_LOGIC_VECTOR ( 1 downto 0 ); FCLK_CLK0 : out STD_LOGIC; FCLK_RESET0_N : out STD_LOGIC; MIO : inout STD_LOGIC_VECTOR ( 53 downto 0 ); DDR_CAS_n : inout STD_LOGIC; DDR_CKE : inout STD_LOGIC; DDR_Clk_n : inout STD_LOGIC; DDR_Clk : inout STD_LOGIC; DDR_CS_n : inout STD_LOGIC; DDR_DRSTB : inout STD_LOGIC; DDR_ODT : inout STD_LOGIC; DDR_RAS_n : inout STD_LOGIC; DDR_WEB : inout STD_LOGIC; DDR_BankAddr : inout STD_LOGIC_VECTOR ( 2 downto 0 ); DDR_Addr : inout STD_LOGIC_VECTOR ( 14 downto 0 ); DDR_VRN : inout STD_LOGIC; DDR_VRP : inout STD_LOGIC; DDR_DM : inout STD_LOGIC_VECTOR ( 3 downto 0 ); DDR_DQ : inout STD_LOGIC_VECTOR ( 31 downto 0 ); DDR_DQS_n : inout STD_LOGIC_VECTOR ( 3 downto 0 ); DDR_DQS : inout STD_LOGIC_VECTOR ( 3 downto 0 ); PS_SRSTB : inout STD_LOGIC; PS_CLK : inout STD_LOGIC; PS_PORB : inout STD_LOGIC ); end decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix; architecture stub of decalper_eb_ot_sdeen_pot_pi_dehcac_xnilix is attribute syn_black_box : boolean; attribute black_box_pad_pin : string; attribute syn_black_box of stub : architecture is true; attribute black_box_pad_pin of stub : architecture is "TTC0_WAVE0_OUT,TTC0_WAVE1_OUT,TTC0_WAVE2_OUT,USB0_PORT_INDCTL[1:0],USB0_VBUS_PWRSELECT,USB0_VBUS_PWRFAULT,M_AXI_GP0_ARVALID,M_AXI_GP0_AWVALID,M_AXI_GP0_BREADY,M_AXI_GP0_RREADY,M_AXI_GP0_WLAST,M_AXI_GP0_WVALID,M_AXI_GP0_ARID[11:0],M_AXI_GP0_AWID[11:0],M_AXI_GP0_WID[11:0],M_AXI_GP0_ARBURST[1:0],M_AXI_GP0_ARLOCK[1:0],M_AXI_GP0_ARSIZE[2:0],M_AXI_GP0_AWBURST[1:0],M_AXI_GP0_AWLOCK[1:0],M_AXI_GP0_AWSIZE[2:0],M_AXI_GP0_ARPROT[2:0],M_AXI_GP0_AWPROT[2:0],M_AXI_GP0_ARADDR[31:0],M_AXI_GP0_AWADDR[31:0],M_AXI_GP0_WDATA[31:0],M_AXI_GP0_ARCACHE[3:0],M_AXI_GP0_ARLEN[3:0],M_AXI_GP0_ARQOS[3:0],M_AXI_GP0_AWCACHE[3:0],M_AXI_GP0_AWLEN[3:0],M_AXI_GP0_AWQOS[3:0],M_AXI_GP0_WSTRB[3:0],M_AXI_GP0_ACLK,M_AXI_GP0_ARREADY,M_AXI_GP0_AWREADY,M_AXI_GP0_BVALID,M_AXI_GP0_RLAST,M_AXI_GP0_RVALID,M_AXI_GP0_WREADY,M_AXI_GP0_BID[11:0],M_AXI_GP0_RID[11:0],M_AXI_GP0_BRESP[1:0],M_AXI_GP0_RRESP[1:0],M_AXI_GP0_RDATA[31:0],IRQ_F2P[1:0],FCLK_CLK0,FCLK_RESET0_N,MIO[53:0],DDR_CAS_n,DDR_CKE,DDR_Clk_n,DDR_Clk,DDR_CS_n,DDR_DRSTB,DDR_ODT,DDR_RAS_n,DDR_WEB,DDR_BankAddr[2:0],DDR_Addr[14:0],DDR_VRN,DDR_VRP,DDR_DM[3:0],DDR_DQ[31:0],DDR_DQS_n[3:0],DDR_DQS[3:0],PS_SRSTB,PS_CLK,PS_PORB"; attribute X_CORE_INFO : string; attribute X_CORE_INFO of stub : architecture is "processing_system7_v5_5_processing_system7,Vivado 2017.2.1"; begin end;
-- Copyright (C) 1996 Morgan Kaufmann Publishers, Inc -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: ch_16_fg_16_09.vhd,v 1.2 2001-10-26 16:29:36 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- entity latch is generic ( width : positive ); port ( enable : in bit; d : in bit_vector(0 to width - 1); q : out bit_vector(0 to width - 1) ); end entity latch; -------------------------------------------------- architecture behavioral of latch is begin transfer_control : block ( enable = '1' ) is begin q <= guarded d; end block transfer_control; end architecture behavioral; -- not in book entity fg_16_09 is end entity fg_16_09; architecture test of fg_16_09 is signal enable : bit := '0'; signal d, q : bit_vector(0 to 7); begin dut : entity work.latch(behavioral) generic map ( width => 8 ) port map ( enable => enable, d => d, q => q ); stimulus : process is begin wait for 10 ns; d <= X"11"; wait for 10 ns; enable <= '1'; wait for 10 ns; d <= X"AA"; wait for 10 ns; enable <= '0'; wait for 10 ns; d <= X"00"; wait for 10 ns; wait; end process stimulus; end architecture test; -- end not in book
-- Copyright (C) 1996 Morgan Kaufmann Publishers, Inc -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: ch_16_fg_16_09.vhd,v 1.2 2001-10-26 16:29:36 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- entity latch is generic ( width : positive ); port ( enable : in bit; d : in bit_vector(0 to width - 1); q : out bit_vector(0 to width - 1) ); end entity latch; -------------------------------------------------- architecture behavioral of latch is begin transfer_control : block ( enable = '1' ) is begin q <= guarded d; end block transfer_control; end architecture behavioral; -- not in book entity fg_16_09 is end entity fg_16_09; architecture test of fg_16_09 is signal enable : bit := '0'; signal d, q : bit_vector(0 to 7); begin dut : entity work.latch(behavioral) generic map ( width => 8 ) port map ( enable => enable, d => d, q => q ); stimulus : process is begin wait for 10 ns; d <= X"11"; wait for 10 ns; enable <= '1'; wait for 10 ns; d <= X"AA"; wait for 10 ns; enable <= '0'; wait for 10 ns; d <= X"00"; wait for 10 ns; wait; end process stimulus; end architecture test; -- end not in book
-- Copyright (C) 1996 Morgan Kaufmann Publishers, Inc -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: ch_16_fg_16_09.vhd,v 1.2 2001-10-26 16:29:36 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- entity latch is generic ( width : positive ); port ( enable : in bit; d : in bit_vector(0 to width - 1); q : out bit_vector(0 to width - 1) ); end entity latch; -------------------------------------------------- architecture behavioral of latch is begin transfer_control : block ( enable = '1' ) is begin q <= guarded d; end block transfer_control; end architecture behavioral; -- not in book entity fg_16_09 is end entity fg_16_09; architecture test of fg_16_09 is signal enable : bit := '0'; signal d, q : bit_vector(0 to 7); begin dut : entity work.latch(behavioral) generic map ( width => 8 ) port map ( enable => enable, d => d, q => q ); stimulus : process is begin wait for 10 ns; d <= X"11"; wait for 10 ns; enable <= '1'; wait for 10 ns; d <= X"AA"; wait for 10 ns; enable <= '0'; wait for 10 ns; d <= X"00"; wait for 10 ns; wait; end process stimulus; end architecture test; -- end not in book
--***************************************************************************** -- (c) Copyright 2009 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- --***************************************************************************** -- ____ ____ -- / /\/ / -- /___/ \ / Vendor : Xilinx -- \ \ \/ Version : 3.9 -- \ \ Application : MIG -- / / Filename : sim_tb_top.vhd -- /___/ /\ Date Last Modified : $Date: 2011/06/02 07:16:58 $ -- \ \ / \ Date Created : Jul 03 2009 -- \___\/\___\ -- -- Device : Spartan-6 -- Design Name : DDR/DDR2/DDR3/LPDDR -- Purpose : This is the simulation testbench which is used to verify the -- design. The basic clocks and resets to the interface are -- generated here. This also connects the memory interface to the -- memory model. --***************************************************************************** library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library unisim; use unisim.vcomponents.all; entity sim_tb_top is end entity sim_tb_top; architecture arch of sim_tb_top is -- ========================================================================== -- -- Parameters -- -- ========================================================================== -- constant DEBUG_EN : integer :=0; constant C3_HW_TESTING : string := "FALSE"; function c3_sim_hw (val1:std_logic_vector( 31 downto 0); val2: std_logic_vector( 31 downto 0) ) return std_logic_vector is begin if (C3_HW_TESTING = "FALSE") then return val1; else return val2; end if; end function; constant C3_MEMCLK_PERIOD : integer := 3000; constant C3_RST_ACT_LOW : integer := 0; constant C3_INPUT_CLK_TYPE : string := "SINGLE_ENDED"; constant C3_CLK_PERIOD_NS : real := 3000.0 / 1000.0; constant C3_TCYC_SYS : real := C3_CLK_PERIOD_NS/2.0; constant C3_TCYC_SYS_DIV2 : time := C3_TCYC_SYS * 1 ns; constant C3_NUM_DQ_PINS : integer := 16; constant C3_MEM_ADDR_WIDTH : integer := 14; constant C3_MEM_BANKADDR_WIDTH : integer := 3; constant C3_MEM_ADDR_ORDER : string := "ROW_BANK_COLUMN"; constant C3_P0_MASK_SIZE : integer := 4; constant C3_P0_DATA_PORT_SIZE : integer := 32; constant C3_P1_MASK_SIZE : integer := 4; constant C3_P1_DATA_PORT_SIZE : integer := 32; constant C3_CALIB_SOFT_IP : string := "TRUE"; constant C3_SIMULATION : string := "TRUE"; -- ========================================================================== -- -- Component Declarations -- ========================================================================== -- component example_top is generic ( C3_P0_MASK_SIZE : integer; C3_P0_DATA_PORT_SIZE : integer; C3_P1_MASK_SIZE : integer; C3_P1_DATA_PORT_SIZE : integer; C3_MEMCLK_PERIOD : integer; C3_RST_ACT_LOW : integer; C3_INPUT_CLK_TYPE : string; DEBUG_EN : integer; C3_CALIB_SOFT_IP : string; C3_SIMULATION : string; C3_HW_TESTING : string; C3_MEM_ADDR_ORDER : string; C3_NUM_DQ_PINS : integer; C3_MEM_ADDR_WIDTH : integer; C3_MEM_BANKADDR_WIDTH : integer ); port ( calib_done : out std_logic; error : out std_logic; mcb3_dram_dq : inout std_logic_vector(C3_NUM_DQ_PINS-1 downto 0); mcb3_dram_a : out std_logic_vector(C3_MEM_ADDR_WIDTH-1 downto 0); mcb3_dram_ba : out std_logic_vector(C3_MEM_BANKADDR_WIDTH-1 downto 0); mcb3_dram_ras_n : out std_logic; mcb3_dram_cas_n : out std_logic; mcb3_dram_we_n : out std_logic; mcb3_dram_odt : out std_logic; mcb3_dram_cke : out std_logic; mcb3_dram_dm : out std_logic; mcb3_rzq : inout std_logic; c3_sys_clk : in std_logic; c3_sys_rst_i : in std_logic; mcb3_dram_dqs : inout std_logic; mcb3_dram_dqs_n : inout std_logic; mcb3_dram_ck : out std_logic; mcb3_dram_ck_n : out std_logic; mcb3_dram_udqs : inout std_logic; mcb3_dram_udqs_n : inout std_logic; mcb3_dram_udm : out std_logic; mcb3_dram_reset_n : out std_logic ); end component; component ddr3_model_c3 is port ( ck : in std_logic; ck_n : in std_logic; cke : in std_logic; cs_n : in std_logic; ras_n : in std_logic; cas_n : in std_logic; we_n : in std_logic; dm_tdqs : inout std_logic_vector((C3_NUM_DQ_PINS/16) downto 0); ba : in std_logic_vector((C3_MEM_BANKADDR_WIDTH - 1) downto 0); addr : in std_logic_vector((C3_MEM_ADDR_WIDTH - 1) downto 0); dq : inout std_logic_vector((C3_NUM_DQ_PINS - 1) downto 0); dqs : inout std_logic_vector((C3_NUM_DQ_PINS/16) downto 0); dqs_n : inout std_logic_vector((C3_NUM_DQ_PINS/16) downto 0); tdqs_n : out std_logic_vector((C3_NUM_DQ_PINS/16) downto 0); odt : in std_logic; rst_n : in std_logic ); end component; -- ========================================================================== -- -- Signal Declarations -- -- ========================================================================== -- -- Clocks signal c3_sys_clk : std_logic := '0'; signal c3_sys_clk_p : std_logic; signal c3_sys_clk_n : std_logic; -- System Reset signal c3_sys_rst : std_logic := '0'; signal c3_sys_rst_i : std_logic; -- Design-Top Port Map signal mcb3_dram_a : std_logic_vector(C3_MEM_ADDR_WIDTH-1 downto 0); signal mcb3_dram_ba : std_logic_vector(C3_MEM_BANKADDR_WIDTH-1 downto 0); signal mcb3_dram_ck : std_logic; signal mcb3_dram_ck_n : std_logic; signal mcb3_dram_dq : std_logic_vector(C3_NUM_DQ_PINS-1 downto 0); signal mcb3_dram_dqs : std_logic; signal mcb3_dram_dqs_n : std_logic; signal mcb3_dram_dm : std_logic; signal mcb3_dram_ras_n : std_logic; signal mcb3_dram_cas_n : std_logic; signal mcb3_dram_we_n : std_logic; signal mcb3_dram_cke : std_logic; signal mcb3_dram_odt : std_logic; signal mcb3_dram_reset_n : std_logic; signal calib_done : std_logic; signal error : std_logic; signal mcb3_dram_udqs : std_logic; signal mcb3_dram_udqs_n : std_logic; signal mcb3_dram_dqs_vector : std_logic_vector(1 downto 0); signal mcb3_dram_dqs_n_vector : std_logic_vector(1 downto 0); signal mcb3_dram_udm :std_logic; -- for X16 parts signal mcb3_dram_dm_vector : std_logic_vector(1 downto 0); signal mcb3_command : std_logic_vector(2 downto 0); signal mcb3_enable1 : std_logic; signal mcb3_enable2 : std_logic; signal rzq3 : std_logic; function vector (asi:std_logic) return std_logic_vector is variable v : std_logic_vector(0 downto 0) ; begin v(0) := asi; return(v); end function vector; begin -- ========================================================================== -- -- Clocks Generation -- -- ========================================================================== -- process begin c3_sys_clk <= not c3_sys_clk; wait for (C3_TCYC_SYS_DIV2); end process; c3_sys_clk_p <= c3_sys_clk; c3_sys_clk_n <= not c3_sys_clk; -- ========================================================================== -- -- Reset Generation -- -- ========================================================================== -- process begin c3_sys_rst <= '0'; wait for 200 ns; c3_sys_rst <= '1'; wait; end process; c3_sys_rst_i <= c3_sys_rst when (C3_RST_ACT_LOW = 1) else (not c3_sys_rst); rzq_pulldown3 : PULLDOWN port map(O => rzq3); -- ========================================================================== -- -- DESIGN TOP INSTANTIATION -- -- ========================================================================== -- design_top : example_top generic map ( C3_P0_MASK_SIZE => C3_P0_MASK_SIZE, C3_P0_DATA_PORT_SIZE => C3_P0_DATA_PORT_SIZE, C3_P1_MASK_SIZE => C3_P1_MASK_SIZE, C3_P1_DATA_PORT_SIZE => C3_P1_DATA_PORT_SIZE, C3_MEMCLK_PERIOD => C3_MEMCLK_PERIOD, C3_RST_ACT_LOW => C3_RST_ACT_LOW, C3_INPUT_CLK_TYPE => C3_INPUT_CLK_TYPE, DEBUG_EN => DEBUG_EN, C3_MEM_ADDR_ORDER => C3_MEM_ADDR_ORDER, C3_NUM_DQ_PINS => C3_NUM_DQ_PINS, C3_MEM_ADDR_WIDTH => C3_MEM_ADDR_WIDTH, C3_MEM_BANKADDR_WIDTH => C3_MEM_BANKADDR_WIDTH, C3_HW_TESTING => C3_HW_TESTING, C3_SIMULATION => C3_SIMULATION, C3_CALIB_SOFT_IP => C3_CALIB_SOFT_IP ) port map ( calib_done => calib_done, error => error, c3_sys_clk => c3_sys_clk, c3_sys_rst_i => c3_sys_rst_i, mcb3_dram_dq => mcb3_dram_dq, mcb3_dram_a => mcb3_dram_a, mcb3_dram_ba => mcb3_dram_ba, mcb3_dram_ras_n => mcb3_dram_ras_n, mcb3_dram_cas_n => mcb3_dram_cas_n, mcb3_dram_we_n => mcb3_dram_we_n, mcb3_dram_odt => mcb3_dram_odt, mcb3_dram_cke => mcb3_dram_cke, mcb3_dram_ck => mcb3_dram_ck, mcb3_dram_ck_n => mcb3_dram_ck_n, mcb3_dram_dqs_n => mcb3_dram_dqs_n, mcb3_dram_reset_n => mcb3_dram_reset_n, mcb3_dram_udqs => mcb3_dram_udqs, -- for X16 parts mcb3_dram_udqs_n => mcb3_dram_udqs_n, -- for X16 parts mcb3_dram_udm => mcb3_dram_udm, -- for X16 parts mcb3_dram_dm => mcb3_dram_dm, mcb3_rzq => rzq3, mcb3_dram_dqs => mcb3_dram_dqs ); -- ========================================================================== -- -- Memory model instances -- -- ========================================================================== -- mcb3_command <= (mcb3_dram_ras_n & mcb3_dram_cas_n & mcb3_dram_we_n); process(mcb3_dram_ck) begin if (rising_edge(mcb3_dram_ck)) then if (c3_sys_rst = '0') then mcb3_enable1 <= '0'; mcb3_enable2 <= '0'; elsif (mcb3_command = "100") then mcb3_enable2 <= '0'; elsif (mcb3_command = "101") then mcb3_enable2 <= '1'; else mcb3_enable2 <= mcb3_enable2; end if; mcb3_enable1 <= mcb3_enable2; end if; end process; ----------------------------------------------------------------------------- --read ----------------------------------------------------------------------------- mcb3_dram_dqs_vector(1 downto 0) <= (mcb3_dram_udqs & mcb3_dram_dqs) when (mcb3_enable2 = '0' and mcb3_enable1 = '0') else "ZZ"; mcb3_dram_dqs_n_vector(1 downto 0) <= (mcb3_dram_udqs_n & mcb3_dram_dqs_n) when (mcb3_enable2 = '0' and mcb3_enable1 = '0') else "ZZ"; ----------------------------------------------------------------------------- --write ----------------------------------------------------------------------------- mcb3_dram_dqs <= mcb3_dram_dqs_vector(0) when ( mcb3_enable1 = '1') else 'Z'; mcb3_dram_udqs <= mcb3_dram_dqs_vector(1) when (mcb3_enable1 = '1') else 'Z'; mcb3_dram_dqs_n <= mcb3_dram_dqs_n_vector(0) when (mcb3_enable1 = '1') else 'Z'; mcb3_dram_udqs_n <= mcb3_dram_dqs_n_vector(1) when (mcb3_enable1 = '1') else 'Z'; mcb3_dram_dm_vector <= (mcb3_dram_udm & mcb3_dram_dm); u_mem_c3 : ddr3_model_c3 port map ( ck => mcb3_dram_ck, ck_n => mcb3_dram_ck_n, cke => mcb3_dram_cke, cs_n => '0', ras_n => mcb3_dram_ras_n, cas_n => mcb3_dram_cas_n, we_n => mcb3_dram_we_n, dm_tdqs => mcb3_dram_dm_vector, ba => mcb3_dram_ba, addr => mcb3_dram_a, dq => mcb3_dram_dq, dqs => mcb3_dram_dqs_vector, dqs_n => mcb3_dram_dqs_n_vector, tdqs_n => open, odt => mcb3_dram_odt, rst_n => mcb3_dram_reset_n ); ----------------------------------------------------------------------------- -- Reporting the test case status ----------------------------------------------------------------------------- Logging: process begin wait for 200 us; if (calib_done = '1') then if (error = '0') then report ("****TEST PASSED****"); else report ("****TEST FAILED: DATA ERROR****"); end if; else report ("****TEST FAILED: INITIALIZATION DID NOT COMPLETE****"); end if; end process; end architecture;
--***************************************************************************** -- (c) Copyright 2009 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- --***************************************************************************** -- ____ ____ -- / /\/ / -- /___/ \ / Vendor : Xilinx -- \ \ \/ Version : 3.9 -- \ \ Application : MIG -- / / Filename : sim_tb_top.vhd -- /___/ /\ Date Last Modified : $Date: 2011/06/02 07:16:58 $ -- \ \ / \ Date Created : Jul 03 2009 -- \___\/\___\ -- -- Device : Spartan-6 -- Design Name : DDR/DDR2/DDR3/LPDDR -- Purpose : This is the simulation testbench which is used to verify the -- design. The basic clocks and resets to the interface are -- generated here. This also connects the memory interface to the -- memory model. --***************************************************************************** library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library unisim; use unisim.vcomponents.all; entity sim_tb_top is end entity sim_tb_top; architecture arch of sim_tb_top is -- ========================================================================== -- -- Parameters -- -- ========================================================================== -- constant DEBUG_EN : integer :=0; constant C3_HW_TESTING : string := "FALSE"; function c3_sim_hw (val1:std_logic_vector( 31 downto 0); val2: std_logic_vector( 31 downto 0) ) return std_logic_vector is begin if (C3_HW_TESTING = "FALSE") then return val1; else return val2; end if; end function; constant C3_MEMCLK_PERIOD : integer := 3000; constant C3_RST_ACT_LOW : integer := 0; constant C3_INPUT_CLK_TYPE : string := "SINGLE_ENDED"; constant C3_CLK_PERIOD_NS : real := 3000.0 / 1000.0; constant C3_TCYC_SYS : real := C3_CLK_PERIOD_NS/2.0; constant C3_TCYC_SYS_DIV2 : time := C3_TCYC_SYS * 1 ns; constant C3_NUM_DQ_PINS : integer := 16; constant C3_MEM_ADDR_WIDTH : integer := 14; constant C3_MEM_BANKADDR_WIDTH : integer := 3; constant C3_MEM_ADDR_ORDER : string := "ROW_BANK_COLUMN"; constant C3_P0_MASK_SIZE : integer := 4; constant C3_P0_DATA_PORT_SIZE : integer := 32; constant C3_P1_MASK_SIZE : integer := 4; constant C3_P1_DATA_PORT_SIZE : integer := 32; constant C3_CALIB_SOFT_IP : string := "TRUE"; constant C3_SIMULATION : string := "TRUE"; -- ========================================================================== -- -- Component Declarations -- ========================================================================== -- component example_top is generic ( C3_P0_MASK_SIZE : integer; C3_P0_DATA_PORT_SIZE : integer; C3_P1_MASK_SIZE : integer; C3_P1_DATA_PORT_SIZE : integer; C3_MEMCLK_PERIOD : integer; C3_RST_ACT_LOW : integer; C3_INPUT_CLK_TYPE : string; DEBUG_EN : integer; C3_CALIB_SOFT_IP : string; C3_SIMULATION : string; C3_HW_TESTING : string; C3_MEM_ADDR_ORDER : string; C3_NUM_DQ_PINS : integer; C3_MEM_ADDR_WIDTH : integer; C3_MEM_BANKADDR_WIDTH : integer ); port ( calib_done : out std_logic; error : out std_logic; mcb3_dram_dq : inout std_logic_vector(C3_NUM_DQ_PINS-1 downto 0); mcb3_dram_a : out std_logic_vector(C3_MEM_ADDR_WIDTH-1 downto 0); mcb3_dram_ba : out std_logic_vector(C3_MEM_BANKADDR_WIDTH-1 downto 0); mcb3_dram_ras_n : out std_logic; mcb3_dram_cas_n : out std_logic; mcb3_dram_we_n : out std_logic; mcb3_dram_odt : out std_logic; mcb3_dram_cke : out std_logic; mcb3_dram_dm : out std_logic; mcb3_rzq : inout std_logic; c3_sys_clk : in std_logic; c3_sys_rst_i : in std_logic; mcb3_dram_dqs : inout std_logic; mcb3_dram_dqs_n : inout std_logic; mcb3_dram_ck : out std_logic; mcb3_dram_ck_n : out std_logic; mcb3_dram_udqs : inout std_logic; mcb3_dram_udqs_n : inout std_logic; mcb3_dram_udm : out std_logic; mcb3_dram_reset_n : out std_logic ); end component; component ddr3_model_c3 is port ( ck : in std_logic; ck_n : in std_logic; cke : in std_logic; cs_n : in std_logic; ras_n : in std_logic; cas_n : in std_logic; we_n : in std_logic; dm_tdqs : inout std_logic_vector((C3_NUM_DQ_PINS/16) downto 0); ba : in std_logic_vector((C3_MEM_BANKADDR_WIDTH - 1) downto 0); addr : in std_logic_vector((C3_MEM_ADDR_WIDTH - 1) downto 0); dq : inout std_logic_vector((C3_NUM_DQ_PINS - 1) downto 0); dqs : inout std_logic_vector((C3_NUM_DQ_PINS/16) downto 0); dqs_n : inout std_logic_vector((C3_NUM_DQ_PINS/16) downto 0); tdqs_n : out std_logic_vector((C3_NUM_DQ_PINS/16) downto 0); odt : in std_logic; rst_n : in std_logic ); end component; -- ========================================================================== -- -- Signal Declarations -- -- ========================================================================== -- -- Clocks signal c3_sys_clk : std_logic := '0'; signal c3_sys_clk_p : std_logic; signal c3_sys_clk_n : std_logic; -- System Reset signal c3_sys_rst : std_logic := '0'; signal c3_sys_rst_i : std_logic; -- Design-Top Port Map signal mcb3_dram_a : std_logic_vector(C3_MEM_ADDR_WIDTH-1 downto 0); signal mcb3_dram_ba : std_logic_vector(C3_MEM_BANKADDR_WIDTH-1 downto 0); signal mcb3_dram_ck : std_logic; signal mcb3_dram_ck_n : std_logic; signal mcb3_dram_dq : std_logic_vector(C3_NUM_DQ_PINS-1 downto 0); signal mcb3_dram_dqs : std_logic; signal mcb3_dram_dqs_n : std_logic; signal mcb3_dram_dm : std_logic; signal mcb3_dram_ras_n : std_logic; signal mcb3_dram_cas_n : std_logic; signal mcb3_dram_we_n : std_logic; signal mcb3_dram_cke : std_logic; signal mcb3_dram_odt : std_logic; signal mcb3_dram_reset_n : std_logic; signal calib_done : std_logic; signal error : std_logic; signal mcb3_dram_udqs : std_logic; signal mcb3_dram_udqs_n : std_logic; signal mcb3_dram_dqs_vector : std_logic_vector(1 downto 0); signal mcb3_dram_dqs_n_vector : std_logic_vector(1 downto 0); signal mcb3_dram_udm :std_logic; -- for X16 parts signal mcb3_dram_dm_vector : std_logic_vector(1 downto 0); signal mcb3_command : std_logic_vector(2 downto 0); signal mcb3_enable1 : std_logic; signal mcb3_enable2 : std_logic; signal rzq3 : std_logic; function vector (asi:std_logic) return std_logic_vector is variable v : std_logic_vector(0 downto 0) ; begin v(0) := asi; return(v); end function vector; begin -- ========================================================================== -- -- Clocks Generation -- -- ========================================================================== -- process begin c3_sys_clk <= not c3_sys_clk; wait for (C3_TCYC_SYS_DIV2); end process; c3_sys_clk_p <= c3_sys_clk; c3_sys_clk_n <= not c3_sys_clk; -- ========================================================================== -- -- Reset Generation -- -- ========================================================================== -- process begin c3_sys_rst <= '0'; wait for 200 ns; c3_sys_rst <= '1'; wait; end process; c3_sys_rst_i <= c3_sys_rst when (C3_RST_ACT_LOW = 1) else (not c3_sys_rst); rzq_pulldown3 : PULLDOWN port map(O => rzq3); -- ========================================================================== -- -- DESIGN TOP INSTANTIATION -- -- ========================================================================== -- design_top : example_top generic map ( C3_P0_MASK_SIZE => C3_P0_MASK_SIZE, C3_P0_DATA_PORT_SIZE => C3_P0_DATA_PORT_SIZE, C3_P1_MASK_SIZE => C3_P1_MASK_SIZE, C3_P1_DATA_PORT_SIZE => C3_P1_DATA_PORT_SIZE, C3_MEMCLK_PERIOD => C3_MEMCLK_PERIOD, C3_RST_ACT_LOW => C3_RST_ACT_LOW, C3_INPUT_CLK_TYPE => C3_INPUT_CLK_TYPE, DEBUG_EN => DEBUG_EN, C3_MEM_ADDR_ORDER => C3_MEM_ADDR_ORDER, C3_NUM_DQ_PINS => C3_NUM_DQ_PINS, C3_MEM_ADDR_WIDTH => C3_MEM_ADDR_WIDTH, C3_MEM_BANKADDR_WIDTH => C3_MEM_BANKADDR_WIDTH, C3_HW_TESTING => C3_HW_TESTING, C3_SIMULATION => C3_SIMULATION, C3_CALIB_SOFT_IP => C3_CALIB_SOFT_IP ) port map ( calib_done => calib_done, error => error, c3_sys_clk => c3_sys_clk, c3_sys_rst_i => c3_sys_rst_i, mcb3_dram_dq => mcb3_dram_dq, mcb3_dram_a => mcb3_dram_a, mcb3_dram_ba => mcb3_dram_ba, mcb3_dram_ras_n => mcb3_dram_ras_n, mcb3_dram_cas_n => mcb3_dram_cas_n, mcb3_dram_we_n => mcb3_dram_we_n, mcb3_dram_odt => mcb3_dram_odt, mcb3_dram_cke => mcb3_dram_cke, mcb3_dram_ck => mcb3_dram_ck, mcb3_dram_ck_n => mcb3_dram_ck_n, mcb3_dram_dqs_n => mcb3_dram_dqs_n, mcb3_dram_reset_n => mcb3_dram_reset_n, mcb3_dram_udqs => mcb3_dram_udqs, -- for X16 parts mcb3_dram_udqs_n => mcb3_dram_udqs_n, -- for X16 parts mcb3_dram_udm => mcb3_dram_udm, -- for X16 parts mcb3_dram_dm => mcb3_dram_dm, mcb3_rzq => rzq3, mcb3_dram_dqs => mcb3_dram_dqs ); -- ========================================================================== -- -- Memory model instances -- -- ========================================================================== -- mcb3_command <= (mcb3_dram_ras_n & mcb3_dram_cas_n & mcb3_dram_we_n); process(mcb3_dram_ck) begin if (rising_edge(mcb3_dram_ck)) then if (c3_sys_rst = '0') then mcb3_enable1 <= '0'; mcb3_enable2 <= '0'; elsif (mcb3_command = "100") then mcb3_enable2 <= '0'; elsif (mcb3_command = "101") then mcb3_enable2 <= '1'; else mcb3_enable2 <= mcb3_enable2; end if; mcb3_enable1 <= mcb3_enable2; end if; end process; ----------------------------------------------------------------------------- --read ----------------------------------------------------------------------------- mcb3_dram_dqs_vector(1 downto 0) <= (mcb3_dram_udqs & mcb3_dram_dqs) when (mcb3_enable2 = '0' and mcb3_enable1 = '0') else "ZZ"; mcb3_dram_dqs_n_vector(1 downto 0) <= (mcb3_dram_udqs_n & mcb3_dram_dqs_n) when (mcb3_enable2 = '0' and mcb3_enable1 = '0') else "ZZ"; ----------------------------------------------------------------------------- --write ----------------------------------------------------------------------------- mcb3_dram_dqs <= mcb3_dram_dqs_vector(0) when ( mcb3_enable1 = '1') else 'Z'; mcb3_dram_udqs <= mcb3_dram_dqs_vector(1) when (mcb3_enable1 = '1') else 'Z'; mcb3_dram_dqs_n <= mcb3_dram_dqs_n_vector(0) when (mcb3_enable1 = '1') else 'Z'; mcb3_dram_udqs_n <= mcb3_dram_dqs_n_vector(1) when (mcb3_enable1 = '1') else 'Z'; mcb3_dram_dm_vector <= (mcb3_dram_udm & mcb3_dram_dm); u_mem_c3 : ddr3_model_c3 port map ( ck => mcb3_dram_ck, ck_n => mcb3_dram_ck_n, cke => mcb3_dram_cke, cs_n => '0', ras_n => mcb3_dram_ras_n, cas_n => mcb3_dram_cas_n, we_n => mcb3_dram_we_n, dm_tdqs => mcb3_dram_dm_vector, ba => mcb3_dram_ba, addr => mcb3_dram_a, dq => mcb3_dram_dq, dqs => mcb3_dram_dqs_vector, dqs_n => mcb3_dram_dqs_n_vector, tdqs_n => open, odt => mcb3_dram_odt, rst_n => mcb3_dram_reset_n ); ----------------------------------------------------------------------------- -- Reporting the test case status ----------------------------------------------------------------------------- Logging: process begin wait for 200 us; if (calib_done = '1') then if (error = '0') then report ("****TEST PASSED****"); else report ("****TEST FAILED: DATA ERROR****"); end if; else report ("****TEST FAILED: INITIALIZATION DID NOT COMPLETE****"); end if; end process; end architecture;
entity foo is end; architecture bar of foo is constant A : std.standard.SEVERITY_LEVEL; constant B : SEVERITY_LEVEL := NOTE; constant C : SEVERITY_LEVEL := WARNING; constant D : SEVERITY_LEVEL := ERROR; constant E : SEVERITY_LEVEL := FAILURE; begin end;
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:user:vga_split_controller:1.0 -- IP Revision: 4 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; ENTITY system_vga_split_controller_0_0 IS PORT ( rgb_0 : IN STD_LOGIC_VECTOR(15 DOWNTO 0); rgb_1 : IN STD_LOGIC_VECTOR(15 DOWNTO 0); clock : IN STD_LOGIC; hsync : IN STD_LOGIC; rgb : OUT STD_LOGIC_VECTOR(15 DOWNTO 0) ); END system_vga_split_controller_0_0; ARCHITECTURE system_vga_split_controller_0_0_arch OF system_vga_split_controller_0_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF system_vga_split_controller_0_0_arch: ARCHITECTURE IS "yes"; COMPONENT vga_split_controller IS GENERIC ( HALF_ROW : INTEGER ); PORT ( rgb_0 : IN STD_LOGIC_VECTOR(15 DOWNTO 0); rgb_1 : IN STD_LOGIC_VECTOR(15 DOWNTO 0); clock : IN STD_LOGIC; hsync : IN STD_LOGIC; rgb : OUT STD_LOGIC_VECTOR(15 DOWNTO 0) ); END COMPONENT vga_split_controller; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF clock: SIGNAL IS "xilinx.com:signal:clock:1.0 clock CLK"; BEGIN U0 : vga_split_controller GENERIC MAP ( HALF_ROW => 320 ) PORT MAP ( rgb_0 => rgb_0, rgb_1 => rgb_1, clock => clock, hsync => hsync, rgb => rgb ); END system_vga_split_controller_0_0_arch;
---------------------------------------------------------------------------------- -- Company: -- Engineer: Stefan Naco -- -- Create Date: 04:18:26 04/11/2017 -- Design Name: -- Module Name: TOPLEVEL - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity TOPLEVEL is Port ( clk_i : in STD_LOGIC; toggle : in STD_LOGIC; anodes : out STD_LOGIC_VECTOR (3 downto 0); disp : out STD_LOGIC_VECTOR (0 to 7); leds_data : out STD_LOGIC_VECTOR (4 downto 0); led_p: out STD_LOGIC; ledC : out STD_LOGIC; carry_optional : out STD_LOGIC; reset : in STD_LOGIC; opcode : in STD_LOGIC_VECTOR (2 downto 0); data : in STD_LOGIC_VECTOR (4 downto 0); clear : in STD_LOGIC); end TOPLEVEL; architecture PORTMAP of TOPLEVEL is COMPONENT clk_controller IS PORT ( clk : in STD_LOGIC; toggle : in STD_LOGIC; reset : in STD_LOGIC; clk_o : out STD_LOGIC); END COMPONENT; COMPONENT display_controller IS PORT ( seg7 : out STD_LOGIC_VECTOR (0 to 7); clk : in STD_LOGIC; opcode : in STD_LOGIC_VECTOR (2 downto 0); reset : in STD_LOGIC; anodes : out STD_LOGIC_VECTOR (3 downto 0)); END COMPONENT; COMPONENT shiftregister_5bit IS PORT ( sel : in STD_LOGIC_VECTOR (2 downto 0); A : out STD_LOGIC_VECTOR (4 downto 0); clk : in STD_LOGIC; C : out STD_LOGIC; reset : in STD_LOGIC; I : in STD_LOGIC_VECTOR (4 downto 0)); END COMPONENT; COMPONENT debounce IS PORT ( clk : in STD_LOGIC; button : in STD_LOGIC; result : out STD_LOGIC); END COMPONENT; signal reg_bus : std_logic_vector (4 downto 0); signal clk1,t_p : std_logic; signal command : std_logic_vector (2 downto 0); begin segment7 : display_controller PORT MAP( anodes => anodes, seg7 => disp, opcode => command, clk => clk_i, reset => reset ); cp : clk_controller PORT MAP( clk => clk_i, toggle => t_p, reset => reset, clk_o => clk1 ); reg : shiftregister_5bit PORT MAP( sel => command, A => reg_bus, clk => clk1, reset => clear, I => data, C => carry_optional ); debA :debounce PORT MAP ( clk => clk_i, button => toggle, result => t_p ); leds_data <= reg_bus; command <= opcode; ledC <= clk1; led_p <= t_p; end PORTMAP ;
-- File: serial_rx.vhd -- Generated by MyHDL 0.8 -- Date: Thu Aug 21 10:54:44 2014 library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; use std.textio.all; use work.pck_myhdl_08.all; entity serial_rx is port ( sysclk: in std_logic; reset_n: in std_logic; half_baud_rate_tick_i: in std_logic; baud_rate_tick_i: in std_logic; recieve_i: in std_logic; data_o: out unsigned(7 downto 0); ready_o: out std_logic ); end entity serial_rx; -- Serial -- This module implements a reciever serial interface -- -- Ports: -- ----- -- sysclk: sysclk input -- reset_n: reset input -- half_baud_rate_tick_i: half baud rate tick -- baud_rate_tick_i: the baud rate -- n_stop_bits_i: number of stop bits -- recieve_i: rx -- data_o: the data output in 1 byte -- ready_o: indicates data_o is valid -- ----- architecture MyHDL of serial_rx is constant n_stop_bits_i: integer := 2; constant END_OF_BYTE: integer := 7; type t_enum_t_State_1 is ( ST_WAIT_START_BIT, ST_GET_DATA_BITS, ST_GET_STOP_BITS ); signal data_reg: unsigned(7 downto 0); signal count_8_bits_reg: unsigned(2 downto 0); signal data: unsigned(7 downto 0); signal count_8_bits: unsigned(2 downto 0); signal ready: std_logic; signal state: t_enum_t_State_1; signal count_stop_bits_reg: unsigned(2 downto 0); signal count_stop_bits: unsigned(2 downto 0); signal state_reg: t_enum_t_State_1; signal ready_reg: std_logic; begin data_o <= data_reg; ready_o <= ready_reg; SERIAL_RX_SEQUENTIAL_PROCESS: process (sysclk, reset_n) is begin if (reset_n = '0') then count_8_bits_reg <= to_unsigned(0, 3); count_stop_bits_reg <= to_unsigned(0, 3); ready_reg <= '0'; state_reg <= ST_WAIT_START_BIT; data_reg <= to_unsigned(0, 8); elsif rising_edge(sysclk) then state_reg <= state; data_reg <= data; ready_reg <= ready; count_8_bits_reg <= count_8_bits; count_stop_bits_reg <= count_stop_bits; end if; end process SERIAL_RX_SEQUENTIAL_PROCESS; SERIAL_RX_COMBINATIONAL_PROCESS: process (count_8_bits_reg, recieve_i, data_reg, baud_rate_tick_i, count_stop_bits_reg, state_reg, ready_reg) is begin state <= state_reg; data <= data_reg; ready <= ready_reg; count_8_bits <= count_8_bits_reg; count_stop_bits <= count_stop_bits_reg; case state_reg is when ST_WAIT_START_BIT => ready <= '0'; if (baud_rate_tick_i = '1') then if (recieve_i = '0') then state <= ST_GET_DATA_BITS; end if; end if; when ST_GET_DATA_BITS => if (baud_rate_tick_i = '1') then data(to_integer(count_8_bits_reg)) <= recieve_i; if (count_8_bits_reg = END_OF_BYTE) then count_8_bits <= to_unsigned(0, 3); state <= ST_GET_STOP_BITS; else count_8_bits <= (count_8_bits_reg + 1); state <= ST_GET_DATA_BITS; end if; end if; when ST_GET_STOP_BITS => if (baud_rate_tick_i = '1') then if (signed(resize(count_stop_bits_reg, 4)) = (n_stop_bits_i - 1)) then count_stop_bits <= to_unsigned(0, 3); ready <= '1'; state <= ST_WAIT_START_BIT; else count_stop_bits <= (count_stop_bits_reg + 1); end if; end if; when others => assert False report "End of Simulation" severity Failure; end case; end process SERIAL_RX_COMBINATIONAL_PROCESS; end architecture MyHDL;
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Top File for the Example Testbench -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- Filename: lookuptable1_tb.vhd -- Description: -- Testbench Top -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY work; USE work.ALL; ENTITY lookuptable1_tb IS END ENTITY; ARCHITECTURE lookuptable1_tb_ARCH OF lookuptable1_tb IS SIGNAL STATUS : STD_LOGIC_VECTOR(8 DOWNTO 0); SIGNAL CLK : STD_LOGIC := '1'; SIGNAL CLKB : STD_LOGIC := '1'; SIGNAL RESET : STD_LOGIC; BEGIN CLK_GEN: PROCESS BEGIN CLK <= NOT CLK; WAIT FOR 100 NS; CLK <= NOT CLK; WAIT FOR 100 NS; END PROCESS; CLKB_GEN: PROCESS BEGIN CLKB <= NOT CLKB; WAIT FOR 100 NS; CLKB <= NOT CLKB; WAIT FOR 100 NS; END PROCESS; RST_GEN: PROCESS BEGIN RESET <= '1'; WAIT FOR 1000 NS; RESET <= '0'; WAIT; END PROCESS; --STOP_SIM: PROCESS BEGIN -- WAIT FOR 200 US; -- STOP SIMULATION AFTER 1 MS -- ASSERT FALSE -- REPORT "END SIMULATION TIME REACHED" -- SEVERITY FAILURE; --END PROCESS; -- PROCESS BEGIN WAIT UNTIL STATUS(8)='1'; IF( STATUS(7 downto 0)/="0") THEN ASSERT false REPORT "Test Completed Successfully" SEVERITY NOTE; REPORT "Simulation Failed" SEVERITY FAILURE; ELSE ASSERT false REPORT "TEST PASS" SEVERITY NOTE; REPORT "Test Completed Successfully" SEVERITY FAILURE; END IF; END PROCESS; lookuptable1_synth_inst:ENTITY work.lookuptable1_synth PORT MAP( CLK_IN => CLK, CLKB_IN => CLK, RESET_IN => RESET, STATUS => STATUS ); END ARCHITECTURE;
-------------------------------------------------------------------------------- -- PROJECT: PIPE MANIA - GAME FOR FPGA -------------------------------------------------------------------------------- -- NAME: BRAM_ROM_CELL -- AUTHORS: Tomáš Bannert <[email protected]> -- LICENSE: The MIT License, please read LICENSE file -- WEBSITE: https://github.com/jakubcabal/pipemania-fpga-game -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; entity BRAM_ROM_CELL is Port ( CLK : in std_logic; ROM_ADDR : in std_logic_vector(8 downto 0); ROM_DOUT : out std_logic_vector(31 downto 0) ); end BRAM_ROM_CELL; architecture FULL of BRAM_ROM_CELL is type rom_t is array (0 to 511) of std_logic_vector(0 to 31); constant ROM : rom_t := ( "11111111111111111111111111111111", "10000000000000000000000000000001", "10000000000000000000000000000001", "10000000000000000000000000000001", "10000000000000000000000000000001", "10000000000000000000000000000001", "10000000000000000000000000000001", "10000000000000000000000000000001", "10000000000000000000000000000001", "10000000000000000000000000000001", "10000000000000000000000000000001", "10000000000000000000000000000001", "10000000000000000000000000000001", "10000000000000000000000000000001", "10000000000000000000000000000001", "10000000000000000000000000000001", "10000000000000000000000000000001", "10000000000000000000000000000001", "10000000000000000000000000000001", "10000000000000000000000000000001", "10000000000000000000000000000001", "10000000000000000000000000000001", "10000000000000000000000000000001", "10000000000000000000000000000001", "10000000000000000000000000000001", "10000000000000000000000000000001", "10000000000000000000000000000001", "10000000000000000000000000000001", "10000000000000000000000000000001", "10000000000000000000000000000001", "10000000000000000000000000000001", "11111111111111111111111111111111", -- Dalsi obrazek (rovna 1=modra) -- 0001 "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "11100000000000000000000000000111", "11100000000000000000000000000111", "11100000000000000000000000000111", "11111111111111111111111111111111", "11111111111111111111111111111111", "11111111111111111111111111111111", "11111111111111111111111111111111", "11111111111111111111111111111111", "11111111111111111111111111111111", "11111111111111111111111111111111", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "11111111111111111111111111111111", "11111111111111111111111111111111", "11111111111111111111111111111111", "11111111111111111111111111111111", "11111111111111111111111111111111", "11111111111111111111111111111111", "11111111111111111111111111111111", "11100000000000000000000000000111", "11100000000000000000000000000111", "11100000000000000000000000000111", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", -- Dalsi obrazek (zahnuta 1=modra) 0010 "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "11100000000000000000000000000000", "11100000000000000000000000000000", "11100000000000000000000000000000", "11111111111111111111111110000000", "11111111111111111111111110000000", "11111111111111111111111110000000", "11111111111111111111111110000000", "11111111111111111111111110000000", "11111111111111111111111110000000", "11111111111111111111111110000000", "00000000000000001111111110000000", "00000000000000001111111110000000", "00000000000000000011111110000000", "00000000000000000011111110000000", "11111111111111000011111110000000", "11111111111111000011111110000000", "11111111111111000011111110000000", "11111111111111000011111110000000", "11111111111111000011111110000000", "11111111111111000011111110000000", "11111111111111000011111110000000", "11100001111111000011111110000000", "11100001111111000011111110000000", "11100001111111000011111110000000", "00000001111111000011111110000000", "00001111111111000011111111110000", "00001111111111000011111111110000", "00001111111111000011111111110000", -- Dalsi obrazek (T 1=modra) - 0011 "00001111111111000011111111110000", "00001111111111000011111111110000", "00001111111111000011111111110000", "00000001111111000011111110000000", "11100001111111000011111110000111", "11100001111111000011111110000111", "11100001111111000011111110000111", "11111111111111000011111111111111", "11111111111111000011111111111111", "11111111111111000011111111111111", "11111111111111000011111111111111", "11111111111111000011111111111111", "11111111111111000011111111111111", "11111111111111000011111111111111", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "11111111111111000011111111111111", "11111111111111000011111111111111", "11111111111111000011111111111111", "11111111111111000011111111111111", "11111111111111000011111111111111", "11111111111111000011111111111111", "11111111111111000011111111111111", "11100001111111000011111110000111", "11100001111111000011111110000111", "11100001111111000011111110000111", "00000001111111000011111110000000", "00001111111111000011111111110000", "00001111111111000011111111110000", "00001111111111000011111111110000", -- Dalsi obrazek (spodni cast bocni trubky 1=modra) - 0100 "11111111111111111111111111111111", "11111111111111111111111111111111", "11111111111111111111111111111111", "11111001110011100111001110011111", "11111001110011100111001110011111", "01111111111111111111111111111110", "01111111111111111111111111111110", "01111111111111111111111111111110", "00111111111111111111111111111100", "00111111111111111111111111111100", "00011111111111111111111111111000", "00001111111111111111111111110000", "00000111111111111111111111100000", "00000011111111111111111111000000", "00000001111111111111111110000000", "00000000011111111111111000000000", "00000000000011111111000000000000", "00000000000000111100000000000000", "00000000000000111100000000000000", "00000000000000111100000000000000", "00000000000000111100000000000000", "00000000000000111100000000000000", "00000000000000111100000000000000", "00000000000000111100000000000000", "00000000000000111100000000000000", "00000000000000111100000000000000", "00000000000000111100000000000000", "00000000000000111100000000000000", "00000000000000111100000000000000", "00000000000000111100000000000000", "00000000000000111100000000000000", "00000000000000111100000000000000", -- Dalsi obrazek (telo trubky 1=bila 8x) - 0101 "00100000000000000000000000000100", "00100000000000000000000000000100", "00100000000000000000000000000100", "00100000000000000000000000000100", "00100000000000000000000000000100", "00100000000000000000000000000100", "00100000000000000000000000000100", "00100000000000000000000000000100", "00100000000000000000000000000100", "00100000000000000000000000000100", "00100000000000000000000000000100", "00100000000000000000000000000100", "00100000000000000000000000000100", "00100000000000000000000000000100", "00100000000000000000000000000100", "00100000000000000000000000000100", "00100000000000000000000000000100", "00100000000000000000000000000100", "00100000000000000000000000000100", "00100000000000000000000000000100", "00100000000000000000000000000100", "00100000000000000000000000000100", "00100000000000000000000000000100", "00100000000000000000000000000100", "00100000000000000000000000000100", "00100000000000000000000000000100", "00100000000000000000000000000100", "00100000000000000000000000000100", "00100000000000000000000000000100", "00100000000000000000000000000100", "00100000000000000000000000000100", "00100000000000000000000000000100", -- Dalsi obrazek (vrchni cast bocni trubky 1=modra) - 0110 "11111111111111111111111111111111", "11111111111111111111111111111111", "11111111111111111111111111111111", "11111111111111111111111111111111", "11111111111111111111111111111111", "11111111111111111111111111111111", "11111111111111111111111111111111", "11111111111111111111111111111111", "11111111111111111111111111111111", "11111111111111111111111111111111", "11111111111111111111111111111111", "11111111111111111111111111111111", "11111111111111111111111111111111", "11111111111111111111111111111111", "11111111111111111111111111111111", "11111111111111111111111111111111", "11111111111111111111111111111111", "11111111111111111111111111111111", "11111111111111111111111111111111", "11111111111111111111111111111111", "11111111111111111111111111111111", "11111111111111111111111111111111", "11111111111111111111111111111111", "11111111111111111111111111111111", "11111111111111111111111111111111", "11111111111111111111111111111111", "11111111111111111111111111111111", "11111111111111111111111111111111", "11111111111111111111111111111111", "11111111111111111111111111111111", "11111111111111111111111111111111", "11111111111111111111111111111111", -- Dalsi obrazek (propojka bocni trubky s hernim polem 1=modra) - 0111 "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "11100000000000000000000000000111", "11100000000000000000000000000111", "11100000000000000000000000000111", "11111111111111111111111111111111", "11111111111111111111111111111111", "11111111111111111111111111111111", "11111111111111111111111111111111", "11111111111111111111111111111111", "11111111111111111111111111111111", "11111111111111111111111111111111", "11111111111111111111111111111111", "11111111111111111111111111111111", "11111111111111111111111111111111", "11111111111111111111111111111111", "11111111111111111111111111111111", "11111111111111111111111111111111", "11111111111111111111111111111111", "11111111111111111111111111111111", "11111111111111111111111111111111", "11111111111111111111111111111111", "11111111111111111111111111111111", "11100000000000000000000000000111", "11100000000000000000000000000111", "11100000000000000000000000000111", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", -- Dalsi obrazek (koncova trubka 1=modra) - 1000 "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "11100000000000000000000000000111", "11100000000000000000000000000111", "11111111111111111111111111111111", "11111111111111111111111111111111", "11111111111111111111111111111111", "11111111111111111111111111111111", "11111111111111000011111111111111", "11111111111100111100111111111111", "11111111111001111110011111111111", "11111111111010111101011111111111", "11111111110111011011101111111111", "11111111110111100111101111111111", "11111111110111100111101111111111", "11111111110111011011101111111111", "11111111111010111101011111111111", "11111111111001111110011111111111", "11111111111100111100111111111111", "11111111111111000011111111111111", "11111111111111111111111111111111", "11111111111111111111111111111111", "11111111111111111111111111111111", "11111111111111111111111111111111", "11100000000000000000000000000111", "11100000000000000000000000000111", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", -- text1 (bila) - 1001 "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00011110000111100001111110000111", "00011110000111100001111110000111", "00011001100110011001100000011000", "00011001100110011001100000011000", "00011110000111100001111000000110", "00011110000111100001111000000110", "00011000000110011001100000000001", "00011000000110011001100000000001", "00011000000110011001111110011110", "00011000000110011001111110011110", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000001100001", "00000000000000000000000001100001", "00000000000000000000000110011001", "00000000000000000000000110011001", "00000000000000000000000110011001", "00000000000000000000000110011001", "00000000000000000000000110011001", "00000000000000000000000110011001", "00000000000000000000000001100001", "00000000000000000000000001100001", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", -- Dalsi obrazek (tenka trubka ke startovni ohnuta 1=modra) - 1010 "00000000000000111100000000000000", "00000000000000111100000000000000", "00000000000000111100000000000000", "00000000000000111100000000000000", "00000000000000111100000000000000", "00000000000000111100000000000000", "00000000000000111100000000000000", "00000000000000111100000000000000", "00000000000000111100000000000000", "00000000000000111100000000000000", "00000000000000111100000000000000", "00000000000000111100000000000000", "00000000000001111100000000000000", "00000000000011111100000000000000", "11111111111111111000000000000000", "11111111111111111000000000000000", "11111111111111110000000000000000", "11111111111111000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", -- Dalsi obrazek (tenka trubka ke startovni rovna 1=modra) - 1011 "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "11111111111111111111111111111111", "11111111111111111111111111111111", "11111111111111111111111111111111", "11111111111111111111111111111111", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", -- Dalsi obrazek (cihla 1=cervena) - 1100 "01111111011111110111111101111111", "01111111011111110111111101111111", "01111111011111110111111101111111", "00000000000000000000000000000000", "11110111111101111111011111110111", "11110111111101111111011111110111", "11110111111101111111011111110111", "00000000000000000000000000000000", "01111111011111110111111101111111", "01111111011111110111111101111111", "01111111011111110111111101111111", "00000000000000000000000000000000", "11110111111101111111011111110111", "11110111111101111111011111110111", "11110111111101111111011111110111", "00000000000000000000000000000000", "01111111011111110111111101111111", "01111111011111110111111101111111", "01111111011111110111111101111111", "00000000000000000000000000000000", "11110111111101111111011111110111", "11110111111101111111011111110111", "11110111111101111111011111110111", "00000000000000000000000000000000", "01111111011111110111111101111111", "01111111011111110111111101111111", "01111111011111110111111101111111", "00000000000000000000000000000000", "11110111111101111111011111110111", "11110111111101111111011111110111", "11110111111101111111011111110111", "00000000000000000000000000000000", -- text2 (bila) - 1101 "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000011000000000011000", "00000000000000011000000000011000", "10000111100000011000011110011000", "10000111100000011000011110011000", "00011000000000000001100000000000", "00011000000000000001100000000000", "00000110000000000000011000000000", "00000110000000000000011000000000", "10000001100000000000000110000000", "10000001100000000000000110000000", "00011110000000000001111000000000", "00011110000000000001111000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "11100001100110011000011000011001", "11100001100110011000011000011001", "10011001100110011001100110011001", "10011001100110011001100110011001", "11100001100110011001100110011001", "11100001100110011001100110011001", "10011000011000011001100110011001", "10011000011000011001100110011001", "11100000011000011000011000000110", "11100000011000011000011000000110", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", -- text3 (bila) - 1110 "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00011111100001100000000001111001", "00011111100001100000000001111001", "00000110000110011000000110000000", "00000110000110011000000110000000", "00000110000110011000000001100000", "00000110000110011000000001100000", "00000110000110011000000000011000", "00000110000110011000000000011000", "00000110000001100000000111100000", "00000110000001100000000111100000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000111100110000001100110000000", "00000111100110000001100110000000", "00011000000110000001100110000000", "00011000000110000001100110000000", "00000110000110000000011000000000", "00000110000110000000011000000000", "00000001100110000000011000000000", "00000001100110000000011000000000", "00011110000111111000011000011000", "00011110000111111000011000011000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", -- text4 (bila) - 1111 "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "11111000011000011110000111111000", "11111000011000011110000111111000", "01100001100110011001100001100000", "01100001100110011001100001100000", "01100001100110011110000001100000", "01100001100110011110000001100000", "01100001111110011001100001100000", "01100001111110011001100001100000", "01100001100110011001100001100000", "01100001100110011001100001100000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00011000000000000000000000000000", "00011000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", "00000000000000000000000000000000", others => (others => '0') ); begin process (CLK) begin if (rising_edge(CLK)) then ROM_DOUT <= ROM(to_integer(unsigned(ROM_ADDR))); end if; end process; end FULL;
------------------------------------------------------------------------------------- -- FILE NAME : data_check.vhd -- AUTHOR : Luis -- COMPANY : -- UNITS : Entity - -- Architecture - Behavioral -- LANGUAGE : VHDL -- DATE : AUG 21, 2014 ------------------------------------------------------------------------------------- -- ------------------------------------------------------------------------------------- -- DESCRIPTION -- =========== -- -- -- ------------------------------------------------------------------------------------- ------------------------------------------------------------------------------------- -- LIBRARIES ------------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; -- IEEE --use ieee.numeric_std.all; -- non-IEEE use ieee.std_logic_unsigned.all; use ieee.std_logic_misc.all; use ieee.std_logic_arith.all; Library UNISIM; use UNISIM.vcomponents.all; ------------------------------------------------------------------------------------- -- ENTITY ------------------------------------------------------------------------------------- entity data_check is port ( clk_in : in std_logic; rst_in : in std_logic; data_in : in std_logic_vector(63 downto 0); valid_in : in std_logic; check_en_in : in std_logic; status_out : out std_logic_vector(7 downto 0) ); end data_check; ------------------------------------------------------------------------------------- -- ARCHITECTURE ------------------------------------------------------------------------------------- architecture Behavioral of data_check is ------------------------------------------------------------------------------------- -- CONSTANTS ------------------------------------------------------------------------------------- type state_machine is (HOLD_CMD, BYTE0_CMD, BYTE1_CMD, BYTE2_CMD); type bus008 is array(natural range <>) of std_logic_vector(7 downto 0); ------------------------------------------------------------------------------------- -- SIGNALS ------------------------------------------------------------------------------------- signal recv_sm_reg : state_machine; signal byte_in : bus008(7 downto 0); signal byte_check : bus008(7 downto 0); signal byte_error : std_logic_vector(7 downto 0); signal run_test : std_logic; signal valid_reg : std_logic; signal data_reg : std_logic_vector(63 downto 0); signal samples8bit : bus008(7 downto 0) := (others=>(others=>'0')); signal base_cnt : std_logic_vector(7 downto 0); signal generate_data : std_logic_vector(63 downto 0); --*********************************************************************************** begin --*********************************************************************************** run_test <= check_en_in; status_out(7 downto 0) <= byte_error(7 downto 0); ------------------------------------------------------------------------------------- -- Counter process ------------------------------------------------------------------------------------- process(clk_in, rst_in) begin if rising_edge(clk_in) then if rst_in = '1' then for I in 0 to 7 loop byte_in(I) <= (others=>'0'); byte_check(I) <= (others=>'0'); end loop; valid_reg <= '0'; base_cnt <= (others=>'0'); data_reg <= (others=>'0'); byte_error <= (others=>'0'); else base_cnt <= data_in(7 downto 0); data_reg <= data_in; valid_reg <= valid_in; byte_in(0) <= data_reg(7 downto 0); byte_in(1) <= data_reg(15 downto 8); byte_in(2) <= data_reg(23 downto 16); byte_in(3) <= data_reg(31 downto 24); byte_in(4) <= data_reg(39 downto 32); byte_in(5) <= data_reg(47 downto 40); byte_in(6) <= data_reg(55 downto 48); byte_in(7) <= data_reg(63 downto 56); if run_test = '1' and valid_reg = '1' then base_cnt <= base_cnt + 2; byte_check(0) <= base_cnt + 0; byte_check(1) <= base_cnt + 0; byte_check(2) <= base_cnt + 0; byte_check(3) <= base_cnt + 0; byte_check(4) <= base_cnt + 1; byte_check(5) <= base_cnt + 1; byte_check(6) <= base_cnt + 1; byte_check(7) <= base_cnt + 1; else byte_check(0) <= data_reg(7 downto 0); byte_check(1) <= data_reg(15 downto 8); byte_check(2) <= data_reg(23 downto 16); byte_check(3) <= data_reg(31 downto 24); byte_check(4) <= data_reg(39 downto 32); byte_check(5) <= data_reg(47 downto 40); byte_check(6) <= data_reg(55 downto 48); byte_check(7) <= data_reg(63 downto 56); end if; for I in 0 to 7 loop if byte_in(I) /= byte_check(I) and run_test = '1' and valid_reg = '1' then byte_error(I) <= '1'; end if; end loop; end if; end if; end process; --process(clk_in, rst_in) --begin -- if rising_edge(clk_in) then -- if rst_in = '1' then -- base_cnt <= (others =>'0'); -- else -- base_cnt <= base_cnt + 2; -- end if; -- end if; --end process; --samples8bit(0) <= base_cnt + 0; --samples8bit(1) <= base_cnt + 0; --samples8bit(2) <= base_cnt + 0; --samples8bit(3) <= base_cnt + 0; --samples8bit(4) <= base_cnt + 1; --samples8bit(5) <= base_cnt + 1; --samples8bit(6) <= base_cnt + 1; --samples8bit(7) <= base_cnt + 1; ------------------------------------------------------------------------------------- -- Component Instance ------------------------------------------------------------------------------------- --inst0_vp680_nnn_lx130t: --entity work.vp680_nnn_lx130t --generic map ( -- DEBUG => FALSE, -- ADDRESS => "00010111111" --) --port map ( -- gpio_led_8 => , -- sys_clk_p_8 => , -- sys_clk_n_8 => , -- sys_reset_n_8 => , -- pci_exp_rxn_8 => , -- pci_exp_rxp_8 => , -- pci_exp_txn_8 => , -- pci_exp_txp_8 => , -- fp_cp_8 => , -- host_if_i2c_scl_8 => --); ------------------------------------------------------------------------------------- -- Debug ------------------------------------------------------------------------------------- --generate_debug: --if (DEBUG_ENABLE = TRUE) generate --begin -- --end generate; --generate_add_loop: --for I in 0 to 7 generate -- SUM(I) <= A(I) xor B(I) xor C(I); -- C(I+1) <= (A(I) and B(I)) or (A(I) and C(I)) or (B(I) and C(I)); --end generate; --*********************************************************************************** end architecture Behavioral; --***********************************************************************************
-------------------------------------------------------------------------------- -- Title : Utilities package -- Project : -------------------------------------------------------------------------------- -- File : src_utils_pkg.vhd -- Author : Susanne Reinfelder -- Email : [email protected] -- Organization: MEN Mikro Elektronik Nuremberg GmbH -- Created : 02.06.2011 -------------------------------------------------------------------------------- -- Simulator : ModelSim PE 6.6a / ModelSim AE 6.5e sp1 -- Synthesis : -------------------------------------------------------------------------------- -- Description : -- utilities to foster source code programming -------------------------------------------------------------------------------- -- Hierarchy : -------------------------------------------------------------------------------- -- Copyright (c) 2016, MEN Mikro Elektronik GmbH -- -- This program is free software: you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation, either version 3 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program. If not, see <http://www.gnu.org/licenses/>. -------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; package src_utils_pkg is constant TYPE_IS_MEMORY : std_logic_vector(4 downto 0) := "00000"; constant TYPE_IS_IO : std_logic_vector(4 downto 0) := "00010"; constant TYPE_IS_CPL : std_logic_vector(4 downto 0) := "01010"; constant FMT_IS_READ : std_logic_vector(2 downto 0) := "000"; constant FMT_IS_WRITE : std_logic_vector(2 downto 0) := "010"; constant ZERO_02B : std_logic_vector(1 downto 0) := "00"; constant ZERO_03B : std_logic_vector(2 downto 0) := "000"; constant ZERO_04B : std_logic_vector(3 downto 0) := x"0"; constant ZERO_10B : std_logic_vector(9 downto 0) := "0000000000"; constant ZERO_11B : std_logic_vector(10 downto 0) := "00000000000"; constant ZERO_12B : std_logic_vector(11 downto 0) := x"000"; constant ZERO_20B : std_logic_vector(19 downto 0) := x"00000"; constant ONE_02B : std_logic_vector(1 downto 0) := "01"; constant ONE_03B : std_logic_vector(2 downto 0) := "001"; constant ONE_04B : std_logic_vector(3 downto 0) := x"1"; constant ONE_05B : std_logic_vector(4 downto 0) := "00001"; constant ONE_10B : std_logic_vector(9 downto 0) := "0000000001"; constant ONE_11B : std_logic_vector(10 downto 0) := "00000000001"; constant ONE_12B : std_logic_vector(11 downto 0) := x"001"; constant TWO_02B : std_logic_vector(1 downto 0) := "10"; constant TWO_03B : std_logic_vector(2 downto 0) := "010"; constant TWO_04B : std_logic_vector(3 downto 0) := x"2"; constant TWO_10B : std_logic_vector(9 downto 0) := "0000000010"; constant TWO_11B : std_logic_vector(10 downto 0) := "00000000010"; constant TWO_12B : std_logic_vector(11 downto 0) := x"002"; constant THREE_02B : std_logic_vector(1 downto 0) := "11"; constant THREE_03B : std_logic_vector(2 downto 0) := "011"; constant THREE_04B : std_logic_vector(3 downto 0) := x"3"; constant THREE_10B : std_logic_vector(9 downto 0) := "0000000011"; constant THREE_12B : std_logic_vector(11 downto 0) := x"003"; constant FOUR_03B : std_logic_vector(2 downto 0) := "100"; constant FOUR_04B : std_logic_vector(3 downto 0) := x"4"; constant FOUR_12B : std_logic_vector(11 downto 0) := x"004"; constant FOUR_32B : std_logic_vector(31 downto 0) := x"00000004"; constant FIVE_12B : std_logic_vector(11 downto 0) := x"005"; constant SIX_04B : std_logic_vector(3 downto 0) := x"6"; constant SIX_12B : std_logic_vector(11 downto 0) := x"006"; constant EIGHT_04B : std_logic_vector(3 downto 0) := x"8"; constant EIGHT_32B : std_logic_vector(31 downto 0) := x"00000008"; constant C_04B : std_logic_vector(3 downto 0) := x"C"; constant FULL_03B : std_logic_vector(2 downto 0) := "111"; constant FULL_10B : std_logic_vector(9 downto 0) := "1111111111"; constant X_400_11B : std_logic_vector(10 downto 0) := "10000000000"; end src_utils_pkg;
library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity CU is port ( clk, ExternalReset, carry, zero, sign, parity, borrow, overflow -- status register : in STD_LOGIC; IRout : in STD_LOGIC_VECTOR(15 downto 0); -- IR reg0 : in STD_LOGIC_VECTOR(15 downto 0); -- Register(0) ALUout_on_Databus, -- Data Bus IRload, -- IR ResetPC, Im, PCplus1, EnablePC, -- Address Unit W_EN, -- register file we, re, -- memory itype : out STD_LOGIC; -- ALU's bits alu_operation : out std_logic_vector(3 downto 0); databus : inout std_logic_vector(15 downto 0) ); end entity; architecture CU_ARCH of CU is type state is (reset, fetch, baseExe, halt, PCInc, shiftRighting, shiftLefting); signal currentState : state := reset; signal nextState : state; constant add : STD_LOGIC_VECTOR(3 downto 0) := "0000"; constant sub : STD_LOGIC_VECTOR(3 downto 0) := "0001"; constant andD : STD_LOGIC_VECTOR(3 downto 0) := "0010"; constant orD : STD_LOGIC_VECTOR(3 downto 0) := "0011"; constant xorD : STD_LOGIC_VECTOR(3 downto 0) := "0100"; constant notD : STD_LOGIC_VECTOR(3 downto 0) := "0101"; constant mul : STD_LOGIC_VECTOR(3 downto 0) := "0110"; constant jmp : STD_LOGIC_VECTOR(3 downto 0) := "0111"; constant addi : STD_LOGIC_VECTOR(3 downto 0) := "1000"; constant srlD : STD_LOGIC_VECTOR(3 downto 0) := "1001"; constant andi : STD_LOGIC_VECTOR(3 downto 0) := "1010"; constant ori : STD_LOGIC_VECTOR(3 downto 0) := "1011"; constant sllD : STD_LOGIC_VECTOR(3 downto 0) := "1100"; constant store : STD_LOGIC_VECTOR(3 downto 0) := "1101"; constant load : STD_LOGIC_VECTOR(3 downto 0) := "1110"; constant brnz : STD_LOGIC_VECTOR(3 downto 0) := "1111"; ---------- ALU Operations ---------------------- constant ALU_and : std_logic_vector (3 downto 0) := "0000"; constant ALU_or : std_logic_vector (3 downto 0) := "0001"; constant ALU_xor : std_logic_vector (3 downto 0) := "0010"; constant ALU_sl : std_logic_vector (3 downto 0) := "0100"; constant ALU_sr : std_logic_vector (3 downto 0) := "0101"; constant ALU_add : std_logic_vector (3 downto 0) := "0110"; constant ALU_mul : std_logic_vector (3 downto 0) := "1001"; constant ALU_sub : std_logic_vector (3 downto 0) := "0111"; constant ALU_not : std_logic_vector (3 downto 0) := "1000"; constant ALU_input2 : std_logic_vector (3 downto 0) := "1010"; signal Immediate : std_logic_vector(7 downto 0); signal shiftTempSig : std_logic_vector(15 downto 0); begin Immediate <= IRout(7 downto 0); -- state changer process (clk, ExternalReset) begin if ExternalReset = '1' then currentState <= reset; elsif clk'event and clk = '1' then currentState <= nextState; end if; end process; -- control signals base on state process (currentState) variable shiftCounter : integer; begin -- set defaults ALUout_on_Databus <= '0'; IRload <= '0'; ResetPC <= '0'; EnablePC <= '0'; PCplus1 <= '0'; itype <= '0'; ALUout_on_Databus <= '0'; W_EN <= '0'; we <= '0'; re <= '0'; case currentState is when halt => nextState <= halt; when reset => ResetPC <= '1'; EnablePC <= '1'; nextState <= fetch; when fetch => re <= '1'; IRload <= '1'; nextState <= baseExe; when baseExe => case IRout(15 downto 12) is when add => alu_operation <= ALU_add; PCplus1 <= '1'; EnablePC <= '1'; ALUout_on_Databus <= '1'; W_EN <='1'; nextState <= fetch; when addi => alu_operation <= ALU_add; PCplus1 <= '1'; EnablePC <= '1'; itype <= '1'; ALUout_on_Databus <= '1'; W_EN <='1'; nextState <= fetch; when sub => alu_operation <= ALU_sub; PCplus1 <= '1'; EnablePC <= '1'; ALUout_on_Databus <= '1'; W_EN <='1'; nextState <= fetch; when andD => alu_operation <= ALU_and; PCplus1 <= '1'; EnablePC <= '1'; ALUout_on_Databus <= '1'; W_EN <='1'; nextState <= fetch; when andi => alu_operation <= ALU_and; PCplus1 <= '1'; EnablePC <= '1'; itype <= '1'; ALUout_on_Databus <= '1'; W_EN <='1'; nextState <= fetch; when orD => alu_operation <= ALU_or; PCplus1 <= '1'; EnablePC <= '1'; ALUout_on_Databus <= '1'; W_EN <='1'; nextState <= fetch; when ori => alu_operation <= ALU_or; PCplus1 <= '1'; EnablePC <= '1'; itype <= '1'; ALUout_on_Databus <= '1'; W_EN <='1'; nextState <= fetch; when xorD => alu_operation <= ALU_xor; PCplus1 <= '1'; EnablePC <= '1'; ALUout_on_Databus <= '1'; W_EN <='1'; nextState <= fetch; when notD => alu_operation <= ALU_not; PCplus1 <= '1'; EnablePC <= '1'; ALUout_on_Databus <= '1'; W_EN <='1'; nextState <= fetch; when mul => alu_operation <= ALU_mul; PCplus1 <= '1'; EnablePC <= '1'; ALUout_on_Databus <= '1'; W_EN <='1'; nextState <= fetch; when jmp => nextState <= fetch; Im <= '1'; EnablePC <= '1'; when brnz => alu_operation <= ALU_sub; if (reg0 = "0000000000000000") then -- if reg(0) is 0 nextState <= fetch; Im <= '1'; EnablePC <= '1'; else nextState <= fetch; EnablePC <= '1'; PCplus1 <= '1'; end if; when store => Im <= '1'; we <= '1'; alu_operation <= ALU_input2; ALUout_on_Databus <= '1'; nextState <= PCInc; when load => Im <='1'; re <= '1'; W_EN <='1'; nextState <= PCInc; when srlD => shiftCounter := to_integer(unsigned(Immediate)); alu_operation <= ALU_input2; ALUout_on_Databus <= '1'; W_EN <='1'; shiftTempSig <= databus; nextState <= shiftRighting; when sllD => shiftCounter := to_integer(unsigned(Immediate)); alu_operation <= ALU_input2; ALUout_on_Databus <= '1'; W_EN <='1'; shiftTempSig <= databus; nextState <= shiftLefting; when others => assert false report "X is out"; end case; when PCInc => PCplus1 <= '1'; EnablePC <= '1'; nextState <= fetch; when shiftRighting => case to_integer(unsigned(Immediate)) is when 0 => ALUout_on_Databus <= '1'; W_EN <='1'; PCplus1 <= '1'; EnablePC <= '1'; nextState <= fetch; when OTHERS => nextState <= shiftRighting; end case; shiftCounter := shiftCounter - 1; when shiftLefting => case to_integer(unsigned(Immediate)) is when 0 => ALUout_on_Databus <= '1'; W_EN <='1'; PCplus1 <= '1'; EnablePC <= '1'; nextState <= fetch; when OTHERS => shiftTempSig <= shiftTempSig(14 downto 0) & '0'; nextState <= shiftLefting; end case; shiftCounter := shiftCounter - 1; -- when comparator => when OTHERS => nextState <= reset; end case; end process; end architecture;
-- Based on xwb_fabric_source.vhd from Tomasz Wlostowski -- -- Modified by Lucas Russo <[email protected]> for multiple width support library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.genram_pkg.all; use work.wb_stream_generic_pkg.all; entity wb_stream_source_gen is generic ( --g_wbs_adr_width : natural := c_wbs_adr4_width; g_wbs_interface_width : t_wbs_interface_width := LARGE1 ); port ( clk_i : in std_logic; rst_n_i : in std_logic; -- Wishbone Streaming Interface I/O. -- Only the used interface should be connected. The others can be left unconnected -- 16-bit interface src_adr16_o : out t_wbs_adr4; src_dat16_o : out t_wbs_dat16; src_sel16_o : out t_wbs_sel16; -- 32-bit interface src_adr32_o : out t_wbs_adr4; src_dat32_o : out t_wbs_dat32; src_sel32_o : out t_wbs_sel32; -- 64-bit interface src_adr64_o : out t_wbs_adr4; src_dat64_o : out t_wbs_dat64; src_sel64_o : out t_wbs_sel64; -- 128-bit interface src_adr128_o : out t_wbs_adr4; src_dat128_o : out t_wbs_dat128; src_sel128_o : out t_wbs_sel128; -- Common Wishbone Streaming lines src_cyc_o : out std_logic; src_stb_o : out std_logic; src_we_o : out std_logic; src_ack_i : in std_logic := '0'; src_stall_i : in std_logic := '0'; src_err_i : in std_logic := '0'; src_rty_i : in std_logic := '0'; -- Decoded & buffered logic -- Only the used interface must be connected. The others can be left unconnected -- 16-bit interface adr16_i : in std_logic_vector(c_wbs_adr4_width-1 downto 0) := cc_dummy_wbs_adr4; dat16_i : in std_logic_vector(c_wbs_dat16_width-1 downto 0) := cc_dummy_wbs_dat16; sel16_i : in std_logic_vector(c_wbs_sel16_width-1 downto 0) := cc_dummy_wbs_sel16; -- 32-bit interface adr32_i : in std_logic_vector(c_wbs_adr4_width-1 downto 0) := cc_dummy_wbs_adr4; dat32_i : in std_logic_vector(c_wbs_dat32_width-1 downto 0) := cc_dummy_wbs_dat32; sel32_i : in std_logic_vector(c_wbs_sel32_width-1 downto 0) := cc_dummy_wbs_sel32; -- 64-bit interface adr64_i : in std_logic_vector(c_wbs_adr4_width-1 downto 0) := cc_dummy_wbs_adr4; dat64_i : in std_logic_vector(c_wbs_dat64_width-1 downto 0) := cc_dummy_wbs_dat64; sel64_i : in std_logic_vector(c_wbs_sel64_width-1 downto 0) := cc_dummy_wbs_sel64; -- 128-bit interface adr128_i : in std_logic_vector(c_wbs_adr4_width-1 downto 0) := cc_dummy_wbs_adr4; dat128_i : in std_logic_vector(c_wbs_dat128_width-1 downto 0) := cc_dummy_wbs_dat128; sel128_i : in std_logic_vector(c_wbs_sel128_width-1 downto 0) := cc_dummy_wbs_sel128; -- Common lines dvalid_i : in std_logic := '0'; sof_i : in std_logic := '0'; eof_i : in std_logic := '0'; error_i : in std_logic := '0'; dreq_o : out std_logic ); end wb_stream_source_gen; architecture rtl of wb_stream_source_gen is -- Convert enum to natural constant c_wbs_dat_width : natural := f_conv_wbs_interface_width(g_wbs_interface_width); constant c_wbs_sel_width : natural := c_wbs_dat_width/8; -- Fixed 4-bit address as we do not exceptct it to address real peripheral -- just to inform some other conditions constant c_wbs_adr_width : natural := c_wbs_adr4_width; -- FIFO ranges and control bits location constant c_dat_lsb : natural := 0; constant c_dat_msb : natural := c_dat_lsb + c_wbs_dat_width - 1; constant c_adr_lsb : natural := c_dat_msb + 1; constant c_adr_msb : natural := c_adr_lsb + c_wbs_adr_width - 1; constant c_valid_bit : natural := c_adr_msb + 1; constant c_sel_lsb : natural := c_valid_bit + 1; constant c_sel_msb : natural := c_sel_lsb + c_wbs_sel_width - 1; constant c_eof_bit : natural := c_sel_msb + 1; constant c_sof_bit : natural := c_eof_bit + 1; constant c_logic_width : integer := c_sof_bit - c_valid_bit + 1; constant c_fifo_width : integer := c_sof_bit - c_dat_lsb + 1; constant c_fifo_depth : integer := 32; -- Signals signal q_valid, full, we, rd, rd_d0 : std_logic; signal fin, fout : std_logic_vector(c_fifo_width-1 downto 0); signal pre_dvalid, pre_sof : std_logic; signal pre_eof : std_logic; signal pre_dat : std_logic_vector(c_wbs_dat_width-1 downto 0); signal pre_adr : std_logic_vector(c_wbs_adr_width-1 downto 0); signal pre_sel : std_logic_vector(c_wbs_sel_width-1 downto 0); signal post_dvalid, post_sof : std_logic; signal post_eof : std_logic; signal post_sel : std_logic_vector(c_wbs_sel_width-1 downto 0); signal post_dat : std_logic_vector(c_wbs_dat_width-1 downto 0); signal post_adr : std_logic_vector(c_wbs_adr_width-1 downto 0); signal err_status : t_wbs_status_reg; signal cyc_int : std_logic; function f_gen_zeros(size : natural) return std_logic_vector is variable zeros : std_logic_vector(size-1 downto 0) := (others => '0'); begin return zeros; end f_gen_zeros; begin -- rtl err_status.error <= '1'; dreq_o <= not full; rd <= not src_stall_i; we <= sof_i or eof_i or error_i or dvalid_i; pre_dvalid <= dvalid_i or error_i; pre_eof <= error_i or eof_i; ----------------------------- -- Wishbone Streaming Interface selection ----------------------------- gen_16_bit_interface_in : if g_wbs_interface_width = NARROW2 generate pre_dat <= dat16_i when (error_i = '0') else f_gen_zeros(pre_dat'length-c_wbs_status_width) & f_marshall_wbs_status(err_status); pre_adr <= adr16_i when (error_i = '0') else std_logic_vector(resize(c_WBS_STATUS, pre_adr'length)); pre_sel <= sel16_i; end generate; gen_32_bit_interface_in : if g_wbs_interface_width = NARROW1 generate pre_dat <= dat32_i when (error_i = '0') else f_gen_zeros(pre_dat'length-c_wbs_status_width) & f_marshall_wbs_status(err_status); pre_adr <= adr32_i when (error_i = '0') else std_logic_vector(resize(c_WBS_STATUS, pre_adr'length)); pre_sel <= sel32_i; end generate; gen_64_bit_interface_in : if g_wbs_interface_width = LARGE1 generate pre_dat <= dat64_i when (error_i = '0') else f_gen_zeros(pre_dat'length-c_wbs_status_width) & f_marshall_wbs_status(err_status); pre_adr <= adr64_i when (error_i = '0') else std_logic_vector(resize(c_WBS_STATUS, pre_adr'length)); pre_sel <= sel64_i; end generate; gen_128_bit_interface_in : if g_wbs_interface_width = LARGE2 generate pre_dat <= dat128_i when (error_i = '0') else f_gen_zeros(pre_dat'length-c_wbs_status_width) & f_marshall_wbs_status(err_status); pre_adr <= adr128_i when (error_i = '0') else std_logic_vector(resize(c_WBS_STATUS, pre_adr'length)); pre_sel <= sel128_i; end generate; fin <= sof_i & pre_eof & pre_sel & pre_dvalid & pre_adr & pre_dat; cmp_fifo : generic_shiftreg_fifo generic map ( g_data_width => c_fifo_width, g_size => c_fifo_depth ) port map ( rst_n_i => rst_n_i, clk_i => clk_i, d_i => fin, we_i => we, q_o => fout, rd_i => rd, almost_full_o => full, q_valid_o => q_valid ); post_sof <= fout(c_sof_bit); post_eof <= fout(c_eof_bit); post_dvalid <= fout(c_valid_bit); post_sel <= fout(c_sel_msb downto c_sel_lsb); post_dat <= fout(c_dat_msb downto c_dat_lsb); post_adr <= fout(c_adr_msb downto c_adr_lsb); p_gen_cyc : process(clk_i) begin if rising_edge(clk_i) then if rst_n_i = '0' then cyc_int <= '0'; else if(src_stall_i = '0' and q_valid = '1') then -- SOF and SOF signals must be one clock cycle long -- and must be asserted at the same clock edge as the valid -- signal! if(post_sof = '1') then --or post_eof = '1')then cyc_int <= '1'; elsif(post_eof = '1') then cyc_int <= '0'; end if; end if; end if; end if; end process; src_cyc_o <= cyc_int or post_sof; src_we_o <= '1'; src_stb_o <= post_dvalid and q_valid; ----------------------------- -- Wishbone Streaming Interface selection ----------------------------- gen_16_bit_interface_out : if g_wbs_interface_width = NARROW2 generate src_sel16_o <= post_sel; src_dat16_o <= post_dat; src_adr16_o <= post_adr; end generate; gen_32_bit_interface_out : if g_wbs_interface_width = NARROW1 generate src_sel32_o <= post_sel; src_dat32_o <= post_dat; src_adr32_o <= post_adr; end generate; gen_64_bit_interface_out : if g_wbs_interface_width = LARGE1 generate src_sel64_o <= post_sel; src_dat64_o <= post_dat; src_adr64_o <= post_adr; end generate; gen_128_bit_interface_out : if g_wbs_interface_width = LARGE2 generate src_sel128_o <= post_sel; src_dat128_o <= post_dat; src_adr128_o <= post_adr; end generate; end rtl;
-- ---------------------------------------------------------------------- --LOGI-hard --Copyright (c) 2013, Jonathan Piat, Michael Jones, All rights reserved. -- --This library is free software; you can redistribute it and/or --modify it under the terms of the GNU Lesser General Public --License as published by the Free Software Foundation; either --version 3.0 of the License, or (at your option) any later version. -- --This library is distributed in the hope that it will be useful, --but WITHOUT ANY WARRANTY; without even the implied warranty of --MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU --Lesser General Public License for more details. -- --You should have received a copy of the GNU Lesser General Public --License along with this library. -- ---------------------------------------------------------------------- ---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 15:15:04 12/17/2013 -- Design Name: -- Module Name: logi_virtual_sw - Behavioral -- Project Name: -- Target Devices: Spartan 6 -- Tool versions: ISE 14.1 -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity logi_virtual_sw is generic( wb_size : natural := 16 -- Data port size for wishbone ); port ( -- Syscon signals gls_reset : in std_logic ; gls_clk : in std_logic ; -- Wishbone signals wbs_address : in std_logic_vector(15 downto 0) ; wbs_writedata : in std_logic_vector( wb_size-1 downto 0); wbs_readdata : out std_logic_vector( wb_size-1 downto 0); wbs_strobe : in std_logic ; wbs_cycle : in std_logic ; wbs_write : in std_logic ; wbs_ack : out std_logic; -- out signals sw : out std_logic_vector(15 downto 0) ); end logi_virtual_sw; architecture Behavioral of logi_virtual_sw is signal reg_out_d : std_logic_vector(15 downto 0) ; signal read_ack : std_logic ; signal write_ack : std_logic ; begin wbs_ack <= read_ack or write_ack; write_bloc : process(gls_clk,gls_reset) begin if gls_reset = '1' then reg_out_d <= (others => '0'); write_ack <= '0'; elsif rising_edge(gls_clk) then if ((wbs_strobe and wbs_write and wbs_cycle) = '1' ) then reg_out_d <= wbs_writedata; write_ack <= '1'; else write_ack <= '0'; end if; end if; end process write_bloc; sw <= reg_out_d ; read_bloc : process(gls_clk, gls_reset) begin if gls_reset = '1' then elsif rising_edge(gls_clk) then if (wbs_strobe = '1' and wbs_write = '0' and wbs_cycle = '1' ) then read_ack <= '1'; else read_ack <= '0'; end if; end if; end process read_bloc; end Behavioral;
-- ---------------------------------------------------------------------- --LOGI-hard --Copyright (c) 2013, Jonathan Piat, Michael Jones, All rights reserved. -- --This library is free software; you can redistribute it and/or --modify it under the terms of the GNU Lesser General Public --License as published by the Free Software Foundation; either --version 3.0 of the License, or (at your option) any later version. -- --This library is distributed in the hope that it will be useful, --but WITHOUT ANY WARRANTY; without even the implied warranty of --MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU --Lesser General Public License for more details. -- --You should have received a copy of the GNU Lesser General Public --License along with this library. -- ---------------------------------------------------------------------- ---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 15:15:04 12/17/2013 -- Design Name: -- Module Name: logi_virtual_sw - Behavioral -- Project Name: -- Target Devices: Spartan 6 -- Tool versions: ISE 14.1 -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity logi_virtual_sw is generic( wb_size : natural := 16 -- Data port size for wishbone ); port ( -- Syscon signals gls_reset : in std_logic ; gls_clk : in std_logic ; -- Wishbone signals wbs_address : in std_logic_vector(15 downto 0) ; wbs_writedata : in std_logic_vector( wb_size-1 downto 0); wbs_readdata : out std_logic_vector( wb_size-1 downto 0); wbs_strobe : in std_logic ; wbs_cycle : in std_logic ; wbs_write : in std_logic ; wbs_ack : out std_logic; -- out signals sw : out std_logic_vector(15 downto 0) ); end logi_virtual_sw; architecture Behavioral of logi_virtual_sw is signal reg_out_d : std_logic_vector(15 downto 0) ; signal read_ack : std_logic ; signal write_ack : std_logic ; begin wbs_ack <= read_ack or write_ack; write_bloc : process(gls_clk,gls_reset) begin if gls_reset = '1' then reg_out_d <= (others => '0'); write_ack <= '0'; elsif rising_edge(gls_clk) then if ((wbs_strobe and wbs_write and wbs_cycle) = '1' ) then reg_out_d <= wbs_writedata; write_ack <= '1'; else write_ack <= '0'; end if; end if; end process write_bloc; sw <= reg_out_d ; read_bloc : process(gls_clk, gls_reset) begin if gls_reset = '1' then elsif rising_edge(gls_clk) then if (wbs_strobe = '1' and wbs_write = '0' and wbs_cycle = '1' ) then read_ack <= '1'; else read_ack <= '0'; end if; end if; end process read_bloc; end Behavioral;
-- (c) Copyright 1995-2015 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:axi_bram_ctrl:4.0 -- IP Revision: 2 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY axi_bram_ctrl_v4_0; USE axi_bram_ctrl_v4_0.axi_bram_ctrl; ENTITY axi_bram_ctrl_16b IS PORT ( s_axi_aclk : IN STD_LOGIC; s_axi_aresetn : IN STD_LOGIC; s_axi_awaddr : IN STD_LOGIC_VECTOR(15 DOWNTO 0); s_axi_awprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_awvalid : IN STD_LOGIC; s_axi_awready : OUT STD_LOGIC; s_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_wvalid : IN STD_LOGIC; s_axi_wready : OUT STD_LOGIC; s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_bvalid : OUT STD_LOGIC; s_axi_bready : IN STD_LOGIC; s_axi_araddr : IN STD_LOGIC_VECTOR(15 DOWNTO 0); s_axi_arprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_arvalid : IN STD_LOGIC; s_axi_arready : OUT STD_LOGIC; s_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_rvalid : OUT STD_LOGIC; s_axi_rready : IN STD_LOGIC; bram_rst_a : OUT STD_LOGIC; bram_clk_a : OUT STD_LOGIC; bram_en_a : OUT STD_LOGIC; bram_we_a : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); bram_addr_a : OUT STD_LOGIC_VECTOR(15 DOWNTO 0); bram_wrdata_a : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); bram_rddata_a : IN STD_LOGIC_VECTOR(31 DOWNTO 0) ); END axi_bram_ctrl_16b; ARCHITECTURE axi_bram_ctrl_16b_arch OF axi_bram_ctrl_16b IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF axi_bram_ctrl_16b_arch: ARCHITECTURE IS "yes"; COMPONENT axi_bram_ctrl IS GENERIC ( C_BRAM_INST_MODE : STRING; C_MEMORY_DEPTH : INTEGER; C_BRAM_ADDR_WIDTH : INTEGER; C_S_AXI_ADDR_WIDTH : INTEGER; C_S_AXI_DATA_WIDTH : INTEGER; C_S_AXI_ID_WIDTH : INTEGER; C_S_AXI_PROTOCOL : STRING; C_S_AXI_SUPPORTS_NARROW_BURST : INTEGER; C_SINGLE_PORT_BRAM : INTEGER; C_FAMILY : STRING; C_S_AXI_CTRL_ADDR_WIDTH : INTEGER; C_S_AXI_CTRL_DATA_WIDTH : INTEGER; C_ECC : INTEGER; C_ECC_TYPE : INTEGER; C_FAULT_INJECT : INTEGER; C_ECC_ONOFF_RESET_VALUE : INTEGER ); PORT ( s_axi_aclk : IN STD_LOGIC; s_axi_aresetn : IN STD_LOGIC; ecc_interrupt : OUT STD_LOGIC; ecc_ue : OUT STD_LOGIC; s_axi_awid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_awaddr : IN STD_LOGIC_VECTOR(15 DOWNTO 0); s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_awlock : IN STD_LOGIC; s_axi_awcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_awvalid : IN STD_LOGIC; s_axi_awready : OUT STD_LOGIC; s_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_wlast : IN STD_LOGIC; s_axi_wvalid : IN STD_LOGIC; s_axi_wready : OUT STD_LOGIC; s_axi_bid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_bvalid : OUT STD_LOGIC; s_axi_bready : IN STD_LOGIC; s_axi_arid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_araddr : IN STD_LOGIC_VECTOR(15 DOWNTO 0); s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_arlock : IN STD_LOGIC; s_axi_arcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_arprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_arvalid : IN STD_LOGIC; s_axi_arready : OUT STD_LOGIC; s_axi_rid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_rlast : OUT STD_LOGIC; s_axi_rvalid : OUT STD_LOGIC; s_axi_rready : IN STD_LOGIC; s_axi_ctrl_awvalid : IN STD_LOGIC; s_axi_ctrl_awready : OUT STD_LOGIC; s_axi_ctrl_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_ctrl_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_ctrl_wvalid : IN STD_LOGIC; s_axi_ctrl_wready : OUT STD_LOGIC; s_axi_ctrl_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_ctrl_bvalid : OUT STD_LOGIC; s_axi_ctrl_bready : IN STD_LOGIC; s_axi_ctrl_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_ctrl_arvalid : IN STD_LOGIC; s_axi_ctrl_arready : OUT STD_LOGIC; s_axi_ctrl_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_ctrl_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_ctrl_rvalid : OUT STD_LOGIC; s_axi_ctrl_rready : IN STD_LOGIC; bram_rst_a : OUT STD_LOGIC; bram_clk_a : OUT STD_LOGIC; bram_en_a : OUT STD_LOGIC; bram_we_a : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); bram_addr_a : OUT STD_LOGIC_VECTOR(15 DOWNTO 0); bram_wrdata_a : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); bram_rddata_a : IN STD_LOGIC_VECTOR(31 DOWNTO 0); bram_rst_b : OUT STD_LOGIC; bram_clk_b : OUT STD_LOGIC; bram_en_b : OUT STD_LOGIC; bram_we_b : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); bram_addr_b : OUT STD_LOGIC_VECTOR(15 DOWNTO 0); bram_wrdata_b : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); bram_rddata_b : IN STD_LOGIC_VECTOR(31 DOWNTO 0) ); END COMPONENT axi_bram_ctrl; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF s_axi_aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 CLKIF CLK"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 RSTIF RST"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_awaddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWADDR"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_awprot: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWPROT"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_awvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_awready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_wdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_wstrb: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WSTRB"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_wvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_wready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_bresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BRESP"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_bvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_bready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_araddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARADDR"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_arprot: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARPROT"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_arvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_arready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_rdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_rresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RRESP"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_rvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_rready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RREADY"; ATTRIBUTE X_INTERFACE_INFO OF bram_rst_a: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA RST"; ATTRIBUTE X_INTERFACE_INFO OF bram_clk_a: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA CLK"; ATTRIBUTE X_INTERFACE_INFO OF bram_en_a: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA EN"; ATTRIBUTE X_INTERFACE_INFO OF bram_we_a: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA WE"; ATTRIBUTE X_INTERFACE_INFO OF bram_addr_a: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA ADDR"; ATTRIBUTE X_INTERFACE_INFO OF bram_wrdata_a: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA DIN"; ATTRIBUTE X_INTERFACE_INFO OF bram_rddata_a: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA DOUT"; BEGIN U0 : axi_bram_ctrl GENERIC MAP ( C_BRAM_INST_MODE => "EXTERNAL", C_MEMORY_DEPTH => 16384, C_BRAM_ADDR_WIDTH => 14, C_S_AXI_ADDR_WIDTH => 16, C_S_AXI_DATA_WIDTH => 32, C_S_AXI_ID_WIDTH => 1, C_S_AXI_PROTOCOL => "AXI4LITE", C_S_AXI_SUPPORTS_NARROW_BURST => 0, C_SINGLE_PORT_BRAM => 1, C_FAMILY => "zynq", C_S_AXI_CTRL_ADDR_WIDTH => 32, C_S_AXI_CTRL_DATA_WIDTH => 32, C_ECC => 0, C_ECC_TYPE => 0, C_FAULT_INJECT => 0, C_ECC_ONOFF_RESET_VALUE => 0 ) PORT MAP ( s_axi_aclk => s_axi_aclk, s_axi_aresetn => s_axi_aresetn, s_axi_awid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_awaddr => s_axi_awaddr, s_axi_awlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_awsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_awburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), s_axi_awlock => '0', s_axi_awcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_awprot => s_axi_awprot, s_axi_awvalid => s_axi_awvalid, s_axi_awready => s_axi_awready, s_axi_wdata => s_axi_wdata, s_axi_wstrb => s_axi_wstrb, s_axi_wlast => '0', s_axi_wvalid => s_axi_wvalid, s_axi_wready => s_axi_wready, s_axi_bresp => s_axi_bresp, s_axi_bvalid => s_axi_bvalid, s_axi_bready => s_axi_bready, s_axi_arid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi_araddr => s_axi_araddr, s_axi_arlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi_arsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi_arburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), s_axi_arlock => '0', s_axi_arcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_arprot => s_axi_arprot, s_axi_arvalid => s_axi_arvalid, s_axi_arready => s_axi_arready, s_axi_rdata => s_axi_rdata, s_axi_rresp => s_axi_rresp, s_axi_rvalid => s_axi_rvalid, s_axi_rready => s_axi_rready, s_axi_ctrl_awvalid => '0', s_axi_ctrl_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axi_ctrl_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axi_ctrl_wvalid => '0', s_axi_ctrl_bready => '0', s_axi_ctrl_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axi_ctrl_arvalid => '0', s_axi_ctrl_rready => '0', bram_rst_a => bram_rst_a, bram_clk_a => bram_clk_a, bram_en_a => bram_en_a, bram_we_a => bram_we_a, bram_addr_a => bram_addr_a, bram_wrdata_a => bram_wrdata_a, bram_rddata_a => bram_rddata_a, bram_rddata_b => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)) ); END axi_bram_ctrl_16b_arch;
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; Library UNISIM; use UNISIM.vcomponents.all; entity SimCore is port( rs232rx : in std_logic; rst : in std_logic; clk : in std_logic; rs232tx : out std_logic; led : out std_logic_vector(7 downto 0); CLKMULOUT : out std_logic; CLK2XOUT : out std_logic ); end SimCore; architecture Behavioral of SimCore is component thermometersLogic port( rsTxBusy : IN std_logic; rst : IN std_logic; clk50Mhz : IN std_logic; clk3kHz : IN std_logic; rsDataOut : OUT std_logic_vector(7 downto 0); rsTxStart : OUT std_logic; led : OUT std_logic_vector(7 downto 0) ); end component; component heatersLogic port( rsDataIn : in std_logic_vector(7 downto 0); rsRdy : in std_logic; rst : in std_logic; clk50Mhz : in std_logic; readyOut : out std_logic ); end component; component RS232 port( rs232_rxd : in std_logic; txdi : in std_logic_vector(7 downto 0); txstart : in std_logic; reset : in std_logic; clk_50Mhz : in std_logic; clk_sys : in std_logic; rs232_txd : out std_logic; rxdo : out std_logic_vector(7 downto 0); rxrdy : out std_logic; txbusy : out std_logic ); end component; component FeqDiv generic( width : integer ); port( clkIn : IN std_logic; clkOut : OUT std_logic ); end component; COMPONENT ClockGenerator PORT( clk100Mhz : IN std_logic; reset : IN std_logic; clk50Mhz : OUT std_logic ); END COMPONENT; COMPONENT HeaterClockGenerator PORT( CLKIN_IN : IN std_logic; RST_IN : IN std_logic; CLKFX_OUT : OUT std_logic; CLKIN_IBUFG_OUT : OUT std_logic; CLK0_OUT : OUT std_logic; CLK2X_OUT : OUT std_logic ); END COMPONENT; signal clk50Mhz : std_logic; signal clk3kHz : std_logic; signal rsDataOut : std_logic_vector(7 downto 0); signal rsDataIn : std_logic_vector(7 downto 0); signal rsTxStart : std_logic; signal rsTxBusy : std_logic; signal rsRxRdy : std_logic; signal heatersLogicReady : std_logic; signal clkfx : std_logic; attribute keep : string; attribute keep of heatersLogicReady: signal is "True"; attribute keep_hierarchy : string; attribute keep_hierarchy of thermometersLogic: component is "TRUE"; attribute keep_hierarchy of heatersLogic: component is "TRUE"; attribute keep_hierarchy of FeqDiv: component is "TRUE"; attribute keep_hierarchy of RS232: component is "TRUE"; begin InstFeqDiv: FeqDiv generic map( width => 14 ) port map( clkIn => clk50MHz, clkOut => clk3kHz ); InstThermometersLogic: thermometersLogic port map( rsTxBusy => rsTxBusy, rst => rst, clk50Mhz => clk50Mhz, clk3kHz => clk3kHz, rsDataOut => rsDataOut, rsTxStart => rsTxStart, led => led ); InstHeatersLogic: heatersLogic PORT MAP( rsDataIn => rsDataIn, rsRdy => rsRxRdy, rst => rst, clk50Mhz => clk50Mhz, readyOut => heatersLogicReady ); InstRS232: RS232 port map( rs232_rxd => rs232rx, txdi => rsDataOut, txstart => rsTxStart, reset => rst, clk_50Mhz => clk50Mhz, clk_sys => clk50Mhz, rs232_txd => rs232tx, rxdo => rsDataIn, rxrdy => rsRxRdy, txbusy => rsTxBusy ); Inst_ClockGenerator: ClockGenerator PORT MAP( clk100Mhz => clk, reset => rst, clk50Mhz => clk50Mhz ); Inst_SystemClockGenerator: HeaterClockGenerator PORT MAP( CLKIN_IN => clk, RST_IN => rst, CLKFX_OUT => clkfx, CLKIN_IBUFG_OUT => open, CLK0_OUT => open, CLK2x_OUT => open ); CLKMULOUT <= clkfx; Inst_SystemClockGenerator2: HeaterClockGenerator PORT MAP( CLKIN_IN => clkfx, RST_IN => rst, CLKFX_OUT => open, CLKIN_IBUFG_OUT => open, CLK0_OUT => open, CLK2X_OUT => CLK2XOUT ); end Behavioral;
LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY alu_control IS PORT ( funct : IN STD_LOGIC_VECTOR (5 DOWNTO 0); ALUop : IN STD_LOGIC_VECTOR (1 DOWNTO 0); operation : OUT STD_LOGIC_VECTOR (3 DOWNTO 0) ); END alu_control; ARCHITECTURE Behavioral OF alu_control IS BEGIN -- notes from class operation(3) <= '0'; operation(2) <= ALUop(0) or (ALUop(1) and funct(1)); operation(1) <= not ALUop(1) or not funct(2); operation(0) <= (funct(3) or funct(0)) and ALUop(1); END Behavioral;
------------------------------------------------------------------------------ -- user_logic.vhd - entity/architecture pair ------------------------------------------------------------------------------ -- -- *************************************************************************** -- ** Copyright (c) 1995-2012 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** Xilinx, Inc. ** -- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" ** -- ** AS A COURTESY TO YOU, SOLELY FOR USE IN DEVELOPING PROGRAMS AND ** -- ** SOLUTIONS FOR XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, ** -- ** OR INFORMATION AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, ** -- ** APPLICATION OR STANDARD, XILINX IS MAKING NO REPRESENTATION ** -- ** THAT THIS IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, ** -- ** AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE ** -- ** FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY ** -- ** WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE ** -- ** IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR ** -- ** REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF ** -- ** INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS ** -- ** FOR A PARTICULAR PURPOSE. ** -- ** ** -- *************************************************************************** -- ------------------------------------------------------------------------------ -- Filename: user_logic.vhd -- Version: 1.00.a -- Description: User logic. -- Date: Tue May 5 20:44:19 2015 (by Create and Import Peripheral Wizard) -- VHDL Standard: VHDL'93 ------------------------------------------------------------------------------ -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port: "*_i" -- device pins: "*_pin" -- ports: "- Names begin with Uppercase" -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC>" ------------------------------------------------------------------------------ -- DO NOT EDIT BELOW THIS LINE -------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; use ieee.numeric_std.all; library proc_common_v3_00_a; use proc_common_v3_00_a.proc_common_pkg.all; -- DO NOT EDIT ABOVE THIS LINE -------------------- --USER libraries added here ------------------------------------------------------------------------------ -- Entity section ------------------------------------------------------------------------------ -- Definition of Generics: -- C_NUM_REG -- Number of software accessible registers -- C_SLV_DWIDTH -- Slave interface data bus width -- -- Definition of Ports: -- Bus2IP_Clk -- Bus to IP clock -- Bus2IP_Resetn -- Bus to IP reset -- Bus2IP_Data -- Bus to IP data bus -- Bus2IP_BE -- Bus to IP byte enables -- Bus2IP_RdCE -- Bus to IP read chip enable -- Bus2IP_WrCE -- Bus to IP write chip enable -- IP2Bus_Data -- IP to Bus data bus -- IP2Bus_RdAck -- IP to Bus read transfer acknowledgement -- IP2Bus_WrAck -- IP to Bus write transfer acknowledgement -- IP2Bus_Error -- IP to Bus error response ------------------------------------------------------------------------------ entity user_logic is generic ( -- ADD USER GENERICS BELOW THIS LINE --------------- --USER generics added here -- ADD USER GENERICS ABOVE THIS LINE --------------- -- DO NOT EDIT BELOW THIS LINE --------------------- -- Bus protocol parameters, do not add to or delete C_NUM_REG : integer := 32; C_SLV_DWIDTH : integer := 32 -- DO NOT EDIT ABOVE THIS LINE --------------------- ); port ( -- ADD USER PORTS BELOW THIS LINE ------------------ CLK_48_in : in std_logic; CLK_100M_in : in std_logic; Audio_Left_in : in std_logic_vector(23 downto 0); Audio_Right_in : in std_logic_vector(23 downto 0); Mux2_FilterORMux1_Left_out : out std_logic_vector(23 downto 0); Mux2_FilterORMux1_Right_out : out std_logic_vector(23 downto 0); -- ADD USER PORTS ABOVE THIS LINE ------------------ -- DO NOT EDIT BELOW THIS LINE --------------------- -- Bus protocol ports, do not add to or delete Bus2IP_Clk : in std_logic; Bus2IP_Resetn : in std_logic; Bus2IP_Data : in std_logic_vector(C_SLV_DWIDTH-1 downto 0); Bus2IP_BE : in std_logic_vector(C_SLV_DWIDTH/8-1 downto 0); Bus2IP_RdCE : in std_logic_vector(C_NUM_REG-1 downto 0); Bus2IP_WrCE : in std_logic_vector(C_NUM_REG-1 downto 0); IP2Bus_Data : out std_logic_vector(C_SLV_DWIDTH-1 downto 0); IP2Bus_RdAck : out std_logic; IP2Bus_WrAck : out std_logic; IP2Bus_Error : out std_logic -- DO NOT EDIT ABOVE THIS LINE --------------------- ); attribute MAX_FANOUT : string; attribute SIGIS : string; attribute SIGIS of Bus2IP_Clk : signal is "CLK"; attribute SIGIS of Bus2IP_Resetn : signal is "RST"; end entity user_logic; ------------------------------------------------------------------------------ -- Architecture section ------------------------------------------------------------------------------ architecture IMP of user_logic is --USER signal declarations added here, as needed for user logic ------------------------------------------ -- Signals for user logic slave model s/w accessible register example ------------------------------------------ signal slv_reg0 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg1 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg2 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg3 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg4 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg5 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg6 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg7 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg8 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg9 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg10 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg11 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg12 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg13 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg14 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg15 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg16 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg17 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg18 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg19 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg20 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg21 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg22 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg23 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg24 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg25 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg26 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg27 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg28 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg29 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg30 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg31 : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg_write_sel : std_logic_vector(31 downto 0); signal slv_reg_read_sel : std_logic_vector(31 downto 0); signal slv_ip2bus_data : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_read_ack : std_logic; signal slv_write_ack : std_logic; signal slv_reg28_internal : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg29_internal : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg30_internal : std_logic_vector(C_SLV_DWIDTH-1 downto 0); signal slv_reg31_internal : std_logic_vector(C_SLV_DWIDTH-1 downto 0); component superip_internal is port( -- Outputs Mux2_FilterORMux1_Left_out : out std_logic_vector(23 downto 0); Mux2_FilterORMux1_Right_out : out std_logic_vector(23 downto 0); slv_reg28 : out STD_LOGIC_VECTOR(31 downto 0); slv_reg29 : out STD_LOGIC_VECTOR(31 downto 0); slv_reg30 : out STD_LOGIC_VECTOR(31 downto 0); slv_reg31 : out STD_LOGIC_VECTOR(31 downto 0); -- Inputs CLK_48_in : in std_logic; CLK_100M_in : in std_logic; Audio_Left_in : in std_logic_vector(23 downto 0); Audio_Right_in : in std_logic_vector(23 downto 0); -- REGISTERS slv_reg0 : in STD_LOGIC_VECTOR(31 downto 0); slv_reg1 : in STD_LOGIC_VECTOR(31 downto 0); slv_reg2 : in STD_LOGIC_VECTOR(31 downto 0); slv_reg3 : in STD_LOGIC_VECTOR(31 downto 0); slv_reg4 : in STD_LOGIC_VECTOR(31 downto 0); slv_reg5 : in STD_LOGIC_VECTOR(31 downto 0); slv_reg6 : in STD_LOGIC_VECTOR(31 downto 0); slv_reg7 : in STD_LOGIC_VECTOR(31 downto 0); slv_reg8 : in STD_LOGIC_VECTOR(31 downto 0); slv_reg9 : in STD_LOGIC_VECTOR(31 downto 0); slv_reg10 : in STD_LOGIC_VECTOR(31 downto 0); slv_reg11 : in STD_LOGIC_VECTOR(31 downto 0); slv_reg12 : in STD_LOGIC_VECTOR(31 downto 0); slv_reg13 : in STD_LOGIC_VECTOR(31 downto 0); slv_reg14 : in STD_LOGIC_VECTOR(31 downto 0); slv_reg15 : in STD_LOGIC_VECTOR(31 downto 0); slv_reg16 : in STD_LOGIC_VECTOR(31 downto 0); slv_reg17 : in STD_LOGIC_VECTOR(31 downto 0); slv_reg18 : in STD_LOGIC_VECTOR(31 downto 0); slv_reg19 : in STD_LOGIC_VECTOR(31 downto 0); slv_reg20 : in STD_LOGIC_VECTOR(31 downto 0); slv_reg21 : in STD_LOGIC_VECTOR(31 downto 0); slv_reg22 : in STD_LOGIC_VECTOR(31 downto 0); slv_reg23 : in STD_LOGIC_VECTOR(31 downto 0); slv_reg24 : in STD_LOGIC_VECTOR(31 downto 0); slv_reg25 : in STD_LOGIC_VECTOR(31 downto 0); slv_reg26 : in STD_LOGIC_VECTOR(31 downto 0); slv_reg27 : in STD_LOGIC_VECTOR(31 downto 0) ); end component; begin --USER logic implementation added here ------------------------------------------ -- Example code to read/write user logic slave model s/w accessible registers -- -- Note: -- The example code presented here is to show you one way of reading/writing -- software accessible registers implemented in the user logic slave model. -- Each bit of the Bus2IP_WrCE/Bus2IP_RdCE signals is configured to correspond -- to one software accessible register by the top level template. For example, -- if you have four 32 bit software accessible registers in the user logic, -- you are basically operating on the following memory mapped registers: -- -- Bus2IP_WrCE/Bus2IP_RdCE Memory Mapped Register -- "1000" C_BASEADDR + 0x0 -- "0100" C_BASEADDR + 0x4 -- "0010" C_BASEADDR + 0x8 -- "0001" C_BASEADDR + 0xC -- ------------------------------------------ slv_reg_write_sel <= Bus2IP_WrCE(31 downto 0); slv_reg_read_sel <= Bus2IP_RdCE(31 downto 0); slv_write_ack <= Bus2IP_WrCE(0) or Bus2IP_WrCE(1) or Bus2IP_WrCE(2) or Bus2IP_WrCE(3) or Bus2IP_WrCE(4) or Bus2IP_WrCE(5) or Bus2IP_WrCE(6) or Bus2IP_WrCE(7) or Bus2IP_WrCE(8) or Bus2IP_WrCE(9) or Bus2IP_WrCE(10) or Bus2IP_WrCE(11) or Bus2IP_WrCE(12) or Bus2IP_WrCE(13) or Bus2IP_WrCE(14) or Bus2IP_WrCE(15) or Bus2IP_WrCE(16) or Bus2IP_WrCE(17) or Bus2IP_WrCE(18) or Bus2IP_WrCE(19) or Bus2IP_WrCE(20) or Bus2IP_WrCE(21) or Bus2IP_WrCE(22) or Bus2IP_WrCE(23) or Bus2IP_WrCE(24) or Bus2IP_WrCE(25) or Bus2IP_WrCE(26) or Bus2IP_WrCE(27) or Bus2IP_WrCE(28) or Bus2IP_WrCE(29) or Bus2IP_WrCE(30) or Bus2IP_WrCE(31); slv_read_ack <= Bus2IP_RdCE(0) or Bus2IP_RdCE(1) or Bus2IP_RdCE(2) or Bus2IP_RdCE(3) or Bus2IP_RdCE(4) or Bus2IP_RdCE(5) or Bus2IP_RdCE(6) or Bus2IP_RdCE(7) or Bus2IP_RdCE(8) or Bus2IP_RdCE(9) or Bus2IP_RdCE(10) or Bus2IP_RdCE(11) or Bus2IP_RdCE(12) or Bus2IP_RdCE(13) or Bus2IP_RdCE(14) or Bus2IP_RdCE(15) or Bus2IP_RdCE(16) or Bus2IP_RdCE(17) or Bus2IP_RdCE(18) or Bus2IP_RdCE(19) or Bus2IP_RdCE(20) or Bus2IP_RdCE(21) or Bus2IP_RdCE(22) or Bus2IP_RdCE(23) or Bus2IP_RdCE(24) or Bus2IP_RdCE(25) or Bus2IP_RdCE(26) or Bus2IP_RdCE(27) or Bus2IP_RdCE(28) or Bus2IP_RdCE(29) or Bus2IP_RdCE(30) or Bus2IP_RdCE(31); -- implement slave model software accessible register(s) SLAVE_REG_WRITE_PROC : process( Bus2IP_Clk ) is begin if Bus2IP_Clk'event and Bus2IP_Clk = '1' then if Bus2IP_Resetn = '0' then slv_reg0 <= (others => '0'); slv_reg1 <= (others => '0'); slv_reg2 <= (others => '0'); slv_reg3 <= (others => '0'); slv_reg4 <= (others => '0'); slv_reg5 <= (others => '0'); slv_reg6 <= (others => '0'); slv_reg7 <= (others => '0'); slv_reg8 <= (others => '0'); slv_reg9 <= (others => '0'); slv_reg10 <= (others => '0'); slv_reg11 <= (others => '0'); slv_reg12 <= (others => '0'); slv_reg13 <= (others => '0'); slv_reg14 <= (others => '0'); slv_reg15 <= (others => '0'); slv_reg16 <= (others => '0'); slv_reg17 <= (others => '0'); slv_reg18 <= (others => '0'); slv_reg19 <= (others => '0'); slv_reg20 <= (others => '0'); slv_reg21 <= (others => '0'); slv_reg22 <= (others => '0'); slv_reg23 <= (others => '0'); slv_reg24 <= (others => '0'); slv_reg25 <= (others => '0'); slv_reg26 <= (others => '0'); slv_reg27 <= (others => '0'); slv_reg28 <= (others => '0'); slv_reg29 <= (others => '0'); slv_reg30 <= (others => '0'); slv_reg31 <= (others => '0'); else case slv_reg_write_sel is when "10000000000000000000000000000000" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if ( Bus2IP_BE(byte_index) = '1' ) then slv_reg0(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; when "01000000000000000000000000000000" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if ( Bus2IP_BE(byte_index) = '1' ) then slv_reg1(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; when "00100000000000000000000000000000" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if ( Bus2IP_BE(byte_index) = '1' ) then slv_reg2(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; when "00010000000000000000000000000000" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if ( Bus2IP_BE(byte_index) = '1' ) then slv_reg3(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; when "00001000000000000000000000000000" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if ( Bus2IP_BE(byte_index) = '1' ) then slv_reg4(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; when "00000100000000000000000000000000" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if ( Bus2IP_BE(byte_index) = '1' ) then slv_reg5(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; when "00000010000000000000000000000000" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if ( Bus2IP_BE(byte_index) = '1' ) then slv_reg6(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; when "00000001000000000000000000000000" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if ( Bus2IP_BE(byte_index) = '1' ) then slv_reg7(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; when "00000000100000000000000000000000" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if ( Bus2IP_BE(byte_index) = '1' ) then slv_reg8(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; when "00000000010000000000000000000000" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if ( Bus2IP_BE(byte_index) = '1' ) then slv_reg9(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; when "00000000001000000000000000000000" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if ( Bus2IP_BE(byte_index) = '1' ) then slv_reg10(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; when "00000000000100000000000000000000" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if ( Bus2IP_BE(byte_index) = '1' ) then slv_reg11(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; when "00000000000010000000000000000000" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if ( Bus2IP_BE(byte_index) = '1' ) then slv_reg12(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; when "00000000000001000000000000000000" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if ( Bus2IP_BE(byte_index) = '1' ) then slv_reg13(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; when "00000000000000100000000000000000" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if ( Bus2IP_BE(byte_index) = '1' ) then slv_reg14(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; when "00000000000000010000000000000000" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if ( Bus2IP_BE(byte_index) = '1' ) then slv_reg15(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; when "00000000000000001000000000000000" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if ( Bus2IP_BE(byte_index) = '1' ) then slv_reg16(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; when "00000000000000000100000000000000" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if ( Bus2IP_BE(byte_index) = '1' ) then slv_reg17(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; when "00000000000000000010000000000000" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if ( Bus2IP_BE(byte_index) = '1' ) then slv_reg18(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; when "00000000000000000001000000000000" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if ( Bus2IP_BE(byte_index) = '1' ) then slv_reg19(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; when "00000000000000000000100000000000" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if ( Bus2IP_BE(byte_index) = '1' ) then slv_reg20(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; when "00000000000000000000010000000000" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if ( Bus2IP_BE(byte_index) = '1' ) then slv_reg21(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; when "00000000000000000000001000000000" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if ( Bus2IP_BE(byte_index) = '1' ) then slv_reg22(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; when "00000000000000000000000100000000" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if ( Bus2IP_BE(byte_index) = '1' ) then slv_reg23(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; when "00000000000000000000000010000000" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if ( Bus2IP_BE(byte_index) = '1' ) then slv_reg24(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; when "00000000000000000000000001000000" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if ( Bus2IP_BE(byte_index) = '1' ) then slv_reg25(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; when "00000000000000000000000000100000" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if ( Bus2IP_BE(byte_index) = '1' ) then slv_reg26(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; when "00000000000000000000000000010000" => for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop if ( Bus2IP_BE(byte_index) = '1' ) then slv_reg27(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); end if; end loop; -- when "00000000000000000000000000001000" => -- for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop -- if ( Bus2IP_BE(byte_index) = '1' ) then -- slv_reg28(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); -- end if; -- end loop; -- when "00000000000000000000000000000100" => -- for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop -- if ( Bus2IP_BE(byte_index) = '1' ) then -- slv_reg29(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); -- end if; -- end loop; -- when "00000000000000000000000000000010" => -- for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop -- if ( Bus2IP_BE(byte_index) = '1' ) then -- slv_reg30(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); -- end if; -- end loop; -- when "00000000000000000000000000000001" => -- for byte_index in 0 to (C_SLV_DWIDTH/8)-1 loop -- if ( Bus2IP_BE(byte_index) = '1' ) then -- slv_reg31(byte_index*8+7 downto byte_index*8) <= Bus2IP_Data(byte_index*8+7 downto byte_index*8); -- end if; -- end loop; when others => null; end case; slv_reg28 <= slv_reg28_internal; slv_reg29 <= slv_reg29_internal; slv_reg30 <= slv_reg30_internal; slv_reg31 <= slv_reg31_internal; end if; end if; end process SLAVE_REG_WRITE_PROC; -- implement slave model software accessible register(s) read mux SLAVE_REG_READ_PROC : process( slv_reg_read_sel, slv_reg0, slv_reg1, slv_reg2, slv_reg3, slv_reg4, slv_reg5, slv_reg6, slv_reg7, slv_reg8, slv_reg9, slv_reg10, slv_reg11, slv_reg12, slv_reg13, slv_reg14, slv_reg15, slv_reg16, slv_reg17, slv_reg18, slv_reg19, slv_reg20, slv_reg21, slv_reg22, slv_reg23, slv_reg24, slv_reg25, slv_reg26, slv_reg27, slv_reg28, slv_reg29, slv_reg30, slv_reg31 ) is begin case slv_reg_read_sel is when "10000000000000000000000000000000" => slv_ip2bus_data <= slv_reg0; when "01000000000000000000000000000000" => slv_ip2bus_data <= slv_reg1; when "00100000000000000000000000000000" => slv_ip2bus_data <= slv_reg2; when "00010000000000000000000000000000" => slv_ip2bus_data <= slv_reg3; when "00001000000000000000000000000000" => slv_ip2bus_data <= slv_reg4; when "00000100000000000000000000000000" => slv_ip2bus_data <= slv_reg5; when "00000010000000000000000000000000" => slv_ip2bus_data <= slv_reg6; when "00000001000000000000000000000000" => slv_ip2bus_data <= slv_reg7; when "00000000100000000000000000000000" => slv_ip2bus_data <= slv_reg8; when "00000000010000000000000000000000" => slv_ip2bus_data <= slv_reg9; when "00000000001000000000000000000000" => slv_ip2bus_data <= slv_reg10; when "00000000000100000000000000000000" => slv_ip2bus_data <= slv_reg11; when "00000000000010000000000000000000" => slv_ip2bus_data <= slv_reg12; when "00000000000001000000000000000000" => slv_ip2bus_data <= slv_reg13; when "00000000000000100000000000000000" => slv_ip2bus_data <= slv_reg14; when "00000000000000010000000000000000" => slv_ip2bus_data <= slv_reg15; when "00000000000000001000000000000000" => slv_ip2bus_data <= slv_reg16; when "00000000000000000100000000000000" => slv_ip2bus_data <= slv_reg17; when "00000000000000000010000000000000" => slv_ip2bus_data <= slv_reg18; when "00000000000000000001000000000000" => slv_ip2bus_data <= slv_reg19; when "00000000000000000000100000000000" => slv_ip2bus_data <= slv_reg20; when "00000000000000000000010000000000" => slv_ip2bus_data <= slv_reg21; when "00000000000000000000001000000000" => slv_ip2bus_data <= slv_reg22; when "00000000000000000000000100000000" => slv_ip2bus_data <= slv_reg23; when "00000000000000000000000010000000" => slv_ip2bus_data <= slv_reg24; when "00000000000000000000000001000000" => slv_ip2bus_data <= slv_reg25; when "00000000000000000000000000100000" => slv_ip2bus_data <= slv_reg26; when "00000000000000000000000000010000" => slv_ip2bus_data <= slv_reg27; when "00000000000000000000000000001000" => slv_ip2bus_data <= slv_reg28; when "00000000000000000000000000000100" => slv_ip2bus_data <= slv_reg29; when "00000000000000000000000000000010" => slv_ip2bus_data <= slv_reg30; when "00000000000000000000000000000001" => slv_ip2bus_data <= slv_reg31; when others => slv_ip2bus_data <= (others => '0'); end case; end process SLAVE_REG_READ_PROC; SIP : superip_internal port map ( Mux2_FilterORMux1_Left_out => Mux2_FilterORMux1_Left_out, Mux2_FilterORMux1_Right_out => Mux2_FilterORMux1_Right_out, slv_reg28 => slv_reg28_internal , slv_reg29 => slv_reg29_internal , slv_reg30 => slv_reg30_internal , slv_reg31 => slv_reg31_internal , CLK_48_in => CLK_48_in , CLK_100M_in => CLK_100M_in , Audio_Left_in => Audio_Left_in , Audio_Right_in => Audio_Right_in , slv_reg0 => slv_reg0 , slv_reg1 => slv_reg1 , slv_reg2 => slv_reg2 , slv_reg3 => slv_reg3 , slv_reg4 => slv_reg4 , slv_reg5 => slv_reg5 , slv_reg6 => slv_reg6 , slv_reg7 => slv_reg7 , slv_reg8 => slv_reg8 , slv_reg9 => slv_reg9 , slv_reg10 => slv_reg10 , slv_reg11 => slv_reg11 , slv_reg12 => slv_reg12 , slv_reg13 => slv_reg13 , slv_reg14 => slv_reg14 , slv_reg15 => slv_reg15 , slv_reg16 => slv_reg16 , slv_reg17 => slv_reg17 , slv_reg18 => slv_reg18 , slv_reg19 => slv_reg19 , slv_reg20 => slv_reg20 , slv_reg21 => slv_reg21 , slv_reg22 => slv_reg22 , slv_reg23 => slv_reg23 , slv_reg24 => slv_reg24 , slv_reg25 => slv_reg25 , slv_reg26 => slv_reg26 , slv_reg27 => slv_reg27 ); ------------------------------------------ -- Example code to drive IP to Bus signals ------------------------------------------ IP2Bus_Data <= slv_ip2bus_data when slv_read_ack = '1' else (others => '0'); IP2Bus_WrAck <= slv_write_ack; IP2Bus_RdAck <= slv_read_ack; IP2Bus_Error <= '0'; end IMP;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc255.vhd,v 1.2 2001-10-26 16:30:30 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c03s01b02x00p07n01i00255ent IS END c03s01b02x00p07n01i00255ent; ARCHITECTURE c03s01b02x00p07n01i00255arch OF c03s01b02x00p07n01i00255ent IS subtype T1 is integer range 1 to 10; subtype T2 is integer range 1 to 100; BEGIN TESTING: PROCESS variable V1 : T1; variable V2 : T1 := 4; variable V3 : T1 := 9; BEGIN V1 := V2 * V3; -- failure_here assert FALSE report "***FAILED TEST: c03s01b02x00p07n01i00255 - Result of mathematical operation is not of integer type." severity ERROR; wait; END PROCESS TESTING; END c03s01b02x00p07n01i00255arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc255.vhd,v 1.2 2001-10-26 16:30:30 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c03s01b02x00p07n01i00255ent IS END c03s01b02x00p07n01i00255ent; ARCHITECTURE c03s01b02x00p07n01i00255arch OF c03s01b02x00p07n01i00255ent IS subtype T1 is integer range 1 to 10; subtype T2 is integer range 1 to 100; BEGIN TESTING: PROCESS variable V1 : T1; variable V2 : T1 := 4; variable V3 : T1 := 9; BEGIN V1 := V2 * V3; -- failure_here assert FALSE report "***FAILED TEST: c03s01b02x00p07n01i00255 - Result of mathematical operation is not of integer type." severity ERROR; wait; END PROCESS TESTING; END c03s01b02x00p07n01i00255arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc255.vhd,v 1.2 2001-10-26 16:30:30 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c03s01b02x00p07n01i00255ent IS END c03s01b02x00p07n01i00255ent; ARCHITECTURE c03s01b02x00p07n01i00255arch OF c03s01b02x00p07n01i00255ent IS subtype T1 is integer range 1 to 10; subtype T2 is integer range 1 to 100; BEGIN TESTING: PROCESS variable V1 : T1; variable V2 : T1 := 4; variable V3 : T1 := 9; BEGIN V1 := V2 * V3; -- failure_here assert FALSE report "***FAILED TEST: c03s01b02x00p07n01i00255 - Result of mathematical operation is not of integer type." severity ERROR; wait; END PROCESS TESTING; END c03s01b02x00p07n01i00255arch;
----------------------------------------------------------------------------- -- LEON3 Demonstration design -- Copyright (C) 2004 Jiri Gaisler, Gaisler Research ------------------------------------------------------------------------------ -- This file is a part of the GRLIB VHDL IP LIBRARY -- Copyright (C) 2003 - 2008, Gaisler Research -- Copyright (C) 2008 - 2014, Aeroflex Gaisler -- Copyright (C) 2015, Cobham Gaisler -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA ------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; library grlib; use grlib.amba.all; use grlib.stdlib.all; library techmap; use techmap.gencomp.all; library gaisler; use gaisler.memctrl.all; use gaisler.leon3.all; use gaisler.uart.all; use gaisler.misc.all; use gaisler.can.all; use gaisler.pci.all; use gaisler.net.all; use gaisler.jtag.all; use gaisler.spacewire.all; library esa; use esa.memoryctrl.all; use esa.pcicomp.all; use work.config.all; entity leon3mp is generic ( fabtech : integer := CFG_FABTECH; memtech : integer := CFG_MEMTECH; padtech : integer := CFG_PADTECH; clktech : integer := CFG_CLKTECH; ncpu : integer := CFG_NCPU; disas : integer := CFG_DISAS; -- Enable disassembly to console dbguart : integer := CFG_DUART; -- Print UART on console pclow : integer := CFG_PCLOW ); port ( resetn : in std_logic; clk : in std_logic; pllref : in std_logic; errorn : out std_logic; address : out std_logic_vector(27 downto 0); data : inout std_logic_vector(31 downto 0); sa : out std_logic_vector(14 downto 0); sd : inout std_logic_vector(63 downto 0); sdclk : out std_logic; sdcke : out std_logic_vector (1 downto 0); -- sdram clock enable sdcsn : out std_logic_vector (1 downto 0); -- sdram chip select sdwen : out std_logic; -- sdram write enable sdrasn : out std_logic; -- sdram ras sdcasn : out std_logic; -- sdram cas sddqm : out std_logic_vector (7 downto 0); -- sdram dqm dsutx : out std_logic; -- DSU tx data dsurx : in std_logic; -- DSU rx data dsuen : in std_logic; dsubre : in std_logic; dsuact : out std_logic; txd1 : out std_logic; -- UART1 tx data rxd1 : in std_logic; -- UART1 rx data txd2 : out std_logic; -- UART2 tx data rxd2 : in std_logic; -- UART2 rx data ramsn : out std_logic_vector (4 downto 0); ramoen : out std_logic_vector (4 downto 0); rwen : out std_logic_vector (3 downto 0); oen : out std_logic; writen : out std_logic; read : out std_logic; iosn : out std_logic; romsn : out std_logic_vector (1 downto 0); gpio : inout std_logic_vector(7 downto 0); -- I/O port emdio : inout std_logic; -- ethernet PHY interface etx_clk : in std_logic; erx_clk : in std_logic; erxd : in std_logic_vector(3 downto 0); erx_dv : in std_logic; erx_er : in std_logic; erx_col : in std_logic; erx_crs : in std_logic; etxd : out std_logic_vector(3 downto 0); etx_en : out std_logic; etx_er : out std_logic; emdc : out std_logic; emddis : out std_logic; epwrdwn : out std_logic; ereset : out std_logic; esleep : out std_logic; epause : out std_logic; pci_rst : inout std_logic; -- PCI bus pci_clk : in std_logic; pci_gnt : in std_logic; pci_idsel : in std_logic; pci_lock : inout std_logic; pci_ad : inout std_logic_vector(31 downto 0); pci_cbe : inout std_logic_vector(3 downto 0); pci_frame : inout std_logic; pci_irdy : inout std_logic; pci_trdy : inout std_logic; pci_devsel : inout std_logic; pci_stop : inout std_logic; pci_perr : inout std_logic; pci_par : inout std_logic; pci_req : inout std_logic; pci_serr : inout std_logic; pci_host : in std_logic; pci_66 : in std_logic; pci_arb_req : in std_logic_vector(0 to 3); pci_arb_gnt : out std_logic_vector(0 to 3); can_txd : out std_logic; can_rxd : in std_logic; can_stb : out std_logic; spw_clk : in std_logic; spw_rxd : in std_logic_vector(0 to 2); spw_rxdn : in std_logic_vector(0 to 2); spw_rxs : in std_logic_vector(0 to 2); spw_rxsn : in std_logic_vector(0 to 2); spw_txd : out std_logic_vector(0 to 2); spw_txdn : out std_logic_vector(0 to 2); spw_txs : out std_logic_vector(0 to 2); spw_txsn : out std_logic_vector(0 to 2) ); end; architecture rtl of leon3mp is constant blength : integer := 12; constant fifodepth : integer := 8; constant maxahbmsp : integer := NCPU+CFG_AHB_UART+ CFG_GRETH+CFG_AHB_JTAG; constant maxahbm : integer := (CFG_SPW_NUM*CFG_SPW_EN) + maxahbmsp; signal vcc, gnd : std_logic_vector(4 downto 0); signal memi : memory_in_type; signal memo : memory_out_type; signal wpo : wprot_out_type; signal sdi : sdctrl_in_type; signal sdo : sdram_out_type; signal sdo2, sdo3 : sdctrl_out_type; signal apbi : apb_slv_in_type; signal apbo : apb_slv_out_vector := (others => apb_none); signal ahbsi : ahb_slv_in_type; signal ahbso : ahb_slv_out_vector := (others => ahbs_none); signal ahbmi : ahb_mst_in_type; signal ahbmo : ahb_mst_out_vector := (others => ahbm_none); signal clkm, rstn, rstraw, pciclk, sdclkl, spw_lclk : std_logic; signal cgi : clkgen_in_type; signal cgo : clkgen_out_type; signal u1i, u2i, dui : uart_in_type; signal u1o, u2o, duo : uart_out_type; signal irqi : irq_in_vector(0 to NCPU-1); signal irqo : irq_out_vector(0 to NCPU-1); signal dbgi : l3_debug_in_vector(0 to NCPU-1); signal dbgo : l3_debug_out_vector(0 to NCPU-1); signal dsui : dsu_in_type; signal dsuo : dsu_out_type; signal pcii : pci_in_type; signal pcio : pci_out_type; signal ethi, ethi1, ethi2 : eth_in_type; signal etho, etho1, etho2 : eth_out_type; signal gpti : gptimer_in_type; signal gpioi : gpio_in_type; signal gpioo : gpio_out_type; signal can_lrx, can_ltx : std_logic; signal lclk, pci_lclk : std_logic; signal pci_arb_req_n, pci_arb_gnt_n : std_logic_vector(0 to 3); signal tck, tms, tdi, tdo : std_logic; signal spwi : grspw_in_type_vector(0 to 2); signal spwo : grspw_out_type_vector(0 to 2); signal spw_rxclk : std_logic_vector(0 to CFG_SPW_NUM-1); signal dtmp : std_logic_vector(0 to CFG_SPW_NUM-1); signal stmp : std_logic_vector(0 to CFG_SPW_NUM-1); signal spw_rxtxclk : std_ulogic; signal spw_rxclkn : std_ulogic; constant BOARD_FREQ : integer := 20000; -- Board frequency in KHz constant CPU_FREQ : integer := BOARD_FREQ * CFG_CLKMUL / CFG_CLKDIV; constant IOAEN : integer := CFG_SDCTRL + CFG_CAN; constant CFG_SDEN : integer := CFG_SDCTRL + CFG_MCTRL_SDEN ; constant CFG_INVCLK : integer := CFG_SDCTRL_INVCLK + CFG_MCTRL_INVCLK; constant sysfreq : integer := (CFG_CLKMUL/CFG_CLKDIV)*20000; begin ---------------------------------------------------------------------- --- Reset and Clock generation ------------------------------------- ---------------------------------------------------------------------- vcc <= (others => '1'); gnd <= (others => '0'); cgi.pllctrl <= "00"; cgi.pllrst <= rstraw; cgi.pllref <= '0'; clk_pad : clkpad generic map (tech => padtech) port map (clk, lclk); pci_clk_pad : clkpad generic map (tech => padtech, level => pci33) port map (pci_clk, pci_lclk); clkgen0 : clkgen -- clock generator generic map (clktech, CFG_CLKMUL, CFG_CLKDIV, CFG_SDEN, CFG_CLK_NOFB, 0, 0, 0) port map (lclk, pci_lclk, clkm, open, open, sdclkl, pciclk, cgi, cgo); sdclk_pad : outpad generic map (tech => padtech, slew => 1, strength => 24) port map (sdclk, sdclkl); rst0 : rstgen -- reset generator port map (resetn, clkm, cgo.clklock, rstn, rstraw); ---------------------------------------------------------------------- --- AHB CONTROLLER -------------------------------------------------- ---------------------------------------------------------------------- ahb0 : ahbctrl -- AHB arbiter/multiplexer generic map (defmast => CFG_DEFMST, split => CFG_SPLIT, rrobin => CFG_RROBIN, ioaddr => CFG_AHBIO, ioen => IOAEN, nahbm => maxahbm, nahbs => 8) port map (rstn, clkm, ahbmi, ahbmo, ahbsi, ahbso); ---------------------------------------------------------------------- --- LEON3 processor and DSU ----------------------------------------- ---------------------------------------------------------------------- l3 : if CFG_LEON3 = 1 generate cpu : for i in 0 to NCPU-1 generate u0 : leon3s -- LEON3 processor generic map (i, fabtech, memtech, CFG_NWIN, CFG_DSU, CFG_FPU, CFG_V8, 0, CFG_MAC, pclow, CFG_NOTAG, CFG_NWP, CFG_ICEN, CFG_IREPL, CFG_ISETS, CFG_ILINE, CFG_ISETSZ, CFG_ILOCK, CFG_DCEN, CFG_DREPL, CFG_DSETS, CFG_DLINE, CFG_DSETSZ, CFG_DLOCK, CFG_DSNOOP, CFG_ILRAMEN, CFG_ILRAMSZ, CFG_ILRAMADDR, CFG_DLRAMEN, CFG_DLRAMSZ, CFG_DLRAMADDR, CFG_MMUEN, CFG_ITLBNUM, CFG_DTLBNUM, CFG_TLB_TYPE, CFG_TLB_REP, CFG_LDDEL, disas, CFG_ITBSZ, CFG_PWD, CFG_SVT, CFG_RSTADDR, NCPU-1, CFG_DFIXED, CFG_SCAN, CFG_MMU_PAGE, CFG_BP, CFG_NP_ASI, CFG_WRPSR) port map (clkm, rstn, ahbmi, ahbmo(i), ahbsi, ahbso, irqi(i), irqo(i), dbgi(i), dbgo(i)); end generate; errorn_pad : odpad generic map (tech => padtech) port map (errorn, dbgo(0).error); dsugen : if CFG_DSU = 1 generate dsu0 : dsu3 -- LEON3 Debug Support Unit generic map (hindex => 2, haddr => 16#900#, hmask => 16#F00#, ncpu => NCPU, tbits => 30, tech => memtech, irq => 0, kbytes => CFG_ATBSZ) port map (rstn, clkm, ahbmi, ahbsi, ahbso(2), dbgo, dbgi, dsui, dsuo); dsuen_pad : inpad generic map (tech => padtech) port map (dsuen, dsui.enable); dsubre_pad : inpad generic map (tech => padtech) port map (dsubre, dsui.break); dsuact_pad : outpad generic map (tech => padtech) port map (dsuact, dsuo.active); end generate; end generate; nodsu : if CFG_DSU = 0 generate ahbso(2) <= ahbs_none; dsuo.tstop <= '0'; dsuo.active <= '0'; end generate; dcomgen : if CFG_AHB_UART = 1 generate dcom0: ahbuart -- Debug UART generic map (hindex => NCPU, pindex => 7, paddr => 7) port map (rstn, clkm, dui, duo, apbi, apbo(7), ahbmi, ahbmo(NCPU)); dsurx_pad : inpad generic map (tech => padtech) port map (dsurx, dui.rxd); dsutx_pad : outpad generic map (tech => padtech) port map (dsutx, duo.txd); end generate; nouah : if CFG_AHB_UART = 0 generate apbo(7) <= apb_none; end generate; ahbjtaggen0 :if CFG_AHB_JTAG = 1 generate ahbjtag0 : ahbjtag generic map(tech => fabtech, hindex => NCPU+CFG_AHB_UART) port map(rstn, clkm, tck, tms, tdi, tdo, ahbmi, ahbmo(NCPU+CFG_AHB_UART), open, open, open, open, open, open, open, gnd(0)); end generate; ---------------------------------------------------------------------- --- Memory controllers ---------------------------------------------- ---------------------------------------------------------------------- src : if CFG_SRCTRL = 1 generate -- 32-bit PROM/SRAM controller sr0 : srctrl generic map (hindex => 0, ramws => CFG_SRCTRL_RAMWS, romws => CFG_SRCTRL_PROMWS, ramaddr => 16#400#, prom8en => CFG_SRCTRL_8BIT, rmw => CFG_SRCTRL_RMW) port map (rstn, clkm, ahbsi, ahbso(0), memi, memo, sdo3); apbo(0) <= apb_none; end generate; sdc : if CFG_SDCTRL = 1 generate sdc : sdctrl generic map (hindex => 3, haddr => 16#600#, hmask => 16#F00#, ioaddr => 1, fast => 0, pwron => 0, invclk => CFG_SDCTRL_INVCLK, sdbits => 32 + 32*CFG_SDCTRL_SD64) port map (rstn, clkm, ahbsi, ahbso(3), sdi, sdo2); sa_pad : outpadv generic map (width => 15, tech => padtech) port map (sa, sdo2.address); sd_pad : iopadv generic map (width => 32, tech => padtech) port map (sd(31 downto 0), sdo2.data, sdo2.bdrive, sdi.data(31 downto 0)); sd2 : if CFG_SDCTRL_SD64 = 1 generate sd_pad2 : iopadv generic map (width => 32) port map (sd(63 downto 32), sdo2.data, sdo2.bdrive, sdi.data(63 downto 32)); end generate; sdcke_pad : outpadv generic map (width =>2, tech => padtech) port map (sdcke, sdo2.sdcke); sdwen_pad : outpad generic map (tech => padtech) port map (sdwen, sdo2.sdwen); sdcsn_pad : outpadv generic map (width =>2, tech => padtech) port map (sdcsn, sdo2.sdcsn); sdras_pad : outpad generic map (tech => padtech) port map (sdrasn, sdo2.rasn); sdcas_pad : outpad generic map (tech => padtech) port map (sdcasn, sdo2.casn); sddqm_pad : outpadv generic map (width =>8, tech => padtech) port map (sddqm, sdo2.dqm); end generate; mg2 : if CFG_MCTRL_LEON2 = 1 generate -- LEON2 memory controller sr1 : mctrl generic map (hindex => 0, pindex => 0, paddr => 0, srbanks => 2, sden => CFG_MCTRL_SDEN, ram8 => CFG_MCTRL_RAM8BIT, ram16 => CFG_MCTRL_RAM16BIT, invclk => CFG_MCTRL_INVCLK, sepbus => CFG_MCTRL_SEPBUS, sdbits => 32 + 32*CFG_MCTRL_SD64) port map (rstn, clkm, memi, memo, ahbsi, ahbso(0), apbi, apbo(0), wpo, sdo); sdpads : if CFG_MCTRL_SDEN = 1 generate -- SDRAM controller sd2 : if CFG_MCTRL_SEPBUS = 1 generate sa_pad : outpadv generic map (width => 15) port map (sa, memo.sa); bdr : for i in 0 to 3 generate sd_pad : iopadv generic map (tech => padtech, width => 8) port map (sd(31-i*8 downto 24-i*8), memo.data(31-i*8 downto 24-i*8), memo.bdrive(i), memi.sd(31-i*8 downto 24-i*8)); sd2 : if CFG_MCTRL_SD64 = 1 generate sd_pad2 : iopadv generic map (tech => padtech, width => 8) port map (sd(31-i*8+32 downto 24-i*8+32), memo.data(31-i*8 downto 24-i*8), memo.bdrive(i), memi.sd(31-i*8+32 downto 24-i*8+32)); end generate; end generate; end generate; sdwen_pad : outpad generic map (tech => padtech) port map (sdwen, sdo.sdwen); sdras_pad : outpad generic map (tech => padtech) port map (sdrasn, sdo.rasn); sdcas_pad : outpad generic map (tech => padtech) port map (sdcasn, sdo.casn); sddqm_pad : outpadv generic map (width =>8, tech => padtech) port map (sddqm, sdo.dqm); sdcke_pad : outpadv generic map (width =>2, tech => padtech) port map (sdcke, sdo.sdcke); sdcsn_pad : outpadv generic map (width =>2, tech => padtech) port map (sdcsn, sdo.sdcsn); end generate; end generate; nosd0 : if (CFG_MCTRL_SDEN = 0) and (CFG_SDCTRL = 0) generate -- no SDRAM controller sdcke_pad : outpadv generic map (width =>2, tech => padtech) port map (sdcke, vcc(1 downto 0)); sdcsn_pad : outpadv generic map (width =>2, tech => padtech) port map (sdcsn, vcc(1 downto 0)); end generate; memi.brdyn <= '1'; memi.bexcn <= '1'; memi.writen <= '1'; memi.wrn <= "1111"; memi.bwidth <= "10"; mgpads : if (CFG_SRCTRL = 1) or (CFG_MCTRL_LEON2 = 1) generate -- prom/sram pads addr_pad : outpadv generic map (width => 28, tech => padtech) port map (address, memo.address(27 downto 0)); rams_pad : outpadv generic map (width => 5, tech => padtech) port map (ramsn, memo.ramsn(4 downto 0)); roms_pad : outpadv generic map (width => 2, tech => padtech) port map (romsn, memo.romsn(1 downto 0)); oen_pad : outpad generic map (tech => padtech) port map (oen, memo.oen); rwen_pad : outpadv generic map (width => 4, tech => padtech) port map (rwen, memo.wrn); roen_pad : outpadv generic map (width => 5, tech => padtech) port map (ramoen, memo.ramoen(4 downto 0)); wri_pad : outpad generic map (tech => padtech) port map (writen, memo.writen); read_pad : outpad generic map (tech => padtech) port map (read, memo.read); iosn_pad : outpad generic map (tech => padtech) port map (iosn, memo.iosn); bdr : for i in 0 to 3 generate data_pad : iopadv generic map (tech => padtech, width => 8) port map (data(31-i*8 downto 24-i*8), memo.data(31-i*8 downto 24-i*8), memo.bdrive(i), memi.data(31-i*8 downto 24-i*8)); end generate; end generate; ---------------------------------------------------------------------- --- APB Bridge and various periherals ------------------------------- ---------------------------------------------------------------------- bpromgen : if CFG_AHBROMEN /= 0 generate brom : entity work.ahbrom generic map (hindex => 8, haddr => CFG_AHBRODDR, pipe => CFG_AHBROPIP) port map ( rstn, clkm, ahbsi, ahbso(8)); end generate; nobpromgen : if CFG_AHBROMEN = 0 generate ahbso(8) <= ahbs_none; end generate; ---------------------------------------------------------------------- --- APB Bridge and various periherals ------------------------------- ---------------------------------------------------------------------- apb0 : apbctrl -- AHB/APB bridge generic map (hindex => 1, haddr => CFG_APBADDR) port map (rstn, clkm, ahbsi, ahbso(1), apbi, apbo ); ua1 : if CFG_UART1_ENABLE /= 0 generate uart1 : apbuart -- UART 1 generic map (pindex => 1, paddr => 1, pirq => 2, console => dbguart, fifosize => CFG_UART1_FIFO) port map (rstn, clkm, apbi, apbo(1), u1i, u1o); u1i.rxd <= rxd1; u1i.ctsn <= '0'; u1i.extclk <= '0'; txd1 <= u1o.txd; end generate; noua0 : if CFG_UART1_ENABLE = 0 generate apbo(1) <= apb_none; end generate; ua2 : if CFG_UART2_ENABLE /= 0 generate uart2 : apbuart -- UART 2 generic map (pindex => 9, paddr => 9, pirq => 3, fifosize => CFG_UART2_FIFO) port map (rstn, clkm, apbi, apbo(9), u2i, u2o); u2i.rxd <= rxd2; u2i.ctsn <= '0'; u2i.extclk <= '0'; txd2 <= u2o.txd; end generate; noua1 : if CFG_UART2_ENABLE = 0 generate apbo(9) <= apb_none; end generate; irqctrl : if CFG_IRQ3_ENABLE /= 0 generate irqctrl0 : irqmp -- interrupt controller generic map (pindex => 2, paddr => 2, ncpu => NCPU) port map (rstn, clkm, apbi, apbo(2), irqo, irqi); end generate; irq3 : if CFG_IRQ3_ENABLE = 0 generate x : for i in 0 to NCPU-1 generate irqi(i).irl <= "0000"; end generate; apbo(2) <= apb_none; end generate; gpt : if CFG_GPT_ENABLE /= 0 generate timer0 : gptimer -- timer unit generic map (pindex => 3, paddr => 3, pirq => CFG_GPT_IRQ, sepirq => CFG_GPT_SEPIRQ, sbits => CFG_GPT_SW, ntimers => CFG_GPT_NTIM, nbits => CFG_GPT_TW) port map (rstn, clkm, apbi, apbo(3), gpti, open); gpti.dhalt <= dsuo.tstop; gpti.extclk <= '0'; end generate; notim : if CFG_GPT_ENABLE = 0 generate apbo(3) <= apb_none; end generate; gpio0 : if CFG_GRGPIO_ENABLE /= 0 generate -- GR GPIO unit grgpio0: grgpio generic map( pindex => 11, paddr => 11, imask => CFG_GRGPIO_IMASK, nbits => 8) port map( rstn, clkm, apbi, apbo(11), gpioi, gpioo); pio_pads : for i in 0 to 7 generate pio_pad : iopad generic map (tech => padtech) port map (gpio(i), gpioo.dout(i), gpioo.oen(i), gpioi.din(i)); end generate; end generate; ----------------------------------------------------------------------- --- PCI ------------------------------------------------------------ ----------------------------------------------------------------------- -- pp : if CFG_PCI /= 0 generate -- pcia0 : if CFG_PCI_ARB = 1 generate -- PCI arbiter -- pciarb0 : pciarb generic map (pindex => 10, paddr => 10, -- apb_en => CFG_PCI_ARBAPB) -- port map ( clk => pciclk, rst_n => pcii.rst, -- req_n => pci_arb_req_n, frame_n => pcii.frame, -- gnt_n => pci_arb_gnt_n, pclk => clkm, -- prst_n => rstn, apbi => apbi, apbo => apbo(10) -- ); -- pgnt_pad : outpadv generic map (tech => padtech, width => 4) -- port map (pci_arb_gnt, pci_arb_gnt_n); -- preq_pad : inpadv generic map (tech => padtech, width => 4) -- port map (pci_arb_req, pci_arb_req_n); -- end generate; -- -- pcipads0 : pcipads generic map (padtech => padtech) -- PCI pads -- port map ( pci_rst, pci_gnt, pci_idsel, pci_lock, pci_ad, pci_cbe, -- pci_frame, pci_irdy, pci_trdy, pci_devsel, pci_stop, pci_perr, -- pci_par, pci_req, pci_serr, pci_host, pci_66, pcii, pcio ); -- -- end generate; ----------------------------------------------------------------------- --- ETHERNET --------------------------------------------------------- ----------------------------------------------------------------------- eth0 : if CFG_GRETH = 1 generate -- Gaisler ethernet MAC e1 : greth generic map(hindex => NCPU+CFG_AHB_UART+CFG_AHB_JTAG, pindex => 15, paddr => 15, pirq => 7, memtech => memtech, mdcscaler => CPU_FREQ/1000, enable_mdio => 1, fifosize => CFG_ETH_FIFO, nsync => 1, edcl => CFG_DSU_ETH, edclbufsz => CFG_ETH_BUF, macaddrh => CFG_ETH_ENM, macaddrl => CFG_ETH_ENL, ipaddrh => CFG_ETH_IPM, ipaddrl => CFG_ETH_IPL) port map( rst => rstn, clk => clkm, ahbmi => ahbmi, ahbmo => ahbmo(NCPU+CFG_AHB_UART+CFG_AHB_JTAG), apbi => apbi, apbo => apbo(15), ethi => ethi, etho => etho); emdio_pad : iopad generic map (tech => padtech) port map (emdio, etho.mdio_o, etho.mdio_oe, ethi.mdio_i); etxc_pad : clkpad generic map (tech => padtech, arch => 1) port map (etx_clk, ethi.tx_clk); erxc_pad : clkpad generic map (tech => padtech, arch => 1) port map (erx_clk, ethi.rx_clk); erxd_pad : inpadv generic map (tech => padtech, width => 4) port map (erxd, ethi.rxd(3 downto 0)); erxdv_pad : inpad generic map (tech => padtech) port map (erx_dv, ethi.rx_dv); erxer_pad : inpad generic map (tech => padtech) port map (erx_er, ethi.rx_er); erxco_pad : inpad generic map (tech => padtech) port map (erx_col, ethi.rx_col); erxcr_pad : inpad generic map (tech => padtech) port map (erx_crs, ethi.rx_crs); etxd_pad : outpadv generic map (tech => padtech, width => 4) port map (etxd, etho.txd(3 downto 0)); etxen_pad : outpad generic map (tech => padtech) port map ( etx_en, etho.tx_en); etxer_pad : outpad generic map (tech => padtech) port map (etx_er, etho.tx_er); emdc_pad : outpad generic map (tech => padtech) port map (emdc, etho.mdc); emdis_pad : outpad generic map (tech => padtech) port map (emddis, vcc(0)); eepwrdwn_pad : outpad generic map (tech => padtech) port map (epwrdwn, gnd(0)); esleep_pad : outpad generic map (tech => padtech) port map (esleep, gnd(0)); epause_pad : outpad generic map (tech => padtech) port map (epause, gnd(0)); ereset_pad : outpad generic map (tech => padtech) port map (ereset, gnd(0)); end generate; ----------------------------------------------------------------------- --- CAN -------------------------------------------------------------- ----------------------------------------------------------------------- can0 : if CFG_CAN = 1 generate can0 : can_oc generic map (slvndx => 6, ioaddr => CFG_CANIO, iomask => 16#FFF#, irq => CFG_CANIRQ, memtech => memtech) port map (rstn, clkm, ahbsi, ahbso(6), can_lrx, can_ltx ); end generate; ncan : if CFG_CAN = 0 generate ahbso(6) <= ahbs_none; end generate; can_stb <= '0'; -- no standby can_loopback : if CFG_CANLOOP = 1 generate can_lrx <= can_ltx; end generate; can_pads : if CFG_CANLOOP = 0 generate can_tx_pad : outpad generic map (tech => padtech) port map (can_txd, can_ltx); can_rx_pad : inpad generic map (tech => padtech) port map (can_rxd, can_lrx); end generate; ----------------------------------------------------------------------- --- AHB RAM ---------------------------------------------------------- ----------------------------------------------------------------------- ocram : if CFG_AHBRAMEN = 1 generate ahbram0 : ahbram generic map (hindex => 7, haddr => CFG_AHBRADDR, tech => CFG_MEMTECH, kbytes => CFG_AHBRSZ, pipe => CFG_AHBRPIPE) port map ( rstn, clkm, ahbsi, ahbso(7)); end generate; nram : if CFG_AHBRAMEN = 0 generate ahbso(7) <= ahbs_none; end generate; ----------------------------------------------------------------------- --- SPACEWIRE ------------------------------------------------------- ----------------------------------------------------------------------- spw : if CFG_SPW_EN > 0 generate spw_clk_pad : clkpad generic map (tech => padtech) port map (spw_clk, spw_lclk); spw_rxtxclk <= spw_lclk; spw_rxclkn <= not spw_rxtxclk; swloop : for i in 0 to CFG_SPW_NUM-1 generate -- GRSPW2 PHY spw2_input : if CFG_SPW_GRSPW = 2 generate spw_phy0 : grspw2_phy generic map( scantest => 0, tech => fabtech, input_type => CFG_SPW_INPUT, rxclkbuftype => 1) port map( rstn => rstn, rxclki => spw_rxtxclk, rxclkin => spw_rxclkn, nrxclki => spw_rxtxclk, di => dtmp(i), si => stmp(i), do => spwi(i).d(1 downto 0), dov => spwi(i).dv(1 downto 0), dconnect => spwi(i).dconnect(1 downto 0), rxclko => spw_rxclk(i)); spwi(i).nd <= (others => '0'); -- Only used in GRSPW spwi(i).dv(3 downto 2) <= "00"; -- For second port end generate spw2_input; -- GRSPW PHY spw1_input: if CFG_SPW_GRSPW = 1 generate spw_phy0 : grspw_phy generic map( tech => fabtech, rxclkbuftype => 1, scantest => 0) port map( rxrst => spwo(i).rxrst, di => dtmp(i), si => stmp(i), rxclko => spw_rxclk(i), do => spwi(i).d(0), ndo => spwi(i).nd(4 downto 0), dconnect => spwi(i).dconnect(1 downto 0)); spwi(i).d(1) <= '0'; spwi(i).dv <= (others => '0'); -- Only used in GRSPW2 spwi(i).nd(9 downto 5) <= "00000"; -- For second port end generate spw1_input; spwi(i).d(3 downto 2) <= "00"; -- For second port spwi(i).dconnect(3 downto 2) <= "00"; -- For second port spwi(i).s(1 downto 0) <= "00"; -- Only used in PHY sw0 : grspwm generic map(tech => memtech, hindex => maxahbmsp+i, pindex => 12+i, paddr => 12+i, pirq => 10+i, sysfreq => sysfreq, nsync => 1, rmap => CFG_SPW_RMAP, rmapcrc => CFG_SPW_RMAPCRC, rmapbufs => CFG_SPW_RMAPBUF, fifosize1 => CFG_SPW_AHBFIFO, fifosize2 => CFG_SPW_RXFIFO, rxclkbuftype => 1, ports => 1, dmachan => CFG_SPW_DMACHAN, spwcore => CFG_SPW_GRSPW, input_type => CFG_SPW_INPUT, output_type => CFG_SPW_OUTPUT, rxtx_sameclk => CFG_SPW_RTSAME) port map(resetn, clkm, spw_rxclk(i), spw_rxclk(i), spw_rxtxclk, spw_rxtxclk, ahbmi, ahbmo(maxahbmsp+i), apbi, apbo(12+i), spwi(i), spwo(i)); spwi(i).tickin <= '0'; spwi(i).rmapen <= '1'; spwi(i).clkdiv10 <= conv_std_logic_vector(sysfreq/10000-1, 8); spwi(i).dcrstval <= (others => '0'); spwi(i).timerrstval <= (others => '0'); spw_rxd_pad : inpad_ds generic map (padtech, lvds, x25v) port map (spw_rxd(i), spw_rxdn(i), dtmp(i)); spw_rxs_pad : inpad_ds generic map (padtech, lvds, x25v) port map (spw_rxs(i), spw_rxsn(i), stmp(i)); spw_txd_pad : outpad_ds generic map (padtech, lvds, x25v) port map (spw_txd(i), spw_txdn(i), spwo(i).d(0), gnd(0)); spw_txs_pad : outpad_ds generic map (padtech, lvds, x25v) port map (spw_txs(i), spw_txsn(i), spwo(i).s(0), gnd(0)); end generate; end generate; ----------------------------------------------------------------------- --- Drive unused bus elements --------------------------------------- ----------------------------------------------------------------------- -- nam1 : for i in maxahbm to NAHBMST-1 generate -- ahbmo(i) <= ahbm_none; -- end generate; -- nam2 : if CFG_PCI > 1 generate -- ahbmo(NCPU+CFG_AHB_UART+CFG_AHB_JTAG+CFG_PCI-1) <= ahbm_none; -- end generate; -- nap0 : for i in 12+(CFG_SPW_NUM*CFG_SPW_EN) to NAPBSLV-1 generate apbo(i) <= apb_none; end generate; -- apbo(6) <= apb_none; -- nah0 : for i in 9 to NAHBSLV-1 generate ahbso(i) <= ahbs_none; end generate; ----------------------------------------------------------------------- --- Boot message ---------------------------------------------------- ----------------------------------------------------------------------- -- pragma translate_off x : report_design generic map ( msg1 => "LEON3 MP Demonstration design", fabtech => tech_table(fabtech), memtech => tech_table(memtech), mdel => 1 ); -- pragma translate_on end;
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity main is Port( LEDS : out STD_LOGIC_VECTOR (3 downto 0); D_AN : out STD_LOGIC_VECTOR (3 downto 0); D_C : out STD_LOGIC_VECTOR (7 downto 0); BTN0 : in STD_LOGIC; BTN1 : in STD_LOGIC; SW0 : in STD_LOGIC; SW1 : in STD_LOGIC; MCLK : in STD_LOGIC; USB_D : inout STD_LOGIC_VECTOR (7 downto 0); USB_WAIT : out STD_LOGIC; USB_WRITE : in STD_LOGIC; USB_ASTB : in STD_LOGIC; USB_DSTB : in STD_LOGIC; -- clockport CP_CS : in STD_LOGIC; CP_A : in STD_LOGIC_VECTOR (3 downto 0); CP_D : inout STD_LOGIC_VECTOR (7 downto 0); CP_IORD : in STD_LOGIC; CP_IOWR : in STD_LOGIC); end main; architecture Behavioral of main is component clk_gen Port( clk : in STD_LOGIC; clkmod : out STD_LOGIC; divval : in integer ); end component; component eppmodule Port ( astb : in STD_LOGIC; dstb : in STD_LOGIC; wr : in STD_LOGIC; wt : out STD_LOGIC; databus :inout STD_LOGIC_VECTOR (7 downto 0); ssegReg :out STD_LOGIC_VECTOR (7 downto 0); ledReg : out STD_LOGIC_VECTOR (3 downto 0); btnReg : in STD_LOGIC_VECTOR (7 downto 0); commDataOutReg : out STD_LOGIC_VECTOR (7 downto 0); commDataInReg: in STD_LOGIC_VECTOR(7 downto 0)); end component; component sseg Port ( clock : in STD_LOGIC; segA : in STD_LOGIC_VECTOR (7 downto 0); segB : in STD_LOGIC_VECTOR (7 downto 0); segC : in STD_LOGIC_VECTOR (7 downto 0); segD : in STD_LOGIC_VECTOR (7 downto 0); segout :out STD_LOGIC_VECTOR (7 downto 0); segan : out STD_LOGIC_VECTOR (3 downto 0)); end component; component hextoseg Port ( hex : in STD_LOGIC_VECTOR (3 downto 0); seg : out STD_LOGIC_VECTOR (7 downto 0)); end component; component clockport Port( -- clockport signals data : inout STD_LOGIC_VECTOR (7 downto 0); addressIn : in STD_LOGIC_VECTOR (3 downto 0); iord : in STD_LOGIC; iowr : in STD_LOGIC; cs : in STD_LOGIC; --addressOut : out STD_LOGIC_VECTOR (3 downto 0); btnReg : in STD_LOGIC_VECTOR (7 downto 0); ledReg : out STD_LOGIC_VECTOR (3 downto 0); testOut : out STD_LOGIC_VECTOR (7 downto 0); commDataOutReg : out STD_LOGIC_VECTOR (7 downto 0); commDataInReg: in STD_LOGIC_VECTOR(7 downto 0)); end component; signal sA, sB, sC, sD : STD_LOGIC_VECTOR (7 downto 0); signal sHex : STD_LOGIC_VECTOR (7 downto 0); signal sHexLo : STD_LOGIC_VECTOR (3 downto 0); signal sHexHi : STD_LOGIC_VECTOR (3 downto 0); signal sHex2 : STD_LOGIC_VECTOR (7 downto 0); signal sHex2Lo : STD_LOGIC_VECTOR (3 downto 0); signal sHex2Hi : STD_LOGIC_VECTOR (3 downto 0); signal slowclk : STD_LOGIC; signal pushableReg : STD_LOGIC_VECTOR(7 downto 0); signal ledReg : STD_LOGIC_VECTOR(3 downto 0); signal commDataAtoU : STD_LOGIC_VECTOR (7 downto 0); signal commDataUtoA : STD_LOGIC_VECTOR (7 downto 0); -- only for debugging --signal cpAddress : STD_LOGIC_VECTOR (3 downto 0); begin -- CLK_DIV16_inst1 : CLK_DIV16 -- port map ( -- CLKDV => fullclk1, -- CLKIN => CLK -- ); clk_gen_inst1 : clk_gen port map ( clk => MCLK, clkmod => slowclk, divval => 500 -- 8MHz / 500 = circa 16kHz ); deppusb : eppmodule port map ( astb => USB_ASTB, dstb => USB_DSTB, wr => USB_WRITE, wt => USB_WAIT, dataBus => USB_D, ssegReg => sHex, -- ledReg => ledReg, btnReg => pushableReg, commDataInReg => commDataAtoU, commDataOutReg => commDataUtoA ); sseg1 : sseg port map ( clock => slowclk, segA => sA, segB => sB, segC => sC, segD => sD, segout => D_C, segan => D_AN ); hextoseglo : hextoseg port map ( hex => sHexLo, seg => sA ); hextoseghi : hextoseg port map ( hex => sHexHi, seg => sB ); hextoseglo2 : hextoseg port map ( hex => sHex2Lo, seg => sC ); hextoseghi2 : hextoseg port map ( hex => sHex2Hi, seg => sD ); amigacp : clockport port map ( data => CP_D, addressIn => CP_A, iord => CP_IORD, iowr => CP_IOWR, cs => CP_CS, btnReg => pushableReg, ledReg => ledReg, testOut => sHex2, commDataInReg => commDataUtoA, commDataOutReg => commDataAtoU ); LEDS <= NOT ledReg; --LEDS <= NOT cpAddress; sHexLo <= sHex(0) & sHex(1) & sHex(2) & sHex(3); sHexHi <= sHex(4) & sHex(5) & sHex(6) & sHex(7); sHex2Lo <= sHex2(0) & sHex2(1) & sHex2(2) & sHex2(3); sHex2Hi <= sHex2(4) & sHex2(5) & sHex2(6) & sHex2(7); pushableReg(0) <= NOT BTN0; pushableReg(1) <= NOT BTN1; pushableReg(2) <= NOT SW0; pushableReg(3) <= NOT SW1; end Behavioral;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc3095.vhd,v 1.2 2001-10-26 16:30:25 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c05s01b00x00p08n01i03095ent IS END c05s01b00x00p08n01i03095ent; ARCHITECTURE c05s01b00x00p08n01i03095arch OF c05s01b00x00p08n01i03095ent IS attribute A1 : INTEGER; signal S1 : BOOLEAN; attribute A2 of S1 : signal is 9; -- Failure_here -- ERROR : no preceding user-defined attribute declaration for A2 BEGIN TESTING: PROCESS BEGIN assert FALSE report "***FAILED TEST: c05s01b00x00p08n01i03095 - User defined attribute has to be predefined." severity ERROR; wait; END PROCESS TESTING; END c05s01b00x00p08n01i03095arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc3095.vhd,v 1.2 2001-10-26 16:30:25 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c05s01b00x00p08n01i03095ent IS END c05s01b00x00p08n01i03095ent; ARCHITECTURE c05s01b00x00p08n01i03095arch OF c05s01b00x00p08n01i03095ent IS attribute A1 : INTEGER; signal S1 : BOOLEAN; attribute A2 of S1 : signal is 9; -- Failure_here -- ERROR : no preceding user-defined attribute declaration for A2 BEGIN TESTING: PROCESS BEGIN assert FALSE report "***FAILED TEST: c05s01b00x00p08n01i03095 - User defined attribute has to be predefined." severity ERROR; wait; END PROCESS TESTING; END c05s01b00x00p08n01i03095arch;
-- Copyright (C) 2001 Bill Billowitch. -- Some of the work to develop this test suite was done with Air Force -- support. The Air Force and Bill Billowitch assume no -- responsibilities for this software. -- This file is part of VESTs (Vhdl tESTs). -- VESTs is free software; you can redistribute it and/or modify it -- under the terms of the GNU General Public License as published by the -- Free Software Foundation; either version 2 of the License, or (at -- your option) any later version. -- VESTs is distributed in the hope that it will be useful, but WITHOUT -- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or -- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License -- for more details. -- You should have received a copy of the GNU General Public License -- along with VESTs; if not, write to the Free Software Foundation, -- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA -- --------------------------------------------------------------------- -- -- $Id: tc3095.vhd,v 1.2 2001-10-26 16:30:25 paw Exp $ -- $Revision: 1.2 $ -- -- --------------------------------------------------------------------- ENTITY c05s01b00x00p08n01i03095ent IS END c05s01b00x00p08n01i03095ent; ARCHITECTURE c05s01b00x00p08n01i03095arch OF c05s01b00x00p08n01i03095ent IS attribute A1 : INTEGER; signal S1 : BOOLEAN; attribute A2 of S1 : signal is 9; -- Failure_here -- ERROR : no preceding user-defined attribute declaration for A2 BEGIN TESTING: PROCESS BEGIN assert FALSE report "***FAILED TEST: c05s01b00x00p08n01i03095 - User defined attribute has to be predefined." severity ERROR; wait; END PROCESS TESTING; END c05s01b00x00p08n01i03095arch;
-------------------------------------------------------------------------------- -- PROJECT: PIPE MANIA - GAME FOR FPGA -------------------------------------------------------------------------------- -- NAME: WTR_CTRL -- AUTHORS: Ondřej Dujíček <[email protected]> -- LICENSE: The MIT License, please read LICENSE file -- WEBSITE: https://github.com/jakubcabal/pipemania-fpga-game -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use ieee.numeric_std.all; use IEEE.STD_LOGIC_unsigned.ALL; entity WTR_CTRL is Port ( CLK : in STD_LOGIC; RST : in STD_LOGIC; ADR : out STD_LOGIC_VECTOR(7 downto 0) :=(others=>'0'); CELL_IN : in STD_LOGIC_VECTOR(31 downto 0); CELL_OUT : out STD_LOGIC_VECTOR(31 downto 0) :=(others=>'0'); WE_OUT : out STD_LOGIC := '0'; RE_OUT : out STD_LOGIC := '0'; WIN_BIT : out STD_LOGIC := '0'; KNLG_next : in STD_LOGIC; START : in STD_LOGIC; FAIL_OUT : out STD_LOGIC ); end WTR_CTRL; architecture Behavioral of WTR_CTRL is -- casovac signal rst_clk : STD_LOGIC; signal start2 : STD_LOGIC; -- I/O data signal s_cell_in : STD_LOGIC_VECTOR(31 downto 0) := (others=>'0'); signal s_cell_in_next : STD_LOGIC_VECTOR(31 downto 0) := (others=>'0'); signal s_cell_out : STD_LOGIC_VECTOR(31 downto 0) := (others=>'0'); signal s_cell_out_next : STD_LOGIC_VECTOR(31 downto 0) := (others=>'0'); -- data o trubkach signal typ_tr : STD_LOGIC_VECTOR(3 downto 0) := (others=>'0'); -- typ trubky signal rot_tr : STD_LOGIC_VECTOR(1 downto 0) := (others=>'0'); -- natoèení trubky signal r,l,up,down : STD_LOGIC := '0'; signal bit_check : STD_LOGIC_VECTOR(1 downto 0) := "00"; signal bit_check_next : STD_LOGIC_VECTOR(1 downto 0) := "00"; signal cesta_vody : STD_LOGIC_VECTOR(3 downto 0) := (others=>'0'); signal cesta_vody_next : STD_LOGIC_VECTOR(3 downto 0) := (others=>'0'); -- adresy signal adr_x_next : STD_LOGIC_VECTOR(3 downto 0) := (others=>'0'); signal adr_y_next : STD_LOGIC_VECTOR(3 downto 0) := (others=>'0'); signal adr_x : STD_LOGIC_VECTOR(3 downto 0) := (others=>'0'); signal adr_y : STD_LOGIC_VECTOR(3 downto 0) := (others=>'0'); signal s_adr : STD_LOGIC_VECTOR(7 downto 0) := (others=>'0'); signal now_addr : STD_LOGIC_VECTOR(7 downto 0) := (others=>'0'); -- adresa aktualni bunky, pouziva se ke cteni a zapisu signal now_addr_next : STD_LOGIC_VECTOR(7 downto 0) := (others=>'0'); -- adresa aktualni bunky, pouziva se ke cteni a zapisu signal adr_xn_1_next : STD_LOGIC_VECTOR(3 downto 0); --pøedchozí adresy signal adr_yn_1_next : STD_LOGIC_VECTOR(3 downto 0); --pøedchozí adresy signal adr_xn_1 : STD_LOGIC_VECTOR(3 downto 0); --pøedchozí adresy signal adr_yn_1 : STD_LOGIC_VECTOR(3 downto 0); --pøedchozí adresy signal adr_xn_2_next : STD_LOGIC_VECTOR(3 downto 0); --pøedchozí adresy signal adr_yn_2_next : STD_LOGIC_VECTOR(3 downto 0); --pøedchozí adresy signal adr_xn_2 : STD_LOGIC_VECTOR(3 downto 0); --pøedchozí adresy signal adr_yn_2 : STD_LOGIC_VECTOR(3 downto 0); --pøedchozí adresy -- win, lose signaly signal fail_bit_next : STD_LOGIC := '0'; signal WIN_BIT_next : STD_LOGIC := '0'; -- ostatni signaly signal tmp1 : STD_LOGIC_VECTOR(4 downto 0) := (others=>'0'); --- counter na trubky signal tmp1_next : STD_LOGIC_VECTOR(4 downto 0) := (others=>'0'); --- counter na trubky signal knlg : STD_LOGIC; type state_type0 is (m1,m2,m3,m4,m5,m6,m0,m7,m8,m9,m10,m11,m12,m13,m16,m17,m18,m19); -- mody prace s bunkou signal next_state, present_state : state_type0 := m0; -- vnitrni signaly typu state -- konstanty constant clock_defi : STD_LOGIC_VECTOR(25 downto 0) := "00001101111111011110000100"; -- cim vetsi tim pomalejsi begin -- zapojeni podkomponenty -- casovani 10 - 14 to je 5 bit 00001 voda ma tect 00011 voda tece a je v prvnim policku casovac na 0.2s az 0.5s wtr_clk_unit : entity work.WTR_CLK generic map ( Flip_flops => 26 -- odpovída nastavenemu clock defi ) port map ( CLK => CLK, RST => rst_clk, CLOCK_DEFI => clock_defi, -- nastavuje hodnotu casovace ENABLE_OUT => start2 ); -- rozdeleni ulozenych dat up <= s_cell_in(6); r <= s_cell_in(7); down <= s_cell_in(8); l <= s_cell_in(9); typ_tr <= s_cell_in(3 downto 0); rot_tr <= s_cell_in(5 downto 4); s_adr <= std_logic_vector(unsigned(adr_y) & unsigned(adr_x)); ADR <= now_addr; CELL_OUT <= s_cell_out_next; WIN_BIT <= win_bit_next; FAIL_OUT <= fail_bit_next; ----------------------------------------------------------------------------------------------------- -- STAVOVY AUTOMAT - THE DUJDA SYSTEM ----------------------------------------------------------------------------------------------------- process (CLK) begin if (rising_edge(CLK)) then if (RST = '1') then -- synchronni reset present_state <= m0; now_addr <= (others=>'0'); s_cell_out <= (others=>'0'); s_cell_in <= (others=>'0'); tmp1 <= (others=>'0'); bit_check <= (others=>'0'); adr_xn_1 <= (others=>'0'); adr_yn_1 <= (others=>'0'); adr_xn_2 <= (others=>'0'); adr_yn_2 <= (others=>'0'); adr_x <= (others=>'0'); adr_y <= (others=>'0'); knlg <= '0'; cesta_vody <= (others=>'0'); else present_state <= next_state; now_addr <= now_addr_next; s_cell_out <= s_cell_out_next; s_cell_in <= s_cell_in_next; tmp1 <= tmp1_next; bit_check <= bit_check_next; adr_xn_1 <= adr_xn_1_next; adr_yn_1 <= adr_yn_1_next; adr_xn_2 <= adr_xn_2_next; adr_yn_2 <= adr_yn_2_next; adr_x <= adr_x_next; adr_y <= adr_y_next; knlg <= knlg_next; cesta_vody <= cesta_vody_next; end if; end if; end process; process(present_state,up,l,r,down,start,adr_x,adr_y,typ_tr,rot_tr, adr_xn_1, adr_yn_1, bit_check, KNLG_next, knlg, s_adr, start2, tmp1, s_cell_in, s_cell_out, now_addr, CELL_IN, cesta_vody, adr_xn_2, adr_yn_2) begin bit_check_next <= bit_check; adr_xn_1_next <= adr_xn_1; adr_yn_1_next <= adr_yn_1; adr_xn_2_next <= adr_xn_2; adr_yn_2_next <= adr_yn_2; adr_x_next <= adr_x; adr_y_next <= adr_y; now_addr_next <= now_addr; s_cell_out_next <= s_cell_out; s_cell_in_next <= s_cell_in; tmp1_next <= tmp1; rst_clk <= '0'; cesta_vody_next <= cesta_vody; case present_state is -- startovni stav when m0=> fail_bit_next <= '0'; win_bit_next <= '0'; RE_OUT <= '0'; WE_OUT <= '0'; adr_x_next <= (others=>'0'); adr_y_next <= (others=>'0'); now_addr_next <= (others=>'0'); -- nastaveni adresy startovniho policka if (start = '1') then next_state<= m1; else next_state<= m0; end if; ------------------------------------------------------------ -- cteni dat o aktualni bunce when m1 => fail_bit_next <= '0'; win_bit_next <= '0'; WE_OUT <= '0'; if (knlg = '1') then RE_OUT <= '0'; s_cell_in_next <= CELL_IN; next_state <= m17; else RE_OUT <= '1'; next_state <= m1; end if; ------------------------------------------------------------ -- kontrola zdali jsme na startovnim policku when m17 => RE_OUT <= '0'; WE_OUT <= '0'; fail_bit_next <= '0'; win_bit_next <= '0'; s_cell_out_next <= s_cell_in; if (s_adr = "00000000") then next_state <= m8; else next_state <= m16; end if; ------------------------------------------------------------ -- kontrola jestli je spravne zapojena prvni trubka when m8 => RE_OUT <= '0'; WE_OUT <= '0'; fail_bit_next <= '0'; win_bit_next <= '0'; if (l='1') then -- kontrola pripojení první trubky next_state<= m2; else next_state<= m3; end if; ------------------------------------------------------------ -- kontrola pripojeni druhe trubky when m16=> fail_bit_next <= '0'; win_bit_next <= '0'; RE_OUT <= '0'; WE_OUT <= '0'; case bit_check is when "00" => if (l='1') then next_state <= m2; else next_state <= m3; end if; when "01" => if (r='1') then next_state <= m2; else next_state <= m3; end if; when "10" => if (down='1') then next_state <= m2; else next_state <= m3; end if; when "11" => if (up='1') then next_state <= m2; else next_state <= m3; end if; when others => next_state <= m3; end case; ------------------------------------------------------------ -- zjisteni typu trubky when m2=> fail_bit_next <= '0'; win_bit_next <= '0'; RE_OUT <= '0'; WE_OUT <= '0'; case typ_tr is when "0000" => next_state <= m3; -- prazdne pole when "0010" => next_state <= m4; -- trubka L-ko when "0001" => next_state <= m5; -- rovna trubka when "0011" => next_state <= m6; -- krizova trubka when others => next_state <= m3; -- zed nebo jine end case; ------------------------------------------------------------ -- trubka L, zjistovani natoceni when m4 => fail_bit_next <= '0'; win_bit_next <= '0'; RE_OUT <= '0'; WE_OUT <= '0'; case rot_tr is when "10" => -- L = z hora do prava if (adr_yn_1<adr_y) then next_state<= m10; -- doprava cesta_vody_next <= "1011"; -- zhora doprava else next_state<= m12; -- nahoru cesta_vody_next <= "0111"; -- zprava nahoru end if; when "11" => -- P z prava dolu if (adr_yn_1>adr_y) then next_state<= m10; -- doprava cesta_vody_next <= "1001"; -- zdola doprava else next_state<= m13; -- dolu cesta_vody_next <= "1000"; -- zprava dolu end if; when "00" => -- 7 z dola do leva + osetreny prvni stav if (adr_yn_1>adr_y) then next_state<= m11; -- doleva cesta_vody_next <= "1010"; -- zdola doleva else next_state<= m13; -- dolu cesta_vody_next <= "0110"; -- zleva dolu end if; when "01" => -- d z leva nahoru if (adr_yn_1<adr_y) then next_state<= m11; -- doleva cesta_vody_next <= "1100"; -- zhora doleva else next_state<= m12; -- nahoru cesta_vody_next <= "0101"; -- zleva nahoru end if; when others => -- kdyby se neco posralo next_state <= m3; end case; ------------------------------------------------------------ -- rovna trubka, zjistovani natoceni when m5 => fail_bit_next <= '0'; win_bit_next <= '0'; RE_OUT <= '0'; WE_OUT <= '0'; case rot_tr is when "00" => if (adr_xn_1>adr_x) then next_state<= m11; -- doleva cesta_vody_next <= "0010"; else next_state<= m10; -- doprava cesta_vody_next <= "0001"; end if; when "01" => if (adr_yn_1<adr_y) then next_state<= m13; -- dolu cesta_vody_next <= "0100"; else next_state<= m12; -- nahoru cesta_vody_next <= "0011"; end if; when others => next_state <= m3; end case; ------------------------------------------------------------ -- krizova trubka, zjistovani natoceni when m6 => fail_bit_next <= '0'; win_bit_next <= '0'; RE_OUT <= '0'; WE_OUT <= '0'; if (adr_xn_1<adr_x) then next_state<= m10; -- tece doprava cesta_vody_next <= "0001"; elsif (adr_xn_1>adr_x) then next_state<= m11; -- tece doleva cesta_vody_next <= "0010"; elsif (adr_yn_1<adr_y) then next_state<= m13; -- tece dolu cesta_vody_next <= "0100"; elsif (adr_yn_1>adr_y) then next_state<= m12; -- tece nahoru cesta_vody_next <= "0011"; elsif (s_adr="00000000") then next_state<=m10; -- tece doprava cesta_vody_next <= "0001"; else next_state<=m3; end if; ------------------------------------------------------------ -- voda potece doprava when m10 => --- ptam se do prava adr_x_next<= std_logic_vector(unsigned(adr_x) + 1); bit_check_next<="00"; adr_xn_1_next <= adr_x; adr_yn_1_next <= adr_y; adr_xn_2_next <= adr_xn_1; adr_yn_2_next <= adr_yn_1; next_state<= m18; fail_bit_next <= '0'; win_bit_next <= '0'; RE_OUT <= '0'; WE_OUT <= '0'; rst_clk<='1'; ------------------------------------------------------------ -- voda potece doleva when m11 => --- ptam se do leva adr_x_next<= std_logic_vector(unsigned(adr_x) - 1); bit_check_next<="01"; adr_xn_1_next <= adr_x; adr_yn_1_next <= adr_y; adr_xn_2_next <= adr_xn_1; adr_yn_2_next <= adr_yn_1; next_state<= m18; fail_bit_next <= '0'; win_bit_next <= '0'; RE_OUT <= '0'; WE_OUT <= '0'; rst_clk<='1'; ------------------------------------------------------------ -- voda potece nahoru when m12 => --- ptam se nahoru adr_y_next<= std_logic_vector(unsigned(adr_y) - 1); bit_check_next<="10"; adr_xn_1_next <= adr_x; adr_yn_1_next <= adr_y; adr_xn_2_next <= adr_xn_1; adr_yn_2_next <= adr_yn_1; next_state<= m18; fail_bit_next <= '0'; win_bit_next <= '0'; RE_OUT <= '0'; WE_OUT <= '0'; rst_clk<='1'; ------------------------------------------------------------ -- voda potece dolu when m13 => --- ptam se dolu adr_y_next<= std_logic_vector(unsigned(adr_y) + 1); bit_check_next<="11"; adr_xn_1_next <= adr_x; adr_yn_1_next <= adr_y; adr_xn_2_next <= adr_xn_1; adr_yn_2_next <= adr_yn_1; next_state<= m18; fail_bit_next <= '0'; win_bit_next <= '0'; RE_OUT <= '0'; WE_OUT <= '0'; rst_clk<='1'; ------------------------------------------------------------ -- kontrola jestli voda prosla celou trubkou when m18=> fail_bit_next <= '0'; win_bit_next <= '0'; RE_OUT <= '0'; WE_OUT <= '0'; if (start2='1') then if (tmp1="11111") then --tmp1_next <= "00000"; next_state <= m7; --now_addr_next <= s_adr; -- nastaveni adresy noveho policka else tmp1_next<=std_logic_vector(unsigned(tmp1)+1); next_state<=m19; end if; else next_state <= m18; end if; ------------------------------------------------------------ -- zapis vody, zapne casovani a pricte vodu when m19=> fail_bit_next <= '0'; win_bit_next <= '0'; RE_OUT <= '1'; WE_OUT <= '1'; if ((cesta_vody = "0011") OR (cesta_vody = "0100")) then s_cell_out_next(15 downto 10) <= s_cell_in(15 downto 10); s_cell_out_next(21 downto 16) <= tmp1 & '1'; s_cell_out_next(25 downto 22) <= s_cell_in(25 downto 22); s_cell_out_next(29 downto 26) <= cesta_vody; else s_cell_out_next(15 downto 10) <= tmp1 & '1'; s_cell_out_next(21 downto 16) <= s_cell_in(21 downto 16); s_cell_out_next(25 downto 22) <= cesta_vody; s_cell_out_next(29 downto 26) <= s_cell_in(29 downto 26); end if; if (KNLG_next = '1') then next_state <= m18; else next_state <= m19; end if; ------------------------------------------------------------ -- kontrola jestli trubka neni zapojena do cile nebo do zdi when m7 => fail_bit_next <= '0'; win_bit_next <= '0'; RE_OUT <= '0'; WE_OUT <= '0'; tmp1_next <= "00000"; now_addr_next <= s_adr; -- nastaveni adresy noveho policka if ((adr_yn_1="0000")and (up='1') and (typ_tr/="0011")) then next_state<=m3; elsif((adr_yn_1="1100")and (down='1')and (typ_tr/="0011")) then next_state<=m3; elsif((adr_xn_1="1101")and (r='1') and (adr_yn_1/="1100")and (typ_tr/="0011")) then next_state<=m3; elsif((adr_xn_1="1101")and (r='1') and (adr_yn_1="1100") and (typ_tr/="0011")) then -- win next_state<=m9; elsif((adr_xn_1="0000")and (l='1')and(adr_yn_1/="0000") and (typ_tr/="0011")) then next_state<=m3; -- krizova --- fix elsif (typ_tr="0011") then if (adr_xn_2<adr_xn_1) then if ((adr_xn_1="1101") and (adr_yn_1/="1100")) then next_state <= m3; elsif ((adr_xn_1="1101") and (adr_yn_1="1100")) then next_state <= m9; else next_state<=m1; end if; elsif ((adr_xn_2>adr_xn_1) and (adr_xn_1="0000")) then next_state<= m3; elsif ((adr_yn_2<adr_yn_1) and (adr_yn_1="1100")) then next_state<= m3; elsif ((adr_yn_2>adr_yn_1) and (adr_yn_1="0000")) then next_state<= m3; else next_state<=m1; end if; else next_state<=m1; end if; ------------------------------------------------------------ -- Prohra when m3 => fail_bit_next <= '1'; win_bit_next <= '0'; RE_OUT <= '0'; WE_OUT <= '0'; next_state<=m3; ------------------------------------------------------------ -- Vyhra when m9 => fail_bit_next <= '0'; win_bit_next <= '1'; RE_OUT <= '0'; WE_OUT <= '0'; next_state <= m9; ------------------------------------------------------------ -- ostatni stavy when others => fail_bit_next <= '0'; win_bit_next <= '0'; RE_OUT <= '0'; WE_OUT <= '0'; next_state <= m0; end case; end process; end Behavioral;
-- file: i_fetch_test_stream_instr_stream_pkg.vhd (version: i_fetch_test_stream_instr_stream_pkg_non_aligned_branches.vhd) -- Written by Gandhi Puvvada -- date of last rivision: 7/23/2008 -- -- A package file to define the instruction stream to be placed in the instr_cache. -- This package, "instr_stream_pkg", is refered in a use clause in the inst_cache_sprom module. -- We will use several files similar to this containining different instruction streams. -- The package name will remain the same, namely instr_stream_pkg. -- Only the file name changes from, say i_fetch_test_stream_instr_stream_pkg.vhd -- to say mult_test_stream_instr_stream_pkg.vhd. -- Depending on which instr_stream_pkg file was analysed/compiled most recently, -- that stream will be used for simulation/synthesis. ---------------------------------------------------------- library std, ieee; use ieee.std_logic_1164.all; package instr_stream_pkg is constant DATA_WIDTH_CONSTANT : integer := 128; -- data width of of our cache constant ADDR_WIDTH_CONSTANT : integer := 6; -- address width of our cache -- type declarations type mem_type is array (0 to (2**ADDR_WIDTH_CONSTANT)-1) of std_logic_vector((DATA_WIDTH_CONSTANT-1) downto 0); --------------------------------------------------- --------------------------------------------------- -- $0 : 0 -- $1 : 1 -- $2 : 2 -- $3 : 3 -- $4 : 4 -- $5 : 5 -- $29: contains fixed bottom of stack for $31 values -- -- add $2, $0, $0 -- add $3, $1, $1 --- -- jal subroutine --- -- add $3, $2, $3 ---store final ans. in $3 -- nop -- nop -- nop -- jump exit -- subroutine: -- add $2, $1, $2 -- add $3, $1, $3 -- jr $31 -- nop -- nop -- nop -- nop -- exit: -- lots of nop --- EXPECTED RESULT --- PHYSICAL REG FILE CONTENTS CHANGE AS FOLLOWS : -- 32 => 0(d) -- 33 => 2(d) -- 34 => 12(d) -- 35 => 1(d) -- 36 => 3(d) -- 37 => 4(d) --------------------------------------------------- --------------------------------------------------- signal mem : mem_type := ( X"00621820_0C000008_00211820_00001020", -- Loc 0C, 08, 04, 00 sw_addi_add_add X"08000010_00000020_00000020_00000020", -- Loc 1C, 18, 14, 10 jump_nop_add_jal X"00000020_03E00008_00611820_00221020", -- Loc 2C, 28, 24, 20 addi_lw_add_add X"00000020_00000020_00000020_00000020", -- Loc 3C, 38, 34, 30 nop_nop_nop_jr X"00000020_00000020_00000020_00000020", -- Loc 4C, 48, 44, 40 nop_nop_nop_nop X"00000020_00000020_00000020_00000020", -- Loc 5C, 58, 54, 50 X"00000020_00000020_00000020_00000020", -- Loc 6C, 68, 64, 60 X"00000020_00000020_00000020_00000020", -- Loc 7C, 78, 74, 70 X"00000020_00000020_00000020_00000020", -- Loc 8C, 88, 84, 80 X"00000020_00000020_00000020_00000020", -- Loc 9C, 98, 94, 90 X"00000020_00000020_00000020_00000020", -- Loc AC, A8, A4, A0 X"00000020_00000020_00000020_00000020", -- Loc BC, B8, B4, B0 X"00000020_00000020_00000020_00000020", -- Loc CC, C8, C4, C0 X"00000020_00000020_00000020_00000020", -- Loc DC, D8, D4, D0 X"00000020_00000020_00000020_00000020", -- Loc EC, E8, E4, E0 X"00000020_00000020_00000020_00000020", -- Loc FC, F8, F4, F0 X"00000020_00000020_00000020_00000020", -- Loc 10C, 108, 104, 100 X"00000020_00000020_00000020_00000020", -- Loc 11C, 118, 114, 110 X"00000020_00000020_00000020_00000020", -- Loc 12C, 128, 124, 120 X"00000020_00000020_00000020_00000020", -- Loc 13C, 138, 134, 130 X"00000020_00000020_00000020_00000020", -- Loc 14C, 148, 144, 140 X"00000020_00000020_00000020_00000020", -- Loc 15C, 158, 154, 150 X"00000020_00000020_00000020_00000020", -- Loc 16C, 168, 164, 160 X"00000020_00000020_00000020_00000020", -- Loc 17C, 178, 174, 170 X"00000020_00000020_00000020_00000020", -- Loc 18C, 188, 184, 180 X"00000020_00000020_00000020_00000020", -- Loc 19C, 198, 194, 190 X"00000020_00000020_00000020_00000020", -- Loc 1AC, 1A8, 1A4, 1A0 X"00000020_00000020_00000020_00000020", -- Loc 1BC, 1B8, 1B4, 1B0 X"00000020_00000020_00000020_00000020", -- Loc 1CC, 1C8, 1C4, 1C0 X"00000020_00000020_00000020_00000020", -- Loc 1DC, 1D8, 1D4, 1D0 X"00000020_00000020_00000020_00000020", -- Loc 1EC, 1E8, 1E4, 1E0 X"00000020_00000020_00000020_00000020", -- Loc 1FC, 1F8, 1F4, 1F0 X"00000020_00000020_00000020_00000020", -- Loc 20C, 208, 204, 200 X"00000020_00000020_00000020_00000020", -- Loc 21C, 218, 214, 221 X"00000020_00000020_00000020_00000020", -- Loc 22C, 228, 224, 220 X"00000020_00000020_00000020_00000020", -- Loc 23C, 238, 234, 230 X"00000020_00000020_00000020_00000020", -- Loc 24C, 248, 244, 240 X"00000020_00000020_00000020_00000020", -- Loc 25C, 258, 254, 250 X"00000020_00000020_00000020_00000020", -- Loc 26C, 268, 264, 260 X"00000020_00000020_00000020_00000020", -- Loc 27C, 278, 274, 270 X"00000020_00000020_00000020_00000020", -- Loc 28C, 288, 284, 280 X"00000020_00000020_00000020_00000020", -- Loc 29C, 298, 294, 290 X"00000020_00000020_00000020_00000020", -- Loc 2AC, 2A8, 2A4, 2A0 X"00000020_00000020_00000020_00000020", -- Loc 2BC, 2B8, 2B4, 2B0 X"00000020_00000020_00000020_00000020", -- Loc 2CC, 2C8, 2C4, 2C0 X"00000020_00000020_00000020_00000020", -- Loc 2DC, 2D8, 2D4, 2D0 X"00000020_00000020_00000020_00000020", -- Loc 2EC, 2E8, 2E4, 2E0 X"00000020_00000020_00000020_00000020", -- Loc 2FC, 2F8, 2F4, 2F0 X"00000020_00000020_00000020_00000020", -- Loc 30C, 308, 304, 300 X"00000020_00000020_00000020_00000020", -- Loc 31C, 318, 314, 331 X"00000020_00000020_00000020_00000020", -- Loc 32C, 328, 324, 320 X"00000020_00000020_00000020_00000020", -- Loc 33C, 338, 334, 330 X"00000020_00000020_00000020_00000020", -- Loc 34C, 348, 344, 340 X"00000020_00000020_00000020_00000020", -- Loc 35C, 358, 354, 350 X"00000020_00000020_00000020_00000020", -- Loc 36C, 368, 364, 360 X"00000020_00000020_00000020_00000020", -- Loc 37C, 378, 374, 370 X"00000020_00000020_00000020_00000020", -- Loc 38C, 388, 384, 380 X"00000020_00000020_00000020_00000020", -- Loc 39C, 398, 394, 390 X"00000020_00000020_00000020_00000020", -- Loc 3AC, 3A8, 3A4, 3A0 X"00000020_00000020_00000020_00000020", -- Loc 3BC, 3B8, 3B4, 3B0 -- the last 16 instructions are looping ump instructions X"080000F3_080000F2_080000F1_080000F0", -- Loc 3CC, 3C8, 3C4, 3C0 X"080000F7_080000F6_080000F5_080000F4", -- Loc 3DC, 3D8, 3D4, 3D0 X"080000FB_080000FA_080000F9_080000F8", -- Loc 3EC, 3E8, 3E4, 3E0 X"080000FF_080000FE_080000FD_080000FC" -- Loc 3FC, 3F8, 3F4, 3F0 ) ; -- the last 16 instructions are looping jump instructions -- of the type: loop: j loop -- This is to make sure that neither instruction fetching -- nor instruction execution proceeds beyond the end of this memory. -- Loc 3C0 -- 080000F0 => J 240 -- Loc 3C4 -- 080000F1 => J 241 -- Loc 3C8 -- 080000F2 => J 242 -- Loc 3CC -- 080000F3 => J 243 -- -- Loc 3D0 -- 080000F4 => J 244 -- Loc 3D4 -- 080000F5 => J 245 -- Loc 3D8 -- 080000F6 => J 246 -- Loc 3DC -- 080000F7 => J 247 -- -- Loc 3E0 -- 080000F8 => J 248 -- Loc 3E4 -- 080000F9 => J 249 -- Loc 3E8 -- 080000FA => J 250 -- Loc 3EC -- 080000FB => J 251 -- -- Loc 3F0 -- 080000FC => J 252 -- Loc 3F4 -- 080000FD => J 253 -- Loc 3F8 -- 080000FE => J 254 -- Loc 3FC -- 080000FF => J 255 end package instr_stream_pkg; -- -- No need for s package body here -- package body instr_stream_pkg is -- -- end package body instr_stream_pkg;
-- file: i_fetch_test_stream_instr_stream_pkg.vhd (version: i_fetch_test_stream_instr_stream_pkg_non_aligned_branches.vhd) -- Written by Gandhi Puvvada -- date of last rivision: 7/23/2008 -- -- A package file to define the instruction stream to be placed in the instr_cache. -- This package, "instr_stream_pkg", is refered in a use clause in the inst_cache_sprom module. -- We will use several files similar to this containining different instruction streams. -- The package name will remain the same, namely instr_stream_pkg. -- Only the file name changes from, say i_fetch_test_stream_instr_stream_pkg.vhd -- to say mult_test_stream_instr_stream_pkg.vhd. -- Depending on which instr_stream_pkg file was analysed/compiled most recently, -- that stream will be used for simulation/synthesis. ---------------------------------------------------------- library std, ieee; use ieee.std_logic_1164.all; package instr_stream_pkg is constant DATA_WIDTH_CONSTANT : integer := 128; -- data width of of our cache constant ADDR_WIDTH_CONSTANT : integer := 6; -- address width of our cache -- type declarations type mem_type is array (0 to (2**ADDR_WIDTH_CONSTANT)-1) of std_logic_vector((DATA_WIDTH_CONSTANT-1) downto 0); --------------------------------------------------- --------------------------------------------------- -- $0 : 0 -- $1 : 1 -- $2 : 2 -- $3 : 3 -- $4 : 4 -- $5 : 5 -- $29: contains fixed bottom of stack for $31 values -- -- add $2, $0, $0 -- add $3, $1, $1 --- -- jal subroutine --- -- add $3, $2, $3 ---store final ans. in $3 -- nop -- nop -- nop -- jump exit -- subroutine: -- add $2, $1, $2 -- add $3, $1, $3 -- jr $31 -- nop -- nop -- nop -- nop -- exit: -- lots of nop --- EXPECTED RESULT --- PHYSICAL REG FILE CONTENTS CHANGE AS FOLLOWS : -- 32 => 0(d) -- 33 => 2(d) -- 34 => 12(d) -- 35 => 1(d) -- 36 => 3(d) -- 37 => 4(d) --------------------------------------------------- --------------------------------------------------- signal mem : mem_type := ( X"00621820_0C000008_00211820_00001020", -- Loc 0C, 08, 04, 00 sw_addi_add_add X"08000010_00000020_00000020_00000020", -- Loc 1C, 18, 14, 10 jump_nop_add_jal X"00000020_03E00008_00611820_00221020", -- Loc 2C, 28, 24, 20 addi_lw_add_add X"00000020_00000020_00000020_00000020", -- Loc 3C, 38, 34, 30 nop_nop_nop_jr X"00000020_00000020_00000020_00000020", -- Loc 4C, 48, 44, 40 nop_nop_nop_nop X"00000020_00000020_00000020_00000020", -- Loc 5C, 58, 54, 50 X"00000020_00000020_00000020_00000020", -- Loc 6C, 68, 64, 60 X"00000020_00000020_00000020_00000020", -- Loc 7C, 78, 74, 70 X"00000020_00000020_00000020_00000020", -- Loc 8C, 88, 84, 80 X"00000020_00000020_00000020_00000020", -- Loc 9C, 98, 94, 90 X"00000020_00000020_00000020_00000020", -- Loc AC, A8, A4, A0 X"00000020_00000020_00000020_00000020", -- Loc BC, B8, B4, B0 X"00000020_00000020_00000020_00000020", -- Loc CC, C8, C4, C0 X"00000020_00000020_00000020_00000020", -- Loc DC, D8, D4, D0 X"00000020_00000020_00000020_00000020", -- Loc EC, E8, E4, E0 X"00000020_00000020_00000020_00000020", -- Loc FC, F8, F4, F0 X"00000020_00000020_00000020_00000020", -- Loc 10C, 108, 104, 100 X"00000020_00000020_00000020_00000020", -- Loc 11C, 118, 114, 110 X"00000020_00000020_00000020_00000020", -- Loc 12C, 128, 124, 120 X"00000020_00000020_00000020_00000020", -- Loc 13C, 138, 134, 130 X"00000020_00000020_00000020_00000020", -- Loc 14C, 148, 144, 140 X"00000020_00000020_00000020_00000020", -- Loc 15C, 158, 154, 150 X"00000020_00000020_00000020_00000020", -- Loc 16C, 168, 164, 160 X"00000020_00000020_00000020_00000020", -- Loc 17C, 178, 174, 170 X"00000020_00000020_00000020_00000020", -- Loc 18C, 188, 184, 180 X"00000020_00000020_00000020_00000020", -- Loc 19C, 198, 194, 190 X"00000020_00000020_00000020_00000020", -- Loc 1AC, 1A8, 1A4, 1A0 X"00000020_00000020_00000020_00000020", -- Loc 1BC, 1B8, 1B4, 1B0 X"00000020_00000020_00000020_00000020", -- Loc 1CC, 1C8, 1C4, 1C0 X"00000020_00000020_00000020_00000020", -- Loc 1DC, 1D8, 1D4, 1D0 X"00000020_00000020_00000020_00000020", -- Loc 1EC, 1E8, 1E4, 1E0 X"00000020_00000020_00000020_00000020", -- Loc 1FC, 1F8, 1F4, 1F0 X"00000020_00000020_00000020_00000020", -- Loc 20C, 208, 204, 200 X"00000020_00000020_00000020_00000020", -- Loc 21C, 218, 214, 221 X"00000020_00000020_00000020_00000020", -- Loc 22C, 228, 224, 220 X"00000020_00000020_00000020_00000020", -- Loc 23C, 238, 234, 230 X"00000020_00000020_00000020_00000020", -- Loc 24C, 248, 244, 240 X"00000020_00000020_00000020_00000020", -- Loc 25C, 258, 254, 250 X"00000020_00000020_00000020_00000020", -- Loc 26C, 268, 264, 260 X"00000020_00000020_00000020_00000020", -- Loc 27C, 278, 274, 270 X"00000020_00000020_00000020_00000020", -- Loc 28C, 288, 284, 280 X"00000020_00000020_00000020_00000020", -- Loc 29C, 298, 294, 290 X"00000020_00000020_00000020_00000020", -- Loc 2AC, 2A8, 2A4, 2A0 X"00000020_00000020_00000020_00000020", -- Loc 2BC, 2B8, 2B4, 2B0 X"00000020_00000020_00000020_00000020", -- Loc 2CC, 2C8, 2C4, 2C0 X"00000020_00000020_00000020_00000020", -- Loc 2DC, 2D8, 2D4, 2D0 X"00000020_00000020_00000020_00000020", -- Loc 2EC, 2E8, 2E4, 2E0 X"00000020_00000020_00000020_00000020", -- Loc 2FC, 2F8, 2F4, 2F0 X"00000020_00000020_00000020_00000020", -- Loc 30C, 308, 304, 300 X"00000020_00000020_00000020_00000020", -- Loc 31C, 318, 314, 331 X"00000020_00000020_00000020_00000020", -- Loc 32C, 328, 324, 320 X"00000020_00000020_00000020_00000020", -- Loc 33C, 338, 334, 330 X"00000020_00000020_00000020_00000020", -- Loc 34C, 348, 344, 340 X"00000020_00000020_00000020_00000020", -- Loc 35C, 358, 354, 350 X"00000020_00000020_00000020_00000020", -- Loc 36C, 368, 364, 360 X"00000020_00000020_00000020_00000020", -- Loc 37C, 378, 374, 370 X"00000020_00000020_00000020_00000020", -- Loc 38C, 388, 384, 380 X"00000020_00000020_00000020_00000020", -- Loc 39C, 398, 394, 390 X"00000020_00000020_00000020_00000020", -- Loc 3AC, 3A8, 3A4, 3A0 X"00000020_00000020_00000020_00000020", -- Loc 3BC, 3B8, 3B4, 3B0 -- the last 16 instructions are looping ump instructions X"080000F3_080000F2_080000F1_080000F0", -- Loc 3CC, 3C8, 3C4, 3C0 X"080000F7_080000F6_080000F5_080000F4", -- Loc 3DC, 3D8, 3D4, 3D0 X"080000FB_080000FA_080000F9_080000F8", -- Loc 3EC, 3E8, 3E4, 3E0 X"080000FF_080000FE_080000FD_080000FC" -- Loc 3FC, 3F8, 3F4, 3F0 ) ; -- the last 16 instructions are looping jump instructions -- of the type: loop: j loop -- This is to make sure that neither instruction fetching -- nor instruction execution proceeds beyond the end of this memory. -- Loc 3C0 -- 080000F0 => J 240 -- Loc 3C4 -- 080000F1 => J 241 -- Loc 3C8 -- 080000F2 => J 242 -- Loc 3CC -- 080000F3 => J 243 -- -- Loc 3D0 -- 080000F4 => J 244 -- Loc 3D4 -- 080000F5 => J 245 -- Loc 3D8 -- 080000F6 => J 246 -- Loc 3DC -- 080000F7 => J 247 -- -- Loc 3E0 -- 080000F8 => J 248 -- Loc 3E4 -- 080000F9 => J 249 -- Loc 3E8 -- 080000FA => J 250 -- Loc 3EC -- 080000FB => J 251 -- -- Loc 3F0 -- 080000FC => J 252 -- Loc 3F4 -- 080000FD => J 253 -- Loc 3F8 -- 080000FE => J 254 -- Loc 3FC -- 080000FF => J 255 end package instr_stream_pkg; -- -- No need for s package body here -- package body instr_stream_pkg is -- -- end package body instr_stream_pkg;
-- file: i_fetch_test_stream_instr_stream_pkg.vhd (version: i_fetch_test_stream_instr_stream_pkg_non_aligned_branches.vhd) -- Written by Gandhi Puvvada -- date of last rivision: 7/23/2008 -- -- A package file to define the instruction stream to be placed in the instr_cache. -- This package, "instr_stream_pkg", is refered in a use clause in the inst_cache_sprom module. -- We will use several files similar to this containining different instruction streams. -- The package name will remain the same, namely instr_stream_pkg. -- Only the file name changes from, say i_fetch_test_stream_instr_stream_pkg.vhd -- to say mult_test_stream_instr_stream_pkg.vhd. -- Depending on which instr_stream_pkg file was analysed/compiled most recently, -- that stream will be used for simulation/synthesis. ---------------------------------------------------------- library std, ieee; use ieee.std_logic_1164.all; package instr_stream_pkg is constant DATA_WIDTH_CONSTANT : integer := 128; -- data width of of our cache constant ADDR_WIDTH_CONSTANT : integer := 6; -- address width of our cache -- type declarations type mem_type is array (0 to (2**ADDR_WIDTH_CONSTANT)-1) of std_logic_vector((DATA_WIDTH_CONSTANT-1) downto 0); --------------------------------------------------- --------------------------------------------------- -- $0 : 0 -- $1 : 1 -- $2 : 2 -- $3 : 3 -- $4 : 4 -- $5 : 5 -- $29: contains fixed bottom of stack for $31 values -- -- add $2, $0, $0 -- add $3, $1, $1 --- -- jal subroutine --- -- add $3, $2, $3 ---store final ans. in $3 -- nop -- nop -- nop -- jump exit -- subroutine: -- add $2, $1, $2 -- add $3, $1, $3 -- jr $31 -- nop -- nop -- nop -- nop -- exit: -- lots of nop --- EXPECTED RESULT --- PHYSICAL REG FILE CONTENTS CHANGE AS FOLLOWS : -- 32 => 0(d) -- 33 => 2(d) -- 34 => 12(d) -- 35 => 1(d) -- 36 => 3(d) -- 37 => 4(d) --------------------------------------------------- --------------------------------------------------- signal mem : mem_type := ( X"00621820_0C000008_00211820_00001020", -- Loc 0C, 08, 04, 00 sw_addi_add_add X"08000010_00000020_00000020_00000020", -- Loc 1C, 18, 14, 10 jump_nop_add_jal X"00000020_03E00008_00611820_00221020", -- Loc 2C, 28, 24, 20 addi_lw_add_add X"00000020_00000020_00000020_00000020", -- Loc 3C, 38, 34, 30 nop_nop_nop_jr X"00000020_00000020_00000020_00000020", -- Loc 4C, 48, 44, 40 nop_nop_nop_nop X"00000020_00000020_00000020_00000020", -- Loc 5C, 58, 54, 50 X"00000020_00000020_00000020_00000020", -- Loc 6C, 68, 64, 60 X"00000020_00000020_00000020_00000020", -- Loc 7C, 78, 74, 70 X"00000020_00000020_00000020_00000020", -- Loc 8C, 88, 84, 80 X"00000020_00000020_00000020_00000020", -- Loc 9C, 98, 94, 90 X"00000020_00000020_00000020_00000020", -- Loc AC, A8, A4, A0 X"00000020_00000020_00000020_00000020", -- Loc BC, B8, B4, B0 X"00000020_00000020_00000020_00000020", -- Loc CC, C8, C4, C0 X"00000020_00000020_00000020_00000020", -- Loc DC, D8, D4, D0 X"00000020_00000020_00000020_00000020", -- Loc EC, E8, E4, E0 X"00000020_00000020_00000020_00000020", -- Loc FC, F8, F4, F0 X"00000020_00000020_00000020_00000020", -- Loc 10C, 108, 104, 100 X"00000020_00000020_00000020_00000020", -- Loc 11C, 118, 114, 110 X"00000020_00000020_00000020_00000020", -- Loc 12C, 128, 124, 120 X"00000020_00000020_00000020_00000020", -- Loc 13C, 138, 134, 130 X"00000020_00000020_00000020_00000020", -- Loc 14C, 148, 144, 140 X"00000020_00000020_00000020_00000020", -- Loc 15C, 158, 154, 150 X"00000020_00000020_00000020_00000020", -- Loc 16C, 168, 164, 160 X"00000020_00000020_00000020_00000020", -- Loc 17C, 178, 174, 170 X"00000020_00000020_00000020_00000020", -- Loc 18C, 188, 184, 180 X"00000020_00000020_00000020_00000020", -- Loc 19C, 198, 194, 190 X"00000020_00000020_00000020_00000020", -- Loc 1AC, 1A8, 1A4, 1A0 X"00000020_00000020_00000020_00000020", -- Loc 1BC, 1B8, 1B4, 1B0 X"00000020_00000020_00000020_00000020", -- Loc 1CC, 1C8, 1C4, 1C0 X"00000020_00000020_00000020_00000020", -- Loc 1DC, 1D8, 1D4, 1D0 X"00000020_00000020_00000020_00000020", -- Loc 1EC, 1E8, 1E4, 1E0 X"00000020_00000020_00000020_00000020", -- Loc 1FC, 1F8, 1F4, 1F0 X"00000020_00000020_00000020_00000020", -- Loc 20C, 208, 204, 200 X"00000020_00000020_00000020_00000020", -- Loc 21C, 218, 214, 221 X"00000020_00000020_00000020_00000020", -- Loc 22C, 228, 224, 220 X"00000020_00000020_00000020_00000020", -- Loc 23C, 238, 234, 230 X"00000020_00000020_00000020_00000020", -- Loc 24C, 248, 244, 240 X"00000020_00000020_00000020_00000020", -- Loc 25C, 258, 254, 250 X"00000020_00000020_00000020_00000020", -- Loc 26C, 268, 264, 260 X"00000020_00000020_00000020_00000020", -- Loc 27C, 278, 274, 270 X"00000020_00000020_00000020_00000020", -- Loc 28C, 288, 284, 280 X"00000020_00000020_00000020_00000020", -- Loc 29C, 298, 294, 290 X"00000020_00000020_00000020_00000020", -- Loc 2AC, 2A8, 2A4, 2A0 X"00000020_00000020_00000020_00000020", -- Loc 2BC, 2B8, 2B4, 2B0 X"00000020_00000020_00000020_00000020", -- Loc 2CC, 2C8, 2C4, 2C0 X"00000020_00000020_00000020_00000020", -- Loc 2DC, 2D8, 2D4, 2D0 X"00000020_00000020_00000020_00000020", -- Loc 2EC, 2E8, 2E4, 2E0 X"00000020_00000020_00000020_00000020", -- Loc 2FC, 2F8, 2F4, 2F0 X"00000020_00000020_00000020_00000020", -- Loc 30C, 308, 304, 300 X"00000020_00000020_00000020_00000020", -- Loc 31C, 318, 314, 331 X"00000020_00000020_00000020_00000020", -- Loc 32C, 328, 324, 320 X"00000020_00000020_00000020_00000020", -- Loc 33C, 338, 334, 330 X"00000020_00000020_00000020_00000020", -- Loc 34C, 348, 344, 340 X"00000020_00000020_00000020_00000020", -- Loc 35C, 358, 354, 350 X"00000020_00000020_00000020_00000020", -- Loc 36C, 368, 364, 360 X"00000020_00000020_00000020_00000020", -- Loc 37C, 378, 374, 370 X"00000020_00000020_00000020_00000020", -- Loc 38C, 388, 384, 380 X"00000020_00000020_00000020_00000020", -- Loc 39C, 398, 394, 390 X"00000020_00000020_00000020_00000020", -- Loc 3AC, 3A8, 3A4, 3A0 X"00000020_00000020_00000020_00000020", -- Loc 3BC, 3B8, 3B4, 3B0 -- the last 16 instructions are looping ump instructions X"080000F3_080000F2_080000F1_080000F0", -- Loc 3CC, 3C8, 3C4, 3C0 X"080000F7_080000F6_080000F5_080000F4", -- Loc 3DC, 3D8, 3D4, 3D0 X"080000FB_080000FA_080000F9_080000F8", -- Loc 3EC, 3E8, 3E4, 3E0 X"080000FF_080000FE_080000FD_080000FC" -- Loc 3FC, 3F8, 3F4, 3F0 ) ; -- the last 16 instructions are looping jump instructions -- of the type: loop: j loop -- This is to make sure that neither instruction fetching -- nor instruction execution proceeds beyond the end of this memory. -- Loc 3C0 -- 080000F0 => J 240 -- Loc 3C4 -- 080000F1 => J 241 -- Loc 3C8 -- 080000F2 => J 242 -- Loc 3CC -- 080000F3 => J 243 -- -- Loc 3D0 -- 080000F4 => J 244 -- Loc 3D4 -- 080000F5 => J 245 -- Loc 3D8 -- 080000F6 => J 246 -- Loc 3DC -- 080000F7 => J 247 -- -- Loc 3E0 -- 080000F8 => J 248 -- Loc 3E4 -- 080000F9 => J 249 -- Loc 3E8 -- 080000FA => J 250 -- Loc 3EC -- 080000FB => J 251 -- -- Loc 3F0 -- 080000FC => J 252 -- Loc 3F4 -- 080000FD => J 253 -- Loc 3F8 -- 080000FE => J 254 -- Loc 3FC -- 080000FF => J 255 end package instr_stream_pkg; -- -- No need for s package body here -- package body instr_stream_pkg is -- -- end package body instr_stream_pkg;
--------------------------------------------------------------------------- -- Company : Vim Inc -- Author(s) : Fabien Marteau -- -- Creation Date : 23/04/2008 -- File : atmega_pkg.vhd -- -- Abstract : Simulate atmega128 read and write -- --------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.numeric_std.all; package atmega_emi_pkg is procedure atmega_write( Address : in std_logic_vector( 15 downto 0); value : in std_logic_vector( 7 downto 0); signal clk : in std_logic ; signal Address_H : out std_logic_vector( 6 downto 0); signal DA : inout std_logic_vector( 7 downto 0); signal ALE : out std_logic ; signal RD : out std_logic ; signal WR : out std_logic ; signal DIR_buffer : in std_logic ; wait_states : natural ); procedure atmega_read( Address : in std_logic_vector( 15 downto 0); signal value : out std_logic_vector( 7 downto 0); signal clk : in std_logic ; signal Address_H : out std_logic_vector( 6 downto 0); signal DA : inout std_logic_vector( 7 downto 0); signal ALE : out std_logic ; signal RD : out std_logic ; signal WR : out std_logic ; signal DIR_buffer : in std_logic ; wait_states : natural ); end package atmega_emi_pkg; package body atmega_emi_pkg is CONSTANT TCLCL : time :=62 ns; -- 16 MHz --Write value procedure atmega_write( Address : in std_logic_vector( 15 downto 0); value : in std_logic_vector( 7 downto 0); signal clk : in std_logic ; signal Address_H : out std_logic_vector( 6 downto 0); signal DA : inout std_logic_vector( 7 downto 0); signal ALE : out std_logic ; signal RD : out std_logic ; signal WR : out std_logic ; signal DIR_buffer : in std_logic ; wait_states : natural ) is begin WR <= '1'; RD <= '1'; wait until falling_edge(clk); ALE <= '1'; wait until rising_edge(clk); Address_H <= Address(14 downto 8); DA <= Address(7 downto 0); wait until falling_edge(clk); ALE <= '0'; wait for 5 ns; DA <= (others => 'Z'); wait until rising_edge(clk); DA <= value; -- 0.5TCLCL - 20 ns wait for 0.5*TCLCL - 20 ns; WR <= '0'; wait until falling_edge(clk); wait until rising_edge(clk); if wait_states >= 0 then for n in 1 to wait_states loop wait until rising_edge(clk); end loop; end if; WR <= '1'; wait until falling_edge(clk); DA <= (others => 'Z'); Address_H <= (others => 'Z'); end procedure atmega_write; --Read value procedure atmega_read( Address : in std_logic_vector( 15 downto 0); signal value : out std_logic_vector( 7 downto 0); signal clk : in std_logic ; signal Address_H : out std_logic_vector( 6 downto 0); signal DA : inout std_logic_vector( 7 downto 0); signal ALE : out std_logic ; signal RD : out std_logic ; signal WR : out std_logic ; signal DIR_buffer : in std_logic ; wait_states : natural ) is begin RD <= '1'; WR <= '1'; wait until falling_edge(clk); ALE <= '1'; wait until rising_edge(clk); Address_H <= Address(14 downto 8); DA <= Address(7 downto 0); wait until falling_edge(clk); ALE <= '0'; wait for 5 ns; DA <= (others => 'Z'); wait until rising_edge(clk); wait for 0.5*TCLCL - 20 ns; RD <= '0'; wait until rising_edge(clk); -- 0 wait states if wait_states >= 0 then for n in 1 to wait_states loop wait until rising_edge(clk); end loop; end if; assert DIR_buffer = '1' report "buffer direction error" severity error; value <= DA; RD <= '1'; wait until falling_edge(clk); DA <= (others => 'Z'); Address_H <= (others => 'Z'); end procedure atmega_read; end package body atmega_emi_pkg;
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2016.4 (win64) Build 1733598 Wed Dec 14 22:35:39 MST 2016 -- Date : Mon Jun 05 17:25:05 2017 -- Host : GILAMONSTER running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode synth_stub -- C:/ZyboIP/examples/zed_transform_test/zed_transform_test.srcs/sources_1/bd/system/ip/system_vga_sync_reset_0_0/system_vga_sync_reset_0_0_stub.vhdl -- Design : system_vga_sync_reset_0_0 -- Purpose : Stub declaration of top-level module interface -- Device : xc7z020clg484-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity system_vga_sync_reset_0_0 is Port ( clk : in STD_LOGIC; rst : in STD_LOGIC; active : out STD_LOGIC; hsync : out STD_LOGIC; vsync : out STD_LOGIC; xaddr : out STD_LOGIC_VECTOR ( 9 downto 0 ); yaddr : out STD_LOGIC_VECTOR ( 9 downto 0 ) ); end system_vga_sync_reset_0_0; architecture stub of system_vga_sync_reset_0_0 is attribute syn_black_box : boolean; attribute black_box_pad_pin : string; attribute syn_black_box of stub : architecture is true; attribute black_box_pad_pin of stub : architecture is "clk,rst,active,hsync,vsync,xaddr[9:0],yaddr[9:0]"; attribute x_core_info : string; attribute x_core_info of stub : architecture is "vga_sync_reset,Vivado 2016.4"; begin end;
--------------------------------------------------------------------------------------------- -- Author: Martin Kumm -- Contact: [email protected] -- License: LGPL -- Date: 04.04.2013 -- -- Description: -- Testbench for testing a single ternary adder component --------------------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.math_real.all; -- for uniform, trunc functions entity tb_ternary_adder is generic( input_word_size : integer := 15; subtract_y : boolean := false; subtract_z : boolean := true; use_output_ff : boolean := false ); end tb_ternary_adder; architecture tb_ternary_adder_arch of tb_ternary_adder is signal clk, rst : std_logic := '0'; signal x,y,z : std_logic_vector(input_word_size-1 downto 0) := (others => '0'); signal sum : std_logic_vector(input_word_size+1 downto 0) := (others => '0'); signal sum_ref,sum_dut: integer := 0; begin dut: entity work.ternary_adder generic map ( input_word_size => input_word_size, subtract_y => subtract_y, subtract_z => subtract_z, use_output_ff => use_output_ff ) port map ( clk_i => clk, rst_i => rst, x_i => x, y_i => y, z_i => z, sum_o => sum ); clk <= not clk after 5 ns; -- 100 MHz rst <= '1', '0' after 5 ns; process variable seed1,seed2: positive; variable rand : real; variable x_int,y_int,z_int : integer; begin uniform(seed1, seed2, rand); x_int := integer(trunc(rand*real(2**(input_word_size-2)-1))); uniform(seed1, seed2, rand); y_int := integer(trunc(rand*real(2**(input_word_size-2)-1))); uniform(seed1, seed2, rand); z_int := integer(trunc(rand*real(2**(input_word_size-2)-1))); x <= std_logic_vector(to_signed(x_int, x'length)); -- rescale, quantize and convert y <= std_logic_vector(to_signed(y_int, y'length)); -- rescale, quantize and convert z <= std_logic_vector(to_signed(z_int, z'length)); -- rescale, quantize and convert wait until clk'event and clk='1'; end process; process(clk,rst,x,y,z) variable y_sgn,z_sgn,sum_ref_unsync : integer; begin if subtract_y = true then y_sgn := -1*to_integer(signed(y)); else y_sgn := to_integer(signed(y)); end if; if subtract_z = true then z_sgn := -1*to_integer(signed(z)); else z_sgn := to_integer(signed(z)); end if; sum_ref_unsync := to_integer(signed(x)) + y_sgn + z_sgn; if use_output_ff = false then sum_ref <= sum_ref_unsync; else if clk'event and clk='1' then sum_ref <= sum_ref_unsync; end if; end if; end process; process(clk,rst,sum_ref) begin end process; sum_dut <= to_integer(signed(sum)); process begin wait for 50 ns; loop wait until clk'event and clk='0'; assert (sum_dut = sum_ref) report "Test failure" severity failure; wait until clk'event and clk='1'; end loop; end process; end architecture;
library verilog; use verilog.vl_types.all; entity SSD1306_VHDLImplementation is port( CD : out vl_logic; CLKI : in vl_logic; RSTI : in vl_logic; CLKO : out vl_logic; DO : out vl_logic; CS : out vl_logic; RSTO : out vl_logic ); end SSD1306_VHDLImplementation;
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block ncUawuo3vR1ZycZF8xtqqfVI6gCrdI+PWd72xdzgvbKVjiUqedCWSUEBFuuQDLCwTlT4hYrqtcoA k+jkF6hUqA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block N3KVU8m7dp9m/o5klJahn6JrAp4dPvJ5px8Qjfdd/9teg+MgeqRSyR4a+nedbYovR1iG1M+OV4GZ eedyUHeQwlftb33WHTgiSQcQOeDYQHOhB1q+SjuhN26SLFWK3YFERu3kL1tM5w3W0nuFqj+bXHZu R4gQdtVWH/+OjyCytQw= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block ZuxsHcVs7eB3t+mMECRU+c4tWaV00xKC1y8JMSw6ZK4lGIrGd9iKbAKZ3Blwh1vsVCQb3NTC7N3r Y605Rnu1VKPlFpM556/vIzoPVRgcSvlo0qBj3oTSzlA5eJk5FVF3mP4v0RD6iY8xceU38ESPNbz9 tslYUbhOJVSsY7yCjCM7p+456bByCG6ed5+0nGONoXPAT0zF3Hxdnq8qgQDMjEIvOsaFSADZUSxL WwjD6WPmcry72t5+zgCtiIUOoGhbFWqTndKP66O5YJAWE6dVlP4zMLQZZAfmdfQyazOsgs1uciSH +eAOcN/r5BkNmFBVWZOF8biq4mt3PmniNwcfbg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block ygy9fvZbqToh8lhxP+oGEoqQi72mLbOonZqXDBQOfdz3oQWE3Hi1Zc2hfB1uR17TPoqAq2eJIm6k q8c0om7asQ06vgODSHayDyQ+hyxq53TnIlLVx1AtJPfm0kI21kep00Mfc/Dwi7Qyt/ia2tlS/tQw 4OktcMlj77AyGCR8zdc= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block mcqNli4YixoMqmYwzxOZ0byTQYAQvCZuCaZ7iJ4keY79GxqKVx5edvY5HqwqCRXfHCDzwy4qGKcN pXmE+CGNG2mMTGEfU6W2QQ+HDW5dsb4d7quBuFh6+SnA7XZEst6UjKRr26YyBGTL5qgiRLyYbkFW QKRK7TmdgdCAj37TPbTPR6zjrQ3PTlWUwzVToIPxndDd6Jgk0ZyBHqXveC/6PEihQuzGKgS5GKHX 85sYZQakcEpa7RtFdztUyxh1/Do/cjYhmERWgZJD9wSCPweFJCsvo6MP2JripEEkasaBYRqfxMPN DPHGfcHemBvMggmA1I4jVeD0GpW65Lo9IxE2YQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 69328) `protect data_block SzsPkdK/1I7crNTpc3RI4Zzo7EDlvi9ZmdqF3v/0mOM1nMfLWuVA5xM+ceSYhRiIoUv52p20oOr3 +cPj/EZvd58/OJUhWlYMurzRPuH/t5scT+ttvebEypym3cw62Mxp54aDbb8XdQaQ4v40uIvFOcXU 1Oee/B3GOidaLHMdKyMcBxCuxsp+v87qzFvNW4I43lzaT7pbWGxZdigKT8VYs/DLU7nQbqO6iRhO UGyMQOPYuqRH9zEPH/0p0vHbo+KJ7yQ6HF8rTmaHoaSlts3Vvzy5gmJ8pm8kJ2WyHuzToyZ7YrWh 1ZH3zbIo1VbiCtyvygxmPxuwD9EHCV1Y0d4lmGdJCItDclTuzLeDpdmQxpwXecyxvxSHYcT8rNDB pshQBBEI+cBa33B+weASypUzSS0tPQFFGwRUKUhArutmF0wNnqwTmCcBFdA2jBy56sZ1cW3wzBqP 3NNmXrADRR+XarHAjMrwLRpx3xHMsuC0hsuF1gLQQQ9Xpkcel4RXp0LRnWacb69ij5V03XdmC79f 5M+BJum2AQcUN/m6YVnzM+fxEhIOpPGCwEOWA7xOR1lSvusx57ZUfzpN8/cB3uB/8dq141zhuijR XcvcLfDvoJ/T8WW2jNQoLP1i4hHXXS6o/tJsOjENrdPLi+Z+MEHSzvQkVFyWBen6ri2hhVg0kljt ZS71ZhHsYrVhoN3k/5uFnWmDHxg6BLGDX0qIgDhV58ZFX9RAW9kopZjpMdCg0e07nJtO3nAEJR+l ITNJY9RPZBs1wWgl1K3mL2xgAS9q0fD8mmZNtv79tzcAPfkZhJ9tdojv1Wl/XsD7wfyVkGRyRCKu hm4bVmpCaFRUEhZJ44kPH8BVd50Krd5mbFttm8ztOkDtEH0kJJxd1FFFPOuCtFG3N7jnAps2ofGr fHwmDl1T8gKzRn8osbcGRRh/r1ANaB6kOk/Zdu7fcHi2wQgenwWj0aU/dtSdyHcyFuA+xbukS7Gz fOZb+/col2lgz1AIVVU6DxAcY/g1CymSCg8VOEJAKNM1CJZQ0WZ4VyTOBvudpRn7kdscugIq4QJu 1MKApGHLw3TH834I9RXSPdHhFowK9+VCQATkbZyM9/dxue4KJPPKYg9Pc9yG0QEqsPTLFA3eeBb5 oD8kx1VSn16MB5xz2U80jAchR4L1aLyOra0Y9nZg8+i6Fcs2VpMzngM9O6Tj9eJSXCv2UqjDwxcK Q9+efuajo3/WU1djteQvKvrj2/oRy45j1vGlXUIAqU/ZbU2FJvXu0D4pdZgJGEbEHAALs7sAzMtf 9MUVwzPwU2emkbB1OBtyvEnEFGzIy8JWMkyuIt6NQ0XVSe84ZPuD/Df502GAh2yJ44KE1l8YYvgo Hd7PPtgujH28yE4B9h4cFT2JYuXocWh3WUv29bkzjfHG6wTGR2IpTtUWqbtxiwc2v6F1IeR5h2a8 K/bvf/G0HeSpdQ89U9Z1Tmg4ljm2kZGhiH1JXMj9we+vQojZjwYcVQCFU0c+Hje2nLWtXpsDQMf8 /IMVw3aRYRES+dI8iM+3ed1jYwjaa2RlGIuMLn7eUegpmQ9roRqRrfyo7fFwJh6WHdodIckPpQvf 8GLgyfJmiJ54CKN/WjIA2025UR4RUuZ/m93mycBoebN8UCYE6n88Ggr0aCRLxxLxbbRn1Q2JN9xT rY1I/MAWY3m+N8smDk7zeuB8AwW7ToZDlU2D8nbXx+4VSVGLEikYA4RqmnWOPtymzHenIx1/zBc/ qMXxSYDFlHQpSUHMd5C4yRuMxpprv2MxtHyYnv8I+3zRDG+gz55NRUVW5DvIEmeLGRV1rD4e+G42 t9z9f5kEMKbULNVjl7gHuzhIdORYaYVDSPP/HEX0hsGNNIuKImGYhrEqIGCmq/5CC9pfXsWMZ/KV 7fImzMmJrACl1+K07Uw0n0UudKp/MKLjQxDYXwLsxHphjFi4foYBABt3A8Hp80NZNZQznFnv/eBI M0fd2MYuZ6lfldBVd66fz1TUxH/U4dzyPi0cpEuEv92pzIV/amRgjKE6UQpdkUXqaW0T5pFRalji KoXt4Kf/6nA1JhzkSXhA8ryV4zIeB/W2IFjskRe4iqUaHETIp0DtmnmR4AP5Ii7P5JppPANzfcwd Yy9esEkX5MGCcIS2Auypu/WONJ669YygC5k5X3OQV9Evp0T0yE/Bp4n5PpCw5eh9WVffHLykeetl uHgL3MkEiBjQKMMSx47COuifSBBcaFhXBHeASY7XZ2DhLdrIWP/Ym12PvhwJSKXgHgGHm//0NLpT 42gvIDXUlrJEw/9zKfO+VFC10zucXpFuE/QgRTSVz1lCr6fqz28C0Qtn2xspoOkEllgdwI5rQAXN bRDQORYCX2teCHgoL/GvXZVIO7Vkqc049qib8SPKt02s5rc2K9t4TmzhzPNKYsgipraDKLXMf0KY maH8MWovIUd7ZjWQXCERExW2+H3RzPon4DFQBRzgVuQTpOXBDYYMXTZCfXdCLU3Uq3eVHO7oQ9N5 EsWCEflI9IzBLlEF/y/YJSmhaQcsQYcN6By7mY9hg1YgLwcf3dUhSAOmMe7Azj36zdFGffN+Z0Ty VFqRbHzF7R8WEKI7Q+kMQ1lZQHbc7MF8Z5TbSQp1rsV1R2RzBp+ZVBSB3C2GAt8N9L7Xe0hS7SKj nt+/qAnvnNHk7EDjmap7ohATrESHrYYDEEYtPK1mgh/OB0DysFnBQBSEJbzFOrvDHaV/C3w2gZLo s5Rw8OuTP0hr4PiR7/N6vHOa/JgvVCXqiDX/mz5WH/7UtkMjPwKCbfOLKFHUj7e1PnD8vNlvVCD/ YOk7zf6LbemqwLNUYwN+hP07VxXKWZk02Bg6DQSeOTQZ1dieDCvLTaN4uTFkpp4wvDHbdCQLJaF/ XgD/+XPeTrtJJEBE3uiT6vHq1MaqTOUU/d4D2uq0r+K01j3/lhDWEtFGkrv4QcHS1M6nXV8pRAxw t456+FPk0r4XeslgjK/eoDy85YTvgcadfZIJn9oW48xkspDj+TRKBEfvYEK7PYttT92FrNEHhHJk 0kuH5HGXNxO725iKNLMIRvTO9s7X5cQ7vhIMvYAPP8HihkItsu6MLZSI1mLbisCzK0rE5gODmUwF nnty3fuH1t8YF41GA3gQrrqWwTXdSSl7+RYxozbpbhLH9gFTkhbo1ARb5hrJtkWm5T3O0YOUEoPC ETEeDd0mHukmhq9g/7ORnTNvD3/4ZukRcOKA8bFvKtqK1CMALi2bsizjQ7JOLSEqKmjwyWer1vcx eIQlbCQzLSpTWuKuaW+FKMrhXXmCq6NnH1e5WYbs6B9V9S2d6132y7QYa9h9EDZ+t+H+31cpfRkm /xDJqdkBFqZD4RYpzqaRmfaTXzZzqhjlClULx77nSixfQeYQEFVK5fhv14L+jLOmFxJQPxAgubsO LQyYSyAhlDLyhEojpT5F8QPkYRq15nlunwwxucLjSJbErxPqRl5r5kPrswE2NwmRR0TT/f/ZaLJl SmSktJ6R+jMk+bzRVfzn9ys0bORbwNztjvLlP0ELQiRV9qrGw5Hz1e2Ye4Gu13S9UQXxK7wPt/lp TNRZbZcoT57T43B6tdVKdDOeDt8AEmbxebROv3CE0gd6CsskCDrOaJ8lp0I+NxvYNlg49p5qffDz ErGdesoMTO80Mw9nXrEuCXq9iq8ScH/qfUZD6/jkxfn/LXbp24yTw3k13LpghPDl23MFSKtNjV26 dpzJTM1EgiMbuy2KMF5ctBj6O3YihBZOsYrMJrzQBIeijRAcpcXNai9GgWsc36PXvaPzahV5wZqa BNmfSaWScXNG9fV8AHRAaqBbjoZ9oPyzLVCALoFGnJcuYR1Ic341cCKSk3RYezCJI1DSkmKA18ZY pKy73PFL8+TGAqwB+lbIciKChC0ViAs06Ppr45mO5uxlsAPRaMcAmHhoZgFh+Razq8L82Vjb8tKM MeRbeOTefpx6YL+s8M+dW+HPh3lzT8wv7OlClovFPfFt7pJMGfz0jMWk9UftCykdv8JLGhPIsxon Olu9SlMifSeBnr23LcVsYocV/Ee+yhClH87AKsKUWVUIDX/agviFPNtYyOWlpDf2fwxx6HiXLgU5 0QcSWzVWli4XglqGiIrZbLYIScTXvj7PoAuUuDdATaJ7NHtfE1zkl3WgY5fsqSO8MflWwEqYEfUJ +c9GmQY+5FDST+6lBXjvURgJsghtjEC6ENsiOtKZk9wqITLQVCI6+4J09a7eov1R4ymg2JpdaALA z+hUjtRjImoURBlXvttJGWC6v2ITlDuj4l3/5+QOdxe70k7eQLcxj4B7yHCPYEkC2eWhWRMWMmds BhXq7VouOGrA2bXtELjnXoVImTQJBN4/zjZnDCSPfc2JJucnoXED3TRPgqEMR/hwHX2HTVoh0BEE Iwhx/65eMU6r1M4GytOdUIHzckyoS8LNMSOfrEPKha/cKal/s0JbW7bM8fCQ55SUu3Rekn/FtOB0 h6oWvS5F8y6kbr1dKw8jgI5NZKnWCRN8HHnFucjNeENl4GX8SPR3qewVI2ZOkLVl+clSwDchob7U c5loRWzb8UIFYzG45W1kJzB6njbuBkgHBuZtWk2yGHS7Y+bxKdC0gZSb6dj6EfhWTwDs1eBJCzzb s1A221XNM+XcsHOm6XEIuVzK8vpvZ4rgSGqfTo2Dvb2U0c+44i7NTXxFjF0KfiB/FeOSdBqId8/o HEFffV14llmpgyy7O3/CFJlhOhYdMK7+8zuC9koDpw6zqbC1TOx4+9OqyxBWQETz65/1nSh7eoq3 /pxknZxEsJQx1jbdfU2Zq3JOzOAy/s3wmvqTZAidPXcpCwBaOu/ewh3O7Ro37ypQ502Dpsn7zQMW hoW3wYUfFqapXusSebtDW2VE9rloVHidF0+tkxMx/ps20uw6Fx8dyroMUbhiVfzUX2WT3wbVCNC8 jax4np+J3YoKkYbAOzY+CJsH/UWd0fh9TW6ONVqn9MtBwGaIe1kd9PnYTgaTnKnQ/Gjie54RtCwM LVO5n5qDzmef1RiFXlZdH3JAv4UDp40CeoMeY6VeBhkJMyndtgrwmKHyFGEV5b/i7CVOUiQdSoH6 487y/rxDJCnmiPHvL3amD/iI3OQlhaPgBwmyeLMspFHyOQ29QY97Tx1T76b7OWXkuvhnlTUBNP2n XFn2Z4jin7eglBKTmAHIf9bt0VIbTPzeVS0DBTgHQhtYca0sT9VqOT+c4pMGb+MN2Q2o76Xf4bug TVgRvqMjfFuyjdWMQAchk5B72elD3aiFP9MjkfVtW12FbWQ3CQ4gmP3/KSA8fiOp84YAmEVXaiLl l9xgjHrucFdfJVIZBelI/zTg+5pRh2bOPkDS1Bf3aLoBd9Cf3w8ERKNqvlP5rWsfgehBqdfkWJOv ru7bM1HuAUzZhf+tqYFixJWcBaeAckRM8XjTF8YvSpiSBjyrZNIae44w0GjChspFYw8kJvTo06In p79R4ZOYcElATQFHEdYvVHkLYWd16QOtilCJ9Mr2Q1JcjoY9yvMz/XDce9rAC9i7yXP00KzEfYHJ 3ehQWb3AtGwOvWA5Jv9ad+DenSISffPjiq+SgUl3a+JAmnKvYWuOKm6xnj0n+eb1QQf8i7oZ9RDh hZPy5ulrxLKuzK+7SzwMLUg+ACpw8P0SyiEapVewXcVcaQr7u36RPyIdIey2M7u2zIiP53/UEB6S jvXEnxpK7LZ9nvNMXXSdAHdxl9bXByUQOmKiWwYn0T80Tv1p6kyk/w7JidXnEc/V0+RGZTArDGQc Q27wM4nqHXjWz7fSkWfrdD9lvZ1BGrTEIFgWmhQ/OWqTqw4dLO3+d8PuD3Nk/Knfq/flzwV/mccc 6GoGfHZr/k3nGdn+hBICpo0JAJ6Rb1KEiS8a+u7Xy2wF4cT6Gtq9v5Tu6fIIFuv8XLwqgh9G+EJg 4Og27wAZUTxCzx4OxMmHkWiYewuUTIs0UK7gEtqaBGxIaAWfplBEUZLR6+5q98gFm5PZ+q43y0GO Bl96+sr5mA94TvkOI+hrHPWY84yUq5XgWytSE//hz7TFWbFl6Zy2zhPQ1UAGNMkeynsiLm4GFmhK QaRaQdORjAuuEryNVacsDTNL32TMw5uv7QMt7Qo4PgfZNRx+3vF1NCX/FSSEibVGpNQ2gMWRZ5uP 7KwMP8o+XJU9S3IQfg8d5EUmpwn0C/LbXVGffvYMn/HLaLBlHL/QYPgXYrBx5yTKiwDRx9r1UPAo 5hetK/8GD77dfsWYF698UFb8H1gzJCa7ey7RztVVVFiusKjXpj907oX4KTKnpPTcNbX55MqqMpo8 E97MhSUzs0Wl6zebSTGOUAjxodbYr4DTuE+buhzaIiH8uyv7AqL4LgW6j5PGzZOhlWGHT+T0WBnv ii2D2mmeZ6lBidO4+wrO74NgVMnia6LOG5h2uZ5YiyFdoBWlZI8NV1fQ3Fy/lb5RmwmCTC71BSmh ouJ/H8vQrGe1dHYiZLhsqtOreLOMUf6ujMLsGlHTe0CidFLiT4OcOBGGXEMB48Ju/XK8NzahTUDp LkbdddpbebhCl/rqFqf6NDP8cAHvRVRlJA3QwhagjWGJDpOKEqJtRnvf27nU31gP8CcH8y1ytyhb nF2q0gvPguTj/Zi6mEBcqph1dkymsGha6QiFsk7qHW+R3tcCafHAvQmTJ88ER91A1qTjiBaWVQsU st3zKSIZoZhDIHmrcFpCmriq7Va6sCp/WCGHRC0pbpoCOA2KZGXSqtWlfHeWh1tplolqVR6SBAr5 oPEtFednabiSeHkD4RzPqGJdcixZETFtxHK7pumGwQIbotG8LRHGcYBL5nPob+SufzcMo3yTkmX/ uVKB/tUzL4uUwQ98jzjCQnJwMljRs4S7C6S4v4DnFu/i0My/oj1TRdw4f7BsO+22+WHbJ8LMNG8m 5yyim++YCJU3Jh9SSgZ+GqEmm9AzMwS3zZJoPKZCHmwarLwWmVFMvYHaAKEflXcSs3TZrOou/SUq U1+wO4nqxXZuH4iVR7ivVcgHnr9y+0BzXPz3z97T/PW3UO2BqGB8QwokiuVX3fGwxqejIHYUeuUf SK7kwpBcwa81zpeRVKpaDxSMPtlnL2oKLKWwgjGOj2Wso1zCGfpf1oLDeiJ220Zj0KSNYWaGt97K hOrO3m3NvJWLEIXJvpWzgR4Mq46OldDSb7tAI5EUa8zAWf4BCcjs8H97pTTCFZvVD3lUCjsJgawQ UMzMY4xS8mDvB0XNlOgh6rnyzAqam7yafmY9FDZ3/yKMM6m1cKU6CvEJ6oSlMwO/qZdwi3s5ORfV rLRa1o+fMDbdb3MjSSjfkl8bgYjQainh0kvsSQV8JrtkfF4D6jr1Sq0DvbeAYLMtZbdAPpZ+WubB BABYB6AAW865vZPds587qT/w7S0z8RssvzqwgaYXZxk5qUjo6q5UMA0KYDDXXqzOMLNaEPzb2XW5 KOHeY5nLr5AeNDIafO29C14afrW3TrCrRdlv3M/iIYbTEpK29blRdEip5Q/wVxzEeudf6M4yCO0Q 7EHpcsrKl8v7SICusG5ewQu7AfBCcPOMzjOFV3rrR5K7x6IZg9y2JD+s/nmZMnCc5lvB0QY8/s01 bOgLIOu4GfVK/uqdm6swbObT78wIsHhI0bn8C1wfzhx/jfC3/UO3J1L98HfvEvKULJVIzDxxYLoV BTX/THPokHYEDTTdkmj+NJyomk1ATri/+l6xzTEPnjfiHMCccgSv2aYG8dWF7xbRtxoqm3wXGIdT lYb7ZZwGmOPz4X2CigYUrTYK1aZ2b0Gtsi7cxlFLVM9fw4fnPw9Jdnq5n0kSA2emiYFKxrb9nPiI P4ITsHtrkMdW0XS4XKeClCfZV/m12YpdTUrGBuY6woNrOhn/c4JUSeNvWErBmXiV1p3o2/6sXgBU fI8mtnlU+YgQFO6ROFDvKWb7ismvgbTmGjMd9pYKf9D4YzNE4n7lkwYpWIM5KmFeSWs2mG6apkGh SUq2hRa9BRsXNuu9t6mnGOxAoe8t0vXNZDdrw8poytgALFtkBl8/+GLxN3dkuvs4wEjEudMz7fE7 SoTYyd7MWAmXAEN1E59BGwI3SMgqN6XAIPHe1376blpPBT0OfxXOpHBrX8+LDbIs0qoTKVc/0b/3 8KS9CbMnh1KRr3XWyU0gCpbT9qnGU/m6rvlpNJPHi4XiEygTk4zoKQP3/nqewxRFy5/v1yhbQmvK CeAMllnL7m8SVMqwBTCIYEgw+N3H/JwvFiatu4Grq0gRQI5Dttb4/NYRKQytNRklJ1HrccZMej5n GiV26Mst01u0JgNbc4yVcc+cU72zHsKbuaoimh3NFFwBCbGLLO+1epzJWtDw5l4gKng8PvuFkuEC EsNYwjlVYk3ZPCzpJI2hxNKPFPzBurF+zn11zDEqTfl0f7LUUv2Qp6TjiEf+oxqIWRuPcqOV259r 9Y/h39A5/RCU5cCMrJWteYi75v4PmFvKDk2phc6MLflS3QdKwiv1C22qeG7B+O3CWT2HQ3ic/VyX 2ewUkqNuSurGqR+EhBoSy48dLvcY0M2HiLfB3HeQYHV/A6bsXNubvHr6GC22hT/S8e12BGuIfe67 32yY2BLUUG0uPNRBBiqTakfMpKFDbsXi3uwta9iBe1VG0AonY7UH8jFyCLzphhcn+oUa/E6klT08 R0pn0jbVAftb2iubL/SQmsnRXy8rGOv749dh5Xo/zCGXldkcQ84026dmREfSTpPen5BrNS39ARBr CjRsvhG40J13qwF/ja0zE+6yQ16M4NjMl9JA3NHc8doaJkEOq30ZSgUGCx4gIRHRKsgODJ+qmT3z 9LxsZK2N82ALqhRLoj48Kax7+ATpKWbeVqTfHGyXGfGfm/2vU6C9ORJPD9eVdFk6YkwyyRACvfjf 0hUCdDaAsJqhDN7lDKojhUZ5qt/b/YODvsGoxRy2lfIB4OvnmlBfswPAj4P/4SZ3cR7xA3kh561H bG2KbFd9X5qN6SJX+5ukb6YZyTlFX7/eptbv+etklJe0799sMPStujMgcer+rWOelCKg3vJnICDK 5WsFTHfn1QgYMe8ynbmZZK1tVYYpbAjzP7k8xt1P1HajO6H7BfaB2dwsv1MsyTZS+USCGxW1auxS udx9IWjmtkLzcivquzIotwzWAeVr0djuS1i7U3PQxHTrbw6arvIRS58Q3+xtKUdEI9MYbY9MwHeL 5R1Dl4CLlPmz6zqqfBXERgeC6Le1GDhQF9WfKv0PsNtdQduPVvJe5kw4lNtjeRLIEAxdsMTssya4 yldF7fJrv54vgmtzj9pjtb0qhTox6itcL/yU7KCJqoGILkdwL9C3GgP7t8/aOjTjOe4P9bpnCa/V zLC2whDqrmdZJsjSD3Oac5q8rsq00KkxBxxxFKa7wOslZl66JxHIyaqowoITfVFH5VeeKsK/eNl7 /q/ddff4cHXpM2+dwy4Py3TGK5Gi0HJer1Dq4TRbKh3rrAA8TQfi1m4x61lhtLqXcOGnDaPw6wA1 ogoAJ8ZmAcuzK1keArQOAWRxNWTyHFCIiuC2bI97rhtI9OEWGTWlZTs/i9EczwnK/X24HRpEjT/h QxF+r35cBOGO0AHUI26KXsa7MTx28JYZDJQ3McfECt9vnV2x9W6B4gnksoSZcvFh8vcO9/4Iuzxy bTBcsnMSQ3N9rG/UzxxRMUvHgcgu7SzcT7thF82jQ9dAjM1bx6XP5vATVhLSZI7rZNYwXo0o9Uzp V1YfsHpTk9rixibiC/LwKoNFOAyKTfwifKJe9dqxAd+0Gu+lRyCwdabjTEjB3LVTLHqnSuzpXSUW yZBVwJH1sMZlpbKOmgtQUBZGqdc5GTz6vqa4K/pY6kHQvNgLE4yaLzvPGQJNZHzLez28VdCQvazL yNgj8XJfQ3Ope7vGk61BCcOuWFrU14qR5HlHXsnDn3KTMin4dfbW4R20lYMmpREqWfUuu0TfzOmQ D3QbWzuLAY/ASkEyGB7l1AeLz49opNNW3QaESRCA/SxyMBWmnR2wgVPevHadPITLjRdFgrUGAN7s WZOottKqpTIE9rrY7GPGWZmNDru/pSjgz+v1MqV7qebnB4pjSy6ZErTzKBvXIVbC39U4tY7X8mER JJFjPmoz6h8S48Ejr7osi/wKuuKrlsdSWSUbi9kAnKuw1BNlSaHImBg8GWXenAIDROdVAdoLjDuK IdBT05pHEdfZvE0kVdfAZAWXICs5ukxZ83BbzRf4tCgj7WM+8GAiVnUhBs/HyHMpSGWjPsSVVwHP pVNTyLroiGtUt4nKZeiPCrSTD6eM3n6ktTqTpU/DFM9cAH7/p5QX8d3Lck6/2OwmksDx73nxreOe 4nrLQYiOW9nFs/ZyPXsnj0eMSYrK4oRdrO8VNIhwP2ojC3WtZcvsgy2hpUL4hISMMA+VSPk8HBSy URLgAC3tMDDrIfvh1J3IUAD2Z/PRia9NcsRdcQxSA+CQdWo7Yw7FSQs3+DhuOVWNCCdsXADvuFjs E01pGCU1FhQM2Y8UVA0VU94CDL96JtiXzag5emBqzz/5icYQaIzHCilkH1FtStqGXWIrJI6beeWB G5HJSkSw5v7JL40bP32fayyXxUrUY6/L6fqeD+vjaKrbXGQjdaMPgBzq/oYTLMsyt4R8wCya7czZ odDr8o4EBgC7UvRATmzx1xSfZBWqyIFVC/TKZTFsXbAy9mkdHvnRJVrfE9WsM7AnE0pD5vwlU7N4 6XdgACb7VHne3KbUN6UkMTEld0kV+ekxZxliV8lGj8nrgN2XF2WYgKzoGP48W1u55Uj03D+H0eDT yjNmc+Sb0+T2vFbwGmAhav3hiX5dhwUvKSC2CHo0XJymaxRnvOSf/YFqLeSEJX5zw13XZM0QIciG i6Ba9hqXHA8eGUckajctPoP3sm9uy5RGkRcIuVAeB/cIFtYiSauiteavMj5+rvRxOs6M8Edh9kmp OyJ2MiR1VKVHQV4zbfuJHCOx0/xvwW31gWbQD4vRqF+u3MKsdZiJYmARRsSWXK7HcfYV/vIzgCch htSNshYMl8wPuNFZ8TThy9U9LTt3OxnYqXTKR1s1licCRt6lf5KXlBABwj+nchgz0SSh4i629cFb 4jjepDfzu4DD6Q/w1CJzNFqkYIkYLvk6canc6CtjvmnNGWpFvGuZDO4bIamcIM/mzy7/TKPggGOX /vuWHudrhPGMcAw9H+ExJKoMZyGSCrPwTCJtEWyel/YjmoXEGzOprPAUyrr6hc9B9GGoYhyOC7hz HrYF6Ck314tGqagGz4M/n6zrbzE6jc6P0eSS/u1txxHuDFrfcU91nQeU8jD4BfElYSp+ByDJLvsK MTFObTVN23T4+m1qcv23llm9/9F/nWM0CNMmZDk1bB2u3FO1/hXH0e3t+Y9iKxtgLFlkPue0Pw13 6ASe1Pl2Mf13krXRLViT/v2QGF64V2I0BWNnD5ES/rZoALMYXNUA24iabVZkvyqZN9HdiEs8TC/R kun/q/iTOQ2ybtyRHC5443uAKvMSPppeYXIib8z6RjbjXYK+d8t9Odih9ADqhZf/4CO4JHUeDIL9 E+kU9NARxswCjuSz/PKaXBdS62VTHmWDLHwX8BrccfkcoWmfmBcraZZesreLWfv6V4Wboa/LRCBA HdE8kUrrPqVtNBTnBGoO2ANzHGH2y00lP4oUj4g0jBQoCUyXgfAZd5AhP/TraLrgJAwTnEpNXINE nX2RBu9WKww/ZsBWSaOwM/reu6+B3zDYBD/PWojaLBVKO0sRwveOP6w/mTlCngOA36/mt+XdDuLe /3ZsOT2qE/E2PaxRJTs0VFXCpoMr8Y3FeqvUZZO1YdZco5pMYNkOJLfRckTtCNfcXtsudNL0cVJI lnZQj21Lw1ajn897ttf8XUUtyaqKcAJN0EKZ9gpZYMqEzqS9VuGvP4lXY8hrav24mFK7623L0HmX O6jObYwiZ082R6d2ZUiE+MFZ6uyuVkssCAAsIf6GS5dO7VvvDUh0WSqi3wuzp0QO9j382WEH14Qu bSii7cHido91llVQCU5pyrzwL0TYxlNt0Oxm6F1IXXEHHMxGAsPLebkyL5FTHgPhh3qnGb9NFP7r oJu5Wz3fqL8It7pezLaRbI86XCjR7BUeqt/YnAHT5o/RQ6ss8F2/Rc/I/Ef23BotuyKTLhL5eSQ8 n1hBWsB0pUnlbAW1a5Pu0QQ5QF25oEXDV3vaoSQ4gN773AEGljDqb39hwrGf89ysHOD0s5DfzZZn 6YpvZczC+CVPoWqiexAaIGpCb32pDG4Lwsu8O6l6iMb/SjLlX+EDbBfqVgIKSb/wr4Bn9TewUgCK AyxZv4oL3rqbyswbbTC7JzB+3KetIIxmZyyR2MqKp2ZSJLMOQa2wOg43eSBQKWLXCQUgFTUwmL9V 0hrBJkAF/TEJVeCbLBoIJs3VsIzU1fKdscJCwEBkL64BcLjf88NWL7/DgBuY56EcNuSbq0YpUNob TqaRvvDy6obkPB++tl8MEUvQ7HqNYwaABxdXF+2AQn0qHhwdmVhUBl5ZljGsIbCdpEGaNIoK5rPY cDZKPY3JKYZ7/EB1EBuwYEuOlSdtkAIJwyVNSbuwFIgHwWBLqUeS9CUylZC7A23F720SPKsq0kb4 hFZNpTBmpVGAe6Zg7eEDXh/47q9ug5bFQ6O1Rzb0lU8L9Xef1R9zyKwlv78SdnMcSyUBW2CFgw58 G6041qyCZSeP+yOSbPtRxRYAyxaK9Q+91X05AoFrBhLwjX9zwOx2Og3kfBYAoGxuYtoivuuEyb4O E+QCdrFUYg/IDzfnH0Y8OhhwMN3z3ZXLhns3O+XmnY6S/UiwWzFO48IVoJl5nzG19i5f2sPZxJyK JDv27e4D5G9lzIqdEI7Pr1gw4Z0NNoEjnYH/YnqdHpIuyc2l0dn18+xGladMBxhZBXvBd+OdC5Nr dVyrrCo73rchyxyNQOgNaDt7NCuBHnjbA5woNLdQXnITWc2inmOX1LcoV5hdu3Fu9ipri+awHgdv Gh/M/XK9tFXe9e/Czc3zft3n/QS5WOZG6+qRC+5AfUVygXbLMFITz8V0o4RS0ud8TW/EZRYv99un UrTWjWmI5QvZk1jDa+qqHxpQtcoW1HwACJN7VMGNQfp1AvnfTYjvEKmpqyCPVC1zyZTOv0LgDCkH GqKsLUdlhnG152OlXF0uRjRpQtzmekLuJXUIuzhoj7cOya/BSVzFTCnLyyOdU3m+KIzl0lc9PQkM 6aVp+L+cBVdT67Z4YuiVh6JyVjjMUjsMDdk+C6+lA1dbge1MXZP7wW6XWwaiPnkj4n5Oh2x/eBGA /WCLduN+nw1UyvmJ19VS4f8Oj5S9iFtE2tWPmQ/XmFwUhf/9w48viTcwM8u8Riih7/5YXAcvOp84 tyJEOPwaA2KuaBN2YDGaoYVZVIgDFz5y5NYiUnNgfnhLmkp9Qzw8lvmbabAhjJUEhC23cEnUDh9k GpYX0w60160cQHKO/Nqtr/gZAT1mUGCEGevlLG29B9iw4dB9RlQK+Pa0RmMHUDgGEEdbpKMIlMP6 bjzNhcwctnE/bQyBDyeqRwE+DQ4oBiB7zTGUquKCVDVHiTX/lhlu66qSpD9gflA7tsotYOQKnydW CDK4FlXb1AgjGQO7llYC6otfF61WMSwu9h+WGZYXZlua7fn2IjIOLiOz5GTGMqePkV/5qpLOvp73 lbuZP7xVR460EqK7ziLg7UmHcTntfmWUW+slmpBQzv6KPQrToHJOVz5HPw2AXJXJCFuF9M5ZEjD1 zVxVPb5B/iOZXdyFPZRHC+6bGInQBrOO/LGYIYyVsXiq9RXvrK9EQSHpbcurpgyqSlwKq6wPIOPg c4mk2rvhffgtfMVtYWz3FFOC1hWd0qwC7IcN8iGcIT2NuiQWiG2c05ALLraQskCOBzSpMuyV2IKq zALcRGBreCUX16q2axIpvyIhmrRdBnbyxF3t3l9Q5PVL5GRgyZ8Q6ucEvGhv/mX3KMrf7Sk1YnMQ 5q14Mcb9gDixv9YLp5zQZ01yCFSLZU+dpUDQ6jkAVGqLGJIqyqEO2DAO3gUjfpQs5ot8/aZiJwO5 PwL0/IK0TVgkywyVMtxV5uph9mHY7202bSKyhb75z8eatgK1y7tS/jGH9pLz1oyrROH6TYt48gGW l+OaDUQSixNOEg5ra/8PlHFq3tHfiEmX2pOyaS4DqJ1HnkuGhRjMr5e1Jvnly+ZnhFqafaQubSU9 77iPmuVTl/VpKSi54pWjAB9fnMu8a+w1wzE5hllTLmEnVCw9OzGn0VUO+jMvQ8M7qJS5GhQWwejj NsyltW2rwaekwUzbJhsYlOrwmBX4czsc7b+KLnEZrloZGQ+SXpdDdNDlK/SmHVV7CRVfmotOeUXg eQIsOaEuZGRle5ahsU3fsLTqPe6KO//SIZgsQiWrTQboulOzaOvtpni3XWQt2c6hbwBSa2oP+Wzq 8UNM8/pCY6WYxbitKK4wdr+PBvMbJFZfDP+A3lanmEoDS6BjEEFt+lsB1hG1AulDuDpN9ilYLGqT BIGKFXL+4/joUvoochRUUD7LmfRoBh2LbTo7KXL2QHymrWTFgbzXaVZg1/xKzGN6AaXcuh8StCGA a+zu/hL6bqLItLUlC2bg2OfZZIwoYsL0UrzIvtOYEwb/AcGaOvsUOv5+2mDIbdENzSi1d05cFmUf BRkrzNulr/IV0pNG9qHJrLZf6OcWZ2e0WP2EbRlYOYQaqEU0xYhEIZ7QEldYAyMEybpUsVxq9iCN TaFf/ZRxkKvy5BEdv8O7BWz9uqlAWTIoRjeD9Ue2ooQiEUefOZ5m0NYj8q9xuicH6P2Ol6JwgVsj yDwYCcgIjPx725xHnOFpkg8TKtbUKZX2ft3sR5EljK9k4LN+95LvePMjvg3MZu/n3PyeHlbkjJAi yxFiOLc/m3zTL4FR44y/sO7NtWJpmRfMKsLs7Dqe9EF3sKaxDAm1abPLCii0ECaKPcFsUMC3ALVi A28GLDmif9XSJ2QOa0Fv3KX3e0IV1q0Qhf/QX4cnhx1VzX8vyXZ+t6ukBqqV4kfXim3RFpIKBcWc HmySucnAyQrcjvn+qDlsNdAfprHGsJnl2Ib6Y3NLF6taUcxWkYlHExaUpY1KW+qjVGiuoKw0cpcT KGQBoRq4C+I/lYMMozCynr2bmE3P2hMHZWc1XsFw6WIcr9EBjtCY2j3ySetRwnZ74U9peyt1gpQ5 BJ97Ipa+Qj7DRF5ozE1Ccn9SjFpP32/HNklRQill2m6Yl7taUyEOewExUCzi2arNIQDFsY/a3sf2 OieNeAIAvbbBcF8GJaAR2uAPoqiSeyHqanv6oko4bxx8BU/ZVh3tHDCWo6j1nMJn82eL5LwR/6OE 5RHJ4nB0NZTkrvTu/D3VV9wSJRv4nQAFkY7Rcd6V4ApHTVX0AnqeNU7NaviIbQXHdzTfo27DvJ32 rnTJsUvI7gthOi3qxzWTVpIYT1cutUdtnvWVDF3uj7fS48wjFfqJ+/QYOTeVBHGZU36mhw0KGkLs 3Efsgs0VMTbh9PqlHVd/OFf06tVgrIMm9/4n0GW8yttW65aP8uvQ7199/lLVW1AWjwJsbiqef8Uc ewwJ4vVXfPUnOwistIVrzS6Yxmf/wuNBRtfSloZvxUjN7D0jmzpBxwuUqmf72LliEvBr5YW4KXfm rZ1JjqfVSBAvXdENXPGXauDzDjVIgIJUEKttuobn4WymfqVuyQIWwiNhSRw20yOLLuKPjdRMXOmI r2LDvGRP93uDsjFP/q32mLsXbleyPhqplDZljZm1MHdbt/7iu9YQWoIocc/5mxUYn+QqSCk4pAvc xDgMpqADZ5cNcagnEG4I6w64waID3knc9d5rQ4m0UsS3uXmuaBl0nWDqR0rHgm8OdR8wJogAup3b tK2kyK8snOhGn6VgR7ilOmjWlYSa8j4IBHV8kr04cf3QqeY97Jc+gFMm+UspnikklhbZQHdzydRb +HDwx8ynxTWhwnew7JrysSUvJAbUpQMcXSWWY9L79SsN7uqCtQh8YRpjRCCpNPIRj56rdHb9tOrc 1JoBQu8Xz04hZ0kiwkwmioiNfbN03NHDiTdS+n/wkutP4uBQ9SloYfwB8qxo3dQlujfMZKV5eEix i64De7vjfpUxPIeKBQlVlWSl9rXrnIb1fOTSt7N3jqOyIVRRQRI7x+4Y88nmkLJ8mLK7ETSp11pE VWVA3x1f4go5ETXNScuFqDFFO8s4CUCAHbDulOSQeNF5zs88natifJObBI5iPSqqVKquvyRWo92f py9hceUlzwZnXzlQFQ6E4rxbcffdzdgYDoc7aV39uFZTQ96QSMntusxhiUEv0NKcaIZ338nWmawi 6X4FUxS2MzVCId18kiHfisKqCazcHTma57xz+55buo9P+lQwBMgoeY0rjtUwRlYhJjfD9HTKu42D z26+QgApYYBWH/h2aY1zElTRlrZa7qZYw/aEITtcVqvwqwudvzN/BUENAH6GQK28TnBAi49oPib+ z/ZM51GnFi4Mt3j37VW5X4MIEOhz8PKv5Y3dFMcA1RCic3QNrvL4nglFXpzNidzL0iaEFa8TGetm B4TzRMaRTcKhOi8j6un7m0xpNadFQ4LpASzsIi1isf8pRfqm3DFG7pLSlCedNRCBnGMF3BDQqmuF UKS4spCpEz5MHuNaS+cnJ70uM28pgr2KxtfQabY7bfR5bEWcT7+T/Qij2cxlPPgwVFbPq2DyzMhf YHj64SgtmH60Np14h4LmoceIHWdpbQkMfD9kcgPcDxvG+ffkj5NtwfD97it0toDSMA4HyV/ecI4O D194wZssPA5+EU3VvjRscS8zo0RCJBUNF6/I6yqWmXiaqMAeXrKI1J1ugAoEMk4uBK471VeszGkr 7NlqW5m67HkSggVy7y9Fv1nh/rFy7VYhJrVDMzRv9DJcTlLz9zSEtQN01P+SAcUtwoimiPHhwdwC QEw8Sd8sLQ+Dy69OkSOTdUOJvo/VkB9wQrCw+OgbM21bDaToALAhPWDDVxNK7t23Mq46SoRRZkMM Y1LyMM+JwEHrgMBKYzLkbZ1wV8NxWxmBadaLeQ12YFDlZgg37RAMHX3unHJu/f2WTJTBY7P/cp1X rSnAlq2wo4TscdfTJNxU283ijnNRjnUVOgpGqc/BQGs2/LJV2ACJwD5QIIuT36IXk6px4fEHfSk/ DtqroZY9VOwsHMDZv7gDIvFe0yWhlWRPPA8ykeZcZrELQ93O5v8Rartcpua/99BXHTqV/kvCif1H ++gaMoelktEIm8GslZeBbSky+xASyihJ/h8gu9MQ+V6y+HCBfwphjGA2d9w9JDeygeZxvbGGQqXP Vwd5Q78MFBKlkTqe+og0vdCMfcM/b8hLaYsbycTxOn0l8mHefly7iliS3C5AE5oIb5Ed2xO23QPA GzdVUAknDrFj2GPhMzx8WBjTxCZ5Ap08iZi1aY9LVEXVZaUYfj0HLRAQKDV87GIB94q77i0vVJS1 d9b4qR4nMZBmZcFjAPuJbeMRpJM6ZOZhVhBTD+v4tGHy+4SCPeV2J8//+qmFlYjBvSLl1SwTof5a DhwqNg1o28OZRlkzRFimZ8ttUzqQlCJVf1p9ZdB2TWXlqe9xb9r0SaBPUbHF6NeI986hNdnwp0+d pLqc+tUvQktra7SvyCsu5CGHrklIM1r+TOykT6GKip8FA/JZIOOYPuyKmpHMaTfUYgDIgugpGgDe QmVDWVKCWxwmdbDKe/glgckrAKu/YmLQiM7ZqL/qAa0gpKq6HPCFAsXEeiUsggBPsgznQKgyX6sM Ycc8h4KPLcqr5lblW4s1mYlEgpvBeJ4ADxhD0bjWRLNRfJ4k8TDnOSgxL1dPL5wZgnf9LVhUTN2R QExfU6fshawYmNE6XZUEl6rOKileie8DZcAMmlBkMLcyzoq9ifoimIz3i6YD1dBuA8PLjyNgBNYp 8fYB/Y7XnR9OjZ93LnymwICZx5KlFx/V8JHW2BojwyBzU5lGjlILPu+8y9ajwtmoo+ppo3/yCB4c rIwesXYQF7LDMtvJYbyMRYyuVKk278L4mCnNmtkQe9NYkdglztpwfow0T5F8RgciiiLE557mLm4S rBa4K9ZOOPEua63hW8Ty5O4U490goeaoTyjiKwZEKBnTqslB+xz9yJCMLdAAmyRIl1xYNoB9Uc/u uIoSRmHebXlpRMGz9M+am6iNw5eKDmxZZd5nABJCkP5Gq+Ds2AiFwFIxb4dPwzou30ctiaIFxiwq FrpfpA2Qdn+46eb+R7rCdWhXM1jD0fb7ZD6mMkd8j7Cf4zNsqi9CUZfhqu7BrHiDoFK0vkXqrqRx JZSxRBdpq5V2y3mz6LXwP77dFHMseE1LeY8ei3e5u2jzxUsH3NGFcaF4+EoKFIbk9+/T8oBolHFb C3Fi85qinGLVIapPSez45Ff1ZoSvu5flGYsUN1QtiVcBTVaCTxajOL96JO1Keaso+I+Sk8E6YJco Xxtzzen571a9X++JaumOMKcy9AQJpSJE1PuPiBIXaccyK7Z+NJy6ptgu7kzDYWqZVEqp75jLKstF D3465baAfBZL0OqSEVD6gfkWu23iYnCdnYcXMFCAOJWBoEsGp6SXgvsHav1Ybd1ZhbGac1f7QiQr nXjNHH3lMMpiNyhSnqZ7hjqkLzOsPHWFKI9Ep20NBRbwXoLx4OvJVs0Y1lTPW2nuR5CdcsCJAaXX t/A/RZS3Xzz8ddYfScWwcSqGY1X4VOGHR1dC3vDQ8mUtPw0caOP4Lq6EWOdHICdIV0DDCvlzZH08 iarxUc8pjB7lrz7Nq6QL2jDl25UuK0uwZar8b1dcKWDhtvxn5IGcIxm8bG4d8g3y1EvnvrdIqk/p hlhPM6j4ZLgvBS/mO7WWzqgGQsKirw70bY2PyEoS/BWVfwNlzGxJ7sYWOA2ir159ksBay2j3OUlG NMvhbggI1PomkPqtpswz8B4YHmO72JW9T8I0NwLt6uW1GuDzq3sVMgqs1CX34i7FHs+209eVDmpI LsXR9nhO1VicHB4mYLTqeTNQ9PVHzeKNKHZbwUD9Eh+BS4Tn1XtNKXdOd8OPvH8yvFHlPYPhh0ie lzr90kA6UyKwlLI5R0xj/aULkFdWdSL+hVbn3Jp8aS52tQ0XZLnHUPWgV0DD6Zop+2vmFGKLJ6gW 7j7b54Itzc6H7LFJUeW/ggP32+rhvkRU0zZy7zQlHOj20IWMayJ2Hu7C3RsT/hK9AP27kQxz+4Pc 40psHu73XiiSQ3U8WYd9AAL1Gmzl04n2ZFQpAYi24oOi4UhncfQKVxInEqJIkEChMHmoGq7ajtoD iVxDH6oZYlBEroJaZcpqFvFLMqBCHaod4Xg6wRe2uIjSIdbARumILk5yquKhy0E68hwzHT6CQLNT i7VVDrXFBBTaEzN4Eq9KBA/mi4E+v1IvQ9b/QSWYbw35TNCB187T4ZuE+zMObt+wq40JELIRo4f4 72SIKVIm/oxj8jjA6mS6TF2K5tVY5yQdGpO3NtAuzLhkYtmpkt5/oLsMZ+2HUa1DnsvVoYA1UQYW GzLYrKhimrP9PFwCsJ2VKF68mmmQ1WWyuqEheXl8QrnwMj/Sufz0rVR1i/HLseFEpYn8wf3AhRH0 wdAM2EKSPmllN8hCjsZu4o5csFy71GJKEzE+sXdQF0iThpA5InE7XTQbKJ2CO+084XkM8PxV6OiR xE9CDtTL4Tc4Z1U53z/fxUo/cHunZ19+Z2jyI4j5b8WCHmmix/dp8/EVZwlOEgAy0EAqsHlQ9xtc 6Tst85Hyx/1vezHz0b64l9Xqmw8JrMb9E0xhjaNBeOSrEzAyHa49N0rfgp36SzEBPVETbk/f0zTV xazkUKVYhS82YlXNX2BIqZ1fB0vgJhDVEQmN0ZbF3XpGahFAC+7a4Y+0/Gd/Ic5XbFpS6BbZ6pSp V27+ZqxvC9TLYDWVpdFdl2+4++aLz6PVKNxAVIDL6WUYFtq3DIKGhV/BJDGsuycqp2iWGiIXNMUn +N6gDOcNxLuboz0LzyWwSQvzflB6+FUCj6kOWSoJkGTUWjFq0UqOOX1QdCUCK9mb1CCfCJHIt3At JH6S6qfHYPwaqf7Qxq/P4LVPOzV5hVjFYZai6LNqplyRIcXTkkTAjZriAt8jmJyDbMyPGWnNWfqF 96Rl7p2wDVDTR7ViKPdASBhs5JLPs4ATg1zkoOBA3Uq2vF/AEat5i2wvpW/Ddr3/HucEc6vPKI7Y WmbYSr+D8MA2n8gQLORlyFSOtdetGkOBynglWK0L0PYqJru0/KfYaRbZAkIfsVRpaq9mX4vh1Ogc Chj1IYbmsE991Gsaexnf661sOFJCl+p7UZ67IpSUb+hhRzmKQpL5xbi5pwHg+OfvSBlzoE6v9Qxl bBzVbFxi7G/QhzSREXCRsSHPEiFI+vw8xFKKW8p7Hs2JnLH8HNVcElSZCOtf9z7EkOX0tMgukXrc pU9nxrNohHc3+lA7ZMCUXcne7zjzWGTuVEApTLYF/D46vmi+tciQJLzlG1ZgDpI7iN94sXIRabq7 O7WvNrVVkYXSPjwcZmE52COvj/cuMqm39BjrkjvwGLRo6e7dn0sUfw7gH4svcePm+6NCQADUEt3d qHRu/Ido/WdUGcAoS+efR2P6+zbnXUGkdtgrl5Y2njenSbGYJPpdjUpxb/VKVnyPHHzAmhBQvrUs xQ3XreUwRmr/iz1rjxePvc0M+DyQt9unYWHcN8tsaKPfZKdYIAg6JjKcISBRyoVE1ZQ3uDCmSXzu pgA7Jk4t/BxIddr3yu6NPj1+zSfRj213zE6v6UTVyjUGYmGUFSprpR8rVxuoEqTGek1CvJ8KeT/9 nTty2w8eQZeto0eH8gQesMR7rYATgurIz4iW6VP24zWU4J1ia2DeSo4F44rNyEx3jYAJAzmDJoQe znU7Tuj/ES3MGlIALROkBuRbC6qioRFkIlaNwSv4y8MwxJ+DeDFkZjUgEiPBWZXhWfRTIXhyS5hr Ok27tAJoxtuZ2DIomv9IIkdGWt+IBu7IQ+NxKXSVanqU3AAo6//Pw0LBZgFe4NgMREB5nW5pjZuH f39GqIhf4khUVVYT7gr2+9xzvGq3E/+4qjxG01QW4TynbQEop3VCaPPIb+JWDDM8Wyj3e806wMVU DHRHdV7z7OFc06nhNfqpdghS3PmelQapW/Uzqm7pnLsdH0+QFmTx5C4h0GxMCRqTsReCTIelQ7V1 5xLvodOUCdrb3/MuXUOlUr1tcq2fkUZtPcOiPS06OL7oF8vnRTzW+K3ljfjc86ph1KOpT2GYxyEE LfD6Q9dywoB+zcyirZYzSLzKg8HKG/QlyW+RdeTf863UZQvMtadVQTGP+NeNDsPPoXArovbVgMiy H9aM9jF+tNd2Po2Xs05mo30Md4pwVXM+IHRfXWDEP6sFpvEpepifUGfrtsv/zz+a3hwHY153muuS ckaL6Go1x34Krx3nJnzHXar9EOJ5VomivUp1RSPA9w7119pCCEibT3v7GiPB1EvsI0Dqlb5Dnzjf dDmxeNo82eCCAnGG00tw7AisZlFqgAlXa8NET6u94UmBqdOczftpgewIQHl10Mg4DDeyZq7UnTtE cT3Rrp3m9XPVkT+MvbJ7foAMl2dAGqKmBAf4janaSoIgpj9wfXYsemFcfjcNLqkaCqjlbbYjicTr i5g8HlkkEoWvJ9voeDjOlfMOF/K/KGwyq9w1M+7YBGkEAYtRiH4fciB12vZar2YhKxJujtIKwj/O eWCm394MhZAico1MQgrVGx4ODNAc2WeoMVIpQt57qZ2NZCZ61tdhm9XIzmyd3egs1BeQQa7ddhYn S1LellTBxA0y8PCb43CpqBtgRasTqg3+deDflr3d3XmF74sSlgvtWHl+VlSHOWIRcvGleb/shsvt uckrvBDbSHUSiMLRe/zJgLTRIOTyj5H9lWQpE2jAcDsFJSG9vSUEV/pZxEVIIdwE6MRmsVWl0xm6 Qd2NM6ypC6qgqW8wQ3E2rNDlMKBQGFlPpFFYGMg7j2VKnNR0UhWXj0pn/qJk8VKlOmUmcW+IvrAN g12eWr57zJmR4qeL5Sj5dvVXwm3AAUAyJJTosOYfDawpW3xL/Vkjrs1qlM38PdiIbgxnBDUuOE0m CoSZiDI09sE2vjcq1CDbT9dPql9EcpbJ3dsFrGpcw+NyzOBarl3jjBvC9fLgqcXeYSFJfzRwwADL L8G+7BKUEcIr5Yigws787jPoZ/CLmJ5HZEdL8/qyq0m1dYXPQAVPjOigSWRL+VkNTLUJpLw5aNt8 ZjYybR8DKJ3ZBk2b275tIXfaJFxpNOMOQgv4hDecC6RBzGl+8H5EZ2F1MTXIqevhUgtAgY49PpgF wfSlBod26ZH+7FsjHJlZeuOQXd4/xVq4GpFW3JUtowtEht/1QPnLKFscR8zygY7HgUFl0FaeR5Ac SkNuKbCKgPNbP7iWNXoKNQTZoY/tYCRsFNPejF6WX3KNy/ofBoOkFrZ2KPEjMsCkxBBbC5Tc+6BM pX1GwCQ8bPLprlygotYUychR9pXmWEp1rDZ5HEZ4pz8Jk2mM2+DtHl0AdYk476RQj505EyGzSf10 VhCjvmAxl7ZvPDnY7yZO2df2ygDAaC8WHCURfeQ2hwzCTOtN2CzbKBvL7R0TLSY7qN+YqNnDX3aT CYzMFyko5tHTSLNrUfZauNoXfNRqhZPbb1n821HyIRhQpact6ICLyBtiaxms28fgKSkkudTB2QyU cIGasxvflt3Vg5fOVRpY7XgBvJZlS0AcgHjcBaWyC3LLn4jNFO5gUAcycdqO6EdVfXTZ67KSa/z4 m5U3pOxXdvcOBsW3FTvvMp8R6yrxm79Tfe0Z8aGTCRLGZUmYt87g9bRGXvg4XK2ibVO+t1m34QpH zJruPB7Ao0MlqQv3hef0wWaxHeDIFCDxlFL+gYFA0N7Hyz4b63WJMHmsBOc7iFfArCTVqwwb+7DT L3R5VeSbhvAWglvg6HWeN/WuGbj18yeOyFUydclVXlFSB57fxrN9ARM0lCMlvEgrLk8pf0u6y5ch Fc8pV1P3vJFBtUDGXW7Yjc8Vf/UoHJ/qJaKc95lfIZ2Cogj1jD0Nhc4noH0fgaYnNp3PJpZVTCvO mnfky73tNzQv/5Tj3+iiA4t4q/MEAktR/0E38y5Ujn1nWI/SRcnkAJaQ75ndsu+NNiPrQELt+Hk6 he6nKYmuiKSNgustw2jZKvIRoKnUTInLzGUtwsXst44bapCO7RdPkZ5WdEmUDfLLbm26ec+V/jTa DZkez8bt8tSFHMaSNJiyr+jdDsxL3BulMTm0AmXnA2CvVZg39/Ini0OUJ/eIXlF9RCx0zTynHkHK OZyaDZAcQcVUR8RiZy+hHdBYITG/o+9dG+xaYU77AkrpP41guqoG42eBkZ1FXrrV2M4Fl8C9BckR 3s7+RVeKc44cqkpiQMO4xqRoa1BMi2cYLshPfLFklE1yq1bhY8eD0XlLMG0oSc/eRdsMM8GTvtDH Cz1WHcix8/up3k7CWYNdvF66Rwn+ohdYcZv7SU5W4dlBfHP41fF18GcIw8tZhFmcAST/4P3g72Fj 9iIOrig6qMGRkpGWVpP9FKW8dR2P3rZb1Pd6ipr9HSD5zOBLzx/M+jf4K6ozbCuiDS8REQllPY/w 1JIjay3U4K9ytStTtMy30ZUuXvB7A+SHglB6e2r4CKG5p00nxGoo3zqoFi2i37kYpWHmmVbVFPzg bH+6pOEJo6ssohnOO7p4w8cUplBhZZzaYiDIVtXq8WZHJWty4M7OK1rNdXk2uTNTfdX+A/3WbM1R FKrfO2H92ts4jt+yb6MBH3n/Tc9+azrvikFj3NSZDTwoL+VVYo6AvVz6Wp8CF5cHcaUm+dMfh8o/ EjKp/qLD6+1dX5SKi3uFmz9oaXFCPiR6SzdsZQwqOKFGQG32yp7rPnsLkxPX4XgoLfiinCxpEVvM nGlaZojNu03F41R9eh9BEDtbzxchyZygF9ueTpusrAn+bXuFh0uieZiiAOduMJe9F7FETBS43PoF 2Bi55XrifTgVGujoKy8vDpQppz/wsDM8ddpKTnk7ItXKM3ti5vnOWjtm4pspugMJH4V+QwJHdayu 5QyDIB+upqT5kDdTmhuTHSchovinvw3oK+6UcACegg4lMQwNvVdH8FmzQaOrF6BSFnsQQC5LFsbt 4d/RA+VRwNoJtHLYyUWMsKfAeefDGEkDa9SEpJEgbLnPiDZjTjgPymjN0nRQjEf+7ISp4QxnulTj 2EdC2FYTBLpm1msx+PmRdc2TZcyDw1vvMFcgLgB8yor448L51AOgyZmhrSI+RCHTSBvCDq+EC6zT EmogsFhSJaDL7L79x6fbXNKgKIF56hD8fEbyJYCOPN3J7fUNOt+1wqEm4E3AleyIOXFpvFWHBO+v BF5/GArGewFWTqMp+CslCF8Bx3ohuovN64lPX1bsnZTnUbjJNMBZzI0yd415/fUxzxaniQQ+ufUQ jzYdo0DkZ6qf/Ae5wdgkZD5NvhrTFBXmXSR1ywUvg1O1KvsvCLOX4iqsORYTcxCKJazC+a25FlmX ObfKbIbvBGWnf8USqJiXS62YFunc6WSq+GKA8jGyoQ2yT/piQ8ajpxEk0fb+dp8YLNcKUgBFw3OE VvC0YQELSNmiFwq7xUo38FU9cXnn5GsAVCtt5KP/XKoVgj5K3St/LFa3gEBlpcUt30R2CvbIi2qo d2VayCzC4U8osTpe3SzCnQ/y3PnyJbnzZHzdyEVvDv74uAmd1UivEAf4NnO7mZ6bm1xNvQKCIqsQ 7BV2iAWe5o3Y1ZvFXO7IiGgxGy07nFQf2ch8LNvF9lr2NIyoZwvMqx+sB9G7XbA/0Fi7yggeDrft MnNZGm1xJoZKWJ7QOOHY5zurly+6GmeOj4OdEFduCqFlqte799FQYn4iUbKzzPfm2yP5twa65oiy ORiZrgvf/RU5SI7lSASSDG3AqpldkzpZSVRbxY70/0syr2uO7pNBaTBfcOmkH9893aglmsXKUN3A HWvcXjzBOXbFKt/eKkJnMJw+gZqReaS+yQBO7aEbBbCVp71JEt8gKfDoOvy+E925QZ1x0ha11iu3 Jg5cG4SSdDL/qnNGhRk/Sfyw2D1MZstlWBqoHkVEmn5pUYKh2Vx1vUzWWra6FBxamuTEmbwXYGwf ZfBb3eDNNA9rxSmrTv0JncENHBKFInDLPM8puF+NVRN0CzB1ykFe7qAgNeljL/d3UcYLVVxe6qRE tPS47IhSnWEJt48yI4zujX1p4DrIbuXPr1oEz+LGvCNMr8fuvIFIdZGdwGoeRb93nUrb/Y/05B0x 7hqx8cKfUYA3GBemCkXkluKxBW/J0XJ2I015wgj61FHYbK3A2k4jTq8IxChs8HjFSwVpElv5O17I QLwa4/3IMYCqY03856g94LToxradrc51Tdv4qOePoLjWUykaVbEA77Mmr5kAtQ9TEDIypw2pB3js iQTASwQXYcKXsg6yEf5HhpRX1Ij/2Txzifwkxlqis4w8av/JRs17tD0+cc14dW8S1vJFfW16yPnL Gm1EqgmGncpPgLmaJvg7zEudlw2fYYDlRN8vPS8HIsxuwLUhBRUN4MHJVOKCgYzO0KZXIF0f7Ijf 1J8XIRKEFet7RseL7DS+tg0rlUcs75L3GlZHopKbz8DQaokeAitfOjfqxQ2A166BDTpyeprbNikq AFj5u3qB0I5wkiwG3qtZmFcbO+BRIR2PcRKeDrh4tMnMUlMQM8L05L36foD9tY9yj10OtGBh48aV 2ZUkko/sGGwIENZaBus3DdTqsSEmeGfS1FI+xspSTmIc8+whSERM4jktY5jJhQJ8bdV8MVT3o9T7 zzPaVhwOiC/76wmOMfCvivokWu4Gme8xYcdafxq9qgPAvK+4NPXqo+6aqtT7Hk+DdKXoJrUh+Shr 6RSIqMGSqs2uYi4Pe4J1JwkeNhShX7R9BMBLaPnO2m2zkuPAkEc1meploRXPzTvIlDr6wxK15yHq deZ0m0VM1NbUTjX12uGuB54JYlNHnoflgNGj1UUm2Wqbbm3bM0h0r5qz9ESlwGZQ/4Ct2hXpVLJt ATiiCE49XO5NuFTpZgovXi1GZj2Ybr4Sf69+szmrxtagm7USyb6K6KOq53M+8FoPniWjucf4XW9J q9iaX4MVjOsqqFuWLaImSHAQRmIQ08+ez4jKLHPGz1mjXZhkyibyVa76u7RvsTjOkSyQmFqValH7 wNXPNxkZaMpeKpkKwoNC8Cnr8C/VzyrM5ZCBAdWxfDpG6iWkg/5OTzqSUgeaCJhqEmCOpyFwaS9Z I6IG5r99qd3FHKcgK/4Wjd/ahG0/cuDc8Sy7mJ+qslXvzLnq5o4EEA10DxC3dsSkwOjJB3J4/20+ YnakZPAO/z4zfr/JErxjQkMTFxC4tU4a2hdM6LsssbB7Yhg4n9XlztQdGU13Il1k9htne2cLvY9y sCxMiPqGzHEjSh1XOZYZy73ynsFypnVhdQM+ZJGIjAWKWx0llostAXf+MGcmlXil1m099uCOAELM HRsLjdjy1MmsbVm0WNkiFyuEdcGZmJp9NeOFRyltyZ1g1ACjq3iySWq2QSMRA4O+umi4dDd4X0Sd M5gZ5p51zSAuuLQjX8SXd2L9O5dX5lh7SbIeWL1bXZjZ3Khu7CArnB9aDf/eLfXYdXaTVO1dgzO3 leAzpVpnAe4C+L42b3DiHWWYHyuhQ+Zg/B/o7LAqqQpr0e2ussr7PzzHrulpl2Om5vaRnQZboqB+ U3slAiBpz1gZVon2xthHh7+/M1+PIVii+lUNzGoeczCrxlV0yMiU41rzaxuJVy8BkHXSBp0HSgln u764v73UuRgwBKTr/Ie3qhrjCy2xhcnaiSQPbk6vfZSNAU5pStv0rDF1roslkXN2IbhbPmIIvZvS 5sI9pX0CDLNV7z4F0dZypBuUyleHl6T9IRIy8OJJ74AnIU+/GYm+qhH0GWCFfYyh4RPKVfe6QQLk 1dz5YpfHK5EiSrX8XBoPEEGpHpJ1Xmm2yJfhgrARgTgRIbSACpVMtsYhKQtYKCKQ0I038EL3U984 BacsB4fvUM45mIxD5kDW2hIby6UYqGYy3OlkvGgHC4uCL93RdiWLqXXDZhy89fhQlgAYhJNEFTqM cbCNCOc0MxJhbqQHO6y5JB3U/dtNau1myaxTE25OERZRkfmq8pVSH7NF+t2qmZHok7gn26qV8etJ QkBmEWAiAh9QLIwGQx7tyDZKxf8vxkz1AXitek1emm/zHArdgG7+X1jBgzMrjYpKZOo68mV8b569 uHvnmMB3qAFbbOlKtQuYDB+J4q3KnuQPq3oWcnjMtRdGoRth5fyWstHSUd8XLlLxYVFk+V8S28zp g4J4x1Jm1qq/92CQlMsrXiDuNxjDJvbPUDnucLTLh+qj1Hxg17XzsQNKJhfeqbkVDKPeOt0XnrRN 5cwMNRRX1Ry5SaFWkbAHwOytpu0pzrtrfb0EGO+ltBfGn+t7txto1N2bTly4iZQ8i1qgpdhuC4bk OwLAvfRqiHJEpdO7PUyT3hZnK/yznNSCQXpDgHMbIZI4hRqSx1+99cLiG4vMfJeTn0ZZbW+4SeJL a9kRfLUKRnJv5zrT9ibx7z2wMoBpfBCjeCCjv11Y0mKwwUJ7XqYyPtPfrJvZoqGjvXoEsUeI9fwz tWC9ICd3R+6oABWRWRs6786yMh5oIuSOaKHKt+Kye/iRDyL4bbrpMEa/uZC59iYm0ODxMIwhMrGQ L3vWFpCers2TNec026BeXj9pE5WeBUF9HhILMMcrt5Utwe2wo5jJc/tHzP8NjtCqGMFieAV0JDoE yHCcUhOJjPZDYpywtVX4j9g2LyZPjupxyODDWMcs9s+MCzMUYcHXF42heZSPk8TpN4/XvlucyJaz Fi6OrTnkbAZBSIzUWA08wBXKI9TUj09DEIWz5JNoVsoWUW11Siop9MJwAwOi51YS28+mDnaXaeod eYGhvhp7ibzDIQcY2moGT6Alcg4WEcgCM32xcT7GfKxs+bQOuJ4Bujb3+VW61L+x+GMXbAkt+mw6 2dJgS9vrCbaiPzquxj/p1D2W24YvDmIlrWNjpEWC/DFNdO7n+2TfR6zjvhG110sRpN5Y3J80q/nG 8Svxyx7R3MKTFCBE+7JDSZp1S3L3FB7yA604v3W89LsK44LLmcgH7IjnucBUC147Anay+ppnW2ka uzgKkpPJngXebzBQhEk+1DYLlt0yo22yi8XlMzz1gbkLRfWxRLGpT2nstekHbagIiZICzhHlUa5K 7V2ulcVO4MhtB+YQ2DXOOEBwpUOydQlFEFIAW9p6Q8oJEoBonp04PaDq8tmvb+CThAAf9SCrqNw/ LQWgLCY40Y0zr0T0pKEaMx3iGGsXqEpUO+sh70xF1U3GwKbaC33GJbzvb7CgMVUBFJhfn0x8xMt9 7Eoo96HphD3uluSOerG8RZuVRgHXMxUjmesKxnGjfgpOiXpsunNs9bq+GxYHs37blv+EkkHYqsAk QztdHvSv4ibBZPMJt107Jrqs59ddeori9nCTde96TMeyKL9cRwJdx9Fr4lz5rzM+/f9kkoyZYBek 4mCL7BMajmXV1KATvzs+MkHXMgt8sS0C+DmQFq1eb19AWpIXMyr8qFTBcfsNHQJrOyUlDzgziKGV Zuu565TjSWWUOwzTQGAbtismucMD/AdY3pm93uJDpxffF6oKACFMpRIA7/d+nLKqAuMY/kHjUorI tp53zBn3R6njb/dTKYEi9KJ/29hxIBrYL0+DFi99xz+/2Dl1SP/uZd48BUgepsVOIQrapedvnOw+ n1/sGEMbAv6rSlqIb95j4fjK7xD1DcztgADp3qvqzpU87TxID7JmJj7TklPxq5UVD26kK5zurowQ oWaBPSzTGf8VEgcdfWaozSOyxRcPgdAQUDlFBd7ifE/4m0T7LqgOzRX1ImOLEdZzUqvLZjFJ87hn mJWLwuqbloLkVsd4mVdnUHuYuVrorWxhp8QHZvYAD3ZOiFEDmbx2tyTC7TNoDFUH6FlvrjDbv09K HFEu6U9Y89b9d4bAWsHc4AO2vfM7EJ3kdY5Kko+lwH7yLVXecClVt6l5iRmfCsdYhlCzWxhol5fg EFgKobzinLRVIv5OyBi9l1gHrNBOztlVNWcUoP3E/MAYRSUGqucijai+I7aTnwADZ8FqQbemyKii 6pk1jV1sEJlVA/7EN/7G9IY6gVnmJIrbPBht/K3wX3IjuRKxPZmw91B4KI1nRRWDVZg78sXsdmkO SB0YLps9v0VsrHcIxTo2JDrpABZJ4ik8e78mxvTXmjLrrD2nt9oiOLsLkkmAHvvS/DEq9ggmMlEy CkZrQatoDAduHzfqLr0En7GwKT8q02j+24Aa51/VhoMpRQTf3FkRl8nYrcbMif91179YWbidPN9o u6e/zDB+SmjYau/5nXdS/D6gXxIllPgvWer8vKuAO9TTT0pxtWzkXy53bZft0kPe6s9kHZALt/mR PVuSdCFYsxpSJR6Dq/yfR6wxU+10nOusxZOfo8h0YEi0no2Ins5dDYkN2P2XJcdS3pDq6sl2I77e CPcr7j/KYiA1DPrNwidifrxjbT1CJgxIJV5YagY1Hi13VKIX6cV+fzzIkMI7DAaWZ9+qwKdBtB0X cqa2zOa9Ug28rHQZicbTJp/cXJbe3Fk5jTwXa0jxY10mUmLCc7Lm1Jmy73qHfKQddcAA9JmY9iKY hbj2dUKt+YDbDn7TkMLTAK4wQ6qRijg2GrPd+pAWtihhNkcNhAmowL3ZXJVqNjUYr0+SGM1OxpeR 1X1U1x2v2DxjT3kGTqn7cD+ISVo6sFHTDhhAk55ul34DI+LJFve5BRrlBpXsjiXIZVzEAc/p6ieP hNBlFyVPvBbSWyOK/t34aEZmE6njCBhtqgPICLevVeJ/EL+rtgo76KWtRVVxrrbFDuM2kTWRYSiu t58ARc+37XQj93pxpQrv0wTqXYBVjZQh3BIKu4GSez28+4G1kZBf9uddYNDELmqfpMV/o3bkX/ll PLqmxHs2M2s5MA/vVEPA9/COf8wBBEZs92pM/4JDlHnsQ0c58ux15ocxRAwbo7vKxKGNladlVUcL MsJapL+Zll0lD3tGEAcMDxZxizKt3VUNmW0od9YNumNT6FZejN5LjnRMr4v3Qk9j2k4FDLvfFLWv b38J7j5jPvO9uEFuharN1QSzI7FhPE2ELiMqovrLjNjwXXvrvSFGdrfePDErb+Wx9aqMW2E2bvq9 BEeB1Tj/2S25mIUV22hsFszoLZiXJ/z2+jBrJ3IcExcgj7Wulq+Fp+rTwQ/Vt4vkAquCsV30boXh CPcbQqVPyPdQHW/GxyH/2zAL8GByeB8+PbzbQPAmjnmy9cm6ZmiHu9OgkzOLnyhnkgzn4Px47TDm qW+UpINTy2JY3pPFAiyXCaQXD0yOVzmve4lQfzT5TVmD4gjZ9fKX5oRnbuxRB1uHuBMaNM59HRUF J9edTgczFCSoNcR2A4OFtxkAo5VpN85xO53HuWP/oZyxrLhRz+KHaGbScXG04aMKsXTjIWSFFMrG 0+BIrQktQ1YJstWTHz9PXTOwGm7nxym27NtEB1Io+7WZroR82nywjfYSzR3dWTl7skfqNBibJQOd bBdLbTOB5eReJfTxA8QPVoXwxuyJPeXr7+5zJACBu/A2UxLP8Gf8XUIYAaft5Y9wHQ2V/qVVG23h UTwkiXSIsP2BHcK5Bps2Qg9WzJsvZmLv1ZFZSjAfxH+MNmlPWBGCD71OVN3R/OILpLC4ivcqN19j 31oeVjN6XSHpAI/VYq7w0C2bGnxx4CvwKsdxHYbB0J0oncbk8oVmjjUX+yeU21Wymts55dHpTJSd GgZGWuxA/IHH9jccb6IOMWSlUCVo20/WVZRrqnT1Gm03BbTfOXKzETPpqwMJ+/ZdcPacAUILTSGz hgD0BFjxb5l/a3R+3IZOt9oHcKxgfbvcNGGmgT2a/LhlJxf+Kcb3U0jP2YDS2Wh3CyU4BqdDBLnt MZaG1MwiyWG+4ujVBIxIUkd8xj8jYDBzrf9eT5w9b43o5aF3VH9ze/oGZlPeZQ3ZgWDOSydW8dzI M7pgRvSYE9eHJqVnkQCkYbzo2dx4EcmhuJA24/LafCQ1HuR9kHC/cXUewJ1SDEcaThFR2Qn4ne8O H/HyPxaOJFyH4I+qSnZgWur1kChfthS7GkeH7F/8p8pKo7BEKARdHWMRaP8ZULgjqHKDj3pspxnA 3gR98XSrJU1sKp8qfM3/UZC6CeG1E95AhaxqikYXuJxyLyM8HGl1h44ehtvuWET2wPyKa+EzLmpY f+qZBzcku/TPoUsl0Y+9dKEs8EIG5xxUYgsidnZP2NHP8D8d+YNfyUqUJcTAw7mYH4L1zsYHhACh ttLgQlKzgAygqSHlM4B7SknyzS7tluI21MOWy18KV7RvwTGDGnh2BmbsmC4mnyvzMnVc2xNckkwB dE5wkwBPS5remp7BijAKho1llFX8v8+oVIrNUU20yeyUHL/aJ/9DFovjRb+ZSV/u5ScCtt84nkiY kYewuZhZhbdUVz2RUDiT5EhHTXYxAHmo0w7tZKNF6VzQMdXO6hsgLZpMI3xiECS+AOo/aUhrbq8Q yw0kYvtfXLyYkfFv5uC1s5EvN/ve9u83P3Er0WBm0X9R1DQCKr7DJYsRGrpMTcKRgVFo7OA3DxOY G8jPKeaO0Wi59FVmnKcDAm5tBKFPK7mWbOksnlZSiunOH2x2Qv8WKwtfZ6SYsbUlJZTSVer7L3Hl Uj1dKU1sDhWqCuWsVAxfmRMSvKnMO3HnTVGfrNfmu3T9yIgEEPFKX3sCDR/4T/cWUgDVD34CG+yv 7LkTMHNEpFutRY/S18znvSu0mRtRXHe0mn3+JOhKbR3biLP4C+h4VS1c2wCX4jtMk+fbkw1IzvlA aXqCW+c5R/zW0ok5Zn42LLXAoEAlSFLfQ/ZLkDQ0EoKm+hNBB+LQI8FcXmA+KuMcasGqSnmLi+ZM H0O6LnBD7qaDGTkWhHU5FgBdA06l5ehUcUAnAE3QcCvPT8ZUIVmKAYLiyoF0q43PsJWfQV9qDbZb M+1sdHw87RDI+IYBnexJNIeXjSOLNVFdQLL8YSQCx+evX/t4EW220xB5FmHV1TGc1b0Gh/EkZX0L +LpOvCOzjfSy4pvNxuY46XkTN6CbLZC6wgAQIgvV4LFY9vGsu7XlIDQuXsRTzJVQj/Hyv1+uqXZq uibFyYX1wJOGgd/vJhy4iIWlr8UFL5BGxQ8P/yJiDMxmHa79AnvVw4NM/Jg/QUZ8v3q/JqOyn1Uu 83KGucKEnSFp+Usbc0bB5rkZR6JfGTputJUjlU4UpT/eMvQx4X4zrA2fqztt3+y0KMFHnL95xknm VvHxppw06t9EgiY6+xa0+fcvxgPLVhlSvxFDRrcjYfduIcqGJncxyAHJyGYJ2pGgGDk+j/3XFgDl OSZPMzxT8/5OOIkIJtsMh+LR3pFEE2W6uRdylhC3wHkQgA4c1OqPyCJ/lZMFov7uQTpIw5wgzPte WfoA0j8iuSBbwL3DbH1Ck3EHgoGGsqvBbCU591Vl3gGWViY0ExPWnBcxKI/rw5CqzuRzvl/9ZIcO GOwrfoMBybVXqdg1PcES4pu+dKcUNFodLZetisI1zZYyRqBRGlIAOwofByEnWelcoKlt7TSeKtx+ CQhMM8WgFXSgD9RvCZ/VhvEgeAjn6pgCWach0nf+AcxokLA5LP41WyFmuco877+mXaezdKhx5bDQ pJ5sbZc0fz549owJYHRVJyMkiCzjGDZoVR106putASF8R4poLd0RiGfTr18xcrxu6A1+x7zWM4ka Helfayy2kL+ghM3t8JQCRB71WYJTYBS7bUYp16AQV05laud0lfuw8RNYbxlr4t3jBRNfIArfE+KH x0IIzlztLv8FyQW4KdtelK+OQ6b8H8bN+scFaaS0OXCrFsm94WexEV3cNG7F3tDKRq/IiiN6OiiM 3tBrPaB7v7uhUJwjOt/xlKlVQQPrv9jSlXh5ftUfTfcNOXWoY7p1R2sE7wD2z2tLf+/AdWuFpzjd SP5A/tFzXTKNJahdR2/tfBIVh7rr63W8Ofu181W9KDahCMWjkmg4QiuKo2Hjwk1rXKrCIQjLhrwN Cf4YKWdjqYIGvVxncXMmOWI0JofrS9DhWZRWEIjBoD9yS7mzQlPtIFcEyoP3Amqr2LuXfYHALTgy HHzkYgtLeCE5tCP/aNLYbnPK9Bu6N5Qd0WP4qMiUYFHvJoGEd3x7SNuHEcHIlQzFvOi7YmCgXoJY Z4ROKzD5rXJhes6LfgTsQUrRV+IEdgLrjcNzOp5kjSiq0+W+RIPfzz6D47HoVzBoD1rbNGSucOkA XK4+jQ3yit442b0m20mH8Q/dWlgq6iQngGkgaEcCS0M6l4jMDlnPVmuoRYNBnM9w1yUUfZeyXTMM ZDqP6JXU8S19rirxychIk+ruNyBX3jlcXdXftsidneiw4PyIWQZSC1f0zfwj2F/TTpiz0/j8svft 9SXRfOtBa3FlWyXV/SNnV8ajm5dJ/VJomCOYlxkVpVvy5YD0MvMdDHy7yZQ2N68S0ua0VEwuBqVV 24s5ssRdbYMW3Wt3zT/gn/rB9sgb183816YouLNbkbgC6POlMqsHEBdNlY8Huy3bvCJRX+4fo8wu iLtsYSaBvBtGxKtDDwHVAnj6DaoB0Y5/Nz28j2o4uARgooDm/WJs+tEGVcykClKmiNG9dDEI6NqI 6D+rSezQHC6ebOuOwVkIu0DnGCwsXrNH4qd9VjCeRvUVjCe3g4tHdS7jqL1/p5G1QteLQGmSh+R7 4QZ8uZUbiZgVF99YiNRrKQSiMx0hnCLnLrSoAfA2os5iA6R1RtzswDA1W+2C2GrWgxvNpl0QqA6Z Ecw/4gSfUHnGTlwIYRnq/twwMg1fV0Ej5aShhasP81/DsYvboMVWcP6QwsiptHKvcPF56mHXNRWL x7Nz5m/HmY9jJlv+gFfsu5f8kGYuD8Nvk5gX46lfdH7rjL+7hwg5bG7Yzj+7A1XzcC6fwfnM4sX6 FlhhsX9xCnTxMZwguncpmJqlAnIDwhFnyr91lr8tkB3PVsEwHeEjQHNcAArF8DenreXGrNqtp6q6 mJocqFZsHNqz2Kn9sUhDLGUakpTBM10Xhu112w88YEqzQWozelJAOhIa+aXiguvCBZ7ywlvi+zc2 7D1Dt2Xlc42rE4MNxTf1N+xRTVNwuagwFYcuKhxbfilf/lzU/SxVIbMTv3lqoUgWFu1zxA11d3LW R5G/v0l6dHPA7jDlRSz5nqccPaTRxjOtba20VcLz+ztm1sgLcrFs/Ex57qlxsY1Blh5URjPByWDD O+XQEOB/AHQpF94t2mtUFoM5v1XJo3QalDtj6EVrKdnWjpLMDfjSy6eWhuAU9l8rfm09zMzt62Nz 7J2j/Fxo1FLKIs4o8t9dNL7VqB7ULrFa59Afgyn1EQ1fGfayz1XpUE/xJXIxGyWNkDIMvvdTVvP6 McNx4PwcLqTNtod7p4rxEDpymVY07ngzPYfNVlMU1dK9dMAfq1TB32rjUGlJo+pn6A6jHQZXeZy8 4aoplZFNSxA1d8SpEyz8krzSo1nsbYUnFy0S/33u9zGAZ2dN52LbaIH3KFjP3d2GapDGGi39rZHA 5u/Q70RVG6WDubcLobwnVVQQgQRp6pln3df9w0iVB5YYFeF+WeBzHG1pCKjq9zlKHihaqGbuXycy t0PJRReArSwBf2tB3RSJrF7+JsEu+mAhIltZTQUG1MsahDWeNq9kxHuQ7kveWFXoz//AERjEnsSs GjTp+2DAAThFAD1Df94EA3VcJateEdeUy1WIH5NxKv6AR5SVlrq52Q9GfDQ7ZWkn3raLvLoQTAai yK4Iocs0mjM5LQrhunrXdxtyHg0VH8NKpb3DOwZdnTbf4+ADi2d+5arzmBpXQbWNOfq/NUP9GsOW OmSrrZ9zGgnqMhRV825ldxU509wieytcVYGaJnDrJKDzFJSjGp9Nd/7EC8XS58JcYUv9xrjW8gtM LJBgArDC55fgB2IMfzBuMEI+Q60wHqUOX20VtLlL00tXOGHIv6HN4EBlYgNEZn/QpBD/++ac8yTp RJfEPgOOuCRBOZfAZw6X9D8TpGLcjDEGDsZdy8KpzRzxjiiuP87syradcSE3DLyVx25MlECBnLHE A3r8xFHWlROuDeE6LFgue/DZvUHt/81WW7o9LoBDcntwzIZQl8pc9B784vfNzyWYBk+MUqvrUcwl FSKssObgfItFZlMdhAAOqTbcnL855gfjgzLmkig8ShqQJuqvw6JOeOBme1tAYTHr+a9gxj0xOsdh E9gJvZ+xSvkWOQc/mZwTIn5M87+jO1Nzhkbv1MBBDmYIeyOOB7m/mTqRt8sR6rwDy2LIPawX18fa UmDUv5a5K2lyitUNxe+vpdTcWnKnTTeRQLDTaTmINEE/WV+VuU0jSTMC6jETAwIwpYV6hk19gwx0 8GHY4Olf9tCKdl9at66mMHvun1nRDt0x7qgGmA5E6iVyWY1PWPMEjq6QajYfaWqypeqyZks33hep XgK+zbxfT5wIJEcwsypkdst1QvpGmUq6OEg03ea8qCUHnzAr86uSr6McPDrx6zuEFsbQDJTM+7Nd n/RU+WsjdL+EkSEreVxfc1GLjclQAI+hudB/RtiVX+Uo1g5vU3SpV64Je7jjURyZyihmkddzObXA d4ZwN1LHUsvQO/uG5OGscbaU1tJzcQxgZQmdQXlsDru0F7MBrCDrw1qhuALrPnILaMvGR9bfDRlJ MPBQAykI3qnnmf3CSc0iUZBd4m36IyJVwvFRFL2f3mCUn3KKHEFC6T53C+ynbVQGFH+qF3RGxv98 GldBVmifFRP76+g0CEcSIvwMQwN0BONl7TteL0a6yAM2tr2bgEEtRTK9fYqLlHuAKfe+mBvIpRVf 96vM7AZUiiZj/BbnR8rdKz7oXJ7HdFX2WxETHDejeMexbytACCwxXY6qA9BcH5m5L1SlcguCitH5 yihEeOmT7w/TLRkJQk519maLPUH3K20iz/MyaGAAlVXYhhMGbEOpISViLzlCJfY1RVTMYfL7LLhm KGcI48/oZYC9g2Dh0zKzoUpXXiB+ONivEZKc0lFn7gPynYqwCxjZpkPvAulXOMJWpuUgELDFm8LH 5eQgPunzWJZoWhKgeMg5WoseC6bkgMUO/FKwwPmBKrexXdZFUfEykrWGW9+8CLGUdAeya1XJaM8O xLCieXT4kujaC9Q9UzcWrzVJ/Q/DOIBx88WS/7ukqyELxxahIJsEFBBWP3BwZ1FgM/TIxjgFiDwC XOwZEhwnigHsBMOSxwyBdZdd6kJS7pDt8bwMADYOMvkn3Jj5CppQ2xy5By32+EHLhEnEStoz2kW/ /WeWmiifOBp/HGXZoAAsmqtJ89OIiAdU+xV0/tY+mXraax+xwfdbUWOv50HMbldT5lizDDSS+MYZ H8wIuC9x8wbGOcUUkfSAPU4CW2TVvEbzmZS47Lvez1DscskY9oEYlFbkRJO+mtiZRG94YfDDqqMf v9YTENpqoMGEs6k3VeHOwcUHN2pK0tjWMWXu9L9CsA1Mf1jUX3rQYC81gqCT7eoviXfmIorNSLJ4 vnfXBD+OBp22vQopxgZu/JmY4gGOzSkDCEQ7b0W30PAwFQYrEf7/9kgYeHveGoxcNjQOP5CHbz4Z sZ+SffN2hWhERFs/WCV8MzhGRCj2NW8eBb4avp3eD65Uy8jPlPZ21qn4mBquDnSuyLzP9dA+AYZO /a6L1tbROhLr91WIgg82Wv6ZCBuvTZ/oRvWziL7om/c6vzEaT57nA6sojiVMY+GUgrUNDHk+cV2J t6hzcxAkMmW3NEELYR6+6VbbP82n9oEwVb+iA2Gx1ZMxGzgS32K/POzZ/MnpDF28fhzCDzxb5wOQ hnGT+lOCjL3vZG7WDZfndEy8rrQ6C6WYqlGRVGKS1ohN0I8SoFvoOFKlHCiUMSpOh8J9JxZXYJ75 Cdavec7yk8Ab5XJizIBHCqb6+XWEzhHkZBrhgDz7scmLh3Xo55ZmKMxpz7FQTNQuJzmc075qQeFG C2cyswwRhBmPxbX31ZyHBCKgl3EsKBkzUVOg82GqqDU1gJK1jsz74CeJqWt1bTJCq2Qr1GAvHZhP 6AHDJ8tyyGJCMAJ4odx3S9TnwiLaAeWlqfrAZXszjok0oD99cfO+XQMKYyhkB5muT9DLBIUcO+1t 9oMorbxP7l7cKWvQuk2fcc8O0fw7Yp8HyzPzbtyCAjyX6pH1vxT86wa19VzGP80LnkL+D06Bgwuo 9j7OsnYMD7hvHOmp26EUx4GDZ1LC/kE2B+Hdidsr4sPgtBf5yPPXBe7hT23iVCMl2T2hOjYmCFLW /5bBzlJZpB45zIDaip9c3Mh8asw2M8pChVOSdV6w9+NNMNndoY91ql7WW79KpycR5+iCE4IIP468 EcuG2m+FfU5wK5Je5f0bWot6Wn0lVTRpXQcnEgo6uGZaNBSW815eiALd2THEqztzJgKTWONSrUr4 0nUe41hUP9Y6ryDs7wNhvqS4uuVXbYPsDiGvyLtQ1phe4+uNaTFcs5yithgLluBRs7jBCqgtunXJ RZiBt2q9On+RFrAMWBfbXgDRzFOYLL1eV2YY2OwnixRqmd5V7d95vyIprWGDiA6kFuc7IKc2YjJd tJuzAfa6jUQ0drbuEPIUmNK8cyftyaNx2YMwHadR3tUmCc0mf8FD5ES5DpgjPmxYkYV/7QFcoQb7 xCE851OlP+x10/2hARmZLC9u+V7HcRWiV43Tlory5JIgTBxqa+bsmrz8jGRLXoQE0F2Rn0HWQymK X/5yq66lRIc17WvqcqAekhJmemeIP7w8wlxlgNlKcNs3TMuXKR/t5eKRITKD4LM4i/Hacn7adxr+ xCtGjDpaz1WBzs37Y2y1ipgeXKlHlcRQ0CDOlHgMgQZOKVons7sbFqYMXZom5cS01Jtf/1dbOCkz P4kPMOwyxq6ZlewBXj2aHxh+ZVTR+0AJQmTZr4ybD7aVJpNylzjLpsbVoP3qbJGZbUszGUl+rbZc Cwe/8AHsj1/RkuXu7dprnEO/SmPFb2iLg+7qUAT+EV7L2lPVZUr2VD1oGp5bEdVYQ/N84OzIvQqY AtWQDmLqBuYiwhdX9l8NzLp9mReuHDQVSs3QOx7hdXMYCNslvoavkggoUFFQY0ymkq+zvnnDVKF0 uFw4u3hamd/X7pR2QKasTEqrvrqunXbrJdPYApfk750ej4n8nC+LMai2sOI+68kC0u/DkKc779uU /oSOVt4JtpkiZzYTY03g4wWBzgL3OXBJ+2g8/1Wq2Fvhe+q6WXBIEx+tOHa0dC3nPTidOvZbM3IY jbYdFteB+wF1e9W6hqrBgrwSky9Io51wWNsj3dLK+3azlxyXMV1DpNJTW+s67OlBjxJmO0gMqlv7 c7rzLY9xDoz2mNswf94xHOoqkw1NFMBMR6G8YOhhoAacYDlX0gZZ36S4UdRlYxHyx43Exsq+JNuI /S1iqn/rnLpGP7m+Q0UJtbQQGwR/8kjw2tIdr0G33jVzRwBzZt6SLDpewnKMgoDPU6GLPPpdGTfR C2MgxHqrJKzUPyABStdmDKC6JuHVsDqtjJyuS54AS1PAvdkt2l5b3y5TieoKHycobUsiwumIogEx ADfCcEAJqIO6DLB5pbB6BHTed+jK5/FV0eEuS9xL1jB/kFsO7kwjiWPZ2AbMXFWj8W/lZDInu0tG eu57B3QmBQb9L/jy69J4n6yVDqGTOJkhf3ycGEvmV2L4zNUUXZGPa8qjv4G53aybblmM+BFcPoC+ CBYNAg77NLDx6NhEWFdhVQwerWMllBvaGKwzl6t5b9vr9SAY/sjOwu9vRaQEi0H3rcDmDiXzqrL3 kUdMCw4prPaijNf5hOgYYOmRWSDyaNa7AEJK1XigCUKiYMxwK2OtTjHhOzs4Ox4cSNH+vhteBUnq svKnThpQoNLKCOwiEdoLoz73bNoFgOsHDknT/gBW2C/vNBeEqWLYy/bWET+LagUOQLEC87/t/n/P Ovq64p4/EECab4dOaNZ3fAyfXxcEUhYdmQn1bCGyJnEreF4UFmXVQGdpuoeBrIE8nVfHEFUzM1/l VONOJUtlp2bSB57S2OwfkL3elH7x4wysZtHgpz6NPofZn0Q9V7L20PH2oiF6ocxqlNSnYgq/Ls1K weugzqaUX1/g+at+BEBpYKXjCelrXiHtcVZenOK4e83/vNxM7/wNn+ww9+3wLsfUru45DV3o3BuT 5/jGfoHmmOmTqltvNwr5h7vTB0qY2ap3MgmAhvDcThn89qoUmHTLVXEo6QjPoUrXPtwgR1jAVIXm wAohtU/6yNGVC5P7efuhdevGQXlFxmFo1ocwsl7HR/o5tkx1Ezk/Y7oivc+Z29+iKUTYG1/sSHev Nsg1QH8LgPYCfR8y6O6QpoSc8hssq/xFO/S43QwFiRDMli7NwrmCdgdLQSuPOMS19RGmATZ20rF7 nxVbuAKJRnEBMtFt+mUGh+TiA+Ol/g0QV4yeW2mzxn9O1GIALrP1uZqyk1GrKE7AdzRqFjWeIhR2 e0Oez14dr0iFawqlerA9ZEAP/VTFkfCsP4mLnhuRymthr7D7CLwPKi2UqPTgLmmDrGSQfR64lZXg T+awcDWP2xZlIf4lq1Ic6IWpeYQSOMOgRt5w4kIDaiV7pBRyQxY1dI+S1HgmjDi3O9DrTEeC0zs9 EcoVepdwcJI9JKDqRGhhIQtLuw4w6mwLw3bL7SBybAYkiQFWRai5UXJQBY4/udB1OFiCBWw7nwUx Tptt7v1iSB2jcOVYDEzv7uj7OrgtxF2p4R02epZBjNwYi1qqIbPn3wjBEt7ubStJPpvO5Jw2LeVn p5NLlS2XCI4ZU2xSZp8X+iGw9JYtjf9C30ojJ0bX6V6erC2Fw5xCb06o8ZUAYpJZxuzGdn3E/Qoj zZkrwyid83/W344cTYA8TkJkG9x5In7ewhVxQHb/qA9+HsfeFegEtHd5tAikeqKWKmabWemmGdo/ 9N1AW5EYryjDXoIgI9139ZRF+6JiJXTnsRLK4zcxyuE0MPfCJw8/4Myuf6AXzVASGQCYsqmNYccH 3iGRNiPwBOlQfVGk0fuo/+yXaeLuXaLBbilWKR8/Bkn/r8NsDxfCXj5B3fezNFQrPTOj4EDvEqyC dyXD+5NyZoPuzqLXGLIDiodStkFglx464bHspdg2Q4pEKB0vgoAFczjrNMVLy4HNN5Y6yAoDsKTC xtYeTAje6D5RLf8wldZz+E7o92tUvWFb40JaNO8xTKyVgHPq4b4Qlwf4Nssp9aT+N9DBM9wwa/bf 5Yt+bsc0RMI2V5ihuVKNv0irOMAZ4S4i1E1iSW1QBlptYpGRsDsYcuyqSidHkOahc0+nzmTfhQpe AJTvXvpp6ozjiZWn+K3l7Z0N9rVQBYPgDSErizkdnVAGH8WE0/2QM4wF8HTbVQkp2FXU6dRZ+i0Q ABlLvQHFNMKqkwiYWOxGcqLTvPR7a1UV7egS4boOoXnrtb7va6DW2n15YVibPJctLJ7kPBYzYGM8 FUslMUH5gqhD0V8HN7YPAIEJiRwufJpVHRZ36delR5TeuOmnSkGZU3bEFJeQ2ficb98fdZUlBzla 12rIe5Nyv4fZWX2O2FNj9qJry1/gVpPhEj23l+vkmcxoRtz6M39xUu//NX0MSYqeJiIqzfJa7+dr P7BPd9fhgpcrScer34jZsgbFFfHe8GX2XJsXmt724ASxlpPaBayE6y6cnJIY4mUV0vHI7B8ZT4o6 72kSEkYA7Ya3QslwixKFBzsNxkSALdvcSfQG7Bld7FazvlTVOHsyWRmghK1dFWtlCpnYFvxsN0iu WDeo7yyUhhDkXg//HOKGIy3z4CW27faYYchiZzh8tu01kmj6qvNgygbblSso+DKmA4mnR1EqE2wK Y/xQ5Jv/kNw4l7NZV1nRA5neEw79pJN/1Thb88uIuhhrRt86Df14wJfwyw/pKRE1RPAHUewqPa3j ViZXgVIH8B9yunXcSHV2TVHgHMHQ5hoSrIMCkgKO3u8Ttdtr9yIgEDAKSUBlO8kzE7BzUrcSQ4oJ MaGmrUiN4a4RjgZa1z0bUpjqRYEkZjhKNXsmjvsTgeQv65F8AQ74Bf03LM1+E9oYNsn7W/Dlm8rU 2FQzz41z5ZqtaN9JEeAr6SSZXBv59s6xeilLWZWoqWCFjZ/sqsV+GJeUfgceBFSwgr8EdQ8ocwQN a/6mjcuWKHzYv+P/ngY/ZA4UYi1NXTEkRpqEP9vhyExtf95nD5t6ZdwpHtlEcetU6PHQYZfnJ5j1 Oboevfabv5qfR8XHAXcVAzYX4Js0uCnqbiR1GqGKxwdSiu8meMftFq1ger61gRhoyKFp1sNx8Vxk Bc2z9c1xSGQ7bzjleCZVMO9RDReQpwpMAM96kdqoGSMJiLTnlOkS16nxvCgDO7I7yHa9MYY4ZZ4B N+g3Y1jaA1O4gSBcmCOsAPrjdB+dViuPd/u0ToHC2TSbsRsB96zw/OwlP5yesedwlMnN7DMQ42m0 oVhgqPo667zXScw67FDRGB3tJOZ3s1ruUr/Jy+yBP0jcdSIoA3xmvqnk4TyOPaVP43d/XbEMQogm 1E6JtM+ZXThfk1OjdGxaFisxoy+GCkDIFcRc6tVdBElHX/QNFetRaLq7VcmMg26nJa9wqCgCH+69 CekhZWB6OrMAcjzirJmW7aVBLbq9hcMJvfciC2fnQ1ov8Vakj/H2U3DAh8IfBj6JBFiXgC/qVIGj xtlIrRDCCl4bNm/v65gYw4ipvlpHhShB8GqLBo9nL/AdmlMDEp4xrxz4s2nOcHApDS6IdRkrKnTV gLejGfWVqV7Z2nwUDoydXRAhEFjcNsu9gju/lATduEyTmc7RY31CeLtUwwPLOBWs1Ly3TtmWXMIy SUrO3KQ7dcP0eK5X3YPiHsEnHEYkU4xTI8U+tmTrah9g7AVbqOUBLpAj3GdKcgkvXIhtC9uH1zhR dbIyx/Hn1oO30eF2erKIr3MPgwv0zRY2+/dN2vLjNKMNT1ph8GJ2edtywyp9ntGc5lxId+VO4ps6 qhYtkehtIoISTcw6vwdSGGz/mic1/8QfyzTKgMir+FGwXmyN2CMyDi6xZHA9MSeGBkl9C8yrbNg1 TXcRDoI2fsPhDRhCQDO3KBAOhuWYNb4YdO0ZLa79U5EuD/SC/2frUrxIlvQSnqAzrIi56d2MQkE0 O5ooakfsnl3pDx8l6DAUN5ddKfHPgVrs73wKana8eMWvqZGztzWwrZ4pui/sDsnjPFkIk0OLYqhw baneozxD1v6nE9TxqHTOT64nOyDCmSl6sIWTeHiy3tp+vr3tTTyHFAoA3N1plWIDliv98VRRi8LG TbTUGfbP46yvN1rOlP9vCk54RR776pRRqw0i6RaTqEchXr8DNrkK3pPMRJtPLRO40WmBkx90kqZc WKPWiEk3VhaLvKb6ZLM9UtxrM3khkD3r3K/CldE0BdDUfksstBZDZQY9Y46fV/IVsChJKljF/YBp C9kXLNOJZ0bf52mwurN3soCFF0sOK+aZQA3tEnh9DWYjUTghbjC1FDwPK3BvgAZOfK1xir0wWfrp q2xvTyyhTv/II+3WcTjxfpxAKsgbqrzb6kY7Tv8cMO1mIr8eE6R7222epj8LkDsEzfVbfTENelR0 NdC1uMHOzNrHiKoglLZl87mXovGyZ+QTpoGjKcxJSI0d/eG80VfWYZxwuBEgCQBni3Eum7wYpxmX R3dh6RPuFhuxq0MSlh5hLzs2dm9Zc/v1gVby+Ru2DUJJd7LvAW9j6hIJs91mUGnJ6KEPl216tc+M UKX+Brj9fnHTG6waThBmU6FPU865J9cE28Ql8j1CtikAIy6SL+HVaDZ5kqNLJuO6WOOCdR8bAVA0 /32Zyt7/KkLP61TtV0B1H/XlZ+MN02NfEhVdW3LMVg7/Uf06KgMElNCC39bJc2ede6KrU8aHnCVc e+YQAWx+S+sKSF0udu3GPRKm2hD6zU/i47g8TYEROxocfapP5uPP1YTHAdy0JwcVsRyKk2+Pi0ag iiE98L/IPn42ctbhynb4c+Rav/bSumtY4oqKOZ4Fqmt/KzyXCPw14VyKtLmpX7mTkqqrxVPWsF4I eow/E6UmjVlL7ZqCjC6jEABu3w08QWGldukaWS7jRTtUfTarXNgbh2IZOmvgqNxloMJzWvnOVgAp iQ/1nTrDYYDDZ4V+Z3jlOoNjc7quZaCkMlV+3gmP6ZbQjkzhQJzq/KuTOI4x3slhQyh0uPucz7u4 IQHc44HdeBKJJb61eDEvbm0YDkwMaULvWYYmHG0uZR04cj0D22KUkbZNjNcbE3nHkh+uVeDRNdUs e4vJVpvscJV1TKjyNXotB/k0+/y4IHxjzDZAdzvJTjOkqDw2gHag4EET24cqpXZ7CwI517BMTsB9 U+TQ+GOIpTfCV9IptHtzMf4zHgEn2vIezacngu3iUnAD2LCT5xhqUJ8+25oIBGfOzgsQbQbFU2wd XcOuPt7C/sga5RWoPpLD8qp1H7vIaoBAl+SaN6EDEh7zXw6CUBIdcWVKDJl4Md91LWQLg30inuQD EPc7Sc4X3MvG6W3giUq+4LZ+Ps4ixxVuAZAgRmrGkk469/gtCgfF4Wzvavzblu/kJybFwmCGeJDW oxIYCWxhQJe/EPuy8p9zWOosx9aWhMObiYalOwAjDwXk/YkDDRvFdaywbvYhTPkqvLNQw9qR+I0v MHKv/IWE7rdfbS18C7ogr5VOwLaE8l6DeM/2l8iE/KYj5nXdtj1PQRQN7EBAF31kqa9I5AOn/4vf L04kt6bamdLK1thAreI5BeHmgfK/KxUQXMbD00PI0jWAXOP4GcIVNnBVGz/ploZsAkbdXZtWobxo JfYeSDjEDhdoJJXPGXc1F7GSdD18/71Juw71V0d5Py+WAywPo2EMyr5A8+6JlMnWQ2K/Kh5AvkJI FH/xFugDF9pxd5Bgs/3z7IuSs6rVje8oml2f78RxjW9LnV0oAWUYC+7Q50lbB+aCGn1XIGiMDTzy W132Ma2Mdihqd/oDdPRqrTj/4zqY0I9HlUME18qaxcorqsHDge1CpCgXdDuoqEnulcSpBzGQ74+N v0xpvBfcSCFHEgP+zyR5x59xfkFmy0mQmarX0+QraMhU85jwCYATcwGkLU4mo79iTUkdY7fqNou3 9YuYCMKl9TTGbP9s6HlQFrZbcIe1/hVf1zdrk9iaSffXrx+JX1jXToXboHZa8wdENeELfLWfw4Aa S800ZRQQU8T8KxrCXvDbD1RLhMqEiLkekBArRs0E3J6tHRP+KieFKKQMA6O1z5LcH6rkw1YVvhF3 vxgx4kBZ4xWlDh0X+NMr663vK/2M+kS147XA15Tcwzr3a+3pm+1COON4Ek+6wY6KlMxDEqaMuM0g xGOySNrmMd0u45ix2w5ykwimyOnRqdven4gg/QoCxQeMMQTDTY83519o1RTZ32lrwNT3ULB5k6YJ VMVolDLE42SgvfIvy3h4bIWy2I2YRGMOHTSr7PAwASup2RZUdu4E6/gZSqUfd72V97kl3bIc7Yip MICRvfnBLHNN8ZIL0S8Pt21JRZvrWJIO4dH1ta8XtlJQ3A7u8Cs7DIHxxrrj+PkWhMrbWapfz6y2 BOZS1/O5W01Y+c48AISo0GYjH+Tz8OsxFgj4/GR752GNvqxBVQB1H8NVO0t7MkIIxwNQ2j4TXvav BKt5RQlQCgZHStC8uEJCcOMMkOVijORhPWXFCVHHkcMV8Xg6Lqb+71qvhIUsBgX2G+X5oRptBhlQ C8UCkgavaTYf7+kvtq8DJamOuE2aqkqFF2qMkTVeQS/XAKPeGJUUT29U5UCujClnQhJ8GywfhzIT qeHq2u+gfhSFPmp7gM2G6h14RDtjCvDzimUqBVfYilrf2Az7R6+JBk3bCWeHqfTB1b6pivLld2Ae 6gJl/QYV0V07q8pW+1FCjg1DEZ3S8cpUFq/AVLPSls3Kb0LVsJxBnYdw9i9DWcnvqHIvR53DXz74 D5fo6slJ+9uoC2f4ndl7swQMw4iK7gXaVNCdc6B/78dVeYwEbwTRvqzab70FF32mrqoVSJyuXeds a3RyItNfsNZf++xoEYVYhXX62tOnmgcvlI1PEhYQoEBlI2Bg0jfR/ugewuulCuzdu0l7BS1e1p23 NFEkRCBArjiKXdHKZ58A/LlYLbGHqD9tJISoe+Wj/Zmbl9GqXoJcBTx2tbhRHmjlaGyXyXNOnQV0 ++anSfdnDcUbigYEFfNraGx8aW+RE8aJudQ4MUJo64l1mdbniCIrhzTndOxe7kLOPPJt45BNO3Y2 QxIe376WY4JDCIi4oWAS8ymjAnM7q+EuEtZL5w6RSKW886fMfN/1X85MgsJMHz0VegqFKxtfO3B4 jJRsDM99Ne1A+ZCbpHGozMQIbxcPvwQ1x9/V2NZv6mslQ2/fXa9QizMnOxpiEMAzHTxYOEsgb2Sz rYnX64TcWRcz+8PLbxPYRFdx290TiYpTQjc8kayJsBeC8WCX9Y41CqBXZmDS/RRyUqusZYEnc8YX OoIjf9Vl83CpIgKopbmyiHTQlp+WIiUalyMgUtLbVuG+PhRNhMFP+tvsz6NoXl0FwOoHCOq7tV2L NiMABw/tz2WWPivwU4J4JtOd+lDJbOBvSaM0R9LC+VG/COjZ0C4k24toUW5xZDOAMOMQw3Jniubv FcW1ywztHlnQ0sEWnAKmsqOKvXt5mzpvYWQ9yAK4GNj+gcHEuiCY7DU/29u5MNtkUgK0FK7bUD+T u1+EIav6q4PWgzdIkPyZV8vw5UI+EuMf5pB4VGY0onGClnJAajjjLrxnY2vq8ynO8Fte0N8TX89J 7ExXPH4XaALNbNnZ4isr3V9ODyByH5gJFD7NicITiV/ze4SNx1bRe+AYgqNHpPwidsfgeLVjJLCg 4/lLOVyElHPNjx8OJMqx8aj5rTzfljTP+FNPm+BfpA47vpMM5tBGIc7X4cGnTwjWyTJzbRUqCcfe ZYKi1+srhRcwhF6pXGVA4KQ/unqt9LseYkH46Y7kaCNBuLU6Jm3OJGediq7cxAFVdruozDB5H83C hK996liEUwlw1wPKo0eR8KvI9cPHFH896IP7w3xBOiTjAFUf050PdJ97bQnHJLLTvCSa6f13O2RG FeuSoLg9xksj3J1xkGvyusEqg7VJ4o7A1TwHq2qXbeQhTxbgR5UItj35TtR8EMjcyMqKudsKQFl/ wcnJsd05bhd0laSk/jwjOcwk6lbINBhnxj2Dw1+liaJDF+Za+qLFkKzmKe3mlkHOdwTipgwskvkW D9qpkS/JXw3jOi9iPI3wkBFncPnaGDF4ffPPO41R7jQiwoDYlwniUZUMZWDDsh9jZWAx2Av7KxLf fNul4dqN21DZuE6JZRdSDla2Ugl2fRNPcNkxLS6SAiDNaZoEEV0K0eeMhejvD+NC4KQjBQ10f7Vf PaQpCSa3d0i2NsGxN6Nh5OIUrHRSZmNijEeQ5A8oamMAOn28xcaThZ2gbEbhYbkUCirMN9DavmEY BaVw76NK8ERak+OdJEDBL8YttwnCO7AsOkoZWYpB9JB3pzLs0JJ1giOhD0I+k2E2eaT9BSP7d306 3FWL3GDjfsfWsQHj8t99ocwKzGUMY/G/2m5ef1iVCH4DacSCQnQCqXMc2SGPJzcpkOEGzG8+Svjr FOOYprbWeryKX83veAKRzX3w5vQc5WP4Jb3lN3gCPyNUrU1jcp/8Z9xDBTUXH7EMvRU+Sb+OmhOP t4TH3sil7S9ZtOWhFXp0jCz/MMyVCZhCNN5pD/2v8kS+qOGeRH+B3eXy9F+1rm+ldkAsJurnOEuU xaV6INAX6vjmQYYgIqDk7qyMWYCwCgxzliMu2sbAfL9091A4B8y4xiSSodxYl3UzapRyKTz9Y7Si Z1WKSvM3g5tIaLUhI9oooVrLxA3liVMq1S8zmXOBl1bdy0h9vl6wFzRQltn5WA4sMbjpkjM9B2Fi hudsMrB6rYSsX4TRgkcJonS7QEcQonWtDC0aHOlJkz42FRllzZuFNSMwiq9gKiilds+Sg73UaXDq xktXh66GbZRIQAmxGV31XEIvplz4rEEPZEhnoffnY0zzGJkcYMz6AvHROnDcB0povkmQRRDACSEi tCPxEa1MQ3YildtTaq8jCnbb94HyvnTSrPrODMbIialQJtTIEaV3xu081Hste9XaMRQsWsnP6jt9 8M0uqgpqfkgszR/KdjkHzSb2fo+RUJqr/Jp4NP6JvzIxS0IiNoQFpXjz15na4tckvp1eSvNuRagE rp3QlkRtqfEWlCi6t7yMKBIUEkREridl/ue6FMq+lwg2QqLZjIk23/i3xMRAALjAK0+FvaQubEdt N5kB4MAYn2iXXx/sUlbJc5WXDyEn1eYhK2OtQT/sV023zno9ZWC63iKL3hfD1w4+b41TqFpxVPNt RHjAZfYlbkjy8E/05UbY07iJvkpLusm1V+7ZGAAh+zA1yBuDlSAbnXC/0oUT2ZtwAXyO6s18jc08 dpDmun/CDRzt2pEMJf5yGl14fxsJmRL+evYOb/mvMYkHqygpSfyAQyS32gcGedmD1eNavkiKJ3k/ SiPYOsW0mAEbzpMu2/4bNGWQJT5rmD9gGzTdx7vmLlylsfxwz2/KYfK7J4wtoZNp8WeiYT/LJPNK RQrnkapoj7tgZCRqlwjFw2vQlDDSjh9m/uJByEqzB9Ehem9W1pI1vQkA4jJiiixtAu7Y0RrjH8/f rHbGHdwZKtulE2WKjdatwGd0LsH4AoE6DBBQSzTsZtG8Zz16zn1ZdBe37ZDA2a61xihiGfss/D9K b+gnONbqHaXRjm8rs/Vu+x5ELq+5DOAxlV+LtTiIz9eaJ6rmkOXV4/CKbiWZnDdSTAoLb66jB0DM 5jROIT/M80oziQqYb1OTALzJAyEgBXd5lSjtGCY5kP3BRPCoM3/3GZSXFBsIT86ZFpT/a9PovZBC i4Rs5lE0B0pimdkpIPHLZGXDasn1NPPEelAyzC+2zHHjcSB/GghPg3wzyaVRoEQuFkA6ieOXaXWA hJ9SwpfDOKD4aY7R8t6U71uOt6ZO2Pjp208XP5Hd9+K3EXZ3qVfYusqix28niUkA8MF0Pz3YYyZF YX7JLFQUaCd9BGP+7Xmj68VWiNpsDW6nbnEupIRoPe8rCvieor455uApU/BTUDjjuQwmUHagAufk sWaKhzJ7OqlglknrjOEKdNpe6hKytMDGi7gf4xqWrtZktd8R26B5ZgDDOVgCmoG+R6ttyUiOrtQL NIsnb8kuvFV6nu1I2vhXoJB/xA/OPxbNCoJsfQc9dbvxVuTvKsZqB0jGgrRqZUwkIHqq5Wi7PM0P v0mGlFLOF2bag25xkG03OsOoKAdVrPdzlP7syQxhtL8ZHlLWpr0H7STPFA1LMJe9jIfaA8ABa6Bw Pd/cAa0WY1D9imhrD0eI6QaJ8xeR+olgb48tSRQN4muQwy3sgiSCUjgoG5dy0lKBsu1AcXX6kQKR SaMVNw/xd07lbstu3L7yY3dsIoegHo+XRYqG2ejsZVHnvGPVvSktVjRsIx+hBHpm+aw/S96aOqIV SBl0/cjW6yKPUhqFSJF/rt9Ka86rGeonpMrhUoCvkwJoIx7wpqe/x1iJU5quOZyEG9CCRo8UZwj/ VrG6uzdBgjjPPi/yCkYIrwZD1ihejgCW/9NUE5Mxfwx04C2Gc/zFx40pjkz+OzURfwWhhK5PIVhm EAWHqLQspeLVjstZym45xN+RD9oIi2KHeCQEerRWaNdy2Ic6xbm8V71IiQM2E9S2heHNQZvlaXwh sgQ1BgDuVU0ZUhBq6421prwwbatEh2+q7pPJcdjYbUPTS9jbizH5kguj5hlF0u/+5o7nO16SFtds SfDFTQoEq17Huka2qBow4l5UbJltTQDuNK1+nwlW+MDH/tNMC06vT6BlyRLgysTkfVRPJeBh37Z2 FVwJStvZZUg6IC3uI26bpZyEtpz+ZKhrVmX9SzzTrmCH7ChEAtNNMz/FfA9q42yp53YEVIKwYTjv NCEvzwfRVsIqohfxsoGFP46XgiZ3EE7ZYw240kyV+gMYZQKIlu6h8uRvOVn9jQle74+RdeAcvfVu rA7KjC41dBOOPjcVdAqbujAWMTqJXmfWl4saEP6zaQ4MdLXVcl9H+PpFkyhm4onDcCcA2mgVLr2P E3i4PrMRLlV43Yv6uHu+hziwwwJswd+Ew5rBzNrTr+bJregZxqYTclB1YGZOE41REJ/qpGHVYUiP HOEUx4uOImASRFCSFXIwLysi/lNybhqQqXUdYmESPz8r8PJKPUJ5oFfFB35DkDrr2VsY3Pthj5KD zRNYYpbFwAG3/u03ySyOSbdfN11rUK7mb+B3pSMrlFX5rjzgnSGWeUAA170NjtrXWYOLqEXS34CC 0RODSQp+ffrDNA2qP7V1Bmezj08RCnztioWBCjLwmyxYSCSigxai4IqlxgjsFuGPbwOcEgKy9kjB mgiE/VWfEmKwCo8s5FpOKNumMbLPdYUq8EkywfMCziG/3r8la8kRspEaLH+XQiP/mKYjA2q7aItn 84EpFYdr6ZLKgbSuaz6rGsSqBdetSSq5nb74wc2Lv0DqoSP2V5zebK1uJoEiSVhAEjVxxiHYq5gM xPpieznTNf8frpT8TamnqWSaYcp+4xRFxhy/ZhR/zx5NAizd8NVegbFpGS9jRFG29wFKAXyjrDMj VziehGH45cpUKzkw/Hmu0zOfpbDjfnuj7fRsTsOVdS9cyWk69ZjHq4pjvSCp6AjvueHycFULpRen KH8KkZ7mQE2kbGXajZmC/uNA9Sljhn+XC9wXIuERZU2xx3hDH2rAQ4xuoCML0piwwoP5ogwGluZk nVZ3eh+ya25RHQnAnU0Ucd1wN1Ir0EuBV8k99xUmvRkME6YmsGu80ypGndv8iDH2k4p+Gk63WpJ4 jpYO7de/caUEOXdY3sWGEE++r82ZbwMWy6nnNv371k5yMBU8OmK1VYLT87WeUCD8h0rase6R3uC+ EzcwJqNzz+X8voNFzCYg6zmqPLEaE+8WaeY2Y86oI+pI9LXgvjq7yW+L+pG7Ho73YOgRhDplwU1k Qz5jOii4bonudxQ4IzNq7Wc8FNv0jQwLYGg/AB3IpgYag84gMG6f2rcQcFyKOqzv0Ks3RZtJFE8t ALPSzzx1cLXNe2fSeryNJKicPdByRPICEUuPDnsRGLOp9fJXPseMnH4yUCWBFqCnzNQdsgYplSIX HrkFfZ9QDQ3ausHrh1yLL+BjjKiPSGTm18IbKeARjOEIcpfsK6W+hogdL8O5sNEKMnwOrYNXRVYR ChXJZ/j1bib4/nNySoFxG5+wCSMSj9quysJV6IH9HNRF0K89XwhwC/CXD95Hv7DH+Bx3IiHq9gvE iHuOMyPtY8dBVO7+DOmaZndcyD9fP+7tQLwk4c2mgHAGLz5HuKDrACkYQPTEfPKApTAU5YRjWd70 lvlo2x/19ds1ZOjpgp+NpKDNfYARbIVvaEXEaloc09OGqFuTAlFhi/u+yVHyot3vPx9HcVTO3BJH bF4TDO1vofCp7C+HFJZQPJT2uFpXEMeohm6p8Po9IAbKMuqxm63FPNYld92l3+fAhDCRPI4rLaB2 fzRdQiRkpUu2D4FFiuMz9J5p8DCU0KaCSsBCAiy3HyhBjvXWjZhW+NANouIw2J7PpfBkfVPF6c+e EDhCCysn4cL24EDYrVQJ6nqBtuIGFbumplWdczHWXcjbJi5x/mcKfWrqx2gq05if59jBRe1tBvna obWV3Oaq6PsDw2DsIqliwyBSmm1EFYn+2cdQgl1u9xhw+46Q3mcspyZarnkUbosYxGaIkaRkrVJL Zi4cFkADxIhvsIz1U5jBRfCNw6xuzD6dyDQOL/8QKkyGE46p5EuCvTvLz6ivGiwt2MoayLomHQ3l FasBS4gEgZaM1q9tMnQIAbSgLwEkStfmzUL2eCt9it9m6azBgS0EUkh1V7YURNCzucaZUQSSicG+ wNvW2YJXBereCfq83+ZGqcYnA/SgJLiAwJxvky9yp22wXlifxKJjf6XOIaRVnpXddm0vf7QSVWYZ H3fkILXXik0Lmu+xe3lRYePPt+e+37hKhGRptRmOmXljxa2CVWzfTk/fQxnvDTHFs5jkTWpFqjUG VL+qrXbfvU1dg5cZIuML3f7+LGK9QaMYKhSTou8rpk1jChuzSTAS4Z2lwfsH9QmqQJlkDqjjHbKa fUmNvdA0nP05tfc1iJOiNfBNGzqhjpy2P1UA2YzCXXBdgLo6Wz8fYCoetPEzxmVmxLRJfEB9Fp97 GPA61x+oAJBQ/PVEdeyzkVXr5G/n+3r/xuz/HMIqzLVq7ZV8GRgCxuN40aPmEUT3nNKIaI9Y7M3l ra01lQKwWtPqEMGv+tpBM6x+mD0SaWGDZXv2NBzOdnzl03oz9XIOfqVKbZAEQWlEps7bgQ0fOMzu 7WaNjCqd3pnt0TTKeeyGADWexad9JGMJ+19irrzkLkC7YL7II2EU7Njw7+tE5WrQLCOFw+3f59OY LIgjLAU/LY/E+TJRXtWirszhTS+arV2cSNBJk3Q74RjtyyEofr8K/2q23YLy4L2DK/PLEy1/SXn8 PQD6xBKcicyXSI0ZCMfDJcs4F8K788Ej4UkHChbpnLhZJdKfuxBnyQzQzu2jouP6Y+l8og3hVBH5 Ymb/bRB3z8hVtSRNCATaZZvmjmZdxiDaNq5vfX4l+kBQ86/mAF4BISbzS7YjO4BQpokI+JKnwtcv yOGt/J5VYp/ApIUP4K+DhO9KABzJWXzEixAZoWRtyBWtWc6ZlHmv7oELy5+PTlM1ZauH45tUM4WA LfYvfyAussGJBy61sOQNQCQeJqDAIorCN2tIoP/SRpUkoVBu+QiNDVwmOJOOWGMR1b0phSEnGWzU 74mG4lj9SP3fMNBoq9cLOWSXNVTZr/92AbilsqZcchPHmFXNeWoXL4pCLM0YBy5VT3uAp71uzCHq rrWl6dxH0Kuwk8AvA0CxVtn3PyCVe7PVqBDpEY4/VUHWfSeVuO1+VWbd/qHvIQYSMsaKWBg7Rv6T sWyk9AfYT/yWdJ3YFqBQV8Lb0XspU9LAmVCJVirvThLZc+rS6YdEqaZm9LxK/fW4FmGSCk0XPll4 esIbxjqB1GOfWNzMeRbp2BMYTFRjADcka1iqA2//dQDizgykMhMYmvrZ40W4bcMgPUgOxx6hLJ/T Min4x04FmbjTaj9IYTnzQq6Vc0XPcAkS4glDP0ZYqlnqdPUJMfOkWwST7U+iArzyAqWd9ybvZJdt kmj7+aqkTjZOPYwJH8EzsxoArsgLt24EV/vmpXc6A8TUX1bRHG1FXvgj3uHsU4/r4nOmEsHvXS2D BkucNmb9RMv3rDtoTxwV50v+uWMhIhQK97RBt+wjqD9tr2MNM+B2V9/6ckS2GUL1mcJuew7VGndg sTqCtZeptnsrs4e+/ZWEiVT7oG2EMCJP3n0A4yAUtpPlehEJGwsuuoPrDXcwVpNvn2HeB8EvMyw5 XtT/z7K3GRcHB/hN2wqmBXot7r54CmMGGeNFl4BMCMmYj2k+AnHc06UiFlg7NaSqPB5xEOEqG8aH suyfBJse/kH49mD9pR2MecFzN0sfRN8cr+DADMTi/u3eGhkxr9ixOK+B3KKv8c2GRBYaGxD3xB6o JRArwXJDsGLOJeCbZ7pg3Dg2+I9tPo2dIUgribAwSTrJYMfJNJbr28Z7/M7Oh5XYQe0zKz+N1J5T zSC8LDi45FQfwUhwKKDf9wTZeimqxCVOHuhnbxs/c2Sw/2d2TVdlFjC6vxZc1P4SRb7VVk3kHgp7 ifoIgXrS32o/W2l1Huiujji8FatOCJ6RFWYW/BOQZvsAJ1ypoYvdAXdlmGOZuqYSUwHYB+q72Fz9 qSXiQAAoEMWNa3DrJ2SA4m5WjdGr4vLN4YD20s0aiQXgD7sSFAMqqltvOmFKPb6wzxxaMEFXxril T6qHHW4eHn/IfxLXgn9vLxvN6liGpN0MJgH9ea7Kp2JXzubMfaghvUZnv2rP/bvP81RZbIm/bphP RKyPG0SEtnu27gwLPEkeC6rw6m5Csr/ddxUDU1hEj01wfjtPP63a0A/0f+qTda6xCXNz6VmWxXZb Cpu/noTCw3cjjssArwbsR9ROMCaNpS7krMtjhG9cmiUBqpYM+iPD5H76i79Fl3aWnsdE/Ir4Lq8R Tqs/OANbB7wMWXzn/KCEmQ/YTzg+PTQeJQTRN8yU4qmEL5Vx0yaCF3nAe0jXpjoTCbBL0W6e2+g4 FjE3kcYUMi7TDVOP7sGD82UQwzFMEkWoF3oYNh/R1lTEhZ0el/dh2czwGN1U/YRva1cqd+bfHUfc cNp0ocdSTRf+CgFZsziPuwuchJaeEPOKfSsLZMigsbY2EEYr7wOyK5vDHU9EFdWLHXJL2C5rFZxD AtqxVdEsWvxGO+hEUNPuxaw028i/sKX+te281CJCEKFKsfL1IcOADJIACeXGcmit/0oraZw3wrML hmc64PPVGPq48QWTE7j5gr3h0N+rQ3cwjqHza1WjGux7hPASHN21gqsXnAxWpgjzjWPW9ckCtshg PvMHqY4w/lgS0XAs5ccu9UWqSeA/AXROl/Dhy3cZokXm39mrBZacIMtJDydtMMBiAgt+kUW1PtFx 0kcNB42uJro6kI9qLUXdYugetaHXouhLslEsTvJJE3olCLcOrLsX1AkFSCrwemEYD1fl56tC4r+z fQQvG2yU10QKPhIlnz7WoD8YNtkFn8w+XLFrh1cxmX23pZxbhIXpVMRzrtKHX71Q6mfZm2MLqQ+C xFuFMJjCmiebZoI0+k8qaqVlHHpj+e4jZC5hphEIIE9cchGI2YkpTB4RLeN1A8AuGDwzHlAulHX/ mdJpZfnDqOC0k1GLsoeJXJrKTL3L8VEGxC0ff02PjPVil+J03kGy5/o/pPU9W7JHI2iWRaMiZvE8 aiL9ukgWDSYV81RsuliMMCzFonbx7sDdkfOvYH2CHK3bz1paUqZ5a8NlkOLpDJYxgaThhY6Egcuf iqFEom1q8jHzStNo88ombqpXs3PVtW3h3OUpzAbG7iMjxAZ0Txga4ZgnnGH4lfFWOgFI9aMur98v kaAg6RCsp4ytJ1b01ZLwgjOaJj5PjJdX/Fxv2TX/ziFMP9dzH1Od7YDEWI1UVO5pBjmHVTG66h2P 0d4odbo/y11Gg7xHekj/SqW5sySmxXnismNkNIBZW1h3z+7DmF0HCB6uDjxq/WAJkzVzfdknMDTo +9HnZhZsIuiR2rLvbQ1EUNFlptIaaCC99zbLS36uWlJKn/zebhl3txy+v3Kgn2pbfSKaqNSsjse0 jV+YxKn7hS26R9ys/hniw51CIsDcVPqNEJR9VjMn+z3fpqymj/LmzOgqlSKs81kAwKzNJtoHYqit hFsiBbL5k3maT48PCc6+wcOKNR43lbQKeaVzYZW6Y3gkOdUg7/gQHphHxuZlUJt9N5HmHz0JKKpm lymoKJ0oYAZ2yqj8dT1ki5SqIdCP+Rv/0BFeqqG6c2P6sxKY04KjVgt2Vlc6HppntMVEfCv1T2I5 Evju6EtdXnGvZLLdmD/+vLA1SbBTaykZ8aeULkjfvE4vJcvNkPv3ELbn6wMMIX2MiuSNtTV5r2o0 kyIvOlCPgFz9ceEwZc5s2WfryKta29dJIxyFvWL4vi6jzFoITTnh+CJYLhBYDeKdUaJ0JDnJjkjV fNGjUi8xg599fcunj73+nDm22qIinrmk2ApujqzFwt+tff2OSvxTtkxa7M1/IC3GyGB23frWPK89 RJmD+MbjnK7PyyngBOz0nKbhOF3jA+GqeTDovZLNXtbs1DxBmFpNcAsxZ/DkfnJLt30gjcMbgCa6 S/LHoVlOIFDg5t61cZ6O7EezobzOmrYUfZeokDH9cJ0PbWmJbOMH2ruuYKuq6aG+pIHRQuI1xDNW KcFb71ZeTfGQDjl5YiH3hy2n4XiYVoczBzuYIrPdTUDvF8hR+Zya+4f+oOKjH+kQ2gaJPHxocPVJ XnuLGx9zRtSIrJRZGXql2ZuDGqksA/YKIGzzwEwH/BY0HAOoJ2JcuSKhcqBIy4BiTnVYhcQLTShN i399VsKlDk3QMav2k++u0CxzXC88+k9ef55lYAs0B0vv+RaAJbfIUilfXxzl1BHTZMza0gqyqDph uaxOM8+qfg6mT87PPeNge494VWxMPANh7hJDfk+bNFn1VBN6rDvPzmPlprXyzqGeh7n/8AOe2vhP 89CrzPnJsNkHXvOekamMrR5AJhGu/xL8myxIX+ZefaIus867a49ZjjdLqcj79eZAKNFGsnaN0lLJ ukVA4qZBiWsbnzAF+4ETRbztrwzhlv3UsWFsD5F4mfB1YblnaUiS+NUgdkhyH8XX7n9gEaP7ERpb EtzujgFfPlu0urcaIm9SRE2Nv9OGemgarfNgTZIygOqC3rOesJURwavJOJdMJfhu7zF08xw1DZt7 vEbkX5MnoeBpjl+sXrpuXeKaFgxN7o04AglVHwn36P6tPF83AnGwU0d+hSkmngO+e82TFD48piXB n+G1EF1SnH65sggHP6c5qVBVQnvhi0eXMPGTPLlU4tTrjBHkdzZlAAREMtlyPy5pGmr1ZvXyLltV DWc+c4j5Kcn0BdM6OfIDyH0cj8vrgscYHAdh+X2uncvoB8rX9QJIL7Io4wvpSo3W1bT6hZbVXud2 /o0PbkCdTL8jcQKNwulf3+rKsZ6OfygM4zFHQoAnaZSZg+cnu/Xr+NqpexdEwrjJ1qBuDs2rLn7r k7dnAinXFjdALyVrC7uQdzTLNEqRulRiNEWubM869a4SkcLrGFjjkQPI6HzzUuIJvp/Fw5l1sRxJ 9nuTWhVYV5AOUfJ77K3TzUnOq/q9Vm7E6/7Ru2XmhfqSwT7oC1dpSIeWCGHwqBkSgOcuoVxATFFn FpCQOxx8X85nkaIFN53U5PyKh+pMnFCHuxlxS1oXUMYpggElwRs9vRtkU63bTRwxu3dY8ro6Mwpf +j3PzxopzzHlxbZm3Jdvan297ZMqaI/UefpswSvXmzFe7wnr/rpzyQ9FvgP/Yu2kw4057DJIdPXH ScSVw2alxBuPMV6qXQJuzqg7s7obQjN11n+pmg0ctavrnQ87STeGaN1PTcFAco/WXEhKawdGwqih lhN5dFEmflIlijnEu0wTmR5PYpZJ2vP0dP0QE/MnD3fIIDQqxj5cs+L5mNQ3eH2re25Lu4gTYBXN +6LKKqZid/UBtqY9cQMbIMr3mteMvMTj1UH69EwUK7US82eIQC50pFt5qFcQYFNAGTcsZSyewNiJ n6D5Km4330j9q61zDfddNacHBtYnZ8hr9Y7u9ESLj8VtCCUDq2uLT3BwZhdfe62y9KTuh49L8YaX g9lR1+rhEKuR9d/5dExcFL8DuMa0W0oFaYV6RmSt9ECq7UJ9KSpjCEoa9+ue6gPKor4gqWCcrAUH C9fa4mDd+7ExM4oDZ1UTugfadmF67+UJ1mkzHrx5zSljnFUahuk6GQWGheJo/qFKnvbmujZF5UDl DFtaq2kz5LK66hUCWAASwwHDGg/owg4Fi21E5BAdn/zAkee8lMxkA5NuDA6oo5mlIjo08Psf6CSg SPgZXxJBLR8SuqhJrGk8TInwsvRUSDUzi+SWDoNXE5KbhHRlvCFZugb+5WK8lx7XezV9iqpMxdyF UnQFd49oRefgDpnBwHeN8AcpT9rW6RpdtIA0EEHRQoP0n/fDcWnVxkj7MlMXU6rcpvL/wsUG84Ss gNLwxdBRtx1XxqmXJK9djeZXNvaTkqr7FM/cdY1J1yyiFlqWyoRENmURHnxOspXP/+r3IZ7qYmhu +jIoUTU556DqEnQlwIqUyIWZjb4m03+On/ro41i7MGEP6yBZfMoiQNLqcjuelUnC4daLmZ38EPJz leI3aivzGYUHaNlmru0JFyEyLM2l4rfQ6S2YhNZrieA3dAt1UL8dME+69SNRNmUJMHVZa9EHy5rh 6zfa0zVHBhOBONi85pw2bLJnxHqh8PweobVJuqzChvBVcr1LRkO9esmyPYNyIGDpRM+Hr2W4CchV 0jnUyd6H7XB1nY/SH8MVYUPSEUlBWl/lKCLzaftRxSxDV7u0O7HabOKMXIM8VX5ffIrtd+TaVPD8 XLZ0W/zQZTX7BflNlU9iJSSHJz5EebwNudgRtoSlAKAZZFjNkk5oieK0AI1rYZXAfIw8DoXUVEE6 D0TFK0CCeheQW8v9UTZEaWAnfEY+toYR1bW2a9+ZCp1dSXRfc0YZLHU6ExN09dy9gjJSv04DkePR 9dDFqt6FIX6Gng7jJIjz/hw/TcLhLMVqiNmCHA3FLhiZB9G8NzzXJg5lKbLEcecqWdc5EwRZDllo YiTe51PiYK2ipoedO+JYrqizkLDet29r3Br+NlzVGFILdWhef3TCYIxl6bdo3UbaSYgxzjZ5Gv7R aCMhZYSYbEiHcQgXLi1kDNu3kwliH0zLwa8+AYaQiUrtK9+nOy5ldWS45MnlMC+9CdkMGo/6A342 jjOaF1lehTDLNXHecJ0gdbdu86Gt8HPxeGvd41AFl95mKUiSsddz3B7Dajz2/r0OAdyY3hmvnGw1 J3jWcgneTxBtG11fqKU1exOqxATxEfdyJ0nkz45Ee9O94GltUnamPdemI2wPjuIvjoUakG+9M/Vw JrSRQ6hefeGPc+0rU1czVmZhZhL8ywh/Jot8uMUt7OGs35bQRiU5Qy2vGfKAtdiGCz5zQp9srl68 tlHl4ND+hEso3YLdyzztd5QR7FVPgrit8MdKi7nhBVy7YzqpgusATv0u+CLGNZzroVY2ITtW/ox5 o4oataZXztQvDee9o6913KVhbvVK611RVyTw0qNupIgv9aBhuyogPc0ZJilzly7WhNs29AJItBE4 KkRcmThhgGT6Nabw0T+qbYvoY62BFrTEl7qLEYoatBxdoG48BiRgbwFEiHMkrmj5+IAu+bYhB7QJ hnAhCr2/QdWx3qDpxdldf8iQK2NSABz4muong3VitxdQL+qHJkiI8iBvwvjR7QIb5JOfl4eQIISX cyqZAb3kuJv+oI5WNawjRD3Ef2yE9yP+1aQddf24hFOldlnSop/SdVFKMZqKsNG4Rh/yjgq2HnRi w3oU6JTwqtbUAw9yIG7UgnlAoG/ZbymoUDWVTC0BQy4nc077Jnd8/ySztCUaAk8KAZ/h4sLhhaMj rizuHaz+jrPwAd+eweonfFNWqlpdFoLTL1/Xwuawn1fLzfTgB53G0S8zt1wsRBuzw+BL2znqszhL A/nWO0yaEREtuafYd5aDNlIoQA5kk9/ltquNpmxH6PUm/mqi4gZkeXkaAhfwjNCU8TKHAdsng/G/ /goPPx6fzya9r+SrqVi0HF3MjMsq+4kF8cHd+mh8jELUF6R2nwzm3uffaTHjfH+yNL6nR+eSNOhn nD0cANr03XbiHYGHsHgZoGNhzWAy/u6XC9ewCtoI8agzOn3eXQLoK75nTp7GY3u1KDE9CLgfH458 cPY/BFeKZU+72k7pY8WZEnZ3JfJPIEigYjGS5n218zTnkEFGtRkicHsz/sVMENlO9OblnzH1FP5s uKr8RbXI1aYVlNe0dyZS5yCBmx8elzJLhth+k+GzqiN4H+lYFV7Zls57dDnLn3e6btE8QI269+Tj XjtPZ9Ihhb5iE2drmI7z4pTIABIWoHU098LfX78OdzzU6L8/P5AvFuzET4vlkch1Yqh20e+MWlP9 UWcyU7Z/5r3a7hBzGTsBBBl/4guISjFYu1p+50AOSzF3BMgyiiTkoBcpLj+8qZGBULtF4P2lhlBO aIOSfcVr8ZZ0owky3L4+zu47GZ8kH8OMple8LiItCB7nbdUOwol41qvKkDbjHxjkjIBM5SRF0GcI EM30hNMEdTFK94bOu8xxMMoSPrspBxxIVzFDN54uCWB8ZEmTNt1ri9XmFcFbN/fMdLEO880o3EJx yEt+J6gAxhzzz182pqNT3Upueh+dJ8bQ4b26Fg6nC4RQu278XZYuFW6jI2V5spB2IsOyVprRg/HX S+rr31DCTBhgTJp0wg0bJl2q2UMvgqQY96vqD+JrrqzVNeSUHo2rh3KqLseZR05OJNGxFuZbD2bf P9MJ/eLtrhrB+zZM5cy5aLrgOzoN7PamMXxVKz9PFbQwkKCDcvcOpTUcw22OTriH+O3ifu5rJroS yBiEz+Q3TDEPBkqQ94pPvla2HEwj91F8v9c+nQrJCzv8JGWvQ1avgLv9GWaKTs9fPhPk6TGB2jJW +6Q6UJzGv2xMAi4I4LlJvcwFVJ6G0CtpxV1/QAXbae2Y5JThnOYlDOKFdEP7LHtOmOfpUCoMBf8R EO0zIzNSt9MXqOXxh53yvLQgK/p+ZcVO64rm44RU5FtbP5CvIz2R9oyVxYyK5PKPNi/YAVj6Xc2A RchNs+ay3HO5z4xCLME8nBAYETguhWXNIXHX7U/lit08ju/57loxk3LDnL/xhc0FALwn14cVigGe GAcNigXWCdIEE2gGAQodhZFr8hfMSFQ7gAj3fRYGhb8qxb3DBMKBQ5wqNq0VQxG5MfTQq2B+JJpH 0EIuoPgOCFtqqV1dKuoYzEjYx4rRvgHop9F5R49bP97RlOmyhuRq7alffw+57mTJzCxo42t6YMcY ffZ6j8SejTFhjxezp6SWZXc8vg/SCF+2Pg6RdU/1IDmWB/QzOM5uwEFyzy+NP6kYo3bLRrJOfB5p SwrCwo4SPuqeQ6Zhd2uQs1PdwN0/a6kS96jzXsrtAodHGuoFSGgLa04cu1NjfZCXBkRr+qCAB2Rc 7VuX2gur5EnJAyZRg7RNqedQj/Ql6EEgGQcySVE2V8gOalO98UCN7xzfMvXIOhv9/OscxGWmYWd3 4gCeBMMLgd+5itMBlu2406XzHZQoU+VYXupObAWCqkyO/vna67vBNBNEyqCGD0/zKIUM5/QQ8x8M 39CTtYexYJcjQhqlNFTshPXEzPTPnE4xV3GFA4+il7wHeWqDaqioGPHmUe1UOgwX9NeIIkNe+847 Mi3JD2kCCEMM/XTQ1GpspC6XI3iNNQnIOyBTKm3AJWNb2DdWNdni8utdRdRp0/eOyZNvf1D8QBoH +psON6Nyy0d9XPnnewnPhE628kvCeULk38zd//MwoGOGez18oYS7hn7W3tQLD3VzOjzJioswmy2X gL5+S+6mSdwgp4VGav2iDhiaF7w+SRcp0wXhqCk3QMAERuW+R7FSY7ifMH39NAYbnIAtbFRDZPVq ShyQJeGLCmBdPld4Fh7OHeFgS7q3lxQCmRlpxqlijk5t/aObvIYu7eJBwpHLyQKU2kD+45HXvkPX 21o0Cp8TBkQpwSTqcUZX76xwOK+uVhzb/mZxVjp0GzmKRt+yVMiiRVgMOnwZis4HF3UlRiJa1XwW 5lhqPvFhGXK8/zXfrKW1hs8qJx8E/2dxdjmYKNur5MbpNY5Y9zsUtW7uWVwtGrejnJ+7xd74U9U2 8bJWC69aTpPFAeeUQ8TooSdK08M8H7YMbkuCoSEOnbzeov9fBv6/wMqlJq9DOHkYlgBQ5CyTxMs6 /ilJGfxvGSf39SMFjvZ184Gd8JL4ShBhT8COIBN5Sv4oHHlQt0RQOEB0qGpFGf57+a3+g5cYGqQ2 RRAxVlpzxUObS3cZpbO9PY2K+boMXb1G1hkw8wW+DnTq5ymsHIWe8KuPAcdsg02HzZycz9+ExjSH adQ2S8KSp3X4dKqpe6qDrSlIDIY71aP2MvFPInBTFSy/bPgRBGlOLg2dXih0DylqCVBbonq2op0N zs1uGYmBwy/nFC6Spux41jPkzVLl1xSVzpIUtUmG32ZNA+oVcssFm8HP4ihf8wZATteTe9ulEv9J w0qVB33IsTEQtvzmryFlsopmq8VHzovdyYL+x7dTx/EX2cfQTgaz4yr0L/DdE8nvRu+hODkysawH eU3v7Py8FSMU21k/bu0q8dA11CbkfVB+a+5X1T0OQhoqcf2YvCPBg08Xa4lykRiYN8LJ1vIQN5NU JJ+OVFbe4GRqCJ39R4WvSaf4YXnkrh4f5oAidqXNGUID4mvEWWUd4Q0+3I+DJgLdhVK0QnGZy3Vp bVeoI9DAbjpuU/oVxu1qO2/3QpEQpVA+zeB2ph8tD74iqT3t/CbX9z535iBoxPgfSHPbw9Uy7ioq HWbjZBOouCknsbdQsDfgFgrqmq4OgYmaoEvS/oiHbSc/hofvuHPoOLabwa3cljmNL6ssVdMpciE/ KicVkeLhFpKWhbzKYKAO3PuGqygvm7JruTPHOvnsgEC9O8gXVhxuyBpg61JKDYIpa0TH5OsfsQ8D QnqzeX1wHoYkCK+wXn6AdI/LZncTYdFnbswW+OB1DOiSaCrVWvhw1iHq+mf5hR/0lhzV6TzwuA5O r326V33BbnoQC3CW2wDUxWx8ATsZOp34QLl66txWR1QB8+lAGIe9iVKTun3XokMMX5DdMiYjbJTD cEtWG7Zp0gE2z8qiZGGBEJG9azUScv/fYflGoFkShYc3XIkOkK2YDT9c+qJPqvRauWPbtFfd2VTm eubHFL8x7Hwaq/aLRdkkHzCsK/pTpB9JHn7oeVc/sJQq8XCavhSX13s1H8PvHZXFa/QmhebSmvE8 QLqcmR9HR3PeoLtGESblvCSJ0P4BZKqI/wWdrhSxuCAVM8j21+9kFz0olgIZkHGfJmdrVNYVE38K v+8ErLi6VlNkkiXtl1k3+I1VzGLOrlDOmpaVY6UvAhnuxoJW1zM1F4rLLNRtubnPtz+YH9Ari2N6 9swn6nqGaflI8ka+fj9xCItUZpjUuGktJf4AuQfeZ7geXwj/9OCDsUBWaPOXeM54A2qOrw6MroOf CUdQHaj7zjLr1/ikWj8nc5waM3U+r079sIOS8R24TUydw3L2PvbvQjzNLfZY30op1X9EaPIEfL3Y 7ZhZviU7G267+wre2CbbDVlLNW186MfgcBJ8Ibt+ruJD9fWpBSW//+Mpgm5pN2URXJf3KNER6If3 +/FRguPdNi82LqKXHv9UBv/71F9cI5xeSGhdccf2wWUrj4JAxSzDKZzQeDIzgtLYCJWF9IVLr0cp AjHpBWffuyKs1CO4S/zhIMb1yrYR34+LE9h+zkXluSDE+S6d/+cnszVwx+VvTYNnI67UdhJ/eCR/ MAYTl4JBZcNwSwUy3oDsypEe1CoN3yQ4zLfz2UyVtcrdZ6/dpozI6MNPaEdAb88Yrdfg01j1JWcQ EaNjgoaD2IW+1gPNruWNuifuZk2S3yPfBVGem3IWzoo2Mz+0vxqzO6FM6OX9r0CTVhGoRn3tt3t6 fUjJ5OinfEYSFyW4dde8OIFJ9YHagRQSztWP1oyLv6E2mnci2TfooGWifTTJmLK9C6vDczrRI1qI 4WkQKjX2ELv5PfYhhVCJhE0S1S56/Phyc0M2x1yV5w9Au2jqvyq6hOaSmXDWTMePfIxpVKnfo8lF pLGlNz2sGXN/MzP0cBwa6pWvf4nWANAj2gE7mySY+i7cf3sS5cNPKgTr6g8s++50Xv8x3IEbGnpR BuMGNZ7GNN1QyzkoSKtPp04ca0AE2wr0xsSHW77QxnjLlRGG0XucR3wM2eDJy8qhOtiUkBjkkWvK oheMkBiOngfZaoZ1AaFQVbTB2VHFAkZffqrbNI6IAD/NQkVm+Xc2BCTEaLUwSVZLMaNzbhKNxCl0 u+q3HJCQZwgHgu2BNNT+Nhx6dLkHRF76zLF03ufB3eOd9xheFbqDsXNWTqga9Y2+NeZ0RCe+vlgv 2F8RuCwUYJDOwWxnzOWQ+tg73ubJVlkN/D9uq3CXi9L1ZJpDiPtuMA+tQn0TayarHSuzdBeyg/OC 3wvBxohhT9yBXWNgj1Uq68nZqQbfW92ceJooKXMHll5XpbGZTBmDJtsUU9rHBflRU4IT1RDufvPO 240dUGBW5geUAmdDAlKg69s+m2Y/sgtWNuwaIzzS8vllHRxS0X+o0OkNpCcDh1fc9LnKk1c0CJT6 KOZgwiv2QXZrl9qJ6TdSdy/z7xueYbONnl7jzLD4q1LLQbUdO+xlPEhuCIBY4rNS0DQ0UY9Kw11r hNnJSbITBUxj0yrlrYEXz0zgbhPdD46YY+cRkfs2u9gLFjyytQnWeSZOMzApSiFyrKJB7gPVC73I aV++QqIiUnuLFPv81HqhXGsfOplqF/hCZoM4fzcEq8isa0vwcFoCzRNQDakJKgApXzi85+SjzlvP 52pnemkPtJBrkqX+a5gjng6yWYnwnU45D5VJtyQL3mRnRjVRI0oFVDNiXeSslk6cvfMtXJKxBo34 wD7V9CWjexPLRkNasDr4OxOQGpKegbndyeghXK75TexZBa81r7yI0NAL+8z10tTWP90DKbHFpFAm jYaE2YGMwTO9JqfngUz6XJDVHSoTB98D6az9G6CycmFO14qv/sX9+2TSWee6/PQvVKcvFxhYKGRf GSPi/P5k91yWnWm7QyLDHtI8R1deFZUpAPtHPA1YP9NOtos+3VeOnKDnAmTz1WHyJbmPZcW7qgb7 3X2h7wEVdTpeYPA1FnGA61yMESgqLcDB77LDL2mHjEFx4Nh3P1iiHu426daB2iqZ45DKOEmK+RiP SY86x4+ulBaYt0X6zKbgSrF3CBVKLFLKDJ1/Ku11/YidBAL9k8qUX1/9gSGCDNRb8eArWd5gXOKd SSZZf7PmG0GKs63lff3Qusb5YKwLiGeh74PeCsdVgZ2DPUUwwxbm5N8Ua/PE1Av8Sr8oOnIYNZkI gD5Ef8V4+JSoOwf8QYCkuL89Bpb+gOHn62mY34BiHtAJQp6TY48S/9SRwzTcPABexa9686f/1An+ emac8seRZA2Jrs9KVNgI8ctmZhc9l/mgAAbs1XUuNap0cF8SN50X4NhWH2xidiu7V6Kd9bRdbEin mWFz5Fb7nx6ocvW/9YntA0mNOPdKgsrUiq50ROJ5aSzC+LJmgiqxy/e+M3SxcFuDKV3UMC6WeIi/ i6EpypUwqlW8sJgR27kFboZNzgIbqdF7kxp7z1B2BXWlHlYJzm55c2vDR5DtkItKiEUTUZlG7ET0 7oaxbFYFEMpgUVcI/FSAxAv+WjT96TgR5b07KU5T8kuuyxBg5T0BSDnkQxwMlKfY+pQ6LvuE85QZ ZVCSYDNZlzUjNGkpic0JeNdlMiaEZ/MStUcjK4Hya8N9oNunTtCkryGLsHnKqypp9JYEgEl1fzLs u6TMCQfX5gtFl1yMuzQqO5NF/sz1jYTTuJmPumiYbZjfCAMUWyio6HZcCa2/pvliEgxPdFsmC1WK 3c2Er7kYVwLeQ0f87K9/CNI7AyJj8FIkDyHcB19hpAQwEYjks0hLc6Z8KPQpMBDvNKqDPMX0JmV8 /MUi2sk1BzFnvENNc3yeKmageao4rvpW/9GlfUjepKC33s7ig3OhFw+GXk/mQNqHTqP2geEt7VWr GTQMWE7EctfquCZp8FJbh2M3RjifS/hMAudeon6nw7WfhN0FwN19khSUjl97lVVTqzpOg+SdKrcz c+iofWStb1YFJo1ccMcU/zTG2sPT/Sq51ABdEyInL5IIohjM+UUQLS+HuaMRYunxHWzx+8ocAQ9C UuQg8z32uJJBHE0pQ4u6el+0GyQMFYZTaZTnUxIdQnvQItmKpHhMbSYy0YX6BsroPKHFtUoYBvN/ 42syJlK5CGD3RXRc1+sy7Q6RkooWg59W7zDMBtrqZG2PfOhMprQqo8GJtbA00ZehbpyhBbUDUnUA 3JyWrqDDm/bsqBBv7Gu0BbiI+uW2XYUi7+w7cO5HDAu6ZrJmjM56D11qQWVY95CFb7oR+dhkDCnJ xSlKSYc+Szarl4wqOCU74oufQYljMCYT+GRw8Be+VTcXWNxDqrDYU13q+IFQg+HHMER/nHvpwfY0 +E+s/A5Ss6ztVvGeyZ5I0bMGSE77meSTatpcYoYto0ZETLRVWMLnQsjoJUC+7idaMtNNGGKjtbC/ PT7wrat5xybtO58o2Wawvl4xzuQjxp5weu/f+9gf1T1kP3ABV3IJdBj9LQE6JzxVqEsFP0jqi6nS vxkVxYXTR5OT+R4HYBYbO8X1IpUmV9aa+qJAm/V81c3fegjTOw98TkNVhskzUOrgMO8+kph5vpWg E9RM9Ru0iOq9fw+CbLHj9C8VkHDKS6UtxBqYEH2Wgn1HgdT5ziMepFMxFGGyR8sDkrC2Krx55eCM d3D1KwKE22rv4uD0JSoa75ubKrsjt+BHxyyXpHuL+Fo1KLFi9XJ2mom7rvFb11kr9Ol3B2V5kCdr RkZS+pf3ynTKa7bh6qXD6QRnXgjDtK/EWgYWREO3kLZhwRW/rl0/D1jGCSV0UARbMvbpiD38kxkk /xRVmN8A7lJ3qBlfS64X1o1VausBJfrjSkid2T8pd7NLYwtsPzU4YU7UHXo/PClYkigsxQVZg739 w4alfcrRYGak74gBClbJIyCZKpY/Pb0IJHvNN15zCFcK/CaSn/ziaRkoNhCxL220LekoYmMH2xgB ZFzbzh7mRd3NgCokwcsl1is8JjqU8cxq+GQCn2gLsEEf4AgdL58U5PvYMIHG2HrGHpZFzaVHI/ea kfMSk5tdZijto0PKv+p5f2LMH1VMx2ZQf6GTu/4QGAxgGf7yId3QMqJ6rxfAkrQh9wwy2k7Q60te sKspGtbcMGSqX85SjKwokHV2gyzpX6F942w6fE14hPPIIAGXxCLUn+P435IdwWcJdO4Q6uG1G8jl ISIdjbn4ST4rDeletmRLXE/dpcMVaTPFeMDSYOCkXUGWL6iGFNjxdJoWxx7n5XuYMKX4+sa5e9Ox 6OUbBNS8E9Cu/pAYa6k8NOfC0Vzp5V7eDmgo2z5ZfwqdghdTVCr3Cmfw1ittBgbwL2Xs7CCFRQGp AdCVpsRtj77iIPFMdb1P+ssxemZQwVIafQf4sqi7oLcG8U4Z0b96bD4l/aQp6WLfKTkFel6OKh6z cHEVmYsRDwno6ybSsJjEfFeCQzelH6qx0Z+5E3nj51q5e1/DDp72wEQvbUQzHB7B09Z3s/FGP/Em xD3ySUpwOK0bnjO2qB0iz5+gU1vAjY0+05WOGgO/a4mC5f2F+1KBR30b3z4/XWoSptjc5PEa/TJ/ N8oCSduII81GiOsLpXj0CQY2VF8T4pom4FDELhvNKJ17KuapeJS5eFMSfafgKGJ/3I/tXP/GNV1q Rhbux6KQSKPVuvCvsR7xjDNWTBP4Nqsh32wPOVsRfAT1WZZfN0MeuuqUEg9yks6CRfWI6iccrtxw sLiXx4M7wNIXGghiMFXSCRq/y+ColXUzsD3ZOFHAfcU9506H4mykbHRcsAf0eH0G6FYudmQkFNUC rw31T21OgUa+OMXt4a8ILcAO3M0w7CcFVZT/93QoEyxxP4kAlkb90MgtTyQxYsBFiw3Qr6QEqZoB oZPWv9rjyFCT/6ZXe6RtdYLewv9j/sNnhRx9ujQetHVDmDgrg3NArwtRSsaiRa1aBnCd1E1GL91k D1BJngVfijr+zTHTjU7UthfUuMnouz1gE4StcZOu4rw3DyN/Lf5O63rbRxWb7/jvP2ZEV1zUaPFq cnMb9IiMQuWT98rqR/9TYkfvkoFUPHS/d+xa/Jnrdy1vVfyw//k9X2mMiFgMnnfrEEB4BWQyJklf S8AZDhUWlDciVHNa62q+M5+qht67ab4hke0rWW2J31c4xZLBsKFtAcpI4lu9GzLRysJYLh5Wzxx6 oxRvPQo6jO3+9BADpkcM/46Xj4iCjRXRwm0EBMt8nj1uxX7Bz4iaAcaJPYwkgwdiym+r4DJnTk7b JapKjeUTylBXp1igOhVZGmurgAk2XTbxuMwsBSOWWzc3PLs/fDYf57n9TJfd4h72yZozWVARZRFN /8LoAljPaXletYFuCb9WKTvrNE/15+nlz0zJ9UYTMDT1fipB0SkMVwSCyQI1ZrIddzxyta/Rx2Yj kzEF9YdyRiR20ZwisEl4CMjso3Qs+74zn+mMxCw7/uSrB+we68MX8+4hlr73kx0IVyatLlRAludH x8VqB7Xto9X9Wu/l+8sObmNyztLKNUgvy/OR3DruMgdF4cCrRG3Y0o04LhyZiNAIxt0yQJfDaCeD NmNYARCLSsfAh6PdaDKvE1qAdhvoav5vpl4V3YKO79zpsPhdf4NyaBEKKMiB5EbR3tVGafOlsyYU COOlN5XlR3HHkDPXifHyCHuiuE1N7XX3i5yz+m9n5sOxczdhpwwXfFtsWNq6IC+s2ZUeKgnMvSfH Tdy1yykhYz4WRLpNGNK13SSOAOq22SPIKd8F8H8/L6aUhzI40ypKR2W3nxsi0ZFcxCH6aQMM5Pyr GppMFUyJKVMk/vHcixW7ct1afb8ox4/OWqwzE/XXRUBHwVk4hclnU2khDQkFyWbYL5cQBiFENNbR uQwJy6T8w6tv43qnLIrqXwdU7b3Ctw38enwUIRrtrNYBGhTi4S+X8l5XsDuwSuxV2T9Qql0lszrc EoDVQjCcXkCsEmMf8oJJ1EB55mr8Y0/yPBcksYuNXPxlAH89hK32GuSjS6glBLnrIcqEIaOla0lH n40lPVaAWgOoUnSn47d4vLnQJu6vCOhIjnoci0lQiGHYI+Jqpe1hmxV1/degQfqIWjDrgEq/vC9Y G/yKYeoO0VEHtSY6f/drHbh2vYM6S1P+Sugywj6TB6hf2oz2Z0ePZOmrHalLBzqsO24LGLjbl4/s CzAL89G28IEMH96aBwKuX4T+3AiCeZen103G0F1FEFVd56yO74DCIaiI19CXXTajjgFiEULvHrti UhTzSV86eV7yuBcs4eVzDAZs4lprwkMdy1Eu4BYT2yd2ipb/59pL9Vs1yn+e9PE55SDPN/V3rk9q FylOSAB8Exyv9/aCatvk8PbV/T4eKguu0beGgE+D0cgkX14VkRDG0Oo6KXtY/k3Toy0yQ6Zttdwg 6rinRQp3sGj1dEsY/cpd99EUmJ0im/aq7KTojYos/cXBzHKFZsEwgljKPL4otJkwCNlT8CZJ/KgW OY2Pn93dJhkwn+/cXj3omBXMJw0gw4BuHMMOXynDYT42H+aYfrShj0Q8hAuzoLEsXWqnpr946tKk UHPdJLQpNXRkeJNURQ+O1yXw8j+ABWIguA88PXPlL0wMO8y50VEEe7sNKNdNl55uOWmU4D/XWL/4 463AFZbJPn8BAAXxzU7T6lk0KHvSA+gqemmUntzCeb+/rFnP603mM+CGTDp0Q9fXVgkaIN90ov/N /mfPBkZB0x1M0XoC35YP9dX7ZqSCihyNmLWJhIiK85nhzU+I0EVSjwdOhGwVCIN1JAaKFR0iLOt8 xloRHojrwbwXzALjxHcd17BGqRi3oNWg6yl39UEIzSMb6iLliPTTCy9qC4ws5mKam2hg93zYfRSe 64fRHSSspnNcanjSAqH9t0MuoMvRJjcUJtuwD0LxzpG+PmuJOOq/qUf+Ne0swR6XBH2w+jz4bevo b0piWIQ0wnWeL5SjiIQQZ1kEnz9JzTJDXKR74vVhQEW1edKtnEiJTIqZlLYom9/Uk8pB1Qs8+OoF qYZ5aLYm2H+psUCwEwKQ1ikSgLA5bOCLD+QZGdiuqusG+hhF8W8zbSuNZw4vFz2Rps0yAbCIAiES +Y58B5FlTDhDBm3SsV66B39jahTBcXEJfIy5u0ArXr3oPWwATG2PFamF+EdCGnVU2JUANXBqGq+4 /RuA6JA81+yhZONYnHVuka22gvZZJ0n5hvOd+bEegm3VYnbPhWNglXbN9CWKoU/tBwWLC1y0YT9/ TghHGAVIr9AiMhoHBsnRMDoH946MSZFBAVr3ARJ17g6l3XKlsQloD9Q+HukvjO3lHql+6NpayOCB q6QmDbMuGu1/rAtpTBK31f1GeczxuACq7x/cvjXiemwwtITh09u3CuYRRVzOyzuZ1mU96SNJvkFt X6Fy60m++9w9kq8nLvDltl3Cp/26NyoOV22zzVJ1emcLW5Ty0Z2itCUQD7X+CPa/p32tOxLfzzUN c4oR7zhO+I6TctUrUY2RZuBIB4wRZup1bh6bBRsILKCm/fISDngQtQfj8HrWDExryOrXNpCpBift N75FfZpCI1MHtuernffhC41cUjQ7ftMEW7/uE3IUULXznfqvmWhTHKf0lXUTb8OcqrNUkyjkGdeR uJViBcs2NHeSZvjocL/bwDPSbjNuITCzQ5zz/bThBETEYY7GeIdU2U5rkCSyLNrrrR4lxCBHnPqT 1a0frhCKwzvrcFGSKpNsRZCHq8aVljNZCC3b3ukjo2tnfQAFNSv/7RmUDLOiVmOCOSk14ie5Et9v QZPD07WxXbwUDV7y+QjvjhuLaImiUaL3TIhNzsM0Y7TCQlmG6a5hN1eeOgVGQUOvglpjkDzt4TI/ GLRqhwqfdS3vP5IHKrALbGNhsS0owbxP4ZB3r3JCrWuJIk5yM0JiBHikqYvnKIUh5I+DzqSSuTBI QUyrTNRhLVGAgrIdIuU1VicCqvHLrvdaqD507IxmHEqeAoqyxJ8Y0Z7ZHhbMSNsF/M7bW/FoABQa No4viX5zTA2aMRrq3UW4x4PyqzYjz76MyMbqnCbJLvce4VuwFEwm2XU1knxb3Z945o7jzdUcfcp+ 5f746Mi7snsmHeI4e3wWZJHcb79koqiH4Z7Slu/WSkJvIxdVIH4GLKvWZ5z7G5PUY47aTtcYV2CD qVP0QqwQpofOaRox36Z5AyRjdcxW6bwbcS8RApWuXhY8cvTfGbnqNJej4w89AYfcI/TD6sHVGuC+ xqzOe9quTER7A9uK3GKegask2H5XAlJ7XzSgL60+X0UstYaD6sFp9r8Vz7Y6tOx+wdlPbQFQWWzE hy0m5eWt3YkCo2YwoXPOKK37TqYRidN0lOqtovp85PSNuXwiv8AdO8VTtsMqHtAvehs+GSZ4OGJV X6gP00HVHCwKhjql6t1qJQDwDmoyj+Kzo7+1NAyt6WCziiEJPzW1irsltoX0RyDx+2WCybx1jmc5 Sv/k9BRTf0OtXRinr3raZ+91c74KqormPgpLf31h+TNwIvwcvHEjybbupAtv4bEZNWrc+lHF7f7M wBN2Gp1gB/6YfrIn05PciYX9FDGkrBUZXZJf3rX942iyn4f1Eig402EnEXBeJl4BbStJQp3ZMvoP D1yNgYfObo9aJWbVn5PW4eUAIr6zA1wcODm9OmByXHB97a+sEbCCOBGy3adGv+M8YltiSie8TwRL 7tozdQ8PJ6dO8yUItUcRN2fK+KBrrErybgSZL0M24YuMs+keP5oWzk/XVYd5Qce43t4kQJHleUTj nt+2Mth5A1uydGnPuENHZ6GQZPY+YG9y4v+SlcJ6EiOo2suDTl7fhGRx7pxyshbZdmlyxTlvh8ez vT3ymK97Rt1cAxIwFlJxS3c+SfOWV7Qr2mEfK2Bj/o3qJiFp8z2mX248CGHxBjcBpMN4R4sFOUY9 bFAYyOkDTwyX3vXcMcmqoioh/LyNbExwi3Zfi+H4i1womheI+8vY22uIx/4nwhkVJStNmoJZ6yn5 Us7a2upLNWHIrEjHkm426fw9JNOcCgZfDNrc/mP8igedeqA1R93ZRa75AhJ1rklU/NuAOLtjLoV1 SIo8/tdAnLZfgSYQgVNsW3HFctsEQe0CTbgYpmJ31RtqE3/tQjsSO78dBExA+2WiAQcG6OBkc4RJ 7ssnV0XMtPnwUZNQtVuAKnUb9XGctLg6aEFLhowYKmPvigJvlPXnxfShZ6DPAEBMsB4TwE1oVwBL KAJ0jgsYS4Dd8ugz3e3iD02H5ZBxLu/wmKoqQWp8euouJgBiFwetyf7yNCcgQ2hEh147XgDTtmSr LRI3iMEc9JoTPyuw0k3rhjNKX6vCaNMuXeOuKx45fyP/ZBMosmD83cKgYfXfLw2UF3bDWma+pGD5 lw3pXeB/3fyBMCGKxFQQwpPt8QLdIk5LfilmaJcpWWilRYZSuc/eka6Rn+xAZZ9ZWDsTZhyOnnop h8AroLI2DyqfDD7Oa2Wss+5vr/fBgfrwhv99vg5wecLdta7FpJikYuSScZuFxaVUFYjfJSqatBOu P0EYwcROvm6eINIg3UXom15blkju3z3yfZRCHxjk6e7uxnzAmgKZCbuK4VyjV1Vx9fgHvK6iFo+c 9vCM0RQVn8TS3UOoyfbIS1ZZot/y80aiA84CHObhV0lLm5ExtCAgcBgfuslGVOECALSpbfyJ5Dtt aAnjweqbZ/3jjzl/rG89bkv/i2BBZqIKX6JqEkFID+qsMo+bNN78FSnrHJA+Y9HM+jA4hd0pOhUw bqJRDRYwy+ZNcDZP0k2h0Bco2w/WfG0lwz4qJXDFHE8mRZ5uDm8ZpJ6waXLxCsaJGETNXcCUlzWt hwW1W8RWOVq9Q0KdsGbimKPp7aMtASsIXlNFUjT0ymxH7L1YdziMwExWtoTDhuAVHI0z6ycAXH/P 3REDDunGQeDbSQdtDjKfaZ1ysMGORwJqoGnBhvOkuHF4AL4x2n5dlMYufjfquZ6bhuWTapbleC/K IWcNojl1awAS8xMwe4vajFREwimZgTMxN4Xi3rNHFH3WyTOXV+ayNxoAsA7owLBRMbVa+/GYUOPV kcrl6KcxuvYmxhaFvg7dohpiSlsg0JtH+7/y2039Lu0rs/++d+TDhd7MKsPHbVyHzEmCsIBtZdkN 0WuGlclmNWdu2LNOXHsa+8ZgzackXu29OcBvtQQIkub+ekv9NjL4wnzdEfIvU2yOzJu/zXcMASpJ WiT0ZACrANLYTwRLJThSQMIW2XNvwXm3nINTQEhYKiebG2hICaA6b8hdaGC/UfkL4BhnKEsZie+M +jvNrFwJDOUeObCwKygz/Wixi56CCI2whBRWxYDQl19H8RnERxFCp5hPpbAa5clkW5gxTcjWjWKr 8dtTARh4OBJAU/l9p1sJlmSJe1qV/hx7aloNsdcawBcUzeTYZE/pkTSADc2q1e0DXDaUDM90brE8 BnfvqT+q0K+wSrP3N/O0ZZmIBR6cS23979DpfEu6/xaiXLOF64duAfH0IuFoWvvgXirXborprKeG cvb0G4omI2whudf6YeuzB99ksX9Oia8pl8IcoGZXEG/pvTtxFcNi6c62fUzxZtYgUPd3l5l9bG9Y ygUSrj6v6StSG+oes46eXWJJxQbW5Py7uUu7++Mzj+bY0H1T3g+PtalEw5Yk5jXAreBhR9lkFG89 g48YGyyCQYz4A6pX57vTiWYlUnEXEzNK6kXuYFNexLx+zoMaKogqOO7yZZLdcSzAFHwmb6eiEnFb yhQmiE05Oafy62ApsCpWUxV3f8xrGN3aK87vFuFo3zgC0S4vCD4fGaFEBVBqHGh1kK/yNoi9Iohn 8nKXB06+j4Mt+Tdk1S0tJR+QPuAn0UyzNAXwVYpV8+2IzzyDkOrotSZk8lVuMHprrE6gXibq5j9P OCwhsjihzFaMpQd/KEmJW5t4/ycUe8K9HNwdPCs8AomIwiETx6Y1cvuiW9D9DOMlqT9gpAFpF0Pa a4ENS5yLt45jE3WgPjHIZwWBhgyEGjyw4fDQ40e/Y1urVu1jVA41GPSw+IzlhiukiXuxN0/7zydL F1b2trv6mwoF2K+Edf9raSwy4Av8JrEKuWG7cQlTpOUjzStUOfNBu6UFfNFpfjjiX6qKkNOtay2O wxJh1rtY+ENK7ZMdlPzF9q/mYz95mHiWjUzaJBfKY0v+cCYISCqhBd9RkRpYRmFY8Qqnk1U1WAVX 3yh0Uxx7JLBe4O1vBTUNYTNMv+WuMwj0Te+1U9sapfgysNvXxbTfaBGQziPjZadi38AxCiFtRM/0 hQ6mC+6tZnrk5WwW6ryBFYrS0Lvtzy9+DyrGr4VY6PCwgQyZmTWUdA/gbw5JHTshYw9N++kZ+Jk8 pkybJkv0teDup2oXSf8jl75mseh2QSQ6JPfNMceKPnmOTeXl//D7BQvj3qfz7gf1EeyySqZk9ghl Dm3d7AOhKNkHaqOBfIxAgrNVAQuWBW/5aTkl37d5CWC/Lzq8sF+x3o2LO0NiYJ9c9aGjXaNQV/2V YtgaLH1PqODSWRONVGAhW+5dyrMaPNOeoxQTINZ/AamUzYVSZP/b84J1kGBFINorLsyb1mlTrVmC BooFGgweb1nJRran7OUofPHFgy6N8Eva6lfov4wXUxCTeOaczam6epHP22eVnLVSNLlNo/DUXj/j yixnLI0x6C+CgRvZbivWXwuiXGMj4Li+lQiL/+sb4zxCt/KpLojpUu2bxkBGCjVUIbCass5BepQm VTdC1ICAQiNZ04KlwMk8FdSIfA4E8zTgiWwQU0w5jfXjafFsNw4X6oLdOSRUjZPdNYcYbleBGdS6 KiJWpoeZccDS2hEdS5lSEHgcGcB02ch/NEcwFPowTvvEOOgDGRbCD2LRQtNl0ILNBR+/CckX1U2F mKkLseMEHRY8v3fcXUcTOhy5nmsdxfhPnK1kE6pqkvwMQlYV9F+FbA0JRSNrdA0R++bEOVK0/kXp YJAyhuAlHNhatNzaEAwmNA1OnMHBnVV0VjyTKYl3K0sq+UYcOdXgJ+VKjw1c1Opyfn9D6kxNudhf kc9Tqup6OZDlq++1xlxLqZhXAYFNtGu3ayFtmlqxYM7Sl4ItHZrg+ZpSh0uXnsj657ZU31PEaEKr dgFpLLFNoQyFZRJSrPGhWepTMf4MrOZByFTgdUC1gf4AY3XqOUjFKtSep4uxfz0dTl+yMnTabn0v Sbp4w8nnajhDRXtSwl86P8v3Q/7wOV+8w89c8G5pN6+7GG+Sg6OELlLNTcJymV4Ngy4QvJeEDbBy jTwDmcQqCIGSJVaiCwu6dBNyZD3ysvSCapLNLjjFZbTWt5MuZqbvkyqpm8KzEssJHRLeEFwYMNay O7ReYNFh4Vuk1Fc0JzZxZMX+x0MGvi7V3voLzCTWcmfLkkVoZHB47/iTA121Mpidgj6DOvONGknS Y64oe8qdh4EdKq2YUhrpKhk9lWgb1fhURyywYExS1xaYIcoBT1nFL+RpW1QVus9yn4yFg2pp55Pv lOWkKp/pIM1hpHWS5PKbiVrvngtINg7HWGFJgje3tp2Ah4lEzuDACVswYPNQAkT6fQ0vzV2nq17X UqMVCJw5QX3X3x0DsWlUziEbXmijmvkya6+v63jhq1sgzNc4ZGTjhOuhtvfDkH9dFERZ54vrNA0u jFjh6bh5nYq9HLBuMYmDZML9EBCP6MREtFUVd826Xlb+9Sx4gevuRfPRLMpb502WlsLLG88QlMGg iFdk+edYQGud8f0wajbgP8pQIWwyjPxX5ha23O8JmUym5JMqPZgu3rrFqxJ1dh9N8A2lFtXwAols 1s/YWTg+sW2XSbYt/ZV05Y6pY/5fUGJ+ZtdsE+pwpupXR1OSXEfSpPEfIzI6iYPcy6JWZ62bGAzY HAXZXRtNmSugtNA3+x4edcRqAkDKPmsHXhQdz3nZKN5AzgFIxpmlVWbaoH/JFdcaBkSMycwwqtLl nx7b/1s3NrzAQdd3UUMNLJ50eyQb30+WEOzFlMPgtXNnq/WJcO1rw5A6W8N6AycFO3/VSLw7hpIr 5sRl9hc9PbUMbDzOu7lc/rcLOfDzFLUZR8eX8ZY/zJEuE9DpgKVp23NGq28lAFL3Hl76IVsSnan5 35L0WHfnW85FsZWnxUpJVcANUrEgjtQ7eSzOCCcp/CbFWsSFis6cQoVH++CzhfQMGfWFTWkrlV2I 9G/g+7oH9tlCf0YREzzkzYu03y/Rc0BpEh8oPlMRj6oGabjafwI2iVk6GFCVECy1wAJyD5Jjkm55 n+YBfoHXMJMq/Xi0pEQ4h9s9xARkAYQLthbScBbhjSX3mssxkd8zc8yvXGyA0EPfQNR20IyuMc3W ixacoBmtdfg0A1b2jw1h64LrrPVf6nNELmycpOMjtTCb+pK7BtM1/6O86vX1s2TwUCbkkZeSYRIm ThAl7+HsbOWWCfliDks6ONgfZ5kerXskI+Eg/792vln8ccZGuEigh+yB5ct4iGysyvbpX/bPYIeS d4+BolFrt9/fQ6YCJfwk60ZDGXCYvzYH5JpEPJpMLSqp6793gr5/Qo3PDpeRj3IXqy8wHoeNExlC G4sO8w7Yt7ie+s7TkzgjRGTsXblXGc0IMcsah69pzVBvnjthIffN8BeNOpAIkzhqDEyOXh/D8ksH SyTsbf7EI8Z61eL8B+SFeonoxfcTa/v1n1t05/sI63Jf+yW9L7FSCyB8Vle5p0H2+X/khmuieApH xLwdgmhtE9wFo0r3lS/UBrW+rFMwHE6WvXABI/xIRsxUwvZeFuNFNjl2QiuaqzL9aa64pb/elNpR Ke94KoXPq//Y4sni7HQN85q6EKPWoBGxOhe6nybQGIafJZ7/xRt8zRUEQTzsETBOIOmaeEJenRD8 LiM1ev8kz8256iky+GgRed7f2rUw/2bFVwKMFfxI6Sp8KY2xmaThx9OYJZ8qFx3++h9wBq40wM8A K/ioVB2eLQyA8rg46RHVP969bRZPqE8t0UZ6AYtY6ejC8qmxTquN/ZGNaqGXOsbD909FcmxmyeHN Fx/5BEzncFgTgAlLk5i7yddY5hktRqSW+oommqOqZkHlREcOp+x0NH/cqj3naSzMoqtIO/12D4lo 6rI/loG7IHwrrxs5NfNxyHnTugktpjrC79FtWKnreIqsZHMqgZWYKxzZDEJ2pa1aJKBeTB0oCBX9 RXlBYZ9H+PBhPBAiig8/wU6IsNprl7RBHIU9pbTg44YfufZW/WIfL95AjVydEAIFATv9EFID1Lcm YgDK12YHrHrl1yeoKNuUP3uDZ1rrVsZ4YlJG1tMijkwr25sYoUTm8AKqAeiA96LPKC4DVnGlj7XK MVL/40+Dx9G/FcIIEHY1s9OgOxeT8Rup2e5l8ij2Sk1apR5A/LDw3ceeupZh4nL24JLYy7yJTUTS WqOcdoj09m2L06Qa5iYfxRSrXOGLv8Y3G9U9FVrE9N1Pqev72PCfCGXlkboOGn8ZxW3+THBZ6uaU 2HekjdFXEupTGzVSwGZ0Cl98MabISGQhddVAMlw+E27q38EasieiFEqYVZKK0+4WciWW0yFZSu9h z3bubIw4PxTzYZa1wrbn6jxBtBUxBTPWVEHpVtoOz0zu6B55gA6HR4UkTrOuQYJ4mNR988/y47A1 YAj66gQhU2wxNe/0sibm9rOzkAKNL5de7wR/1ght73MDLgccYZ4XHhpZdV27vK/91W6PRWy85ltc dhQ2Mc4U0rMSRCT/cKxd1M0wUcsdStafNIWXOv9qM/qO2oC2RoPe4FntLiLYIBaMFJTUIZp6HutR EejAzN1ndxvqTEaGvBh56wxKNeSjlXhgqWd4rIHYHdIZ4V/0O2ne9Sdxb3OLYDmh8wx/qX2M8rov cbNBRKSHJ7KAsGhFcHAf+84BgTp55v/+l7zYKkV/MXh5SIImoYQVS9Wqea2L5SlzGzZgcr8Iv9VX GD4FP3ujrtIW8g5/+qLZ3o0TQXo9dBpmvbxlEp7IVKQE+Vfrho6lQG/gDVLktbtmYgo1mckSNcdD AnhR6U/KBPsNQ/iyswLHjGmwzZyLH7UKtYH9+fkrTZfNE8tBY3hjBrkLtFLsWq/xd/fLs9wkfyZO mH7TW0XIKrF+oQuwpCGsVSWbgQzUxWU8RxoeNsOwgVRCAmbb6Jogackoo5OmOC4o8Hhgd55YCNTk WYlWch0eFRaeGiLIJmahxUUqFVdUgKa+HrpEJnNxC57VRFFzvL1BNYXHr98o3oYWWLICDEjk+rUb YpCzCDJy2qPvyOpdI4NWDhsvp3eCUH4spiy5jsfjhzNd5s8WFh0rbyaYY5Q/UOCkL+uJ6+sxESOI Yfl6MMrAxUKdUsFUWcBJR8EYy1xW56W0iNhBDx01w+W0k5DABxEa5NNaPWDmieZG8plfHu2WL9KG HXi17B9AANDwzBrctHEFIQ+oFS4rUthWpqS55scIVxGh/fZ6LllBGdY0JFQ5inDgLW6bQ9c61P3F xdRFHS8oGVKwZaSsIafsAJKlqxLHz9qJgBvLpysJY8K4RQ21khOP2dyfi2hvysaLIr68/AolPKFT X/DBPSfhWEt6mwb5EROu/C39YwD/mWnNdn61XNCG4/NFeT2njNnhBFGhmKTftnR0WlIYC6ZUHjPB X39TO+khfMWUKchMXU08tHTahyg1lvtOEcmSv70wK4t0UWevReCD4QEc32WwRIK9Ix427dgUZEaF 7jmSjVrvLeEjx9uj4CtrPRxwBb+l+KMrNT1zVsU7PDCzbICWkfb4OZTCg2mTyV462QVyK1TTuNu6 IQLXevDktdVndG8GdFNWKkIdIeF44VojFbVe/PcqzHuF+n+NphhO1vIRHL4S1pACBdOaZTAQPPJ5 ccykG8kMGZ8+hnCy3fOhkU1XorfWVa2V6w2hKjp0gqg+KntVkB+QWPd538Za6m8p55K/5YwwIrnQ bxmAqbCZWIPzJ7q5mVs2fXr4hX5euPtPQoRo17vXZA4lekL3l3Uk7FKglX5w2TQI0Ym0KpX0/BtT Q3zeeTKHgqXG01psOzKS5dpilhsVKAdHPICbZiu3o7A8aL7q5WXf9h6/eNCuXw8nCMQtm0iyIEO3 +sbRSJuEFvMiGmPrkirzXZcc0twDFXFNAPs6lx3FGjbYumjrKvGEwJaHbpy7DOwjiC+hqzM/GUim uWDhd8zKleyj7iS4Fmj4HUTpFrAiy0EAm+ysrxcmhfnccmGgu6Y4M78p3fa+Pr06vT9O7cZs8K3C Mu2W9+8MFXWNdq0N6Nx238SoHaEnkYN5eV4liMpAko8ONOra38XxigwFEK91uG+Xgzj+/N+GSDDo BNtnY6joiOnT6zpVOI6Z3SixzlpJ3+UT/4Jr/m/khvNPfRwYCC6PO5n7D+oZuFeuL2bNHJ5xFNJp 3r69pcSFufA+khv5gvbAWvqbgos3m7njLhTnDqAR0m1yC++Q7RS4+RSbfxu0t0tm9dWgH09dR+9v qtQPVwMUex3RunPsyWpZlENBBCK7FAh1TRRyN2x2E7hNlmr/mrwXLHItcgCRGkE+fb/sg2SgkeD0 sk+i45XQExvEBKDYXz8c239IaDmWj/mfx6NQrnboyxZ39cl4UJJidYfZoz+CyDZjpliQH0q/MywD u62gU0PIgcmHymEKTWVidIqWCYmYNPZCGIIfRjPzr1Sr8kXOPJOBJ9dF96mbHhVGk+RcjSMNLY4K 6eS4LANjvRF/xg6huvz1x4rPkkcs5LaMrzDCckDhR2NCODzXByBOTbcg/v72ZFM08WF35eYphi9q aOVzIj3vaxPIFC6YgY/SEYxrPT7NhmozV22t/8BIuTC95msrKn/6uya1lmHB3iH/OzTBxBq2azSC a33Nz72TVWj0MqaLJkQ32VK/PETBUxe2vXgC7Lwgn0x/Gib0BjjWwADiinDkRFf2w7oSi3jqeFmO /hffEC/VzJHS/LoIkpi5CU0YdH0NhO+N7mYz4ggX18zYWeKGbwRhF06xa3wYwaHhq8c2D++enUos 4cdHZssHHEOBVJKo73KLtWWu30O0P8a+Ox05edDWoxFSS0nY/gwJzhwAWy7imn+cOOuHEQ0v+dgG LpknyQYvxXj2yy8NLYgHn4vapTRmbb5gx8nhCDgO/uppEcGCSLhndVQ2OayhKmpOaCJOCEnaKQLN CYxINR+VsA3orAbK/t0a1jdlaB7zHcruFddrmi2hFjq3daLmlWzLGLrEtVkXcA48WNy6uF/z1CUi jLJphFDBAkxbSPrFppwkN6azFyjmhO6QvGrMaejFwbFEJU53MXtanJfC8pPS+kqMbU+wucVSHxyI RudzGl82MJZKmBNEyYI7BlG7F80ka8takRFjxDkiWk6IiJf3PQCtBCMD7RIorveDaOp0m799Tlnz vXuHTbuH8eBYBY6VoFgdZXuDShdlOCaq77yMkVvskWD3XQyGRDL9C3JdaTwbt2nOaBdMs7znjQYz 20AeWBr/K9gQHRPCOo7OOTUpkyT6KW7dcifusuFPG5fBcxdrldcCNNHF/kHaEidWeOYj/aHq3xPV GqfWIARNVv03RJoixXUgnLEfH3xVJ7tIBvnQV5yL7ZsFVt56+Gmid6Y2SEvsU0cDz+Yj7hBSMgk4 yfXJCgVagsgPOuyTs5gPgrsEbih2CGlVUUfSB9OaJEc5SmarLL7fv5AERpZTi3UCE+OVvBU6TasO pR7eHHxk6K0ankhx4r7kAfaLGxKQMLDak24D/iFu3i8jrZ+fmL7Apa5z9JND6BbdEZfeekhBdFTL tadLLQRlLfDUJVJvvvVL9y2qJVCzU3zryZHS8cRnUq1CUUzNiSjuokr8xY4Gx2kkXumfaimR+d+O KnZO+xInifMaIgrhYTY60T8XlbH+BSBmT52ZA99pjoci3DxdeisnFAtLmCU6pnUZHnWOYQDJIqjT yRDmB4cBdYaBZJsAGUpxGCDY2oPrVtolw1cxL5qgRX1F2oj5d8tVbyUI+vZKXsM+qM3MInjtMm5f fRxSCh5LxRdjhW1xUDbPkRX3JLl6tLDNDk79/GOCdAgfr+1aTBl19ogDul5O4RgKM7RDvk5Fxoli /35q4hSYwm/OPRVD9SSnfYawvF7tlbWJbTFHgi9JLhH4MB3qcFsD3Zag+nmYgurZAtbqRwHfR0bZ Lw0sll6J9uSDCKds7JW1vYSdrGbx0RIEBGwZV1fJDEICbhFo0ns4nNF4QPAuCZ//0pk6yAkEPt/g AQwO/zlO4qCZoECRxo2LK4XFXHVJhetgVL+6vZx7u1pPss18FixQBum9uM+DtzQDmAHqzP+eFu9h Asj/HFCz7xEOZhgJYFrgOvROWLGNmU7XUm1hyxBZfIdL2w8b3RzrTYCSI4GEvnbVgHBVBnhly79/ CULmH3UcBlK067LqTHLDTz8AAXoSsrYJNkCUuEQiJOzQ81kaqal2lac1HRgaNs4usZEbJ5NU13qE RR0o0V9v7zNRFNjoZTslUOYQ/ETRWD69nbxjnm2+j+k2t/SKmo2tTEwLgRM4JXAvntmUy0jMPxti zUCfeJ5L2f+LQWC8XaupdH3OceuiLvgyPVlqmWZSnXOlJaQvZzQZEpHSiYd62eHh79l2V+NlBQzO nldg3sSg9TeYZc6PIhUNjkH4OxC2qQdpNf9aikpKoukGZSi87s0AqZ30qcBEfQTlGvqRfxPPycvk 3+AsNbztIi5hHNxsHiTrdUPVoN806x+9XbLBSRyoD6Toq+Pu8DrG7hiv2fz4K24cBzpa4K0qxYyc czDukg9b9X5smrO1sHLwUza9TgLeidOOkSnv9b43T3SNVKSzqF8ApUDrTuweroDq1sfNVJT/Vh9w FuFXN3+pJfmK6zq4+H/YN+MuWdaSU3ywKj4EuYEU04eaCf1HeOWVT/8b11oD6LuRPBZ21ACTWFk1 Dyjj+j+U9iMoXAm6RorBrTlLAdcrJ+BXEJoj6KAkYQ8PQ+LUyIH8SQwjDceJEzM6GGQZKy00lnpP 6t+Bf4oF2KuadWhNmPgDbfEBR2m4qtYDaNQzvMaipABHRhPqkRvctu/XFSK8769L6PNSS4engM5j fW8H7P/tK18K96XbFPIsxPNLEHtZ7PFfaV9cPqm1WUFcDIJKXByn3ZefNsOeHSSG3i9QkySQn7Tn XccMmm+PGGoiDbwHDHYG3r3j9rkY7wxs7iZOgH7Uiwu5DJVjlJI/ywD7+lHC46IFCUhXBSu4SFnZ k9/YUQPfQqb6VC0XEjqFw5Khjxugke7j0iMR5gxMGc22iDA0TQwaoPXUGmkxoninAWfjuPGxyAIb oBa79K5V8UMYIyvMbHOqlVpPUMI00Hi4FtIP669LktxcmK8PsTaEFBfl4y8cERlzKBq072LmH9v2 pKtc/+a6WtJ9PxgLZKkoTsmQ9GvNPHo4lWLdePNdMTh398eOeNdherc2r4vC5H7OyE4Kq+noaVUM ni1aI9q+G0D4KKZkMqbxnrPqzsW3czdmRDmy0MSxoUyOR4lbOqLM5QA1U424l/pm8q+MJyOLo9ht qCgIZyrPjGgj/EK9eE5A0A7XSCYRoyKw8Pf8jfjKmTt6Yg+N4HwULRQObOF3nOJ51CA27nm4dHWl 3wh1BDIWAdURMtHJswXnEoJU8oxC2S3XBJIPzPW4SSHMBikK6ithliV0rK34UrsMZHpt82PbnoqR +1ye5SrF6oHNm90cnR0cnqrVxtGS9oTn6lW62tZXiLfj6GoZm9JW74bx2kFjMNRVS5oxBuzPyS2G 39RYv3UwBsH9SXeT4B9jMxDbutXdNRK9a4ZXygLiRGpUBc5C6Jg0L03L3uc39PC4dnFNFRoPmF6N wRnkFzqxiEKDhdP/Sw327ZeqH5QpHfMeTC3eeSjgQ7sfrjAOWhHd6nm/w7MITgIVXxfb1+XlT4j7 6ubQZsnPKqOJiYySbIAlIcTNpyv29sB8vggH0UJztENSxYhYhmWhExmfX+FxKwSFUfR0jgvHtQjT G9PPbzqP2duD+/513A+lophsTd2VPyhnt4IIvLJQuVE9mgFYDzRMno39o1Mur9U3OBwL+ODq05IU FIoehI5KYM13jmrl3GitDFgqoFQEXp91LoOIvfWJ0o4ewbFz0sxTmspEL+nEEUgV8sG+IAyckbaV CwAT6Edb+tSCewi9GEEJwH66tViMsXaaxo0c2BJh/+jAszQvX9oj8X015Z1CZh76LGwdR+Bh+XZC xXij1wMFQdvPZ7N5/1wea0l4Xko7HUPKqROxEglmnoBYeap68nUKwEkFBzDqlGps0oqLWcDRf77S WIbQpRhmO/jARmVpRHVx8IiKl25fl0E7/Nj14r4qA0FH9dALceBYNIcd4BOgtjd/f+8Mx+khS/Wx 6fL4fcbP4jttsTwKy54hLfSZeXY1z5rald9RP5mzHgVwiRJBgGT0IOxXywh7R3QZgUMH/5XfHjLX mhyMqw7bLPjGlZuZxu5YapDvKmOrvUI84RSm+Fo7mBiz4c9O0GaPcNp0Ypyfj50BGfEq3bDeOPP9 kht3LvE1HxvvlZhjw3Tb7Zjebo8x8zEBdgkQa8Tp2jqrSQzLNHoV2W/n111FMJPNNwn1GFEXlib2 tsxGr40jaXH+M5Ey0iRN/ON1hnJ5RllPjbshO/TAhAi88SsUy/E2mrILo1FL9Jg012yJvgQYnlCf ZXikat6Z5RJNt4+BK2pBjZUC4O++lyyEo9dwDlgZBPGTJLwc30Mq7NVr1jFQwUuB3hiiarSJ8kUd mh7B0zVOXvW9tNLdgORonIswMkuZ5Mv6qPI2q57nz4qiGXEpfoRGGtY26xKhtfdN08Terl8qswGY NDto1cql9o5r6WG7vO2MB31eju2Yy8QA7afdW+Q5sk6NyfOqmCt9WKPPdjdbGctpY1jmXfDL04V5 fmtldjm5oL0kej4NepCgY+45DJheTr5W3zp/R2m1qMwYCKdGVgQ2lpbt0SGvF70KF0lJwfuRE4Y9 HqMItlFn8IMCZeMR8b+Ly8VSuPJQ9gX3TMJQesYjT5t86kWh2ich7CZcGLwrPLMV1tBJ9YBAhOou VxwWCYyvB4dPl98URckxtex3BNh4vko8ojcMUnEw04FzGiSQ/tee6kUvT9JdrrOwUNmzDQe4ZJbG FSchWhWZABEW5u5KSZbdCmUuBuiukSTuw89nxpeZrmxfpZLypIsicFHrmtiNzRLCdbIxcA0Bsbsq fQZX602jTCt7NS+FjHWOYPA+kXLDO12+PD9I3Da5/vMhYGILXbdUlpAUt3MJaIU77tJ4pujMTZZ2 Cj0SIxXbpdgsi5IN+3UQ2AsGT+YQxE0IJFFz37a6qRTMwE3oJQkRXzz9kZn5DnkcqrmDbj+sTTR3 SfZzoJPqQb7MdYBeDHl6xiqpPWMKP4BU61jDOxPNJj5NuWQrCPWgkvT4zr/0MN2jcqBWmrLsB30t UQUkKFRlFiaQUJW729p2HwE9KkYe8saVyfV6JrSTYAdjsQMyo/EmTNleBoc1DIBsV2kn6L49c4HN 0gW3WPy0oT9osIAGwiRClWJRD/hqwHfOkxVAmH0wrIvOY8OSDGJiju9Xz0WHGYeV51J4RWEF0EYJ eR3hXs6H2ABqNk6SZcvwIZsNHc5s0HTrFRqjPK35pJiVLYn4drN/m4EHh3/LxAcpyNi/SXOExx9m M0Ae8FOb1TpO3d0thRG2y4yBBYzNBaE04UXNWDvq+pXxZBI3emn/YtIu/oZ1V516X0ebG1Y0gKeM U5XmTBbJzTQ8hd7uWZzl5uplnKk+dnYnZSbVF1Cl2633+MMnF6nZtijqwv1j7bZscdn/BqJrGJEE Q7sfEvwJIpRNSB/LNt5ZKtC/SNQ3r4IrmTKo2IuzVcqhmWwF4XMeparQOX4uMK8bnjv9mn1XuIoV 6/kF48aSd2yCTxoplkAAQqiudZsq98GSYRy09/Y6bRCBKQhaZt/5qWWC3wXOFqGAHDbiq4T62Uqc eCAvhSPAgRA7trW4aWJitQof4oBPRYXML4t2wytC9XcgykjDZAZGhKBpV/84GJ0yzWJhRL363kmy zHA3YDFtc7RwLl2hFCr+q3u7E9PJJATMD+yH65IB3TzD1dMXAAQWv2Lz3sWnNOyDpMG3n6tfcyPY 4lQG3BytE1t2eovLuBG3BaxnrXe2FbjQMvZZs7aNhHp+QY3wK9oBC4dPAU4CQCEI/fHuNV64E/9o FOakXIKVXQQWRGxw0afgMqzKgzCt6AiwrHuXkfv5N3AAKa06clW7tANBl0fGQMRMwHYt04AjNMBH ehjMalnDEPzS8msE0bJMS7sgdlbrMdRfVtdSV0dyjSLDZOMgyGdbzc764Ic6tSDbwMZvfXab0Ct4 Ghw644DIkjjqCTAHjBKa1ZYjdc8Suol91EXzvu3QBUaGw0L05uXTOwM1d0CP3fCMoLNV/m7/Nmyl vOXBTykwtREu4t4qt8JRfRAyWUDPT4Dbee1OyCzlxFoEgaYSFS0AOYiOetBbe1FLX3bGJ3AD7F8I CqPNfVxVJe0eUTXlMzjeS1dDMAo2FNczVCGMql2OQB99G3CZ7lBupQhy98wLSTgKL1pVsGb+ifHJ +5MnXEE1U73m6EhBKDDpe7/EAaKxQl5hBSzKKDogljmZWSXv8ay2vlGVpjoKZUne+zLaYFTLyirj sBZLaaN+a3JM8MhPde2RNT/TsD42ygc3LTtao588tpaKqlKk21Tm6tRp0ZBwmk0fdhrY1/2SCIht zpjNsaNWk7w2HIvsD1ICME0i9teowle8njR3G6kU5fiXFjzrH1skSKOyxf1yQ0VFsRHt+2PGyO9F GzOdEkEQLUgvP0t22Mu/7dTuK9etkFdncm41vdI8Gr055X1+uSdSBhtYGLVJWtIujVqc6jL1waiW j0yzhPtWus7ychrQAjmRDA3OTBLsNSaK2cqFIL74a5sOno973o4yNinkAg7gkKomPNiRfC6OLiH1 OiU6ENDzmoteCIFvETb6g3vCH+MKYdacv8JWNOrQHl/cU903VO9NcH691NNVlhtD9xyuOPOlBpg+ XibkNBh1QtqjspDBwG/LXWXONk36cJxX7LuExM8/0qk+lW/HrXNxzsspMFh8G69qkusaChcB0thD HZCoK5dKydnKwTuPqyFkkRvr5Zp9csQYQckxAAeGmk+uuisPixW6ZJh/kzckawWUwtL1IJZMqjcu 4OZSGp1Ur4Jj7waYlYG62l7oAchkMZz8dDAx9eT9Yq4ipFNHYMMR1O8D4ILYtOEfWBZ8sKZlhnHR eF5t69bUf+SU33FI8M1r2EfGur+UmmQsdoLMTcoZre8uMHvsxX6cKHen3wa8/BCXK/pzqkh+/P1n KuRQn/nZk5+aAXAAyxuYQ0cvRlbFlZvRmtLB6wzQSx+kxZ9trUrOkd2nA9KQHIsT5yjF9EgOpt/S KrIpLVapRoHCpAy3XrG/02rrrt9JUTn0RYHqyEwsfr6C1dYGbPSZdf6yRJfdRWfWLgmxyHZ28HSh wRaxARQoQyFbEcJQFHMxNnqd4rOiGuUlUJPtb75UMeKQ8kCVBGXAKp93zdrdCogIIHKCxJy3LRM0 KAFJ1TIZaP7aJ4agWogXTSIta2t/JHCIu5HUR7nh6AwH9VX0ISRlmmcPahSZs0olms5zd/2XNZyN MDfU9W+0gPT2aEp8b8C562GI2TGGFgbrwmQT5OmOO6iwvsZSkWSlheqlfVK3M8kGFFrHhuFImrel zTC2iliMLIBtvzlDqIJcfH9HAi1+XP3k2ZwgUf0qEPOxjIcvshSzsWYHnb8xJxx5yOalATYX/L1J 2nlaNs8qqC9FDTv1BOvX3F+ILKFF0t9chWfG87rBE9WwwsO+PyLjGI5i5/w372wd6gGefDBMAOXr YcX7ApdkcyvIFpbyNUWRk7Ny980zihQWQ6GizsCOvRsY7eVwl17rAmh7QfHkXhhLLDIRQpmA7xKz J7QExFFsjKEi6vRvRkP6Qf9q/+4e4dk9TvTGb2OPurG/seSdrFKsqs9M0f2bffX4cFpLid6MDY0R +UR77HW+VITGPLgrbi6Xqq1tAND2jnq1ypNM1frqcz+JR78Eh/Hzx/U2dKGbQvjIJfqB0L1decQD 8ei/PS+i39ZQrMdvIkPyF3LeYYnPuexV6uRX36U9dnYGbDJOL7FFDUirbqPs5RdR7TKeTtbFJRHm 2Ef/VzHK2jmINaTZ5HBb65w+uWZLi41CqMsZdDc3Mn9c+7C7f4f9tilIpTWvas+ws4T+18TIgu6s 2MF4joYxdmOCW6CpQ38Bl6onAg/NUzBEO3F3ddjinQeX4ahj1oN2y9L+sdSwOBv8J4QL9rBf45sC d9c0MZLW/lwl89wom9rz+A+rX/GsU3Mq1GtanMicDA2/V7SRBTuve0F43x0c/QfjIhGoLENfHpGD Fm6JMidx/BYo9+whBbJQbq4Lz9kdtTBVKO1QS4+26xKpgktsuZu3axhur7+uYOR1SNbQlF4LFgM1 FM2f2b2sTuGWntD2F2c2hvnuBohF3zmnOOrwU5AU5OxrIsI0cykVuh+vFBnDzeDG53FDXen019Fg bCB6O48ws036BFpfRT9zgLQtdf8ohefmHi/upwVV6yStW7qEnGglQEq9VhnOOhkZVCSzvFBkRifG hNcxuUSV5qfkZbHjAUx1nccFalUD/1vDLXPIde17CgqVONsZEU+04fFovxVYCItZeVG2d5TED8om CT09DkOkEl9vbq3UkCWisEP2ePvDBpJcmCVNb6piZzGMAg+SSVopuMj+mS8mchht/bge67ltG0+L jdaSmDd8EqD7JdFFWEHV/wvrqstxByln9YFzqH4CxFKMcHnZ0/zmzUliAIMVQTy3n6IwJDQBpdf5 ujt6lX5MFxaLbFHr5jq23WOQdjc0uksNREBJPty3Cct9TM3EVAuWf978j61bLngDr2lScgFA6dMG 3K/7LZsBE12aPfggSb+Lg/AV99OnJ6TW64tBhFzATZgJQAOX8yiEfFHMaqbh1uVVmHMnq4XrL2HU nCz9B2hFtPgCIq4202/ndQcbZA6I9OzdYUpC62o/aQqlg+/jJd/OiflyuVUjL0gSLAumgX8IGi9w RVtTc5R4gu9pxNkQj3xzMItj5oaxl3myw8zbYn71+beO4KWOvkGUlcPzP9SIZVpW6UPV5BgnaorM yqCBTzC/gR27lBMMhy97iuOWU2ghJW7bftC98QdTUZeyEWpPKUyimdVu5SOgqr1NSr4E+QFUwQsq umIUqr8jvlpOiGOlXCfDVW4oJybE6BFvkofhSkyaxSnOMVci1eBldN7YFwHqJwCwuwJleaNKVLPE XM9v/sM/DmU+fRwe0gk0ZqO3Glkxy61SPJk6Bec1x9h7fGAlLxGQ+oCNhehfDnczMOd+lkn2Tvhm RTxtPbfsth9dh/QmGYESPBrT9igrb8fKnJRQQLv9rI2hs20yewcfW4Vy7hA2KBcg2zBq3EQsdKTM hMbOZPYBsOVd5KqvbxP9kLlRtySUVLsEEmWsWJdXXmbUw4eTlBZ1c6O0aVAVFInpsTvAriUT+fE/ 7uF8VlN/jpYSIwW2Je4K9kLswBIZEhmJrZ5P9BCJ3hrV+83Twz0BR/UjI7jGRj1PFHIA+kxpTjRO BlPF5ckxj8FnM9uO9Lx0NbaGLIOqbnvU14jGhde0VpHVsAjjI54GTrU4nb5A5loUboofe6I1GyvT H6medSTOGYxM0FfZxfYG417bFTItfIZ3qW3oSOZsmAWA1trkjaIkCWOO0RV8sp0dOVLgUo5DqdDI 4QWQ1/Yi4LpE5N2u3i9Z836BmTjuMUdHfRfaVjQNleOHQcz9CptaCbgWgKyrJs2yI7n2syyfWikw xE2AgW4yBCGZsbbBSCUQyzEw6DtFGQw2PxImdHlOhF85BQkeZMObn7JvCo8c7aGiVVBp/T/ODkt9 XhUFFoludbdVHxe6tEM/fPsVo+saIZ9mlUpeNfrJjBgGI7J170a4jawZH+Z5670qi/ERsf/grhQq 0BLrRNqDFeb/oj0mCHGAFsGYqj+7e7jgALW5Y2dozyocp7h9/5qYnCVvMfA7cOC2U+7BAh864Jtz OiOKDwC07qZ/9LqsDw6hEitKOJeek437rNuuxgtZDSgJYnjiOwPwhl5nrQFHBiRJqvYJA+9W8unw C7lIKGfZJMzCI7+r2K6JvYPXCciHT9xx/dAmvfZQD0pK5h/xgdSTqi0YilMBZGURvFAuHPlTRMSu n2wmRmWgURT8GL9PdQOA/9/oqR1Gc7pHgAl/Fh8mwP7+5Zw8y8qUFfIGOWRmb8lmHFbvBJw7hbWh 7L/nd0dJy24FCg6QZYdIONuqoiHlbpU4F16b87evjci9iL+ufnXU/+kJK3Tt4XZl1bRqC4bIpJfB Zp9fgdSisJEIT55ZtHFNwlIIKpGSfjqL6WxxfkKquY2ImJHYi0O/4+0lkCdVX+D61a/O4OBXsaVY kYhtH57wa7B7vlRAjdpSlSAIDkF0TCEd4epfkZKPOkAeLdFhxrBGzdPgz3QiuvJteDJzl95p8+/O c0yfPfb7R+CSn1s1v9Lo6asXaetk2uybPcbTg/dzLSvOaABHYE/tijISmWM+5iH+5meBHDtHRuD8 F6Vz8o6uLA6RgkLyKkWKIBbmfdZgh+1+Zel4858RvDOdMESNjeBOjHr0EVZJ+nhpI6GUZupFpmmc 6whyzCSg9o82aOPe1bJ81IWlY6ojNcXt/OXMQTcbCq01Z5hw3P9yIjBBUJXN25iP/ft8hjJ/UsQa Kpm6eD8DTBbCvvpE/7UMJLaLULKk2hCiIxhKTwaN+/GH41nL7wBsXAnoHGDVUHawh0tgpBFlEWeA gcScEMT48eEKnSD6DUgcby8Cp/TWyMBOX74WXTpinHrSatzjR8c9IxOQ+FNxYnovGDoRN3SRSCas f81FmqLUG33Whn+JNd2nUYEJtodCk05A1gWPbbfCD+VsTtOH4TNysPYofhAKQUO1fo0uLvCUPJIy K/kT72LpsaFKWIKVKV26Tgw3kZZKJEbfvrM5g9hsiLruPZ2CpXLhxsH7OC7eEpzKcWjfkYqVg2bX y3xwtEe/AVN8e5XEIaudbHFmrn/dhJpJ+6zNN0sPW1TfixZcZOZcfJWtp4bGgn6jSesr74D6Gc71 aPOlE1/xspAzrdZ59GK7+T2fE6inmxByRtonjYKyoXkIgA81+xMkzJ2sAeG0Xoiu0CCk/NBksTjk o2+T8e57578/YzqBf3O6VqQa7xh+APG3tvZ1S3/ElLqRkCBrkTIUopMPd8v8gs3W2nN2RkNubXZV ugPXP6YYLZ7YkHXFt8GgvNtegJLGwZAKd6JM45qqA0UwsSwYwvPgHaYZLeIByF+/26MS8qHGiKV1 uzGn0Iks10l6a7kEgWitIhUIfjtAWfBh7NVOPd+5r535bCvMGfakX6oQi7h+IZ0P/WmNuegpMwWS PlJTLFLWt7Jv4BQX43AlQbgKvYvCi2lnYdmVM6qI6FbniPwQDnozxq4F+LFU+2CdPnm56WT5ikdS Tq7Jt8fmVBrpXcRkh8QW3h736qp0ElL83nIdO4kkvTRo9oVxJ+AY8Q3Brl6J+jwWVEgZl7eqAszV fC2f3sOJx2ABvzO3NZ6J3gIYQ6+dHhmtKB/mvgPSpys4/iDaf+JyEXnyOv2XpNSrAfjMiyUROqbk toPE0vdmP93wRu64grfCg/BQsQ5ERh3FA6VpwCIAaPDWttB6diOrxoNfDM9kAupRIkPwUSRhmlty OyiR4/HvKwmVpM0s5SWRqHUeiJNpjbgINgWul8LVobYL/u+MOv9psbRVZ2vqqXlpIGMFp+qXalVn Gon1DleIUnxexRagk2lBb0bpkzZWil+bibh8KrWn4Jyn/iUoKPSVigEgCmGX+voMNPs/px7cwqwq 1yYKwvh9IV8YWSxcwGraE/zMM+Mqx7lt/bEfMQo4sPieC2iSf3g4Z5Bdbrhzuh8p/kwoZ+008sp8 4rADA4I5GpEFMuqKsWiAZYBmEwLpZ4EGSTRprwt2smhOYq33d0VNzZttintA83+dUppYqKDIkEIH 7ytNnKghalxDvSeaXQHpjrxNGaIKFopU+UKKw53SDb+GvA020zs7sFD/XU8L7Z/23gXIDC3wDoz3 KbEKglUDqUdEn02/rQ88c6yAmwX8st//C+sjRdu8pNLXnfxPIEG69KhMXFb4b8JjvNYZGms/cy6E Em8ROgau88L0o0jzS3PRyXHvu+Q1RrNPEaG3MtUAWmf/nH5vOZDrgEncxXML4WZl6s5+b5hpBB2H pqvHexcvWdIx00reEyuSK/JLst2aUOCmHIiNdJDr/C2UqfVk2h+3L6VUnaMthShp8764FrStifrF EkHn4KHoFLg/iQdNaq1gLurMXPpxWQAri5FXIr2GZ61V+ScqZnceLQcs/vF91RcLO32tp9xsi4M1 Sv+q32XmrxlPIFJrJLd1soYEl4ofCZH/+P5Qxzo+Lh09R+RCGl8D5fY+XiP3IXBDoMTMkELWUtRR bMo1CV1lMlQbr/02yvnSKzcpCoBg08MjaSwUJ7CjiaSTdi7iCJ1CbHUkm/aWguTc1AEnC7N2tWm2 ddpZaS3QmFNTDqOLI8dpnAkxt5q4LzMCrOsljRsmULpUW/sWFfWvQQoVhc3/i3z0JDyxIZ2nSN90 1o3s7CyiJ165WlpsewyST/5Pq2YS1WFFIyBXEyFUGEyvbZ5MuX9bEuFMYVAX5+0JXdLi7x4qDN29 bD8jRyvjftsFmWlvYDHgZyuq7xgYLbyO5Y/90um6l+yQjKN0N2VrG73kC8EY6Gt3e+vZyHgLY9SP z2MvGiRLsKyz+zKmYTYVYqQTsnGfNhKypmXm/TNY3JOMTio01ElQGRMvWl5ScvtX/Q7PufCRa6Tm 8awT3FbYwkU9FNsDtHT63d9AffQOB88MoAR3LseXxh32p4euwQvDPSZ8am8gS5wRivNbGspP+SAE Adq+YtoNdDEX1m1S5/dIkap9STGUEkAjLcZlXeURsiOOrskfmINHUrqR9X7B67Nt7hfKaaVhTqQq 96hRbVaji24yXMyjsOi1J8kPiYukBsrSpxKvYW5NHUyRypzyo5Eii0P79lHfU7YiP2hMkbSMKvOq p6fLTIk9gQjxXWwf89Djs1s5c57vG1Po51CK6LUSx9Na8dpSqlGup301HG9wrWOaz41b/G9/q/na v96GGC6c5ZSYQLdazfc+vqqWQRnSg3Hmry8oBsRHIPt+ABe08L48Q3qppIiRjh8yYJy8u/5BdEfV 0UAt8aye4NzRTXyIFJOd5MONLX0+bSVLtYIW2q54/1i2Km8nvG0qfk98t5ZbUCraWVkCGuhqRkvI AhgkQLyNiMoNxLn3czFXmJsLY6jsMKGhkNvwgUFwKq+1PoBndQILlDjnlVzYG4s7hirxMXlkagIf Q822Oi3KmSUV2oVl7sBsP555LShiYtpdoShAg8dMGBN9W41stDSafqBEfegdswqLwAAO9gEe860M wbOrDAZgqLlDzKoBZgah044XwbNosNoL3YsbhOXP1fsI46njHJCkPShRkwBx16eFaSkGfB7cKLxu wFQJ14COp5zMfVlaZZVV4eXvzfz2B8LieoUieZFRR9y9JQk9iApLl0olGFhmRQKUADslZguWRMw0 OXn/M1zZQvh/LIgCqLypSIEK4wm38zhrVGuwmTKw4uPBgRoDERCM5n5PB7U6xmIjKQNK+Y7ij/bu h8umWd53k5JeKCaCjv1Jz2+vdtWuooabt9GyDJgeebSXV+0Ebiq/LYPx11ie/jpVGjggh8kYeteE 0BsCvQzwql0LWtWzQB5xdmsLG8J26XoErDjwK8BeWYna91Z7nJfX9GXGxaeeF74RAnmMbKi0N/M9 NEN453NJqD5fRdIogBJcpujimF+tHDLQwegVQYtumFr8fWJD5CBq+7an42af19pm/iZ0wPUvcj3C JCOkHEY5OpKznpZOumRZKKWtGZ+gdxZiatbzYcSLA2st/xRkPOLGE6jU+7shifgtl3mNJfR2GYM1 jF1b3hMzlUjXEawMQdH5he5r7yqikUJOc8lo/M3m2p1GTVLG1kiHoI86VQJVtBP93s0S86sGo15G eMZmnqhZVSV6RO+cUd3+/FEUR5T74kkhwlK/osap+OUUNdnCNredC0ecEZr3i0sIFlDGhWJqRM7Q U4aY5XLDQlfLg3EKVQDGIDTWi8RZh8o6rHvr7WoGoMFBD4aDsgnjOl+R2P3TBWA6FoyR/hfS/k9A 824eh0Hgjx5k95kRsgC2QNf0r5kibpgd8+Atr+XBx3sYa3xijTN+yjgslm/XA+5L/DI8Yn6Hwgec ahTlFUPLQ6D46fise9VkNLjGdx7/yQFRcSDroAhNRaeSaNglXrFVPUStDdR3NZpWn/CdSMbYN1on BTFtVZaugUifFJOdD6KWaKyl5ZYsBJyy53LdQuVjAQdIQmqLwKuC5w4W3lc8UfH+4Csvr9NlImfE 9z3JL6q/urjOXZq7lVUc5VqR10byvXws8Jfu8Yb0Xjp5WA22zHNBqKth8IqyclONwBgxXLAxXlFs v/jTERiWjMFR+JEDRpZ6C6NJZE9lUSkQP5NOIblSLugsaqI8PbOIJ9bSxsMTiHrv10PAhg48EmJb TCwuN75CW7ySSgucJSmwEeU04Y7SLYQJ64oCangjALfBoTPEZjQRb5vOwMEezY6ilN0gm+dxL4kw qxHHzm/9rWhrlNJ+qKHUn0tJ2cqs9VeFawvDKhW17BLMrJOX4vo4fdphlTeohPVYtpkNBn5knF2o HXnPnnxS5p22/KcE1uzIAkKhr6H0BXQczuKsC9jWtT799/vBf26WP9cwTbLdrtlwksOwoycpYxGC n8Pv2BjGHEEcdjSzkxifqw== `protect end_protected
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block ncUawuo3vR1ZycZF8xtqqfVI6gCrdI+PWd72xdzgvbKVjiUqedCWSUEBFuuQDLCwTlT4hYrqtcoA k+jkF6hUqA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block N3KVU8m7dp9m/o5klJahn6JrAp4dPvJ5px8Qjfdd/9teg+MgeqRSyR4a+nedbYovR1iG1M+OV4GZ eedyUHeQwlftb33WHTgiSQcQOeDYQHOhB1q+SjuhN26SLFWK3YFERu3kL1tM5w3W0nuFqj+bXHZu R4gQdtVWH/+OjyCytQw= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block ZuxsHcVs7eB3t+mMECRU+c4tWaV00xKC1y8JMSw6ZK4lGIrGd9iKbAKZ3Blwh1vsVCQb3NTC7N3r Y605Rnu1VKPlFpM556/vIzoPVRgcSvlo0qBj3oTSzlA5eJk5FVF3mP4v0RD6iY8xceU38ESPNbz9 tslYUbhOJVSsY7yCjCM7p+456bByCG6ed5+0nGONoXPAT0zF3Hxdnq8qgQDMjEIvOsaFSADZUSxL WwjD6WPmcry72t5+zgCtiIUOoGhbFWqTndKP66O5YJAWE6dVlP4zMLQZZAfmdfQyazOsgs1uciSH +eAOcN/r5BkNmFBVWZOF8biq4mt3PmniNwcfbg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block ygy9fvZbqToh8lhxP+oGEoqQi72mLbOonZqXDBQOfdz3oQWE3Hi1Zc2hfB1uR17TPoqAq2eJIm6k q8c0om7asQ06vgODSHayDyQ+hyxq53TnIlLVx1AtJPfm0kI21kep00Mfc/Dwi7Qyt/ia2tlS/tQw 4OktcMlj77AyGCR8zdc= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block mcqNli4YixoMqmYwzxOZ0byTQYAQvCZuCaZ7iJ4keY79GxqKVx5edvY5HqwqCRXfHCDzwy4qGKcN pXmE+CGNG2mMTGEfU6W2QQ+HDW5dsb4d7quBuFh6+SnA7XZEst6UjKRr26YyBGTL5qgiRLyYbkFW QKRK7TmdgdCAj37TPbTPR6zjrQ3PTlWUwzVToIPxndDd6Jgk0ZyBHqXveC/6PEihQuzGKgS5GKHX 85sYZQakcEpa7RtFdztUyxh1/Do/cjYhmERWgZJD9wSCPweFJCsvo6MP2JripEEkasaBYRqfxMPN DPHGfcHemBvMggmA1I4jVeD0GpW65Lo9IxE2YQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 69328) `protect data_block SzsPkdK/1I7crNTpc3RI4Zzo7EDlvi9ZmdqF3v/0mOM1nMfLWuVA5xM+ceSYhRiIoUv52p20oOr3 +cPj/EZvd58/OJUhWlYMurzRPuH/t5scT+ttvebEypym3cw62Mxp54aDbb8XdQaQ4v40uIvFOcXU 1Oee/B3GOidaLHMdKyMcBxCuxsp+v87qzFvNW4I43lzaT7pbWGxZdigKT8VYs/DLU7nQbqO6iRhO UGyMQOPYuqRH9zEPH/0p0vHbo+KJ7yQ6HF8rTmaHoaSlts3Vvzy5gmJ8pm8kJ2WyHuzToyZ7YrWh 1ZH3zbIo1VbiCtyvygxmPxuwD9EHCV1Y0d4lmGdJCItDclTuzLeDpdmQxpwXecyxvxSHYcT8rNDB pshQBBEI+cBa33B+weASypUzSS0tPQFFGwRUKUhArutmF0wNnqwTmCcBFdA2jBy56sZ1cW3wzBqP 3NNmXrADRR+XarHAjMrwLRpx3xHMsuC0hsuF1gLQQQ9Xpkcel4RXp0LRnWacb69ij5V03XdmC79f 5M+BJum2AQcUN/m6YVnzM+fxEhIOpPGCwEOWA7xOR1lSvusx57ZUfzpN8/cB3uB/8dq141zhuijR XcvcLfDvoJ/T8WW2jNQoLP1i4hHXXS6o/tJsOjENrdPLi+Z+MEHSzvQkVFyWBen6ri2hhVg0kljt ZS71ZhHsYrVhoN3k/5uFnWmDHxg6BLGDX0qIgDhV58ZFX9RAW9kopZjpMdCg0e07nJtO3nAEJR+l ITNJY9RPZBs1wWgl1K3mL2xgAS9q0fD8mmZNtv79tzcAPfkZhJ9tdojv1Wl/XsD7wfyVkGRyRCKu hm4bVmpCaFRUEhZJ44kPH8BVd50Krd5mbFttm8ztOkDtEH0kJJxd1FFFPOuCtFG3N7jnAps2ofGr fHwmDl1T8gKzRn8osbcGRRh/r1ANaB6kOk/Zdu7fcHi2wQgenwWj0aU/dtSdyHcyFuA+xbukS7Gz fOZb+/col2lgz1AIVVU6DxAcY/g1CymSCg8VOEJAKNM1CJZQ0WZ4VyTOBvudpRn7kdscugIq4QJu 1MKApGHLw3TH834I9RXSPdHhFowK9+VCQATkbZyM9/dxue4KJPPKYg9Pc9yG0QEqsPTLFA3eeBb5 oD8kx1VSn16MB5xz2U80jAchR4L1aLyOra0Y9nZg8+i6Fcs2VpMzngM9O6Tj9eJSXCv2UqjDwxcK Q9+efuajo3/WU1djteQvKvrj2/oRy45j1vGlXUIAqU/ZbU2FJvXu0D4pdZgJGEbEHAALs7sAzMtf 9MUVwzPwU2emkbB1OBtyvEnEFGzIy8JWMkyuIt6NQ0XVSe84ZPuD/Df502GAh2yJ44KE1l8YYvgo Hd7PPtgujH28yE4B9h4cFT2JYuXocWh3WUv29bkzjfHG6wTGR2IpTtUWqbtxiwc2v6F1IeR5h2a8 K/bvf/G0HeSpdQ89U9Z1Tmg4ljm2kZGhiH1JXMj9we+vQojZjwYcVQCFU0c+Hje2nLWtXpsDQMf8 /IMVw3aRYRES+dI8iM+3ed1jYwjaa2RlGIuMLn7eUegpmQ9roRqRrfyo7fFwJh6WHdodIckPpQvf 8GLgyfJmiJ54CKN/WjIA2025UR4RUuZ/m93mycBoebN8UCYE6n88Ggr0aCRLxxLxbbRn1Q2JN9xT rY1I/MAWY3m+N8smDk7zeuB8AwW7ToZDlU2D8nbXx+4VSVGLEikYA4RqmnWOPtymzHenIx1/zBc/ qMXxSYDFlHQpSUHMd5C4yRuMxpprv2MxtHyYnv8I+3zRDG+gz55NRUVW5DvIEmeLGRV1rD4e+G42 t9z9f5kEMKbULNVjl7gHuzhIdORYaYVDSPP/HEX0hsGNNIuKImGYhrEqIGCmq/5CC9pfXsWMZ/KV 7fImzMmJrACl1+K07Uw0n0UudKp/MKLjQxDYXwLsxHphjFi4foYBABt3A8Hp80NZNZQznFnv/eBI M0fd2MYuZ6lfldBVd66fz1TUxH/U4dzyPi0cpEuEv92pzIV/amRgjKE6UQpdkUXqaW0T5pFRalji KoXt4Kf/6nA1JhzkSXhA8ryV4zIeB/W2IFjskRe4iqUaHETIp0DtmnmR4AP5Ii7P5JppPANzfcwd Yy9esEkX5MGCcIS2Auypu/WONJ669YygC5k5X3OQV9Evp0T0yE/Bp4n5PpCw5eh9WVffHLykeetl uHgL3MkEiBjQKMMSx47COuifSBBcaFhXBHeASY7XZ2DhLdrIWP/Ym12PvhwJSKXgHgGHm//0NLpT 42gvIDXUlrJEw/9zKfO+VFC10zucXpFuE/QgRTSVz1lCr6fqz28C0Qtn2xspoOkEllgdwI5rQAXN bRDQORYCX2teCHgoL/GvXZVIO7Vkqc049qib8SPKt02s5rc2K9t4TmzhzPNKYsgipraDKLXMf0KY maH8MWovIUd7ZjWQXCERExW2+H3RzPon4DFQBRzgVuQTpOXBDYYMXTZCfXdCLU3Uq3eVHO7oQ9N5 EsWCEflI9IzBLlEF/y/YJSmhaQcsQYcN6By7mY9hg1YgLwcf3dUhSAOmMe7Azj36zdFGffN+Z0Ty VFqRbHzF7R8WEKI7Q+kMQ1lZQHbc7MF8Z5TbSQp1rsV1R2RzBp+ZVBSB3C2GAt8N9L7Xe0hS7SKj nt+/qAnvnNHk7EDjmap7ohATrESHrYYDEEYtPK1mgh/OB0DysFnBQBSEJbzFOrvDHaV/C3w2gZLo s5Rw8OuTP0hr4PiR7/N6vHOa/JgvVCXqiDX/mz5WH/7UtkMjPwKCbfOLKFHUj7e1PnD8vNlvVCD/ YOk7zf6LbemqwLNUYwN+hP07VxXKWZk02Bg6DQSeOTQZ1dieDCvLTaN4uTFkpp4wvDHbdCQLJaF/ XgD/+XPeTrtJJEBE3uiT6vHq1MaqTOUU/d4D2uq0r+K01j3/lhDWEtFGkrv4QcHS1M6nXV8pRAxw t456+FPk0r4XeslgjK/eoDy85YTvgcadfZIJn9oW48xkspDj+TRKBEfvYEK7PYttT92FrNEHhHJk 0kuH5HGXNxO725iKNLMIRvTO9s7X5cQ7vhIMvYAPP8HihkItsu6MLZSI1mLbisCzK0rE5gODmUwF nnty3fuH1t8YF41GA3gQrrqWwTXdSSl7+RYxozbpbhLH9gFTkhbo1ARb5hrJtkWm5T3O0YOUEoPC ETEeDd0mHukmhq9g/7ORnTNvD3/4ZukRcOKA8bFvKtqK1CMALi2bsizjQ7JOLSEqKmjwyWer1vcx eIQlbCQzLSpTWuKuaW+FKMrhXXmCq6NnH1e5WYbs6B9V9S2d6132y7QYa9h9EDZ+t+H+31cpfRkm /xDJqdkBFqZD4RYpzqaRmfaTXzZzqhjlClULx77nSixfQeYQEFVK5fhv14L+jLOmFxJQPxAgubsO LQyYSyAhlDLyhEojpT5F8QPkYRq15nlunwwxucLjSJbErxPqRl5r5kPrswE2NwmRR0TT/f/ZaLJl SmSktJ6R+jMk+bzRVfzn9ys0bORbwNztjvLlP0ELQiRV9qrGw5Hz1e2Ye4Gu13S9UQXxK7wPt/lp TNRZbZcoT57T43B6tdVKdDOeDt8AEmbxebROv3CE0gd6CsskCDrOaJ8lp0I+NxvYNlg49p5qffDz ErGdesoMTO80Mw9nXrEuCXq9iq8ScH/qfUZD6/jkxfn/LXbp24yTw3k13LpghPDl23MFSKtNjV26 dpzJTM1EgiMbuy2KMF5ctBj6O3YihBZOsYrMJrzQBIeijRAcpcXNai9GgWsc36PXvaPzahV5wZqa BNmfSaWScXNG9fV8AHRAaqBbjoZ9oPyzLVCALoFGnJcuYR1Ic341cCKSk3RYezCJI1DSkmKA18ZY pKy73PFL8+TGAqwB+lbIciKChC0ViAs06Ppr45mO5uxlsAPRaMcAmHhoZgFh+Razq8L82Vjb8tKM MeRbeOTefpx6YL+s8M+dW+HPh3lzT8wv7OlClovFPfFt7pJMGfz0jMWk9UftCykdv8JLGhPIsxon Olu9SlMifSeBnr23LcVsYocV/Ee+yhClH87AKsKUWVUIDX/agviFPNtYyOWlpDf2fwxx6HiXLgU5 0QcSWzVWli4XglqGiIrZbLYIScTXvj7PoAuUuDdATaJ7NHtfE1zkl3WgY5fsqSO8MflWwEqYEfUJ +c9GmQY+5FDST+6lBXjvURgJsghtjEC6ENsiOtKZk9wqITLQVCI6+4J09a7eov1R4ymg2JpdaALA z+hUjtRjImoURBlXvttJGWC6v2ITlDuj4l3/5+QOdxe70k7eQLcxj4B7yHCPYEkC2eWhWRMWMmds BhXq7VouOGrA2bXtELjnXoVImTQJBN4/zjZnDCSPfc2JJucnoXED3TRPgqEMR/hwHX2HTVoh0BEE Iwhx/65eMU6r1M4GytOdUIHzckyoS8LNMSOfrEPKha/cKal/s0JbW7bM8fCQ55SUu3Rekn/FtOB0 h6oWvS5F8y6kbr1dKw8jgI5NZKnWCRN8HHnFucjNeENl4GX8SPR3qewVI2ZOkLVl+clSwDchob7U c5loRWzb8UIFYzG45W1kJzB6njbuBkgHBuZtWk2yGHS7Y+bxKdC0gZSb6dj6EfhWTwDs1eBJCzzb s1A221XNM+XcsHOm6XEIuVzK8vpvZ4rgSGqfTo2Dvb2U0c+44i7NTXxFjF0KfiB/FeOSdBqId8/o HEFffV14llmpgyy7O3/CFJlhOhYdMK7+8zuC9koDpw6zqbC1TOx4+9OqyxBWQETz65/1nSh7eoq3 /pxknZxEsJQx1jbdfU2Zq3JOzOAy/s3wmvqTZAidPXcpCwBaOu/ewh3O7Ro37ypQ502Dpsn7zQMW hoW3wYUfFqapXusSebtDW2VE9rloVHidF0+tkxMx/ps20uw6Fx8dyroMUbhiVfzUX2WT3wbVCNC8 jax4np+J3YoKkYbAOzY+CJsH/UWd0fh9TW6ONVqn9MtBwGaIe1kd9PnYTgaTnKnQ/Gjie54RtCwM LVO5n5qDzmef1RiFXlZdH3JAv4UDp40CeoMeY6VeBhkJMyndtgrwmKHyFGEV5b/i7CVOUiQdSoH6 487y/rxDJCnmiPHvL3amD/iI3OQlhaPgBwmyeLMspFHyOQ29QY97Tx1T76b7OWXkuvhnlTUBNP2n XFn2Z4jin7eglBKTmAHIf9bt0VIbTPzeVS0DBTgHQhtYca0sT9VqOT+c4pMGb+MN2Q2o76Xf4bug TVgRvqMjfFuyjdWMQAchk5B72elD3aiFP9MjkfVtW12FbWQ3CQ4gmP3/KSA8fiOp84YAmEVXaiLl l9xgjHrucFdfJVIZBelI/zTg+5pRh2bOPkDS1Bf3aLoBd9Cf3w8ERKNqvlP5rWsfgehBqdfkWJOv ru7bM1HuAUzZhf+tqYFixJWcBaeAckRM8XjTF8YvSpiSBjyrZNIae44w0GjChspFYw8kJvTo06In p79R4ZOYcElATQFHEdYvVHkLYWd16QOtilCJ9Mr2Q1JcjoY9yvMz/XDce9rAC9i7yXP00KzEfYHJ 3ehQWb3AtGwOvWA5Jv9ad+DenSISffPjiq+SgUl3a+JAmnKvYWuOKm6xnj0n+eb1QQf8i7oZ9RDh hZPy5ulrxLKuzK+7SzwMLUg+ACpw8P0SyiEapVewXcVcaQr7u36RPyIdIey2M7u2zIiP53/UEB6S jvXEnxpK7LZ9nvNMXXSdAHdxl9bXByUQOmKiWwYn0T80Tv1p6kyk/w7JidXnEc/V0+RGZTArDGQc Q27wM4nqHXjWz7fSkWfrdD9lvZ1BGrTEIFgWmhQ/OWqTqw4dLO3+d8PuD3Nk/Knfq/flzwV/mccc 6GoGfHZr/k3nGdn+hBICpo0JAJ6Rb1KEiS8a+u7Xy2wF4cT6Gtq9v5Tu6fIIFuv8XLwqgh9G+EJg 4Og27wAZUTxCzx4OxMmHkWiYewuUTIs0UK7gEtqaBGxIaAWfplBEUZLR6+5q98gFm5PZ+q43y0GO Bl96+sr5mA94TvkOI+hrHPWY84yUq5XgWytSE//hz7TFWbFl6Zy2zhPQ1UAGNMkeynsiLm4GFmhK QaRaQdORjAuuEryNVacsDTNL32TMw5uv7QMt7Qo4PgfZNRx+3vF1NCX/FSSEibVGpNQ2gMWRZ5uP 7KwMP8o+XJU9S3IQfg8d5EUmpwn0C/LbXVGffvYMn/HLaLBlHL/QYPgXYrBx5yTKiwDRx9r1UPAo 5hetK/8GD77dfsWYF698UFb8H1gzJCa7ey7RztVVVFiusKjXpj907oX4KTKnpPTcNbX55MqqMpo8 E97MhSUzs0Wl6zebSTGOUAjxodbYr4DTuE+buhzaIiH8uyv7AqL4LgW6j5PGzZOhlWGHT+T0WBnv ii2D2mmeZ6lBidO4+wrO74NgVMnia6LOG5h2uZ5YiyFdoBWlZI8NV1fQ3Fy/lb5RmwmCTC71BSmh ouJ/H8vQrGe1dHYiZLhsqtOreLOMUf6ujMLsGlHTe0CidFLiT4OcOBGGXEMB48Ju/XK8NzahTUDp LkbdddpbebhCl/rqFqf6NDP8cAHvRVRlJA3QwhagjWGJDpOKEqJtRnvf27nU31gP8CcH8y1ytyhb nF2q0gvPguTj/Zi6mEBcqph1dkymsGha6QiFsk7qHW+R3tcCafHAvQmTJ88ER91A1qTjiBaWVQsU st3zKSIZoZhDIHmrcFpCmriq7Va6sCp/WCGHRC0pbpoCOA2KZGXSqtWlfHeWh1tplolqVR6SBAr5 oPEtFednabiSeHkD4RzPqGJdcixZETFtxHK7pumGwQIbotG8LRHGcYBL5nPob+SufzcMo3yTkmX/ uVKB/tUzL4uUwQ98jzjCQnJwMljRs4S7C6S4v4DnFu/i0My/oj1TRdw4f7BsO+22+WHbJ8LMNG8m 5yyim++YCJU3Jh9SSgZ+GqEmm9AzMwS3zZJoPKZCHmwarLwWmVFMvYHaAKEflXcSs3TZrOou/SUq U1+wO4nqxXZuH4iVR7ivVcgHnr9y+0BzXPz3z97T/PW3UO2BqGB8QwokiuVX3fGwxqejIHYUeuUf SK7kwpBcwa81zpeRVKpaDxSMPtlnL2oKLKWwgjGOj2Wso1zCGfpf1oLDeiJ220Zj0KSNYWaGt97K hOrO3m3NvJWLEIXJvpWzgR4Mq46OldDSb7tAI5EUa8zAWf4BCcjs8H97pTTCFZvVD3lUCjsJgawQ UMzMY4xS8mDvB0XNlOgh6rnyzAqam7yafmY9FDZ3/yKMM6m1cKU6CvEJ6oSlMwO/qZdwi3s5ORfV rLRa1o+fMDbdb3MjSSjfkl8bgYjQainh0kvsSQV8JrtkfF4D6jr1Sq0DvbeAYLMtZbdAPpZ+WubB BABYB6AAW865vZPds587qT/w7S0z8RssvzqwgaYXZxk5qUjo6q5UMA0KYDDXXqzOMLNaEPzb2XW5 KOHeY5nLr5AeNDIafO29C14afrW3TrCrRdlv3M/iIYbTEpK29blRdEip5Q/wVxzEeudf6M4yCO0Q 7EHpcsrKl8v7SICusG5ewQu7AfBCcPOMzjOFV3rrR5K7x6IZg9y2JD+s/nmZMnCc5lvB0QY8/s01 bOgLIOu4GfVK/uqdm6swbObT78wIsHhI0bn8C1wfzhx/jfC3/UO3J1L98HfvEvKULJVIzDxxYLoV BTX/THPokHYEDTTdkmj+NJyomk1ATri/+l6xzTEPnjfiHMCccgSv2aYG8dWF7xbRtxoqm3wXGIdT lYb7ZZwGmOPz4X2CigYUrTYK1aZ2b0Gtsi7cxlFLVM9fw4fnPw9Jdnq5n0kSA2emiYFKxrb9nPiI P4ITsHtrkMdW0XS4XKeClCfZV/m12YpdTUrGBuY6woNrOhn/c4JUSeNvWErBmXiV1p3o2/6sXgBU fI8mtnlU+YgQFO6ROFDvKWb7ismvgbTmGjMd9pYKf9D4YzNE4n7lkwYpWIM5KmFeSWs2mG6apkGh SUq2hRa9BRsXNuu9t6mnGOxAoe8t0vXNZDdrw8poytgALFtkBl8/+GLxN3dkuvs4wEjEudMz7fE7 SoTYyd7MWAmXAEN1E59BGwI3SMgqN6XAIPHe1376blpPBT0OfxXOpHBrX8+LDbIs0qoTKVc/0b/3 8KS9CbMnh1KRr3XWyU0gCpbT9qnGU/m6rvlpNJPHi4XiEygTk4zoKQP3/nqewxRFy5/v1yhbQmvK CeAMllnL7m8SVMqwBTCIYEgw+N3H/JwvFiatu4Grq0gRQI5Dttb4/NYRKQytNRklJ1HrccZMej5n GiV26Mst01u0JgNbc4yVcc+cU72zHsKbuaoimh3NFFwBCbGLLO+1epzJWtDw5l4gKng8PvuFkuEC EsNYwjlVYk3ZPCzpJI2hxNKPFPzBurF+zn11zDEqTfl0f7LUUv2Qp6TjiEf+oxqIWRuPcqOV259r 9Y/h39A5/RCU5cCMrJWteYi75v4PmFvKDk2phc6MLflS3QdKwiv1C22qeG7B+O3CWT2HQ3ic/VyX 2ewUkqNuSurGqR+EhBoSy48dLvcY0M2HiLfB3HeQYHV/A6bsXNubvHr6GC22hT/S8e12BGuIfe67 32yY2BLUUG0uPNRBBiqTakfMpKFDbsXi3uwta9iBe1VG0AonY7UH8jFyCLzphhcn+oUa/E6klT08 R0pn0jbVAftb2iubL/SQmsnRXy8rGOv749dh5Xo/zCGXldkcQ84026dmREfSTpPen5BrNS39ARBr CjRsvhG40J13qwF/ja0zE+6yQ16M4NjMl9JA3NHc8doaJkEOq30ZSgUGCx4gIRHRKsgODJ+qmT3z 9LxsZK2N82ALqhRLoj48Kax7+ATpKWbeVqTfHGyXGfGfm/2vU6C9ORJPD9eVdFk6YkwyyRACvfjf 0hUCdDaAsJqhDN7lDKojhUZ5qt/b/YODvsGoxRy2lfIB4OvnmlBfswPAj4P/4SZ3cR7xA3kh561H bG2KbFd9X5qN6SJX+5ukb6YZyTlFX7/eptbv+etklJe0799sMPStujMgcer+rWOelCKg3vJnICDK 5WsFTHfn1QgYMe8ynbmZZK1tVYYpbAjzP7k8xt1P1HajO6H7BfaB2dwsv1MsyTZS+USCGxW1auxS udx9IWjmtkLzcivquzIotwzWAeVr0djuS1i7U3PQxHTrbw6arvIRS58Q3+xtKUdEI9MYbY9MwHeL 5R1Dl4CLlPmz6zqqfBXERgeC6Le1GDhQF9WfKv0PsNtdQduPVvJe5kw4lNtjeRLIEAxdsMTssya4 yldF7fJrv54vgmtzj9pjtb0qhTox6itcL/yU7KCJqoGILkdwL9C3GgP7t8/aOjTjOe4P9bpnCa/V zLC2whDqrmdZJsjSD3Oac5q8rsq00KkxBxxxFKa7wOslZl66JxHIyaqowoITfVFH5VeeKsK/eNl7 /q/ddff4cHXpM2+dwy4Py3TGK5Gi0HJer1Dq4TRbKh3rrAA8TQfi1m4x61lhtLqXcOGnDaPw6wA1 ogoAJ8ZmAcuzK1keArQOAWRxNWTyHFCIiuC2bI97rhtI9OEWGTWlZTs/i9EczwnK/X24HRpEjT/h QxF+r35cBOGO0AHUI26KXsa7MTx28JYZDJQ3McfECt9vnV2x9W6B4gnksoSZcvFh8vcO9/4Iuzxy bTBcsnMSQ3N9rG/UzxxRMUvHgcgu7SzcT7thF82jQ9dAjM1bx6XP5vATVhLSZI7rZNYwXo0o9Uzp V1YfsHpTk9rixibiC/LwKoNFOAyKTfwifKJe9dqxAd+0Gu+lRyCwdabjTEjB3LVTLHqnSuzpXSUW yZBVwJH1sMZlpbKOmgtQUBZGqdc5GTz6vqa4K/pY6kHQvNgLE4yaLzvPGQJNZHzLez28VdCQvazL yNgj8XJfQ3Ope7vGk61BCcOuWFrU14qR5HlHXsnDn3KTMin4dfbW4R20lYMmpREqWfUuu0TfzOmQ D3QbWzuLAY/ASkEyGB7l1AeLz49opNNW3QaESRCA/SxyMBWmnR2wgVPevHadPITLjRdFgrUGAN7s WZOottKqpTIE9rrY7GPGWZmNDru/pSjgz+v1MqV7qebnB4pjSy6ZErTzKBvXIVbC39U4tY7X8mER JJFjPmoz6h8S48Ejr7osi/wKuuKrlsdSWSUbi9kAnKuw1BNlSaHImBg8GWXenAIDROdVAdoLjDuK IdBT05pHEdfZvE0kVdfAZAWXICs5ukxZ83BbzRf4tCgj7WM+8GAiVnUhBs/HyHMpSGWjPsSVVwHP pVNTyLroiGtUt4nKZeiPCrSTD6eM3n6ktTqTpU/DFM9cAH7/p5QX8d3Lck6/2OwmksDx73nxreOe 4nrLQYiOW9nFs/ZyPXsnj0eMSYrK4oRdrO8VNIhwP2ojC3WtZcvsgy2hpUL4hISMMA+VSPk8HBSy URLgAC3tMDDrIfvh1J3IUAD2Z/PRia9NcsRdcQxSA+CQdWo7Yw7FSQs3+DhuOVWNCCdsXADvuFjs E01pGCU1FhQM2Y8UVA0VU94CDL96JtiXzag5emBqzz/5icYQaIzHCilkH1FtStqGXWIrJI6beeWB G5HJSkSw5v7JL40bP32fayyXxUrUY6/L6fqeD+vjaKrbXGQjdaMPgBzq/oYTLMsyt4R8wCya7czZ odDr8o4EBgC7UvRATmzx1xSfZBWqyIFVC/TKZTFsXbAy9mkdHvnRJVrfE9WsM7AnE0pD5vwlU7N4 6XdgACb7VHne3KbUN6UkMTEld0kV+ekxZxliV8lGj8nrgN2XF2WYgKzoGP48W1u55Uj03D+H0eDT yjNmc+Sb0+T2vFbwGmAhav3hiX5dhwUvKSC2CHo0XJymaxRnvOSf/YFqLeSEJX5zw13XZM0QIciG i6Ba9hqXHA8eGUckajctPoP3sm9uy5RGkRcIuVAeB/cIFtYiSauiteavMj5+rvRxOs6M8Edh9kmp OyJ2MiR1VKVHQV4zbfuJHCOx0/xvwW31gWbQD4vRqF+u3MKsdZiJYmARRsSWXK7HcfYV/vIzgCch htSNshYMl8wPuNFZ8TThy9U9LTt3OxnYqXTKR1s1licCRt6lf5KXlBABwj+nchgz0SSh4i629cFb 4jjepDfzu4DD6Q/w1CJzNFqkYIkYLvk6canc6CtjvmnNGWpFvGuZDO4bIamcIM/mzy7/TKPggGOX /vuWHudrhPGMcAw9H+ExJKoMZyGSCrPwTCJtEWyel/YjmoXEGzOprPAUyrr6hc9B9GGoYhyOC7hz HrYF6Ck314tGqagGz4M/n6zrbzE6jc6P0eSS/u1txxHuDFrfcU91nQeU8jD4BfElYSp+ByDJLvsK MTFObTVN23T4+m1qcv23llm9/9F/nWM0CNMmZDk1bB2u3FO1/hXH0e3t+Y9iKxtgLFlkPue0Pw13 6ASe1Pl2Mf13krXRLViT/v2QGF64V2I0BWNnD5ES/rZoALMYXNUA24iabVZkvyqZN9HdiEs8TC/R kun/q/iTOQ2ybtyRHC5443uAKvMSPppeYXIib8z6RjbjXYK+d8t9Odih9ADqhZf/4CO4JHUeDIL9 E+kU9NARxswCjuSz/PKaXBdS62VTHmWDLHwX8BrccfkcoWmfmBcraZZesreLWfv6V4Wboa/LRCBA HdE8kUrrPqVtNBTnBGoO2ANzHGH2y00lP4oUj4g0jBQoCUyXgfAZd5AhP/TraLrgJAwTnEpNXINE nX2RBu9WKww/ZsBWSaOwM/reu6+B3zDYBD/PWojaLBVKO0sRwveOP6w/mTlCngOA36/mt+XdDuLe /3ZsOT2qE/E2PaxRJTs0VFXCpoMr8Y3FeqvUZZO1YdZco5pMYNkOJLfRckTtCNfcXtsudNL0cVJI lnZQj21Lw1ajn897ttf8XUUtyaqKcAJN0EKZ9gpZYMqEzqS9VuGvP4lXY8hrav24mFK7623L0HmX O6jObYwiZ082R6d2ZUiE+MFZ6uyuVkssCAAsIf6GS5dO7VvvDUh0WSqi3wuzp0QO9j382WEH14Qu bSii7cHido91llVQCU5pyrzwL0TYxlNt0Oxm6F1IXXEHHMxGAsPLebkyL5FTHgPhh3qnGb9NFP7r oJu5Wz3fqL8It7pezLaRbI86XCjR7BUeqt/YnAHT5o/RQ6ss8F2/Rc/I/Ef23BotuyKTLhL5eSQ8 n1hBWsB0pUnlbAW1a5Pu0QQ5QF25oEXDV3vaoSQ4gN773AEGljDqb39hwrGf89ysHOD0s5DfzZZn 6YpvZczC+CVPoWqiexAaIGpCb32pDG4Lwsu8O6l6iMb/SjLlX+EDbBfqVgIKSb/wr4Bn9TewUgCK AyxZv4oL3rqbyswbbTC7JzB+3KetIIxmZyyR2MqKp2ZSJLMOQa2wOg43eSBQKWLXCQUgFTUwmL9V 0hrBJkAF/TEJVeCbLBoIJs3VsIzU1fKdscJCwEBkL64BcLjf88NWL7/DgBuY56EcNuSbq0YpUNob TqaRvvDy6obkPB++tl8MEUvQ7HqNYwaABxdXF+2AQn0qHhwdmVhUBl5ZljGsIbCdpEGaNIoK5rPY cDZKPY3JKYZ7/EB1EBuwYEuOlSdtkAIJwyVNSbuwFIgHwWBLqUeS9CUylZC7A23F720SPKsq0kb4 hFZNpTBmpVGAe6Zg7eEDXh/47q9ug5bFQ6O1Rzb0lU8L9Xef1R9zyKwlv78SdnMcSyUBW2CFgw58 G6041qyCZSeP+yOSbPtRxRYAyxaK9Q+91X05AoFrBhLwjX9zwOx2Og3kfBYAoGxuYtoivuuEyb4O E+QCdrFUYg/IDzfnH0Y8OhhwMN3z3ZXLhns3O+XmnY6S/UiwWzFO48IVoJl5nzG19i5f2sPZxJyK JDv27e4D5G9lzIqdEI7Pr1gw4Z0NNoEjnYH/YnqdHpIuyc2l0dn18+xGladMBxhZBXvBd+OdC5Nr dVyrrCo73rchyxyNQOgNaDt7NCuBHnjbA5woNLdQXnITWc2inmOX1LcoV5hdu3Fu9ipri+awHgdv Gh/M/XK9tFXe9e/Czc3zft3n/QS5WOZG6+qRC+5AfUVygXbLMFITz8V0o4RS0ud8TW/EZRYv99un UrTWjWmI5QvZk1jDa+qqHxpQtcoW1HwACJN7VMGNQfp1AvnfTYjvEKmpqyCPVC1zyZTOv0LgDCkH GqKsLUdlhnG152OlXF0uRjRpQtzmekLuJXUIuzhoj7cOya/BSVzFTCnLyyOdU3m+KIzl0lc9PQkM 6aVp+L+cBVdT67Z4YuiVh6JyVjjMUjsMDdk+C6+lA1dbge1MXZP7wW6XWwaiPnkj4n5Oh2x/eBGA /WCLduN+nw1UyvmJ19VS4f8Oj5S9iFtE2tWPmQ/XmFwUhf/9w48viTcwM8u8Riih7/5YXAcvOp84 tyJEOPwaA2KuaBN2YDGaoYVZVIgDFz5y5NYiUnNgfnhLmkp9Qzw8lvmbabAhjJUEhC23cEnUDh9k GpYX0w60160cQHKO/Nqtr/gZAT1mUGCEGevlLG29B9iw4dB9RlQK+Pa0RmMHUDgGEEdbpKMIlMP6 bjzNhcwctnE/bQyBDyeqRwE+DQ4oBiB7zTGUquKCVDVHiTX/lhlu66qSpD9gflA7tsotYOQKnydW CDK4FlXb1AgjGQO7llYC6otfF61WMSwu9h+WGZYXZlua7fn2IjIOLiOz5GTGMqePkV/5qpLOvp73 lbuZP7xVR460EqK7ziLg7UmHcTntfmWUW+slmpBQzv6KPQrToHJOVz5HPw2AXJXJCFuF9M5ZEjD1 zVxVPb5B/iOZXdyFPZRHC+6bGInQBrOO/LGYIYyVsXiq9RXvrK9EQSHpbcurpgyqSlwKq6wPIOPg c4mk2rvhffgtfMVtYWz3FFOC1hWd0qwC7IcN8iGcIT2NuiQWiG2c05ALLraQskCOBzSpMuyV2IKq zALcRGBreCUX16q2axIpvyIhmrRdBnbyxF3t3l9Q5PVL5GRgyZ8Q6ucEvGhv/mX3KMrf7Sk1YnMQ 5q14Mcb9gDixv9YLp5zQZ01yCFSLZU+dpUDQ6jkAVGqLGJIqyqEO2DAO3gUjfpQs5ot8/aZiJwO5 PwL0/IK0TVgkywyVMtxV5uph9mHY7202bSKyhb75z8eatgK1y7tS/jGH9pLz1oyrROH6TYt48gGW l+OaDUQSixNOEg5ra/8PlHFq3tHfiEmX2pOyaS4DqJ1HnkuGhRjMr5e1Jvnly+ZnhFqafaQubSU9 77iPmuVTl/VpKSi54pWjAB9fnMu8a+w1wzE5hllTLmEnVCw9OzGn0VUO+jMvQ8M7qJS5GhQWwejj NsyltW2rwaekwUzbJhsYlOrwmBX4czsc7b+KLnEZrloZGQ+SXpdDdNDlK/SmHVV7CRVfmotOeUXg eQIsOaEuZGRle5ahsU3fsLTqPe6KO//SIZgsQiWrTQboulOzaOvtpni3XWQt2c6hbwBSa2oP+Wzq 8UNM8/pCY6WYxbitKK4wdr+PBvMbJFZfDP+A3lanmEoDS6BjEEFt+lsB1hG1AulDuDpN9ilYLGqT BIGKFXL+4/joUvoochRUUD7LmfRoBh2LbTo7KXL2QHymrWTFgbzXaVZg1/xKzGN6AaXcuh8StCGA a+zu/hL6bqLItLUlC2bg2OfZZIwoYsL0UrzIvtOYEwb/AcGaOvsUOv5+2mDIbdENzSi1d05cFmUf BRkrzNulr/IV0pNG9qHJrLZf6OcWZ2e0WP2EbRlYOYQaqEU0xYhEIZ7QEldYAyMEybpUsVxq9iCN TaFf/ZRxkKvy5BEdv8O7BWz9uqlAWTIoRjeD9Ue2ooQiEUefOZ5m0NYj8q9xuicH6P2Ol6JwgVsj yDwYCcgIjPx725xHnOFpkg8TKtbUKZX2ft3sR5EljK9k4LN+95LvePMjvg3MZu/n3PyeHlbkjJAi yxFiOLc/m3zTL4FR44y/sO7NtWJpmRfMKsLs7Dqe9EF3sKaxDAm1abPLCii0ECaKPcFsUMC3ALVi A28GLDmif9XSJ2QOa0Fv3KX3e0IV1q0Qhf/QX4cnhx1VzX8vyXZ+t6ukBqqV4kfXim3RFpIKBcWc HmySucnAyQrcjvn+qDlsNdAfprHGsJnl2Ib6Y3NLF6taUcxWkYlHExaUpY1KW+qjVGiuoKw0cpcT KGQBoRq4C+I/lYMMozCynr2bmE3P2hMHZWc1XsFw6WIcr9EBjtCY2j3ySetRwnZ74U9peyt1gpQ5 BJ97Ipa+Qj7DRF5ozE1Ccn9SjFpP32/HNklRQill2m6Yl7taUyEOewExUCzi2arNIQDFsY/a3sf2 OieNeAIAvbbBcF8GJaAR2uAPoqiSeyHqanv6oko4bxx8BU/ZVh3tHDCWo6j1nMJn82eL5LwR/6OE 5RHJ4nB0NZTkrvTu/D3VV9wSJRv4nQAFkY7Rcd6V4ApHTVX0AnqeNU7NaviIbQXHdzTfo27DvJ32 rnTJsUvI7gthOi3qxzWTVpIYT1cutUdtnvWVDF3uj7fS48wjFfqJ+/QYOTeVBHGZU36mhw0KGkLs 3Efsgs0VMTbh9PqlHVd/OFf06tVgrIMm9/4n0GW8yttW65aP8uvQ7199/lLVW1AWjwJsbiqef8Uc ewwJ4vVXfPUnOwistIVrzS6Yxmf/wuNBRtfSloZvxUjN7D0jmzpBxwuUqmf72LliEvBr5YW4KXfm rZ1JjqfVSBAvXdENXPGXauDzDjVIgIJUEKttuobn4WymfqVuyQIWwiNhSRw20yOLLuKPjdRMXOmI r2LDvGRP93uDsjFP/q32mLsXbleyPhqplDZljZm1MHdbt/7iu9YQWoIocc/5mxUYn+QqSCk4pAvc xDgMpqADZ5cNcagnEG4I6w64waID3knc9d5rQ4m0UsS3uXmuaBl0nWDqR0rHgm8OdR8wJogAup3b tK2kyK8snOhGn6VgR7ilOmjWlYSa8j4IBHV8kr04cf3QqeY97Jc+gFMm+UspnikklhbZQHdzydRb +HDwx8ynxTWhwnew7JrysSUvJAbUpQMcXSWWY9L79SsN7uqCtQh8YRpjRCCpNPIRj56rdHb9tOrc 1JoBQu8Xz04hZ0kiwkwmioiNfbN03NHDiTdS+n/wkutP4uBQ9SloYfwB8qxo3dQlujfMZKV5eEix i64De7vjfpUxPIeKBQlVlWSl9rXrnIb1fOTSt7N3jqOyIVRRQRI7x+4Y88nmkLJ8mLK7ETSp11pE VWVA3x1f4go5ETXNScuFqDFFO8s4CUCAHbDulOSQeNF5zs88natifJObBI5iPSqqVKquvyRWo92f py9hceUlzwZnXzlQFQ6E4rxbcffdzdgYDoc7aV39uFZTQ96QSMntusxhiUEv0NKcaIZ338nWmawi 6X4FUxS2MzVCId18kiHfisKqCazcHTma57xz+55buo9P+lQwBMgoeY0rjtUwRlYhJjfD9HTKu42D z26+QgApYYBWH/h2aY1zElTRlrZa7qZYw/aEITtcVqvwqwudvzN/BUENAH6GQK28TnBAi49oPib+ z/ZM51GnFi4Mt3j37VW5X4MIEOhz8PKv5Y3dFMcA1RCic3QNrvL4nglFXpzNidzL0iaEFa8TGetm B4TzRMaRTcKhOi8j6un7m0xpNadFQ4LpASzsIi1isf8pRfqm3DFG7pLSlCedNRCBnGMF3BDQqmuF UKS4spCpEz5MHuNaS+cnJ70uM28pgr2KxtfQabY7bfR5bEWcT7+T/Qij2cxlPPgwVFbPq2DyzMhf YHj64SgtmH60Np14h4LmoceIHWdpbQkMfD9kcgPcDxvG+ffkj5NtwfD97it0toDSMA4HyV/ecI4O D194wZssPA5+EU3VvjRscS8zo0RCJBUNF6/I6yqWmXiaqMAeXrKI1J1ugAoEMk4uBK471VeszGkr 7NlqW5m67HkSggVy7y9Fv1nh/rFy7VYhJrVDMzRv9DJcTlLz9zSEtQN01P+SAcUtwoimiPHhwdwC QEw8Sd8sLQ+Dy69OkSOTdUOJvo/VkB9wQrCw+OgbM21bDaToALAhPWDDVxNK7t23Mq46SoRRZkMM Y1LyMM+JwEHrgMBKYzLkbZ1wV8NxWxmBadaLeQ12YFDlZgg37RAMHX3unHJu/f2WTJTBY7P/cp1X rSnAlq2wo4TscdfTJNxU283ijnNRjnUVOgpGqc/BQGs2/LJV2ACJwD5QIIuT36IXk6px4fEHfSk/ DtqroZY9VOwsHMDZv7gDIvFe0yWhlWRPPA8ykeZcZrELQ93O5v8Rartcpua/99BXHTqV/kvCif1H ++gaMoelktEIm8GslZeBbSky+xASyihJ/h8gu9MQ+V6y+HCBfwphjGA2d9w9JDeygeZxvbGGQqXP Vwd5Q78MFBKlkTqe+og0vdCMfcM/b8hLaYsbycTxOn0l8mHefly7iliS3C5AE5oIb5Ed2xO23QPA GzdVUAknDrFj2GPhMzx8WBjTxCZ5Ap08iZi1aY9LVEXVZaUYfj0HLRAQKDV87GIB94q77i0vVJS1 d9b4qR4nMZBmZcFjAPuJbeMRpJM6ZOZhVhBTD+v4tGHy+4SCPeV2J8//+qmFlYjBvSLl1SwTof5a DhwqNg1o28OZRlkzRFimZ8ttUzqQlCJVf1p9ZdB2TWXlqe9xb9r0SaBPUbHF6NeI986hNdnwp0+d pLqc+tUvQktra7SvyCsu5CGHrklIM1r+TOykT6GKip8FA/JZIOOYPuyKmpHMaTfUYgDIgugpGgDe QmVDWVKCWxwmdbDKe/glgckrAKu/YmLQiM7ZqL/qAa0gpKq6HPCFAsXEeiUsggBPsgznQKgyX6sM Ycc8h4KPLcqr5lblW4s1mYlEgpvBeJ4ADxhD0bjWRLNRfJ4k8TDnOSgxL1dPL5wZgnf9LVhUTN2R QExfU6fshawYmNE6XZUEl6rOKileie8DZcAMmlBkMLcyzoq9ifoimIz3i6YD1dBuA8PLjyNgBNYp 8fYB/Y7XnR9OjZ93LnymwICZx5KlFx/V8JHW2BojwyBzU5lGjlILPu+8y9ajwtmoo+ppo3/yCB4c rIwesXYQF7LDMtvJYbyMRYyuVKk278L4mCnNmtkQe9NYkdglztpwfow0T5F8RgciiiLE557mLm4S rBa4K9ZOOPEua63hW8Ty5O4U490goeaoTyjiKwZEKBnTqslB+xz9yJCMLdAAmyRIl1xYNoB9Uc/u uIoSRmHebXlpRMGz9M+am6iNw5eKDmxZZd5nABJCkP5Gq+Ds2AiFwFIxb4dPwzou30ctiaIFxiwq FrpfpA2Qdn+46eb+R7rCdWhXM1jD0fb7ZD6mMkd8j7Cf4zNsqi9CUZfhqu7BrHiDoFK0vkXqrqRx JZSxRBdpq5V2y3mz6LXwP77dFHMseE1LeY8ei3e5u2jzxUsH3NGFcaF4+EoKFIbk9+/T8oBolHFb C3Fi85qinGLVIapPSez45Ff1ZoSvu5flGYsUN1QtiVcBTVaCTxajOL96JO1Keaso+I+Sk8E6YJco Xxtzzen571a9X++JaumOMKcy9AQJpSJE1PuPiBIXaccyK7Z+NJy6ptgu7kzDYWqZVEqp75jLKstF D3465baAfBZL0OqSEVD6gfkWu23iYnCdnYcXMFCAOJWBoEsGp6SXgvsHav1Ybd1ZhbGac1f7QiQr nXjNHH3lMMpiNyhSnqZ7hjqkLzOsPHWFKI9Ep20NBRbwXoLx4OvJVs0Y1lTPW2nuR5CdcsCJAaXX t/A/RZS3Xzz8ddYfScWwcSqGY1X4VOGHR1dC3vDQ8mUtPw0caOP4Lq6EWOdHICdIV0DDCvlzZH08 iarxUc8pjB7lrz7Nq6QL2jDl25UuK0uwZar8b1dcKWDhtvxn5IGcIxm8bG4d8g3y1EvnvrdIqk/p hlhPM6j4ZLgvBS/mO7WWzqgGQsKirw70bY2PyEoS/BWVfwNlzGxJ7sYWOA2ir159ksBay2j3OUlG NMvhbggI1PomkPqtpswz8B4YHmO72JW9T8I0NwLt6uW1GuDzq3sVMgqs1CX34i7FHs+209eVDmpI LsXR9nhO1VicHB4mYLTqeTNQ9PVHzeKNKHZbwUD9Eh+BS4Tn1XtNKXdOd8OPvH8yvFHlPYPhh0ie lzr90kA6UyKwlLI5R0xj/aULkFdWdSL+hVbn3Jp8aS52tQ0XZLnHUPWgV0DD6Zop+2vmFGKLJ6gW 7j7b54Itzc6H7LFJUeW/ggP32+rhvkRU0zZy7zQlHOj20IWMayJ2Hu7C3RsT/hK9AP27kQxz+4Pc 40psHu73XiiSQ3U8WYd9AAL1Gmzl04n2ZFQpAYi24oOi4UhncfQKVxInEqJIkEChMHmoGq7ajtoD iVxDH6oZYlBEroJaZcpqFvFLMqBCHaod4Xg6wRe2uIjSIdbARumILk5yquKhy0E68hwzHT6CQLNT i7VVDrXFBBTaEzN4Eq9KBA/mi4E+v1IvQ9b/QSWYbw35TNCB187T4ZuE+zMObt+wq40JELIRo4f4 72SIKVIm/oxj8jjA6mS6TF2K5tVY5yQdGpO3NtAuzLhkYtmpkt5/oLsMZ+2HUa1DnsvVoYA1UQYW GzLYrKhimrP9PFwCsJ2VKF68mmmQ1WWyuqEheXl8QrnwMj/Sufz0rVR1i/HLseFEpYn8wf3AhRH0 wdAM2EKSPmllN8hCjsZu4o5csFy71GJKEzE+sXdQF0iThpA5InE7XTQbKJ2CO+084XkM8PxV6OiR xE9CDtTL4Tc4Z1U53z/fxUo/cHunZ19+Z2jyI4j5b8WCHmmix/dp8/EVZwlOEgAy0EAqsHlQ9xtc 6Tst85Hyx/1vezHz0b64l9Xqmw8JrMb9E0xhjaNBeOSrEzAyHa49N0rfgp36SzEBPVETbk/f0zTV xazkUKVYhS82YlXNX2BIqZ1fB0vgJhDVEQmN0ZbF3XpGahFAC+7a4Y+0/Gd/Ic5XbFpS6BbZ6pSp V27+ZqxvC9TLYDWVpdFdl2+4++aLz6PVKNxAVIDL6WUYFtq3DIKGhV/BJDGsuycqp2iWGiIXNMUn +N6gDOcNxLuboz0LzyWwSQvzflB6+FUCj6kOWSoJkGTUWjFq0UqOOX1QdCUCK9mb1CCfCJHIt3At JH6S6qfHYPwaqf7Qxq/P4LVPOzV5hVjFYZai6LNqplyRIcXTkkTAjZriAt8jmJyDbMyPGWnNWfqF 96Rl7p2wDVDTR7ViKPdASBhs5JLPs4ATg1zkoOBA3Uq2vF/AEat5i2wvpW/Ddr3/HucEc6vPKI7Y WmbYSr+D8MA2n8gQLORlyFSOtdetGkOBynglWK0L0PYqJru0/KfYaRbZAkIfsVRpaq9mX4vh1Ogc Chj1IYbmsE991Gsaexnf661sOFJCl+p7UZ67IpSUb+hhRzmKQpL5xbi5pwHg+OfvSBlzoE6v9Qxl bBzVbFxi7G/QhzSREXCRsSHPEiFI+vw8xFKKW8p7Hs2JnLH8HNVcElSZCOtf9z7EkOX0tMgukXrc pU9nxrNohHc3+lA7ZMCUXcne7zjzWGTuVEApTLYF/D46vmi+tciQJLzlG1ZgDpI7iN94sXIRabq7 O7WvNrVVkYXSPjwcZmE52COvj/cuMqm39BjrkjvwGLRo6e7dn0sUfw7gH4svcePm+6NCQADUEt3d qHRu/Ido/WdUGcAoS+efR2P6+zbnXUGkdtgrl5Y2njenSbGYJPpdjUpxb/VKVnyPHHzAmhBQvrUs xQ3XreUwRmr/iz1rjxePvc0M+DyQt9unYWHcN8tsaKPfZKdYIAg6JjKcISBRyoVE1ZQ3uDCmSXzu pgA7Jk4t/BxIddr3yu6NPj1+zSfRj213zE6v6UTVyjUGYmGUFSprpR8rVxuoEqTGek1CvJ8KeT/9 nTty2w8eQZeto0eH8gQesMR7rYATgurIz4iW6VP24zWU4J1ia2DeSo4F44rNyEx3jYAJAzmDJoQe znU7Tuj/ES3MGlIALROkBuRbC6qioRFkIlaNwSv4y8MwxJ+DeDFkZjUgEiPBWZXhWfRTIXhyS5hr Ok27tAJoxtuZ2DIomv9IIkdGWt+IBu7IQ+NxKXSVanqU3AAo6//Pw0LBZgFe4NgMREB5nW5pjZuH f39GqIhf4khUVVYT7gr2+9xzvGq3E/+4qjxG01QW4TynbQEop3VCaPPIb+JWDDM8Wyj3e806wMVU DHRHdV7z7OFc06nhNfqpdghS3PmelQapW/Uzqm7pnLsdH0+QFmTx5C4h0GxMCRqTsReCTIelQ7V1 5xLvodOUCdrb3/MuXUOlUr1tcq2fkUZtPcOiPS06OL7oF8vnRTzW+K3ljfjc86ph1KOpT2GYxyEE LfD6Q9dywoB+zcyirZYzSLzKg8HKG/QlyW+RdeTf863UZQvMtadVQTGP+NeNDsPPoXArovbVgMiy H9aM9jF+tNd2Po2Xs05mo30Md4pwVXM+IHRfXWDEP6sFpvEpepifUGfrtsv/zz+a3hwHY153muuS ckaL6Go1x34Krx3nJnzHXar9EOJ5VomivUp1RSPA9w7119pCCEibT3v7GiPB1EvsI0Dqlb5Dnzjf dDmxeNo82eCCAnGG00tw7AisZlFqgAlXa8NET6u94UmBqdOczftpgewIQHl10Mg4DDeyZq7UnTtE cT3Rrp3m9XPVkT+MvbJ7foAMl2dAGqKmBAf4janaSoIgpj9wfXYsemFcfjcNLqkaCqjlbbYjicTr i5g8HlkkEoWvJ9voeDjOlfMOF/K/KGwyq9w1M+7YBGkEAYtRiH4fciB12vZar2YhKxJujtIKwj/O eWCm394MhZAico1MQgrVGx4ODNAc2WeoMVIpQt57qZ2NZCZ61tdhm9XIzmyd3egs1BeQQa7ddhYn S1LellTBxA0y8PCb43CpqBtgRasTqg3+deDflr3d3XmF74sSlgvtWHl+VlSHOWIRcvGleb/shsvt uckrvBDbSHUSiMLRe/zJgLTRIOTyj5H9lWQpE2jAcDsFJSG9vSUEV/pZxEVIIdwE6MRmsVWl0xm6 Qd2NM6ypC6qgqW8wQ3E2rNDlMKBQGFlPpFFYGMg7j2VKnNR0UhWXj0pn/qJk8VKlOmUmcW+IvrAN g12eWr57zJmR4qeL5Sj5dvVXwm3AAUAyJJTosOYfDawpW3xL/Vkjrs1qlM38PdiIbgxnBDUuOE0m CoSZiDI09sE2vjcq1CDbT9dPql9EcpbJ3dsFrGpcw+NyzOBarl3jjBvC9fLgqcXeYSFJfzRwwADL L8G+7BKUEcIr5Yigws787jPoZ/CLmJ5HZEdL8/qyq0m1dYXPQAVPjOigSWRL+VkNTLUJpLw5aNt8 ZjYybR8DKJ3ZBk2b275tIXfaJFxpNOMOQgv4hDecC6RBzGl+8H5EZ2F1MTXIqevhUgtAgY49PpgF wfSlBod26ZH+7FsjHJlZeuOQXd4/xVq4GpFW3JUtowtEht/1QPnLKFscR8zygY7HgUFl0FaeR5Ac SkNuKbCKgPNbP7iWNXoKNQTZoY/tYCRsFNPejF6WX3KNy/ofBoOkFrZ2KPEjMsCkxBBbC5Tc+6BM pX1GwCQ8bPLprlygotYUychR9pXmWEp1rDZ5HEZ4pz8Jk2mM2+DtHl0AdYk476RQj505EyGzSf10 VhCjvmAxl7ZvPDnY7yZO2df2ygDAaC8WHCURfeQ2hwzCTOtN2CzbKBvL7R0TLSY7qN+YqNnDX3aT CYzMFyko5tHTSLNrUfZauNoXfNRqhZPbb1n821HyIRhQpact6ICLyBtiaxms28fgKSkkudTB2QyU cIGasxvflt3Vg5fOVRpY7XgBvJZlS0AcgHjcBaWyC3LLn4jNFO5gUAcycdqO6EdVfXTZ67KSa/z4 m5U3pOxXdvcOBsW3FTvvMp8R6yrxm79Tfe0Z8aGTCRLGZUmYt87g9bRGXvg4XK2ibVO+t1m34QpH zJruPB7Ao0MlqQv3hef0wWaxHeDIFCDxlFL+gYFA0N7Hyz4b63WJMHmsBOc7iFfArCTVqwwb+7DT L3R5VeSbhvAWglvg6HWeN/WuGbj18yeOyFUydclVXlFSB57fxrN9ARM0lCMlvEgrLk8pf0u6y5ch Fc8pV1P3vJFBtUDGXW7Yjc8Vf/UoHJ/qJaKc95lfIZ2Cogj1jD0Nhc4noH0fgaYnNp3PJpZVTCvO mnfky73tNzQv/5Tj3+iiA4t4q/MEAktR/0E38y5Ujn1nWI/SRcnkAJaQ75ndsu+NNiPrQELt+Hk6 he6nKYmuiKSNgustw2jZKvIRoKnUTInLzGUtwsXst44bapCO7RdPkZ5WdEmUDfLLbm26ec+V/jTa DZkez8bt8tSFHMaSNJiyr+jdDsxL3BulMTm0AmXnA2CvVZg39/Ini0OUJ/eIXlF9RCx0zTynHkHK OZyaDZAcQcVUR8RiZy+hHdBYITG/o+9dG+xaYU77AkrpP41guqoG42eBkZ1FXrrV2M4Fl8C9BckR 3s7+RVeKc44cqkpiQMO4xqRoa1BMi2cYLshPfLFklE1yq1bhY8eD0XlLMG0oSc/eRdsMM8GTvtDH Cz1WHcix8/up3k7CWYNdvF66Rwn+ohdYcZv7SU5W4dlBfHP41fF18GcIw8tZhFmcAST/4P3g72Fj 9iIOrig6qMGRkpGWVpP9FKW8dR2P3rZb1Pd6ipr9HSD5zOBLzx/M+jf4K6ozbCuiDS8REQllPY/w 1JIjay3U4K9ytStTtMy30ZUuXvB7A+SHglB6e2r4CKG5p00nxGoo3zqoFi2i37kYpWHmmVbVFPzg bH+6pOEJo6ssohnOO7p4w8cUplBhZZzaYiDIVtXq8WZHJWty4M7OK1rNdXk2uTNTfdX+A/3WbM1R FKrfO2H92ts4jt+yb6MBH3n/Tc9+azrvikFj3NSZDTwoL+VVYo6AvVz6Wp8CF5cHcaUm+dMfh8o/ EjKp/qLD6+1dX5SKi3uFmz9oaXFCPiR6SzdsZQwqOKFGQG32yp7rPnsLkxPX4XgoLfiinCxpEVvM nGlaZojNu03F41R9eh9BEDtbzxchyZygF9ueTpusrAn+bXuFh0uieZiiAOduMJe9F7FETBS43PoF 2Bi55XrifTgVGujoKy8vDpQppz/wsDM8ddpKTnk7ItXKM3ti5vnOWjtm4pspugMJH4V+QwJHdayu 5QyDIB+upqT5kDdTmhuTHSchovinvw3oK+6UcACegg4lMQwNvVdH8FmzQaOrF6BSFnsQQC5LFsbt 4d/RA+VRwNoJtHLYyUWMsKfAeefDGEkDa9SEpJEgbLnPiDZjTjgPymjN0nRQjEf+7ISp4QxnulTj 2EdC2FYTBLpm1msx+PmRdc2TZcyDw1vvMFcgLgB8yor448L51AOgyZmhrSI+RCHTSBvCDq+EC6zT EmogsFhSJaDL7L79x6fbXNKgKIF56hD8fEbyJYCOPN3J7fUNOt+1wqEm4E3AleyIOXFpvFWHBO+v BF5/GArGewFWTqMp+CslCF8Bx3ohuovN64lPX1bsnZTnUbjJNMBZzI0yd415/fUxzxaniQQ+ufUQ jzYdo0DkZ6qf/Ae5wdgkZD5NvhrTFBXmXSR1ywUvg1O1KvsvCLOX4iqsORYTcxCKJazC+a25FlmX ObfKbIbvBGWnf8USqJiXS62YFunc6WSq+GKA8jGyoQ2yT/piQ8ajpxEk0fb+dp8YLNcKUgBFw3OE VvC0YQELSNmiFwq7xUo38FU9cXnn5GsAVCtt5KP/XKoVgj5K3St/LFa3gEBlpcUt30R2CvbIi2qo d2VayCzC4U8osTpe3SzCnQ/y3PnyJbnzZHzdyEVvDv74uAmd1UivEAf4NnO7mZ6bm1xNvQKCIqsQ 7BV2iAWe5o3Y1ZvFXO7IiGgxGy07nFQf2ch8LNvF9lr2NIyoZwvMqx+sB9G7XbA/0Fi7yggeDrft MnNZGm1xJoZKWJ7QOOHY5zurly+6GmeOj4OdEFduCqFlqte799FQYn4iUbKzzPfm2yP5twa65oiy ORiZrgvf/RU5SI7lSASSDG3AqpldkzpZSVRbxY70/0syr2uO7pNBaTBfcOmkH9893aglmsXKUN3A HWvcXjzBOXbFKt/eKkJnMJw+gZqReaS+yQBO7aEbBbCVp71JEt8gKfDoOvy+E925QZ1x0ha11iu3 Jg5cG4SSdDL/qnNGhRk/Sfyw2D1MZstlWBqoHkVEmn5pUYKh2Vx1vUzWWra6FBxamuTEmbwXYGwf ZfBb3eDNNA9rxSmrTv0JncENHBKFInDLPM8puF+NVRN0CzB1ykFe7qAgNeljL/d3UcYLVVxe6qRE tPS47IhSnWEJt48yI4zujX1p4DrIbuXPr1oEz+LGvCNMr8fuvIFIdZGdwGoeRb93nUrb/Y/05B0x 7hqx8cKfUYA3GBemCkXkluKxBW/J0XJ2I015wgj61FHYbK3A2k4jTq8IxChs8HjFSwVpElv5O17I QLwa4/3IMYCqY03856g94LToxradrc51Tdv4qOePoLjWUykaVbEA77Mmr5kAtQ9TEDIypw2pB3js iQTASwQXYcKXsg6yEf5HhpRX1Ij/2Txzifwkxlqis4w8av/JRs17tD0+cc14dW8S1vJFfW16yPnL Gm1EqgmGncpPgLmaJvg7zEudlw2fYYDlRN8vPS8HIsxuwLUhBRUN4MHJVOKCgYzO0KZXIF0f7Ijf 1J8XIRKEFet7RseL7DS+tg0rlUcs75L3GlZHopKbz8DQaokeAitfOjfqxQ2A166BDTpyeprbNikq AFj5u3qB0I5wkiwG3qtZmFcbO+BRIR2PcRKeDrh4tMnMUlMQM8L05L36foD9tY9yj10OtGBh48aV 2ZUkko/sGGwIENZaBus3DdTqsSEmeGfS1FI+xspSTmIc8+whSERM4jktY5jJhQJ8bdV8MVT3o9T7 zzPaVhwOiC/76wmOMfCvivokWu4Gme8xYcdafxq9qgPAvK+4NPXqo+6aqtT7Hk+DdKXoJrUh+Shr 6RSIqMGSqs2uYi4Pe4J1JwkeNhShX7R9BMBLaPnO2m2zkuPAkEc1meploRXPzTvIlDr6wxK15yHq deZ0m0VM1NbUTjX12uGuB54JYlNHnoflgNGj1UUm2Wqbbm3bM0h0r5qz9ESlwGZQ/4Ct2hXpVLJt ATiiCE49XO5NuFTpZgovXi1GZj2Ybr4Sf69+szmrxtagm7USyb6K6KOq53M+8FoPniWjucf4XW9J q9iaX4MVjOsqqFuWLaImSHAQRmIQ08+ez4jKLHPGz1mjXZhkyibyVa76u7RvsTjOkSyQmFqValH7 wNXPNxkZaMpeKpkKwoNC8Cnr8C/VzyrM5ZCBAdWxfDpG6iWkg/5OTzqSUgeaCJhqEmCOpyFwaS9Z I6IG5r99qd3FHKcgK/4Wjd/ahG0/cuDc8Sy7mJ+qslXvzLnq5o4EEA10DxC3dsSkwOjJB3J4/20+ YnakZPAO/z4zfr/JErxjQkMTFxC4tU4a2hdM6LsssbB7Yhg4n9XlztQdGU13Il1k9htne2cLvY9y sCxMiPqGzHEjSh1XOZYZy73ynsFypnVhdQM+ZJGIjAWKWx0llostAXf+MGcmlXil1m099uCOAELM HRsLjdjy1MmsbVm0WNkiFyuEdcGZmJp9NeOFRyltyZ1g1ACjq3iySWq2QSMRA4O+umi4dDd4X0Sd M5gZ5p51zSAuuLQjX8SXd2L9O5dX5lh7SbIeWL1bXZjZ3Khu7CArnB9aDf/eLfXYdXaTVO1dgzO3 leAzpVpnAe4C+L42b3DiHWWYHyuhQ+Zg/B/o7LAqqQpr0e2ussr7PzzHrulpl2Om5vaRnQZboqB+ U3slAiBpz1gZVon2xthHh7+/M1+PIVii+lUNzGoeczCrxlV0yMiU41rzaxuJVy8BkHXSBp0HSgln u764v73UuRgwBKTr/Ie3qhrjCy2xhcnaiSQPbk6vfZSNAU5pStv0rDF1roslkXN2IbhbPmIIvZvS 5sI9pX0CDLNV7z4F0dZypBuUyleHl6T9IRIy8OJJ74AnIU+/GYm+qhH0GWCFfYyh4RPKVfe6QQLk 1dz5YpfHK5EiSrX8XBoPEEGpHpJ1Xmm2yJfhgrARgTgRIbSACpVMtsYhKQtYKCKQ0I038EL3U984 BacsB4fvUM45mIxD5kDW2hIby6UYqGYy3OlkvGgHC4uCL93RdiWLqXXDZhy89fhQlgAYhJNEFTqM cbCNCOc0MxJhbqQHO6y5JB3U/dtNau1myaxTE25OERZRkfmq8pVSH7NF+t2qmZHok7gn26qV8etJ QkBmEWAiAh9QLIwGQx7tyDZKxf8vxkz1AXitek1emm/zHArdgG7+X1jBgzMrjYpKZOo68mV8b569 uHvnmMB3qAFbbOlKtQuYDB+J4q3KnuQPq3oWcnjMtRdGoRth5fyWstHSUd8XLlLxYVFk+V8S28zp g4J4x1Jm1qq/92CQlMsrXiDuNxjDJvbPUDnucLTLh+qj1Hxg17XzsQNKJhfeqbkVDKPeOt0XnrRN 5cwMNRRX1Ry5SaFWkbAHwOytpu0pzrtrfb0EGO+ltBfGn+t7txto1N2bTly4iZQ8i1qgpdhuC4bk OwLAvfRqiHJEpdO7PUyT3hZnK/yznNSCQXpDgHMbIZI4hRqSx1+99cLiG4vMfJeTn0ZZbW+4SeJL a9kRfLUKRnJv5zrT9ibx7z2wMoBpfBCjeCCjv11Y0mKwwUJ7XqYyPtPfrJvZoqGjvXoEsUeI9fwz tWC9ICd3R+6oABWRWRs6786yMh5oIuSOaKHKt+Kye/iRDyL4bbrpMEa/uZC59iYm0ODxMIwhMrGQ L3vWFpCers2TNec026BeXj9pE5WeBUF9HhILMMcrt5Utwe2wo5jJc/tHzP8NjtCqGMFieAV0JDoE yHCcUhOJjPZDYpywtVX4j9g2LyZPjupxyODDWMcs9s+MCzMUYcHXF42heZSPk8TpN4/XvlucyJaz Fi6OrTnkbAZBSIzUWA08wBXKI9TUj09DEIWz5JNoVsoWUW11Siop9MJwAwOi51YS28+mDnaXaeod eYGhvhp7ibzDIQcY2moGT6Alcg4WEcgCM32xcT7GfKxs+bQOuJ4Bujb3+VW61L+x+GMXbAkt+mw6 2dJgS9vrCbaiPzquxj/p1D2W24YvDmIlrWNjpEWC/DFNdO7n+2TfR6zjvhG110sRpN5Y3J80q/nG 8Svxyx7R3MKTFCBE+7JDSZp1S3L3FB7yA604v3W89LsK44LLmcgH7IjnucBUC147Anay+ppnW2ka uzgKkpPJngXebzBQhEk+1DYLlt0yo22yi8XlMzz1gbkLRfWxRLGpT2nstekHbagIiZICzhHlUa5K 7V2ulcVO4MhtB+YQ2DXOOEBwpUOydQlFEFIAW9p6Q8oJEoBonp04PaDq8tmvb+CThAAf9SCrqNw/ LQWgLCY40Y0zr0T0pKEaMx3iGGsXqEpUO+sh70xF1U3GwKbaC33GJbzvb7CgMVUBFJhfn0x8xMt9 7Eoo96HphD3uluSOerG8RZuVRgHXMxUjmesKxnGjfgpOiXpsunNs9bq+GxYHs37blv+EkkHYqsAk QztdHvSv4ibBZPMJt107Jrqs59ddeori9nCTde96TMeyKL9cRwJdx9Fr4lz5rzM+/f9kkoyZYBek 4mCL7BMajmXV1KATvzs+MkHXMgt8sS0C+DmQFq1eb19AWpIXMyr8qFTBcfsNHQJrOyUlDzgziKGV Zuu565TjSWWUOwzTQGAbtismucMD/AdY3pm93uJDpxffF6oKACFMpRIA7/d+nLKqAuMY/kHjUorI tp53zBn3R6njb/dTKYEi9KJ/29hxIBrYL0+DFi99xz+/2Dl1SP/uZd48BUgepsVOIQrapedvnOw+ n1/sGEMbAv6rSlqIb95j4fjK7xD1DcztgADp3qvqzpU87TxID7JmJj7TklPxq5UVD26kK5zurowQ oWaBPSzTGf8VEgcdfWaozSOyxRcPgdAQUDlFBd7ifE/4m0T7LqgOzRX1ImOLEdZzUqvLZjFJ87hn mJWLwuqbloLkVsd4mVdnUHuYuVrorWxhp8QHZvYAD3ZOiFEDmbx2tyTC7TNoDFUH6FlvrjDbv09K HFEu6U9Y89b9d4bAWsHc4AO2vfM7EJ3kdY5Kko+lwH7yLVXecClVt6l5iRmfCsdYhlCzWxhol5fg EFgKobzinLRVIv5OyBi9l1gHrNBOztlVNWcUoP3E/MAYRSUGqucijai+I7aTnwADZ8FqQbemyKii 6pk1jV1sEJlVA/7EN/7G9IY6gVnmJIrbPBht/K3wX3IjuRKxPZmw91B4KI1nRRWDVZg78sXsdmkO SB0YLps9v0VsrHcIxTo2JDrpABZJ4ik8e78mxvTXmjLrrD2nt9oiOLsLkkmAHvvS/DEq9ggmMlEy CkZrQatoDAduHzfqLr0En7GwKT8q02j+24Aa51/VhoMpRQTf3FkRl8nYrcbMif91179YWbidPN9o u6e/zDB+SmjYau/5nXdS/D6gXxIllPgvWer8vKuAO9TTT0pxtWzkXy53bZft0kPe6s9kHZALt/mR PVuSdCFYsxpSJR6Dq/yfR6wxU+10nOusxZOfo8h0YEi0no2Ins5dDYkN2P2XJcdS3pDq6sl2I77e CPcr7j/KYiA1DPrNwidifrxjbT1CJgxIJV5YagY1Hi13VKIX6cV+fzzIkMI7DAaWZ9+qwKdBtB0X cqa2zOa9Ug28rHQZicbTJp/cXJbe3Fk5jTwXa0jxY10mUmLCc7Lm1Jmy73qHfKQddcAA9JmY9iKY hbj2dUKt+YDbDn7TkMLTAK4wQ6qRijg2GrPd+pAWtihhNkcNhAmowL3ZXJVqNjUYr0+SGM1OxpeR 1X1U1x2v2DxjT3kGTqn7cD+ISVo6sFHTDhhAk55ul34DI+LJFve5BRrlBpXsjiXIZVzEAc/p6ieP hNBlFyVPvBbSWyOK/t34aEZmE6njCBhtqgPICLevVeJ/EL+rtgo76KWtRVVxrrbFDuM2kTWRYSiu t58ARc+37XQj93pxpQrv0wTqXYBVjZQh3BIKu4GSez28+4G1kZBf9uddYNDELmqfpMV/o3bkX/ll PLqmxHs2M2s5MA/vVEPA9/COf8wBBEZs92pM/4JDlHnsQ0c58ux15ocxRAwbo7vKxKGNladlVUcL MsJapL+Zll0lD3tGEAcMDxZxizKt3VUNmW0od9YNumNT6FZejN5LjnRMr4v3Qk9j2k4FDLvfFLWv b38J7j5jPvO9uEFuharN1QSzI7FhPE2ELiMqovrLjNjwXXvrvSFGdrfePDErb+Wx9aqMW2E2bvq9 BEeB1Tj/2S25mIUV22hsFszoLZiXJ/z2+jBrJ3IcExcgj7Wulq+Fp+rTwQ/Vt4vkAquCsV30boXh CPcbQqVPyPdQHW/GxyH/2zAL8GByeB8+PbzbQPAmjnmy9cm6ZmiHu9OgkzOLnyhnkgzn4Px47TDm qW+UpINTy2JY3pPFAiyXCaQXD0yOVzmve4lQfzT5TVmD4gjZ9fKX5oRnbuxRB1uHuBMaNM59HRUF J9edTgczFCSoNcR2A4OFtxkAo5VpN85xO53HuWP/oZyxrLhRz+KHaGbScXG04aMKsXTjIWSFFMrG 0+BIrQktQ1YJstWTHz9PXTOwGm7nxym27NtEB1Io+7WZroR82nywjfYSzR3dWTl7skfqNBibJQOd bBdLbTOB5eReJfTxA8QPVoXwxuyJPeXr7+5zJACBu/A2UxLP8Gf8XUIYAaft5Y9wHQ2V/qVVG23h UTwkiXSIsP2BHcK5Bps2Qg9WzJsvZmLv1ZFZSjAfxH+MNmlPWBGCD71OVN3R/OILpLC4ivcqN19j 31oeVjN6XSHpAI/VYq7w0C2bGnxx4CvwKsdxHYbB0J0oncbk8oVmjjUX+yeU21Wymts55dHpTJSd GgZGWuxA/IHH9jccb6IOMWSlUCVo20/WVZRrqnT1Gm03BbTfOXKzETPpqwMJ+/ZdcPacAUILTSGz hgD0BFjxb5l/a3R+3IZOt9oHcKxgfbvcNGGmgT2a/LhlJxf+Kcb3U0jP2YDS2Wh3CyU4BqdDBLnt MZaG1MwiyWG+4ujVBIxIUkd8xj8jYDBzrf9eT5w9b43o5aF3VH9ze/oGZlPeZQ3ZgWDOSydW8dzI M7pgRvSYE9eHJqVnkQCkYbzo2dx4EcmhuJA24/LafCQ1HuR9kHC/cXUewJ1SDEcaThFR2Qn4ne8O H/HyPxaOJFyH4I+qSnZgWur1kChfthS7GkeH7F/8p8pKo7BEKARdHWMRaP8ZULgjqHKDj3pspxnA 3gR98XSrJU1sKp8qfM3/UZC6CeG1E95AhaxqikYXuJxyLyM8HGl1h44ehtvuWET2wPyKa+EzLmpY f+qZBzcku/TPoUsl0Y+9dKEs8EIG5xxUYgsidnZP2NHP8D8d+YNfyUqUJcTAw7mYH4L1zsYHhACh ttLgQlKzgAygqSHlM4B7SknyzS7tluI21MOWy18KV7RvwTGDGnh2BmbsmC4mnyvzMnVc2xNckkwB dE5wkwBPS5remp7BijAKho1llFX8v8+oVIrNUU20yeyUHL/aJ/9DFovjRb+ZSV/u5ScCtt84nkiY kYewuZhZhbdUVz2RUDiT5EhHTXYxAHmo0w7tZKNF6VzQMdXO6hsgLZpMI3xiECS+AOo/aUhrbq8Q yw0kYvtfXLyYkfFv5uC1s5EvN/ve9u83P3Er0WBm0X9R1DQCKr7DJYsRGrpMTcKRgVFo7OA3DxOY G8jPKeaO0Wi59FVmnKcDAm5tBKFPK7mWbOksnlZSiunOH2x2Qv8WKwtfZ6SYsbUlJZTSVer7L3Hl Uj1dKU1sDhWqCuWsVAxfmRMSvKnMO3HnTVGfrNfmu3T9yIgEEPFKX3sCDR/4T/cWUgDVD34CG+yv 7LkTMHNEpFutRY/S18znvSu0mRtRXHe0mn3+JOhKbR3biLP4C+h4VS1c2wCX4jtMk+fbkw1IzvlA aXqCW+c5R/zW0ok5Zn42LLXAoEAlSFLfQ/ZLkDQ0EoKm+hNBB+LQI8FcXmA+KuMcasGqSnmLi+ZM H0O6LnBD7qaDGTkWhHU5FgBdA06l5ehUcUAnAE3QcCvPT8ZUIVmKAYLiyoF0q43PsJWfQV9qDbZb M+1sdHw87RDI+IYBnexJNIeXjSOLNVFdQLL8YSQCx+evX/t4EW220xB5FmHV1TGc1b0Gh/EkZX0L +LpOvCOzjfSy4pvNxuY46XkTN6CbLZC6wgAQIgvV4LFY9vGsu7XlIDQuXsRTzJVQj/Hyv1+uqXZq uibFyYX1wJOGgd/vJhy4iIWlr8UFL5BGxQ8P/yJiDMxmHa79AnvVw4NM/Jg/QUZ8v3q/JqOyn1Uu 83KGucKEnSFp+Usbc0bB5rkZR6JfGTputJUjlU4UpT/eMvQx4X4zrA2fqztt3+y0KMFHnL95xknm VvHxppw06t9EgiY6+xa0+fcvxgPLVhlSvxFDRrcjYfduIcqGJncxyAHJyGYJ2pGgGDk+j/3XFgDl OSZPMzxT8/5OOIkIJtsMh+LR3pFEE2W6uRdylhC3wHkQgA4c1OqPyCJ/lZMFov7uQTpIw5wgzPte WfoA0j8iuSBbwL3DbH1Ck3EHgoGGsqvBbCU591Vl3gGWViY0ExPWnBcxKI/rw5CqzuRzvl/9ZIcO GOwrfoMBybVXqdg1PcES4pu+dKcUNFodLZetisI1zZYyRqBRGlIAOwofByEnWelcoKlt7TSeKtx+ CQhMM8WgFXSgD9RvCZ/VhvEgeAjn6pgCWach0nf+AcxokLA5LP41WyFmuco877+mXaezdKhx5bDQ pJ5sbZc0fz549owJYHRVJyMkiCzjGDZoVR106putASF8R4poLd0RiGfTr18xcrxu6A1+x7zWM4ka Helfayy2kL+ghM3t8JQCRB71WYJTYBS7bUYp16AQV05laud0lfuw8RNYbxlr4t3jBRNfIArfE+KH x0IIzlztLv8FyQW4KdtelK+OQ6b8H8bN+scFaaS0OXCrFsm94WexEV3cNG7F3tDKRq/IiiN6OiiM 3tBrPaB7v7uhUJwjOt/xlKlVQQPrv9jSlXh5ftUfTfcNOXWoY7p1R2sE7wD2z2tLf+/AdWuFpzjd SP5A/tFzXTKNJahdR2/tfBIVh7rr63W8Ofu181W9KDahCMWjkmg4QiuKo2Hjwk1rXKrCIQjLhrwN Cf4YKWdjqYIGvVxncXMmOWI0JofrS9DhWZRWEIjBoD9yS7mzQlPtIFcEyoP3Amqr2LuXfYHALTgy HHzkYgtLeCE5tCP/aNLYbnPK9Bu6N5Qd0WP4qMiUYFHvJoGEd3x7SNuHEcHIlQzFvOi7YmCgXoJY Z4ROKzD5rXJhes6LfgTsQUrRV+IEdgLrjcNzOp5kjSiq0+W+RIPfzz6D47HoVzBoD1rbNGSucOkA XK4+jQ3yit442b0m20mH8Q/dWlgq6iQngGkgaEcCS0M6l4jMDlnPVmuoRYNBnM9w1yUUfZeyXTMM ZDqP6JXU8S19rirxychIk+ruNyBX3jlcXdXftsidneiw4PyIWQZSC1f0zfwj2F/TTpiz0/j8svft 9SXRfOtBa3FlWyXV/SNnV8ajm5dJ/VJomCOYlxkVpVvy5YD0MvMdDHy7yZQ2N68S0ua0VEwuBqVV 24s5ssRdbYMW3Wt3zT/gn/rB9sgb183816YouLNbkbgC6POlMqsHEBdNlY8Huy3bvCJRX+4fo8wu iLtsYSaBvBtGxKtDDwHVAnj6DaoB0Y5/Nz28j2o4uARgooDm/WJs+tEGVcykClKmiNG9dDEI6NqI 6D+rSezQHC6ebOuOwVkIu0DnGCwsXrNH4qd9VjCeRvUVjCe3g4tHdS7jqL1/p5G1QteLQGmSh+R7 4QZ8uZUbiZgVF99YiNRrKQSiMx0hnCLnLrSoAfA2os5iA6R1RtzswDA1W+2C2GrWgxvNpl0QqA6Z Ecw/4gSfUHnGTlwIYRnq/twwMg1fV0Ej5aShhasP81/DsYvboMVWcP6QwsiptHKvcPF56mHXNRWL x7Nz5m/HmY9jJlv+gFfsu5f8kGYuD8Nvk5gX46lfdH7rjL+7hwg5bG7Yzj+7A1XzcC6fwfnM4sX6 FlhhsX9xCnTxMZwguncpmJqlAnIDwhFnyr91lr8tkB3PVsEwHeEjQHNcAArF8DenreXGrNqtp6q6 mJocqFZsHNqz2Kn9sUhDLGUakpTBM10Xhu112w88YEqzQWozelJAOhIa+aXiguvCBZ7ywlvi+zc2 7D1Dt2Xlc42rE4MNxTf1N+xRTVNwuagwFYcuKhxbfilf/lzU/SxVIbMTv3lqoUgWFu1zxA11d3LW R5G/v0l6dHPA7jDlRSz5nqccPaTRxjOtba20VcLz+ztm1sgLcrFs/Ex57qlxsY1Blh5URjPByWDD O+XQEOB/AHQpF94t2mtUFoM5v1XJo3QalDtj6EVrKdnWjpLMDfjSy6eWhuAU9l8rfm09zMzt62Nz 7J2j/Fxo1FLKIs4o8t9dNL7VqB7ULrFa59Afgyn1EQ1fGfayz1XpUE/xJXIxGyWNkDIMvvdTVvP6 McNx4PwcLqTNtod7p4rxEDpymVY07ngzPYfNVlMU1dK9dMAfq1TB32rjUGlJo+pn6A6jHQZXeZy8 4aoplZFNSxA1d8SpEyz8krzSo1nsbYUnFy0S/33u9zGAZ2dN52LbaIH3KFjP3d2GapDGGi39rZHA 5u/Q70RVG6WDubcLobwnVVQQgQRp6pln3df9w0iVB5YYFeF+WeBzHG1pCKjq9zlKHihaqGbuXycy t0PJRReArSwBf2tB3RSJrF7+JsEu+mAhIltZTQUG1MsahDWeNq9kxHuQ7kveWFXoz//AERjEnsSs GjTp+2DAAThFAD1Df94EA3VcJateEdeUy1WIH5NxKv6AR5SVlrq52Q9GfDQ7ZWkn3raLvLoQTAai yK4Iocs0mjM5LQrhunrXdxtyHg0VH8NKpb3DOwZdnTbf4+ADi2d+5arzmBpXQbWNOfq/NUP9GsOW OmSrrZ9zGgnqMhRV825ldxU509wieytcVYGaJnDrJKDzFJSjGp9Nd/7EC8XS58JcYUv9xrjW8gtM LJBgArDC55fgB2IMfzBuMEI+Q60wHqUOX20VtLlL00tXOGHIv6HN4EBlYgNEZn/QpBD/++ac8yTp RJfEPgOOuCRBOZfAZw6X9D8TpGLcjDEGDsZdy8KpzRzxjiiuP87syradcSE3DLyVx25MlECBnLHE A3r8xFHWlROuDeE6LFgue/DZvUHt/81WW7o9LoBDcntwzIZQl8pc9B784vfNzyWYBk+MUqvrUcwl FSKssObgfItFZlMdhAAOqTbcnL855gfjgzLmkig8ShqQJuqvw6JOeOBme1tAYTHr+a9gxj0xOsdh E9gJvZ+xSvkWOQc/mZwTIn5M87+jO1Nzhkbv1MBBDmYIeyOOB7m/mTqRt8sR6rwDy2LIPawX18fa UmDUv5a5K2lyitUNxe+vpdTcWnKnTTeRQLDTaTmINEE/WV+VuU0jSTMC6jETAwIwpYV6hk19gwx0 8GHY4Olf9tCKdl9at66mMHvun1nRDt0x7qgGmA5E6iVyWY1PWPMEjq6QajYfaWqypeqyZks33hep XgK+zbxfT5wIJEcwsypkdst1QvpGmUq6OEg03ea8qCUHnzAr86uSr6McPDrx6zuEFsbQDJTM+7Nd n/RU+WsjdL+EkSEreVxfc1GLjclQAI+hudB/RtiVX+Uo1g5vU3SpV64Je7jjURyZyihmkddzObXA d4ZwN1LHUsvQO/uG5OGscbaU1tJzcQxgZQmdQXlsDru0F7MBrCDrw1qhuALrPnILaMvGR9bfDRlJ MPBQAykI3qnnmf3CSc0iUZBd4m36IyJVwvFRFL2f3mCUn3KKHEFC6T53C+ynbVQGFH+qF3RGxv98 GldBVmifFRP76+g0CEcSIvwMQwN0BONl7TteL0a6yAM2tr2bgEEtRTK9fYqLlHuAKfe+mBvIpRVf 96vM7AZUiiZj/BbnR8rdKz7oXJ7HdFX2WxETHDejeMexbytACCwxXY6qA9BcH5m5L1SlcguCitH5 yihEeOmT7w/TLRkJQk519maLPUH3K20iz/MyaGAAlVXYhhMGbEOpISViLzlCJfY1RVTMYfL7LLhm KGcI48/oZYC9g2Dh0zKzoUpXXiB+ONivEZKc0lFn7gPynYqwCxjZpkPvAulXOMJWpuUgELDFm8LH 5eQgPunzWJZoWhKgeMg5WoseC6bkgMUO/FKwwPmBKrexXdZFUfEykrWGW9+8CLGUdAeya1XJaM8O xLCieXT4kujaC9Q9UzcWrzVJ/Q/DOIBx88WS/7ukqyELxxahIJsEFBBWP3BwZ1FgM/TIxjgFiDwC XOwZEhwnigHsBMOSxwyBdZdd6kJS7pDt8bwMADYOMvkn3Jj5CppQ2xy5By32+EHLhEnEStoz2kW/ /WeWmiifOBp/HGXZoAAsmqtJ89OIiAdU+xV0/tY+mXraax+xwfdbUWOv50HMbldT5lizDDSS+MYZ H8wIuC9x8wbGOcUUkfSAPU4CW2TVvEbzmZS47Lvez1DscskY9oEYlFbkRJO+mtiZRG94YfDDqqMf v9YTENpqoMGEs6k3VeHOwcUHN2pK0tjWMWXu9L9CsA1Mf1jUX3rQYC81gqCT7eoviXfmIorNSLJ4 vnfXBD+OBp22vQopxgZu/JmY4gGOzSkDCEQ7b0W30PAwFQYrEf7/9kgYeHveGoxcNjQOP5CHbz4Z sZ+SffN2hWhERFs/WCV8MzhGRCj2NW8eBb4avp3eD65Uy8jPlPZ21qn4mBquDnSuyLzP9dA+AYZO /a6L1tbROhLr91WIgg82Wv6ZCBuvTZ/oRvWziL7om/c6vzEaT57nA6sojiVMY+GUgrUNDHk+cV2J t6hzcxAkMmW3NEELYR6+6VbbP82n9oEwVb+iA2Gx1ZMxGzgS32K/POzZ/MnpDF28fhzCDzxb5wOQ hnGT+lOCjL3vZG7WDZfndEy8rrQ6C6WYqlGRVGKS1ohN0I8SoFvoOFKlHCiUMSpOh8J9JxZXYJ75 Cdavec7yk8Ab5XJizIBHCqb6+XWEzhHkZBrhgDz7scmLh3Xo55ZmKMxpz7FQTNQuJzmc075qQeFG C2cyswwRhBmPxbX31ZyHBCKgl3EsKBkzUVOg82GqqDU1gJK1jsz74CeJqWt1bTJCq2Qr1GAvHZhP 6AHDJ8tyyGJCMAJ4odx3S9TnwiLaAeWlqfrAZXszjok0oD99cfO+XQMKYyhkB5muT9DLBIUcO+1t 9oMorbxP7l7cKWvQuk2fcc8O0fw7Yp8HyzPzbtyCAjyX6pH1vxT86wa19VzGP80LnkL+D06Bgwuo 9j7OsnYMD7hvHOmp26EUx4GDZ1LC/kE2B+Hdidsr4sPgtBf5yPPXBe7hT23iVCMl2T2hOjYmCFLW /5bBzlJZpB45zIDaip9c3Mh8asw2M8pChVOSdV6w9+NNMNndoY91ql7WW79KpycR5+iCE4IIP468 EcuG2m+FfU5wK5Je5f0bWot6Wn0lVTRpXQcnEgo6uGZaNBSW815eiALd2THEqztzJgKTWONSrUr4 0nUe41hUP9Y6ryDs7wNhvqS4uuVXbYPsDiGvyLtQ1phe4+uNaTFcs5yithgLluBRs7jBCqgtunXJ RZiBt2q9On+RFrAMWBfbXgDRzFOYLL1eV2YY2OwnixRqmd5V7d95vyIprWGDiA6kFuc7IKc2YjJd tJuzAfa6jUQ0drbuEPIUmNK8cyftyaNx2YMwHadR3tUmCc0mf8FD5ES5DpgjPmxYkYV/7QFcoQb7 xCE851OlP+x10/2hARmZLC9u+V7HcRWiV43Tlory5JIgTBxqa+bsmrz8jGRLXoQE0F2Rn0HWQymK X/5yq66lRIc17WvqcqAekhJmemeIP7w8wlxlgNlKcNs3TMuXKR/t5eKRITKD4LM4i/Hacn7adxr+ xCtGjDpaz1WBzs37Y2y1ipgeXKlHlcRQ0CDOlHgMgQZOKVons7sbFqYMXZom5cS01Jtf/1dbOCkz P4kPMOwyxq6ZlewBXj2aHxh+ZVTR+0AJQmTZr4ybD7aVJpNylzjLpsbVoP3qbJGZbUszGUl+rbZc Cwe/8AHsj1/RkuXu7dprnEO/SmPFb2iLg+7qUAT+EV7L2lPVZUr2VD1oGp5bEdVYQ/N84OzIvQqY AtWQDmLqBuYiwhdX9l8NzLp9mReuHDQVSs3QOx7hdXMYCNslvoavkggoUFFQY0ymkq+zvnnDVKF0 uFw4u3hamd/X7pR2QKasTEqrvrqunXbrJdPYApfk750ej4n8nC+LMai2sOI+68kC0u/DkKc779uU /oSOVt4JtpkiZzYTY03g4wWBzgL3OXBJ+2g8/1Wq2Fvhe+q6WXBIEx+tOHa0dC3nPTidOvZbM3IY jbYdFteB+wF1e9W6hqrBgrwSky9Io51wWNsj3dLK+3azlxyXMV1DpNJTW+s67OlBjxJmO0gMqlv7 c7rzLY9xDoz2mNswf94xHOoqkw1NFMBMR6G8YOhhoAacYDlX0gZZ36S4UdRlYxHyx43Exsq+JNuI /S1iqn/rnLpGP7m+Q0UJtbQQGwR/8kjw2tIdr0G33jVzRwBzZt6SLDpewnKMgoDPU6GLPPpdGTfR C2MgxHqrJKzUPyABStdmDKC6JuHVsDqtjJyuS54AS1PAvdkt2l5b3y5TieoKHycobUsiwumIogEx ADfCcEAJqIO6DLB5pbB6BHTed+jK5/FV0eEuS9xL1jB/kFsO7kwjiWPZ2AbMXFWj8W/lZDInu0tG eu57B3QmBQb9L/jy69J4n6yVDqGTOJkhf3ycGEvmV2L4zNUUXZGPa8qjv4G53aybblmM+BFcPoC+ CBYNAg77NLDx6NhEWFdhVQwerWMllBvaGKwzl6t5b9vr9SAY/sjOwu9vRaQEi0H3rcDmDiXzqrL3 kUdMCw4prPaijNf5hOgYYOmRWSDyaNa7AEJK1XigCUKiYMxwK2OtTjHhOzs4Ox4cSNH+vhteBUnq svKnThpQoNLKCOwiEdoLoz73bNoFgOsHDknT/gBW2C/vNBeEqWLYy/bWET+LagUOQLEC87/t/n/P Ovq64p4/EECab4dOaNZ3fAyfXxcEUhYdmQn1bCGyJnEreF4UFmXVQGdpuoeBrIE8nVfHEFUzM1/l VONOJUtlp2bSB57S2OwfkL3elH7x4wysZtHgpz6NPofZn0Q9V7L20PH2oiF6ocxqlNSnYgq/Ls1K weugzqaUX1/g+at+BEBpYKXjCelrXiHtcVZenOK4e83/vNxM7/wNn+ww9+3wLsfUru45DV3o3BuT 5/jGfoHmmOmTqltvNwr5h7vTB0qY2ap3MgmAhvDcThn89qoUmHTLVXEo6QjPoUrXPtwgR1jAVIXm wAohtU/6yNGVC5P7efuhdevGQXlFxmFo1ocwsl7HR/o5tkx1Ezk/Y7oivc+Z29+iKUTYG1/sSHev Nsg1QH8LgPYCfR8y6O6QpoSc8hssq/xFO/S43QwFiRDMli7NwrmCdgdLQSuPOMS19RGmATZ20rF7 nxVbuAKJRnEBMtFt+mUGh+TiA+Ol/g0QV4yeW2mzxn9O1GIALrP1uZqyk1GrKE7AdzRqFjWeIhR2 e0Oez14dr0iFawqlerA9ZEAP/VTFkfCsP4mLnhuRymthr7D7CLwPKi2UqPTgLmmDrGSQfR64lZXg T+awcDWP2xZlIf4lq1Ic6IWpeYQSOMOgRt5w4kIDaiV7pBRyQxY1dI+S1HgmjDi3O9DrTEeC0zs9 EcoVepdwcJI9JKDqRGhhIQtLuw4w6mwLw3bL7SBybAYkiQFWRai5UXJQBY4/udB1OFiCBWw7nwUx Tptt7v1iSB2jcOVYDEzv7uj7OrgtxF2p4R02epZBjNwYi1qqIbPn3wjBEt7ubStJPpvO5Jw2LeVn p5NLlS2XCI4ZU2xSZp8X+iGw9JYtjf9C30ojJ0bX6V6erC2Fw5xCb06o8ZUAYpJZxuzGdn3E/Qoj zZkrwyid83/W344cTYA8TkJkG9x5In7ewhVxQHb/qA9+HsfeFegEtHd5tAikeqKWKmabWemmGdo/ 9N1AW5EYryjDXoIgI9139ZRF+6JiJXTnsRLK4zcxyuE0MPfCJw8/4Myuf6AXzVASGQCYsqmNYccH 3iGRNiPwBOlQfVGk0fuo/+yXaeLuXaLBbilWKR8/Bkn/r8NsDxfCXj5B3fezNFQrPTOj4EDvEqyC dyXD+5NyZoPuzqLXGLIDiodStkFglx464bHspdg2Q4pEKB0vgoAFczjrNMVLy4HNN5Y6yAoDsKTC xtYeTAje6D5RLf8wldZz+E7o92tUvWFb40JaNO8xTKyVgHPq4b4Qlwf4Nssp9aT+N9DBM9wwa/bf 5Yt+bsc0RMI2V5ihuVKNv0irOMAZ4S4i1E1iSW1QBlptYpGRsDsYcuyqSidHkOahc0+nzmTfhQpe AJTvXvpp6ozjiZWn+K3l7Z0N9rVQBYPgDSErizkdnVAGH8WE0/2QM4wF8HTbVQkp2FXU6dRZ+i0Q ABlLvQHFNMKqkwiYWOxGcqLTvPR7a1UV7egS4boOoXnrtb7va6DW2n15YVibPJctLJ7kPBYzYGM8 FUslMUH5gqhD0V8HN7YPAIEJiRwufJpVHRZ36delR5TeuOmnSkGZU3bEFJeQ2ficb98fdZUlBzla 12rIe5Nyv4fZWX2O2FNj9qJry1/gVpPhEj23l+vkmcxoRtz6M39xUu//NX0MSYqeJiIqzfJa7+dr P7BPd9fhgpcrScer34jZsgbFFfHe8GX2XJsXmt724ASxlpPaBayE6y6cnJIY4mUV0vHI7B8ZT4o6 72kSEkYA7Ya3QslwixKFBzsNxkSALdvcSfQG7Bld7FazvlTVOHsyWRmghK1dFWtlCpnYFvxsN0iu WDeo7yyUhhDkXg//HOKGIy3z4CW27faYYchiZzh8tu01kmj6qvNgygbblSso+DKmA4mnR1EqE2wK Y/xQ5Jv/kNw4l7NZV1nRA5neEw79pJN/1Thb88uIuhhrRt86Df14wJfwyw/pKRE1RPAHUewqPa3j ViZXgVIH8B9yunXcSHV2TVHgHMHQ5hoSrIMCkgKO3u8Ttdtr9yIgEDAKSUBlO8kzE7BzUrcSQ4oJ MaGmrUiN4a4RjgZa1z0bUpjqRYEkZjhKNXsmjvsTgeQv65F8AQ74Bf03LM1+E9oYNsn7W/Dlm8rU 2FQzz41z5ZqtaN9JEeAr6SSZXBv59s6xeilLWZWoqWCFjZ/sqsV+GJeUfgceBFSwgr8EdQ8ocwQN a/6mjcuWKHzYv+P/ngY/ZA4UYi1NXTEkRpqEP9vhyExtf95nD5t6ZdwpHtlEcetU6PHQYZfnJ5j1 Oboevfabv5qfR8XHAXcVAzYX4Js0uCnqbiR1GqGKxwdSiu8meMftFq1ger61gRhoyKFp1sNx8Vxk Bc2z9c1xSGQ7bzjleCZVMO9RDReQpwpMAM96kdqoGSMJiLTnlOkS16nxvCgDO7I7yHa9MYY4ZZ4B N+g3Y1jaA1O4gSBcmCOsAPrjdB+dViuPd/u0ToHC2TSbsRsB96zw/OwlP5yesedwlMnN7DMQ42m0 oVhgqPo667zXScw67FDRGB3tJOZ3s1ruUr/Jy+yBP0jcdSIoA3xmvqnk4TyOPaVP43d/XbEMQogm 1E6JtM+ZXThfk1OjdGxaFisxoy+GCkDIFcRc6tVdBElHX/QNFetRaLq7VcmMg26nJa9wqCgCH+69 CekhZWB6OrMAcjzirJmW7aVBLbq9hcMJvfciC2fnQ1ov8Vakj/H2U3DAh8IfBj6JBFiXgC/qVIGj xtlIrRDCCl4bNm/v65gYw4ipvlpHhShB8GqLBo9nL/AdmlMDEp4xrxz4s2nOcHApDS6IdRkrKnTV gLejGfWVqV7Z2nwUDoydXRAhEFjcNsu9gju/lATduEyTmc7RY31CeLtUwwPLOBWs1Ly3TtmWXMIy SUrO3KQ7dcP0eK5X3YPiHsEnHEYkU4xTI8U+tmTrah9g7AVbqOUBLpAj3GdKcgkvXIhtC9uH1zhR dbIyx/Hn1oO30eF2erKIr3MPgwv0zRY2+/dN2vLjNKMNT1ph8GJ2edtywyp9ntGc5lxId+VO4ps6 qhYtkehtIoISTcw6vwdSGGz/mic1/8QfyzTKgMir+FGwXmyN2CMyDi6xZHA9MSeGBkl9C8yrbNg1 TXcRDoI2fsPhDRhCQDO3KBAOhuWYNb4YdO0ZLa79U5EuD/SC/2frUrxIlvQSnqAzrIi56d2MQkE0 O5ooakfsnl3pDx8l6DAUN5ddKfHPgVrs73wKana8eMWvqZGztzWwrZ4pui/sDsnjPFkIk0OLYqhw baneozxD1v6nE9TxqHTOT64nOyDCmSl6sIWTeHiy3tp+vr3tTTyHFAoA3N1plWIDliv98VRRi8LG TbTUGfbP46yvN1rOlP9vCk54RR776pRRqw0i6RaTqEchXr8DNrkK3pPMRJtPLRO40WmBkx90kqZc WKPWiEk3VhaLvKb6ZLM9UtxrM3khkD3r3K/CldE0BdDUfksstBZDZQY9Y46fV/IVsChJKljF/YBp C9kXLNOJZ0bf52mwurN3soCFF0sOK+aZQA3tEnh9DWYjUTghbjC1FDwPK3BvgAZOfK1xir0wWfrp q2xvTyyhTv/II+3WcTjxfpxAKsgbqrzb6kY7Tv8cMO1mIr8eE6R7222epj8LkDsEzfVbfTENelR0 NdC1uMHOzNrHiKoglLZl87mXovGyZ+QTpoGjKcxJSI0d/eG80VfWYZxwuBEgCQBni3Eum7wYpxmX R3dh6RPuFhuxq0MSlh5hLzs2dm9Zc/v1gVby+Ru2DUJJd7LvAW9j6hIJs91mUGnJ6KEPl216tc+M UKX+Brj9fnHTG6waThBmU6FPU865J9cE28Ql8j1CtikAIy6SL+HVaDZ5kqNLJuO6WOOCdR8bAVA0 /32Zyt7/KkLP61TtV0B1H/XlZ+MN02NfEhVdW3LMVg7/Uf06KgMElNCC39bJc2ede6KrU8aHnCVc e+YQAWx+S+sKSF0udu3GPRKm2hD6zU/i47g8TYEROxocfapP5uPP1YTHAdy0JwcVsRyKk2+Pi0ag iiE98L/IPn42ctbhynb4c+Rav/bSumtY4oqKOZ4Fqmt/KzyXCPw14VyKtLmpX7mTkqqrxVPWsF4I eow/E6UmjVlL7ZqCjC6jEABu3w08QWGldukaWS7jRTtUfTarXNgbh2IZOmvgqNxloMJzWvnOVgAp iQ/1nTrDYYDDZ4V+Z3jlOoNjc7quZaCkMlV+3gmP6ZbQjkzhQJzq/KuTOI4x3slhQyh0uPucz7u4 IQHc44HdeBKJJb61eDEvbm0YDkwMaULvWYYmHG0uZR04cj0D22KUkbZNjNcbE3nHkh+uVeDRNdUs e4vJVpvscJV1TKjyNXotB/k0+/y4IHxjzDZAdzvJTjOkqDw2gHag4EET24cqpXZ7CwI517BMTsB9 U+TQ+GOIpTfCV9IptHtzMf4zHgEn2vIezacngu3iUnAD2LCT5xhqUJ8+25oIBGfOzgsQbQbFU2wd XcOuPt7C/sga5RWoPpLD8qp1H7vIaoBAl+SaN6EDEh7zXw6CUBIdcWVKDJl4Md91LWQLg30inuQD EPc7Sc4X3MvG6W3giUq+4LZ+Ps4ixxVuAZAgRmrGkk469/gtCgfF4Wzvavzblu/kJybFwmCGeJDW oxIYCWxhQJe/EPuy8p9zWOosx9aWhMObiYalOwAjDwXk/YkDDRvFdaywbvYhTPkqvLNQw9qR+I0v MHKv/IWE7rdfbS18C7ogr5VOwLaE8l6DeM/2l8iE/KYj5nXdtj1PQRQN7EBAF31kqa9I5AOn/4vf L04kt6bamdLK1thAreI5BeHmgfK/KxUQXMbD00PI0jWAXOP4GcIVNnBVGz/ploZsAkbdXZtWobxo JfYeSDjEDhdoJJXPGXc1F7GSdD18/71Juw71V0d5Py+WAywPo2EMyr5A8+6JlMnWQ2K/Kh5AvkJI FH/xFugDF9pxd5Bgs/3z7IuSs6rVje8oml2f78RxjW9LnV0oAWUYC+7Q50lbB+aCGn1XIGiMDTzy W132Ma2Mdihqd/oDdPRqrTj/4zqY0I9HlUME18qaxcorqsHDge1CpCgXdDuoqEnulcSpBzGQ74+N v0xpvBfcSCFHEgP+zyR5x59xfkFmy0mQmarX0+QraMhU85jwCYATcwGkLU4mo79iTUkdY7fqNou3 9YuYCMKl9TTGbP9s6HlQFrZbcIe1/hVf1zdrk9iaSffXrx+JX1jXToXboHZa8wdENeELfLWfw4Aa S800ZRQQU8T8KxrCXvDbD1RLhMqEiLkekBArRs0E3J6tHRP+KieFKKQMA6O1z5LcH6rkw1YVvhF3 vxgx4kBZ4xWlDh0X+NMr663vK/2M+kS147XA15Tcwzr3a+3pm+1COON4Ek+6wY6KlMxDEqaMuM0g xGOySNrmMd0u45ix2w5ykwimyOnRqdven4gg/QoCxQeMMQTDTY83519o1RTZ32lrwNT3ULB5k6YJ VMVolDLE42SgvfIvy3h4bIWy2I2YRGMOHTSr7PAwASup2RZUdu4E6/gZSqUfd72V97kl3bIc7Yip MICRvfnBLHNN8ZIL0S8Pt21JRZvrWJIO4dH1ta8XtlJQ3A7u8Cs7DIHxxrrj+PkWhMrbWapfz6y2 BOZS1/O5W01Y+c48AISo0GYjH+Tz8OsxFgj4/GR752GNvqxBVQB1H8NVO0t7MkIIxwNQ2j4TXvav BKt5RQlQCgZHStC8uEJCcOMMkOVijORhPWXFCVHHkcMV8Xg6Lqb+71qvhIUsBgX2G+X5oRptBhlQ C8UCkgavaTYf7+kvtq8DJamOuE2aqkqFF2qMkTVeQS/XAKPeGJUUT29U5UCujClnQhJ8GywfhzIT qeHq2u+gfhSFPmp7gM2G6h14RDtjCvDzimUqBVfYilrf2Az7R6+JBk3bCWeHqfTB1b6pivLld2Ae 6gJl/QYV0V07q8pW+1FCjg1DEZ3S8cpUFq/AVLPSls3Kb0LVsJxBnYdw9i9DWcnvqHIvR53DXz74 D5fo6slJ+9uoC2f4ndl7swQMw4iK7gXaVNCdc6B/78dVeYwEbwTRvqzab70FF32mrqoVSJyuXeds a3RyItNfsNZf++xoEYVYhXX62tOnmgcvlI1PEhYQoEBlI2Bg0jfR/ugewuulCuzdu0l7BS1e1p23 NFEkRCBArjiKXdHKZ58A/LlYLbGHqD9tJISoe+Wj/Zmbl9GqXoJcBTx2tbhRHmjlaGyXyXNOnQV0 ++anSfdnDcUbigYEFfNraGx8aW+RE8aJudQ4MUJo64l1mdbniCIrhzTndOxe7kLOPPJt45BNO3Y2 QxIe376WY4JDCIi4oWAS8ymjAnM7q+EuEtZL5w6RSKW886fMfN/1X85MgsJMHz0VegqFKxtfO3B4 jJRsDM99Ne1A+ZCbpHGozMQIbxcPvwQ1x9/V2NZv6mslQ2/fXa9QizMnOxpiEMAzHTxYOEsgb2Sz rYnX64TcWRcz+8PLbxPYRFdx290TiYpTQjc8kayJsBeC8WCX9Y41CqBXZmDS/RRyUqusZYEnc8YX OoIjf9Vl83CpIgKopbmyiHTQlp+WIiUalyMgUtLbVuG+PhRNhMFP+tvsz6NoXl0FwOoHCOq7tV2L NiMABw/tz2WWPivwU4J4JtOd+lDJbOBvSaM0R9LC+VG/COjZ0C4k24toUW5xZDOAMOMQw3Jniubv FcW1ywztHlnQ0sEWnAKmsqOKvXt5mzpvYWQ9yAK4GNj+gcHEuiCY7DU/29u5MNtkUgK0FK7bUD+T u1+EIav6q4PWgzdIkPyZV8vw5UI+EuMf5pB4VGY0onGClnJAajjjLrxnY2vq8ynO8Fte0N8TX89J 7ExXPH4XaALNbNnZ4isr3V9ODyByH5gJFD7NicITiV/ze4SNx1bRe+AYgqNHpPwidsfgeLVjJLCg 4/lLOVyElHPNjx8OJMqx8aj5rTzfljTP+FNPm+BfpA47vpMM5tBGIc7X4cGnTwjWyTJzbRUqCcfe ZYKi1+srhRcwhF6pXGVA4KQ/unqt9LseYkH46Y7kaCNBuLU6Jm3OJGediq7cxAFVdruozDB5H83C hK996liEUwlw1wPKo0eR8KvI9cPHFH896IP7w3xBOiTjAFUf050PdJ97bQnHJLLTvCSa6f13O2RG FeuSoLg9xksj3J1xkGvyusEqg7VJ4o7A1TwHq2qXbeQhTxbgR5UItj35TtR8EMjcyMqKudsKQFl/ wcnJsd05bhd0laSk/jwjOcwk6lbINBhnxj2Dw1+liaJDF+Za+qLFkKzmKe3mlkHOdwTipgwskvkW D9qpkS/JXw3jOi9iPI3wkBFncPnaGDF4ffPPO41R7jQiwoDYlwniUZUMZWDDsh9jZWAx2Av7KxLf fNul4dqN21DZuE6JZRdSDla2Ugl2fRNPcNkxLS6SAiDNaZoEEV0K0eeMhejvD+NC4KQjBQ10f7Vf PaQpCSa3d0i2NsGxN6Nh5OIUrHRSZmNijEeQ5A8oamMAOn28xcaThZ2gbEbhYbkUCirMN9DavmEY BaVw76NK8ERak+OdJEDBL8YttwnCO7AsOkoZWYpB9JB3pzLs0JJ1giOhD0I+k2E2eaT9BSP7d306 3FWL3GDjfsfWsQHj8t99ocwKzGUMY/G/2m5ef1iVCH4DacSCQnQCqXMc2SGPJzcpkOEGzG8+Svjr FOOYprbWeryKX83veAKRzX3w5vQc5WP4Jb3lN3gCPyNUrU1jcp/8Z9xDBTUXH7EMvRU+Sb+OmhOP t4TH3sil7S9ZtOWhFXp0jCz/MMyVCZhCNN5pD/2v8kS+qOGeRH+B3eXy9F+1rm+ldkAsJurnOEuU xaV6INAX6vjmQYYgIqDk7qyMWYCwCgxzliMu2sbAfL9091A4B8y4xiSSodxYl3UzapRyKTz9Y7Si Z1WKSvM3g5tIaLUhI9oooVrLxA3liVMq1S8zmXOBl1bdy0h9vl6wFzRQltn5WA4sMbjpkjM9B2Fi hudsMrB6rYSsX4TRgkcJonS7QEcQonWtDC0aHOlJkz42FRllzZuFNSMwiq9gKiilds+Sg73UaXDq xktXh66GbZRIQAmxGV31XEIvplz4rEEPZEhnoffnY0zzGJkcYMz6AvHROnDcB0povkmQRRDACSEi tCPxEa1MQ3YildtTaq8jCnbb94HyvnTSrPrODMbIialQJtTIEaV3xu081Hste9XaMRQsWsnP6jt9 8M0uqgpqfkgszR/KdjkHzSb2fo+RUJqr/Jp4NP6JvzIxS0IiNoQFpXjz15na4tckvp1eSvNuRagE rp3QlkRtqfEWlCi6t7yMKBIUEkREridl/ue6FMq+lwg2QqLZjIk23/i3xMRAALjAK0+FvaQubEdt N5kB4MAYn2iXXx/sUlbJc5WXDyEn1eYhK2OtQT/sV023zno9ZWC63iKL3hfD1w4+b41TqFpxVPNt RHjAZfYlbkjy8E/05UbY07iJvkpLusm1V+7ZGAAh+zA1yBuDlSAbnXC/0oUT2ZtwAXyO6s18jc08 dpDmun/CDRzt2pEMJf5yGl14fxsJmRL+evYOb/mvMYkHqygpSfyAQyS32gcGedmD1eNavkiKJ3k/ SiPYOsW0mAEbzpMu2/4bNGWQJT5rmD9gGzTdx7vmLlylsfxwz2/KYfK7J4wtoZNp8WeiYT/LJPNK RQrnkapoj7tgZCRqlwjFw2vQlDDSjh9m/uJByEqzB9Ehem9W1pI1vQkA4jJiiixtAu7Y0RrjH8/f rHbGHdwZKtulE2WKjdatwGd0LsH4AoE6DBBQSzTsZtG8Zz16zn1ZdBe37ZDA2a61xihiGfss/D9K b+gnONbqHaXRjm8rs/Vu+x5ELq+5DOAxlV+LtTiIz9eaJ6rmkOXV4/CKbiWZnDdSTAoLb66jB0DM 5jROIT/M80oziQqYb1OTALzJAyEgBXd5lSjtGCY5kP3BRPCoM3/3GZSXFBsIT86ZFpT/a9PovZBC i4Rs5lE0B0pimdkpIPHLZGXDasn1NPPEelAyzC+2zHHjcSB/GghPg3wzyaVRoEQuFkA6ieOXaXWA hJ9SwpfDOKD4aY7R8t6U71uOt6ZO2Pjp208XP5Hd9+K3EXZ3qVfYusqix28niUkA8MF0Pz3YYyZF YX7JLFQUaCd9BGP+7Xmj68VWiNpsDW6nbnEupIRoPe8rCvieor455uApU/BTUDjjuQwmUHagAufk sWaKhzJ7OqlglknrjOEKdNpe6hKytMDGi7gf4xqWrtZktd8R26B5ZgDDOVgCmoG+R6ttyUiOrtQL NIsnb8kuvFV6nu1I2vhXoJB/xA/OPxbNCoJsfQc9dbvxVuTvKsZqB0jGgrRqZUwkIHqq5Wi7PM0P v0mGlFLOF2bag25xkG03OsOoKAdVrPdzlP7syQxhtL8ZHlLWpr0H7STPFA1LMJe9jIfaA8ABa6Bw Pd/cAa0WY1D9imhrD0eI6QaJ8xeR+olgb48tSRQN4muQwy3sgiSCUjgoG5dy0lKBsu1AcXX6kQKR SaMVNw/xd07lbstu3L7yY3dsIoegHo+XRYqG2ejsZVHnvGPVvSktVjRsIx+hBHpm+aw/S96aOqIV SBl0/cjW6yKPUhqFSJF/rt9Ka86rGeonpMrhUoCvkwJoIx7wpqe/x1iJU5quOZyEG9CCRo8UZwj/ VrG6uzdBgjjPPi/yCkYIrwZD1ihejgCW/9NUE5Mxfwx04C2Gc/zFx40pjkz+OzURfwWhhK5PIVhm EAWHqLQspeLVjstZym45xN+RD9oIi2KHeCQEerRWaNdy2Ic6xbm8V71IiQM2E9S2heHNQZvlaXwh sgQ1BgDuVU0ZUhBq6421prwwbatEh2+q7pPJcdjYbUPTS9jbizH5kguj5hlF0u/+5o7nO16SFtds SfDFTQoEq17Huka2qBow4l5UbJltTQDuNK1+nwlW+MDH/tNMC06vT6BlyRLgysTkfVRPJeBh37Z2 FVwJStvZZUg6IC3uI26bpZyEtpz+ZKhrVmX9SzzTrmCH7ChEAtNNMz/FfA9q42yp53YEVIKwYTjv NCEvzwfRVsIqohfxsoGFP46XgiZ3EE7ZYw240kyV+gMYZQKIlu6h8uRvOVn9jQle74+RdeAcvfVu rA7KjC41dBOOPjcVdAqbujAWMTqJXmfWl4saEP6zaQ4MdLXVcl9H+PpFkyhm4onDcCcA2mgVLr2P E3i4PrMRLlV43Yv6uHu+hziwwwJswd+Ew5rBzNrTr+bJregZxqYTclB1YGZOE41REJ/qpGHVYUiP HOEUx4uOImASRFCSFXIwLysi/lNybhqQqXUdYmESPz8r8PJKPUJ5oFfFB35DkDrr2VsY3Pthj5KD zRNYYpbFwAG3/u03ySyOSbdfN11rUK7mb+B3pSMrlFX5rjzgnSGWeUAA170NjtrXWYOLqEXS34CC 0RODSQp+ffrDNA2qP7V1Bmezj08RCnztioWBCjLwmyxYSCSigxai4IqlxgjsFuGPbwOcEgKy9kjB mgiE/VWfEmKwCo8s5FpOKNumMbLPdYUq8EkywfMCziG/3r8la8kRspEaLH+XQiP/mKYjA2q7aItn 84EpFYdr6ZLKgbSuaz6rGsSqBdetSSq5nb74wc2Lv0DqoSP2V5zebK1uJoEiSVhAEjVxxiHYq5gM xPpieznTNf8frpT8TamnqWSaYcp+4xRFxhy/ZhR/zx5NAizd8NVegbFpGS9jRFG29wFKAXyjrDMj VziehGH45cpUKzkw/Hmu0zOfpbDjfnuj7fRsTsOVdS9cyWk69ZjHq4pjvSCp6AjvueHycFULpRen KH8KkZ7mQE2kbGXajZmC/uNA9Sljhn+XC9wXIuERZU2xx3hDH2rAQ4xuoCML0piwwoP5ogwGluZk nVZ3eh+ya25RHQnAnU0Ucd1wN1Ir0EuBV8k99xUmvRkME6YmsGu80ypGndv8iDH2k4p+Gk63WpJ4 jpYO7de/caUEOXdY3sWGEE++r82ZbwMWy6nnNv371k5yMBU8OmK1VYLT87WeUCD8h0rase6R3uC+ EzcwJqNzz+X8voNFzCYg6zmqPLEaE+8WaeY2Y86oI+pI9LXgvjq7yW+L+pG7Ho73YOgRhDplwU1k Qz5jOii4bonudxQ4IzNq7Wc8FNv0jQwLYGg/AB3IpgYag84gMG6f2rcQcFyKOqzv0Ks3RZtJFE8t ALPSzzx1cLXNe2fSeryNJKicPdByRPICEUuPDnsRGLOp9fJXPseMnH4yUCWBFqCnzNQdsgYplSIX HrkFfZ9QDQ3ausHrh1yLL+BjjKiPSGTm18IbKeARjOEIcpfsK6W+hogdL8O5sNEKMnwOrYNXRVYR ChXJZ/j1bib4/nNySoFxG5+wCSMSj9quysJV6IH9HNRF0K89XwhwC/CXD95Hv7DH+Bx3IiHq9gvE iHuOMyPtY8dBVO7+DOmaZndcyD9fP+7tQLwk4c2mgHAGLz5HuKDrACkYQPTEfPKApTAU5YRjWd70 lvlo2x/19ds1ZOjpgp+NpKDNfYARbIVvaEXEaloc09OGqFuTAlFhi/u+yVHyot3vPx9HcVTO3BJH bF4TDO1vofCp7C+HFJZQPJT2uFpXEMeohm6p8Po9IAbKMuqxm63FPNYld92l3+fAhDCRPI4rLaB2 fzRdQiRkpUu2D4FFiuMz9J5p8DCU0KaCSsBCAiy3HyhBjvXWjZhW+NANouIw2J7PpfBkfVPF6c+e EDhCCysn4cL24EDYrVQJ6nqBtuIGFbumplWdczHWXcjbJi5x/mcKfWrqx2gq05if59jBRe1tBvna obWV3Oaq6PsDw2DsIqliwyBSmm1EFYn+2cdQgl1u9xhw+46Q3mcspyZarnkUbosYxGaIkaRkrVJL Zi4cFkADxIhvsIz1U5jBRfCNw6xuzD6dyDQOL/8QKkyGE46p5EuCvTvLz6ivGiwt2MoayLomHQ3l FasBS4gEgZaM1q9tMnQIAbSgLwEkStfmzUL2eCt9it9m6azBgS0EUkh1V7YURNCzucaZUQSSicG+ wNvW2YJXBereCfq83+ZGqcYnA/SgJLiAwJxvky9yp22wXlifxKJjf6XOIaRVnpXddm0vf7QSVWYZ H3fkILXXik0Lmu+xe3lRYePPt+e+37hKhGRptRmOmXljxa2CVWzfTk/fQxnvDTHFs5jkTWpFqjUG VL+qrXbfvU1dg5cZIuML3f7+LGK9QaMYKhSTou8rpk1jChuzSTAS4Z2lwfsH9QmqQJlkDqjjHbKa fUmNvdA0nP05tfc1iJOiNfBNGzqhjpy2P1UA2YzCXXBdgLo6Wz8fYCoetPEzxmVmxLRJfEB9Fp97 GPA61x+oAJBQ/PVEdeyzkVXr5G/n+3r/xuz/HMIqzLVq7ZV8GRgCxuN40aPmEUT3nNKIaI9Y7M3l ra01lQKwWtPqEMGv+tpBM6x+mD0SaWGDZXv2NBzOdnzl03oz9XIOfqVKbZAEQWlEps7bgQ0fOMzu 7WaNjCqd3pnt0TTKeeyGADWexad9JGMJ+19irrzkLkC7YL7II2EU7Njw7+tE5WrQLCOFw+3f59OY LIgjLAU/LY/E+TJRXtWirszhTS+arV2cSNBJk3Q74RjtyyEofr8K/2q23YLy4L2DK/PLEy1/SXn8 PQD6xBKcicyXSI0ZCMfDJcs4F8K788Ej4UkHChbpnLhZJdKfuxBnyQzQzu2jouP6Y+l8og3hVBH5 Ymb/bRB3z8hVtSRNCATaZZvmjmZdxiDaNq5vfX4l+kBQ86/mAF4BISbzS7YjO4BQpokI+JKnwtcv yOGt/J5VYp/ApIUP4K+DhO9KABzJWXzEixAZoWRtyBWtWc6ZlHmv7oELy5+PTlM1ZauH45tUM4WA LfYvfyAussGJBy61sOQNQCQeJqDAIorCN2tIoP/SRpUkoVBu+QiNDVwmOJOOWGMR1b0phSEnGWzU 74mG4lj9SP3fMNBoq9cLOWSXNVTZr/92AbilsqZcchPHmFXNeWoXL4pCLM0YBy5VT3uAp71uzCHq rrWl6dxH0Kuwk8AvA0CxVtn3PyCVe7PVqBDpEY4/VUHWfSeVuO1+VWbd/qHvIQYSMsaKWBg7Rv6T sWyk9AfYT/yWdJ3YFqBQV8Lb0XspU9LAmVCJVirvThLZc+rS6YdEqaZm9LxK/fW4FmGSCk0XPll4 esIbxjqB1GOfWNzMeRbp2BMYTFRjADcka1iqA2//dQDizgykMhMYmvrZ40W4bcMgPUgOxx6hLJ/T Min4x04FmbjTaj9IYTnzQq6Vc0XPcAkS4glDP0ZYqlnqdPUJMfOkWwST7U+iArzyAqWd9ybvZJdt kmj7+aqkTjZOPYwJH8EzsxoArsgLt24EV/vmpXc6A8TUX1bRHG1FXvgj3uHsU4/r4nOmEsHvXS2D BkucNmb9RMv3rDtoTxwV50v+uWMhIhQK97RBt+wjqD9tr2MNM+B2V9/6ckS2GUL1mcJuew7VGndg sTqCtZeptnsrs4e+/ZWEiVT7oG2EMCJP3n0A4yAUtpPlehEJGwsuuoPrDXcwVpNvn2HeB8EvMyw5 XtT/z7K3GRcHB/hN2wqmBXot7r54CmMGGeNFl4BMCMmYj2k+AnHc06UiFlg7NaSqPB5xEOEqG8aH suyfBJse/kH49mD9pR2MecFzN0sfRN8cr+DADMTi/u3eGhkxr9ixOK+B3KKv8c2GRBYaGxD3xB6o JRArwXJDsGLOJeCbZ7pg3Dg2+I9tPo2dIUgribAwSTrJYMfJNJbr28Z7/M7Oh5XYQe0zKz+N1J5T zSC8LDi45FQfwUhwKKDf9wTZeimqxCVOHuhnbxs/c2Sw/2d2TVdlFjC6vxZc1P4SRb7VVk3kHgp7 ifoIgXrS32o/W2l1Huiujji8FatOCJ6RFWYW/BOQZvsAJ1ypoYvdAXdlmGOZuqYSUwHYB+q72Fz9 qSXiQAAoEMWNa3DrJ2SA4m5WjdGr4vLN4YD20s0aiQXgD7sSFAMqqltvOmFKPb6wzxxaMEFXxril T6qHHW4eHn/IfxLXgn9vLxvN6liGpN0MJgH9ea7Kp2JXzubMfaghvUZnv2rP/bvP81RZbIm/bphP RKyPG0SEtnu27gwLPEkeC6rw6m5Csr/ddxUDU1hEj01wfjtPP63a0A/0f+qTda6xCXNz6VmWxXZb Cpu/noTCw3cjjssArwbsR9ROMCaNpS7krMtjhG9cmiUBqpYM+iPD5H76i79Fl3aWnsdE/Ir4Lq8R Tqs/OANbB7wMWXzn/KCEmQ/YTzg+PTQeJQTRN8yU4qmEL5Vx0yaCF3nAe0jXpjoTCbBL0W6e2+g4 FjE3kcYUMi7TDVOP7sGD82UQwzFMEkWoF3oYNh/R1lTEhZ0el/dh2czwGN1U/YRva1cqd+bfHUfc cNp0ocdSTRf+CgFZsziPuwuchJaeEPOKfSsLZMigsbY2EEYr7wOyK5vDHU9EFdWLHXJL2C5rFZxD AtqxVdEsWvxGO+hEUNPuxaw028i/sKX+te281CJCEKFKsfL1IcOADJIACeXGcmit/0oraZw3wrML hmc64PPVGPq48QWTE7j5gr3h0N+rQ3cwjqHza1WjGux7hPASHN21gqsXnAxWpgjzjWPW9ckCtshg PvMHqY4w/lgS0XAs5ccu9UWqSeA/AXROl/Dhy3cZokXm39mrBZacIMtJDydtMMBiAgt+kUW1PtFx 0kcNB42uJro6kI9qLUXdYugetaHXouhLslEsTvJJE3olCLcOrLsX1AkFSCrwemEYD1fl56tC4r+z fQQvG2yU10QKPhIlnz7WoD8YNtkFn8w+XLFrh1cxmX23pZxbhIXpVMRzrtKHX71Q6mfZm2MLqQ+C xFuFMJjCmiebZoI0+k8qaqVlHHpj+e4jZC5hphEIIE9cchGI2YkpTB4RLeN1A8AuGDwzHlAulHX/ mdJpZfnDqOC0k1GLsoeJXJrKTL3L8VEGxC0ff02PjPVil+J03kGy5/o/pPU9W7JHI2iWRaMiZvE8 aiL9ukgWDSYV81RsuliMMCzFonbx7sDdkfOvYH2CHK3bz1paUqZ5a8NlkOLpDJYxgaThhY6Egcuf iqFEom1q8jHzStNo88ombqpXs3PVtW3h3OUpzAbG7iMjxAZ0Txga4ZgnnGH4lfFWOgFI9aMur98v kaAg6RCsp4ytJ1b01ZLwgjOaJj5PjJdX/Fxv2TX/ziFMP9dzH1Od7YDEWI1UVO5pBjmHVTG66h2P 0d4odbo/y11Gg7xHekj/SqW5sySmxXnismNkNIBZW1h3z+7DmF0HCB6uDjxq/WAJkzVzfdknMDTo +9HnZhZsIuiR2rLvbQ1EUNFlptIaaCC99zbLS36uWlJKn/zebhl3txy+v3Kgn2pbfSKaqNSsjse0 jV+YxKn7hS26R9ys/hniw51CIsDcVPqNEJR9VjMn+z3fpqymj/LmzOgqlSKs81kAwKzNJtoHYqit hFsiBbL5k3maT48PCc6+wcOKNR43lbQKeaVzYZW6Y3gkOdUg7/gQHphHxuZlUJt9N5HmHz0JKKpm lymoKJ0oYAZ2yqj8dT1ki5SqIdCP+Rv/0BFeqqG6c2P6sxKY04KjVgt2Vlc6HppntMVEfCv1T2I5 Evju6EtdXnGvZLLdmD/+vLA1SbBTaykZ8aeULkjfvE4vJcvNkPv3ELbn6wMMIX2MiuSNtTV5r2o0 kyIvOlCPgFz9ceEwZc5s2WfryKta29dJIxyFvWL4vi6jzFoITTnh+CJYLhBYDeKdUaJ0JDnJjkjV fNGjUi8xg599fcunj73+nDm22qIinrmk2ApujqzFwt+tff2OSvxTtkxa7M1/IC3GyGB23frWPK89 RJmD+MbjnK7PyyngBOz0nKbhOF3jA+GqeTDovZLNXtbs1DxBmFpNcAsxZ/DkfnJLt30gjcMbgCa6 S/LHoVlOIFDg5t61cZ6O7EezobzOmrYUfZeokDH9cJ0PbWmJbOMH2ruuYKuq6aG+pIHRQuI1xDNW KcFb71ZeTfGQDjl5YiH3hy2n4XiYVoczBzuYIrPdTUDvF8hR+Zya+4f+oOKjH+kQ2gaJPHxocPVJ XnuLGx9zRtSIrJRZGXql2ZuDGqksA/YKIGzzwEwH/BY0HAOoJ2JcuSKhcqBIy4BiTnVYhcQLTShN i399VsKlDk3QMav2k++u0CxzXC88+k9ef55lYAs0B0vv+RaAJbfIUilfXxzl1BHTZMza0gqyqDph uaxOM8+qfg6mT87PPeNge494VWxMPANh7hJDfk+bNFn1VBN6rDvPzmPlprXyzqGeh7n/8AOe2vhP 89CrzPnJsNkHXvOekamMrR5AJhGu/xL8myxIX+ZefaIus867a49ZjjdLqcj79eZAKNFGsnaN0lLJ ukVA4qZBiWsbnzAF+4ETRbztrwzhlv3UsWFsD5F4mfB1YblnaUiS+NUgdkhyH8XX7n9gEaP7ERpb EtzujgFfPlu0urcaIm9SRE2Nv9OGemgarfNgTZIygOqC3rOesJURwavJOJdMJfhu7zF08xw1DZt7 vEbkX5MnoeBpjl+sXrpuXeKaFgxN7o04AglVHwn36P6tPF83AnGwU0d+hSkmngO+e82TFD48piXB n+G1EF1SnH65sggHP6c5qVBVQnvhi0eXMPGTPLlU4tTrjBHkdzZlAAREMtlyPy5pGmr1ZvXyLltV DWc+c4j5Kcn0BdM6OfIDyH0cj8vrgscYHAdh+X2uncvoB8rX9QJIL7Io4wvpSo3W1bT6hZbVXud2 /o0PbkCdTL8jcQKNwulf3+rKsZ6OfygM4zFHQoAnaZSZg+cnu/Xr+NqpexdEwrjJ1qBuDs2rLn7r k7dnAinXFjdALyVrC7uQdzTLNEqRulRiNEWubM869a4SkcLrGFjjkQPI6HzzUuIJvp/Fw5l1sRxJ 9nuTWhVYV5AOUfJ77K3TzUnOq/q9Vm7E6/7Ru2XmhfqSwT7oC1dpSIeWCGHwqBkSgOcuoVxATFFn FpCQOxx8X85nkaIFN53U5PyKh+pMnFCHuxlxS1oXUMYpggElwRs9vRtkU63bTRwxu3dY8ro6Mwpf +j3PzxopzzHlxbZm3Jdvan297ZMqaI/UefpswSvXmzFe7wnr/rpzyQ9FvgP/Yu2kw4057DJIdPXH ScSVw2alxBuPMV6qXQJuzqg7s7obQjN11n+pmg0ctavrnQ87STeGaN1PTcFAco/WXEhKawdGwqih lhN5dFEmflIlijnEu0wTmR5PYpZJ2vP0dP0QE/MnD3fIIDQqxj5cs+L5mNQ3eH2re25Lu4gTYBXN +6LKKqZid/UBtqY9cQMbIMr3mteMvMTj1UH69EwUK7US82eIQC50pFt5qFcQYFNAGTcsZSyewNiJ n6D5Km4330j9q61zDfddNacHBtYnZ8hr9Y7u9ESLj8VtCCUDq2uLT3BwZhdfe62y9KTuh49L8YaX g9lR1+rhEKuR9d/5dExcFL8DuMa0W0oFaYV6RmSt9ECq7UJ9KSpjCEoa9+ue6gPKor4gqWCcrAUH C9fa4mDd+7ExM4oDZ1UTugfadmF67+UJ1mkzHrx5zSljnFUahuk6GQWGheJo/qFKnvbmujZF5UDl DFtaq2kz5LK66hUCWAASwwHDGg/owg4Fi21E5BAdn/zAkee8lMxkA5NuDA6oo5mlIjo08Psf6CSg SPgZXxJBLR8SuqhJrGk8TInwsvRUSDUzi+SWDoNXE5KbhHRlvCFZugb+5WK8lx7XezV9iqpMxdyF UnQFd49oRefgDpnBwHeN8AcpT9rW6RpdtIA0EEHRQoP0n/fDcWnVxkj7MlMXU6rcpvL/wsUG84Ss gNLwxdBRtx1XxqmXJK9djeZXNvaTkqr7FM/cdY1J1yyiFlqWyoRENmURHnxOspXP/+r3IZ7qYmhu +jIoUTU556DqEnQlwIqUyIWZjb4m03+On/ro41i7MGEP6yBZfMoiQNLqcjuelUnC4daLmZ38EPJz leI3aivzGYUHaNlmru0JFyEyLM2l4rfQ6S2YhNZrieA3dAt1UL8dME+69SNRNmUJMHVZa9EHy5rh 6zfa0zVHBhOBONi85pw2bLJnxHqh8PweobVJuqzChvBVcr1LRkO9esmyPYNyIGDpRM+Hr2W4CchV 0jnUyd6H7XB1nY/SH8MVYUPSEUlBWl/lKCLzaftRxSxDV7u0O7HabOKMXIM8VX5ffIrtd+TaVPD8 XLZ0W/zQZTX7BflNlU9iJSSHJz5EebwNudgRtoSlAKAZZFjNkk5oieK0AI1rYZXAfIw8DoXUVEE6 D0TFK0CCeheQW8v9UTZEaWAnfEY+toYR1bW2a9+ZCp1dSXRfc0YZLHU6ExN09dy9gjJSv04DkePR 9dDFqt6FIX6Gng7jJIjz/hw/TcLhLMVqiNmCHA3FLhiZB9G8NzzXJg5lKbLEcecqWdc5EwRZDllo YiTe51PiYK2ipoedO+JYrqizkLDet29r3Br+NlzVGFILdWhef3TCYIxl6bdo3UbaSYgxzjZ5Gv7R aCMhZYSYbEiHcQgXLi1kDNu3kwliH0zLwa8+AYaQiUrtK9+nOy5ldWS45MnlMC+9CdkMGo/6A342 jjOaF1lehTDLNXHecJ0gdbdu86Gt8HPxeGvd41AFl95mKUiSsddz3B7Dajz2/r0OAdyY3hmvnGw1 J3jWcgneTxBtG11fqKU1exOqxATxEfdyJ0nkz45Ee9O94GltUnamPdemI2wPjuIvjoUakG+9M/Vw JrSRQ6hefeGPc+0rU1czVmZhZhL8ywh/Jot8uMUt7OGs35bQRiU5Qy2vGfKAtdiGCz5zQp9srl68 tlHl4ND+hEso3YLdyzztd5QR7FVPgrit8MdKi7nhBVy7YzqpgusATv0u+CLGNZzroVY2ITtW/ox5 o4oataZXztQvDee9o6913KVhbvVK611RVyTw0qNupIgv9aBhuyogPc0ZJilzly7WhNs29AJItBE4 KkRcmThhgGT6Nabw0T+qbYvoY62BFrTEl7qLEYoatBxdoG48BiRgbwFEiHMkrmj5+IAu+bYhB7QJ hnAhCr2/QdWx3qDpxdldf8iQK2NSABz4muong3VitxdQL+qHJkiI8iBvwvjR7QIb5JOfl4eQIISX cyqZAb3kuJv+oI5WNawjRD3Ef2yE9yP+1aQddf24hFOldlnSop/SdVFKMZqKsNG4Rh/yjgq2HnRi w3oU6JTwqtbUAw9yIG7UgnlAoG/ZbymoUDWVTC0BQy4nc077Jnd8/ySztCUaAk8KAZ/h4sLhhaMj rizuHaz+jrPwAd+eweonfFNWqlpdFoLTL1/Xwuawn1fLzfTgB53G0S8zt1wsRBuzw+BL2znqszhL A/nWO0yaEREtuafYd5aDNlIoQA5kk9/ltquNpmxH6PUm/mqi4gZkeXkaAhfwjNCU8TKHAdsng/G/ /goPPx6fzya9r+SrqVi0HF3MjMsq+4kF8cHd+mh8jELUF6R2nwzm3uffaTHjfH+yNL6nR+eSNOhn nD0cANr03XbiHYGHsHgZoGNhzWAy/u6XC9ewCtoI8agzOn3eXQLoK75nTp7GY3u1KDE9CLgfH458 cPY/BFeKZU+72k7pY8WZEnZ3JfJPIEigYjGS5n218zTnkEFGtRkicHsz/sVMENlO9OblnzH1FP5s uKr8RbXI1aYVlNe0dyZS5yCBmx8elzJLhth+k+GzqiN4H+lYFV7Zls57dDnLn3e6btE8QI269+Tj XjtPZ9Ihhb5iE2drmI7z4pTIABIWoHU098LfX78OdzzU6L8/P5AvFuzET4vlkch1Yqh20e+MWlP9 UWcyU7Z/5r3a7hBzGTsBBBl/4guISjFYu1p+50AOSzF3BMgyiiTkoBcpLj+8qZGBULtF4P2lhlBO aIOSfcVr8ZZ0owky3L4+zu47GZ8kH8OMple8LiItCB7nbdUOwol41qvKkDbjHxjkjIBM5SRF0GcI EM30hNMEdTFK94bOu8xxMMoSPrspBxxIVzFDN54uCWB8ZEmTNt1ri9XmFcFbN/fMdLEO880o3EJx yEt+J6gAxhzzz182pqNT3Upueh+dJ8bQ4b26Fg6nC4RQu278XZYuFW6jI2V5spB2IsOyVprRg/HX S+rr31DCTBhgTJp0wg0bJl2q2UMvgqQY96vqD+JrrqzVNeSUHo2rh3KqLseZR05OJNGxFuZbD2bf P9MJ/eLtrhrB+zZM5cy5aLrgOzoN7PamMXxVKz9PFbQwkKCDcvcOpTUcw22OTriH+O3ifu5rJroS yBiEz+Q3TDEPBkqQ94pPvla2HEwj91F8v9c+nQrJCzv8JGWvQ1avgLv9GWaKTs9fPhPk6TGB2jJW +6Q6UJzGv2xMAi4I4LlJvcwFVJ6G0CtpxV1/QAXbae2Y5JThnOYlDOKFdEP7LHtOmOfpUCoMBf8R EO0zIzNSt9MXqOXxh53yvLQgK/p+ZcVO64rm44RU5FtbP5CvIz2R9oyVxYyK5PKPNi/YAVj6Xc2A RchNs+ay3HO5z4xCLME8nBAYETguhWXNIXHX7U/lit08ju/57loxk3LDnL/xhc0FALwn14cVigGe GAcNigXWCdIEE2gGAQodhZFr8hfMSFQ7gAj3fRYGhb8qxb3DBMKBQ5wqNq0VQxG5MfTQq2B+JJpH 0EIuoPgOCFtqqV1dKuoYzEjYx4rRvgHop9F5R49bP97RlOmyhuRq7alffw+57mTJzCxo42t6YMcY ffZ6j8SejTFhjxezp6SWZXc8vg/SCF+2Pg6RdU/1IDmWB/QzOM5uwEFyzy+NP6kYo3bLRrJOfB5p SwrCwo4SPuqeQ6Zhd2uQs1PdwN0/a6kS96jzXsrtAodHGuoFSGgLa04cu1NjfZCXBkRr+qCAB2Rc 7VuX2gur5EnJAyZRg7RNqedQj/Ql6EEgGQcySVE2V8gOalO98UCN7xzfMvXIOhv9/OscxGWmYWd3 4gCeBMMLgd+5itMBlu2406XzHZQoU+VYXupObAWCqkyO/vna67vBNBNEyqCGD0/zKIUM5/QQ8x8M 39CTtYexYJcjQhqlNFTshPXEzPTPnE4xV3GFA4+il7wHeWqDaqioGPHmUe1UOgwX9NeIIkNe+847 Mi3JD2kCCEMM/XTQ1GpspC6XI3iNNQnIOyBTKm3AJWNb2DdWNdni8utdRdRp0/eOyZNvf1D8QBoH +psON6Nyy0d9XPnnewnPhE628kvCeULk38zd//MwoGOGez18oYS7hn7W3tQLD3VzOjzJioswmy2X gL5+S+6mSdwgp4VGav2iDhiaF7w+SRcp0wXhqCk3QMAERuW+R7FSY7ifMH39NAYbnIAtbFRDZPVq ShyQJeGLCmBdPld4Fh7OHeFgS7q3lxQCmRlpxqlijk5t/aObvIYu7eJBwpHLyQKU2kD+45HXvkPX 21o0Cp8TBkQpwSTqcUZX76xwOK+uVhzb/mZxVjp0GzmKRt+yVMiiRVgMOnwZis4HF3UlRiJa1XwW 5lhqPvFhGXK8/zXfrKW1hs8qJx8E/2dxdjmYKNur5MbpNY5Y9zsUtW7uWVwtGrejnJ+7xd74U9U2 8bJWC69aTpPFAeeUQ8TooSdK08M8H7YMbkuCoSEOnbzeov9fBv6/wMqlJq9DOHkYlgBQ5CyTxMs6 /ilJGfxvGSf39SMFjvZ184Gd8JL4ShBhT8COIBN5Sv4oHHlQt0RQOEB0qGpFGf57+a3+g5cYGqQ2 RRAxVlpzxUObS3cZpbO9PY2K+boMXb1G1hkw8wW+DnTq5ymsHIWe8KuPAcdsg02HzZycz9+ExjSH adQ2S8KSp3X4dKqpe6qDrSlIDIY71aP2MvFPInBTFSy/bPgRBGlOLg2dXih0DylqCVBbonq2op0N zs1uGYmBwy/nFC6Spux41jPkzVLl1xSVzpIUtUmG32ZNA+oVcssFm8HP4ihf8wZATteTe9ulEv9J w0qVB33IsTEQtvzmryFlsopmq8VHzovdyYL+x7dTx/EX2cfQTgaz4yr0L/DdE8nvRu+hODkysawH eU3v7Py8FSMU21k/bu0q8dA11CbkfVB+a+5X1T0OQhoqcf2YvCPBg08Xa4lykRiYN8LJ1vIQN5NU JJ+OVFbe4GRqCJ39R4WvSaf4YXnkrh4f5oAidqXNGUID4mvEWWUd4Q0+3I+DJgLdhVK0QnGZy3Vp bVeoI9DAbjpuU/oVxu1qO2/3QpEQpVA+zeB2ph8tD74iqT3t/CbX9z535iBoxPgfSHPbw9Uy7ioq HWbjZBOouCknsbdQsDfgFgrqmq4OgYmaoEvS/oiHbSc/hofvuHPoOLabwa3cljmNL6ssVdMpciE/ KicVkeLhFpKWhbzKYKAO3PuGqygvm7JruTPHOvnsgEC9O8gXVhxuyBpg61JKDYIpa0TH5OsfsQ8D QnqzeX1wHoYkCK+wXn6AdI/LZncTYdFnbswW+OB1DOiSaCrVWvhw1iHq+mf5hR/0lhzV6TzwuA5O r326V33BbnoQC3CW2wDUxWx8ATsZOp34QLl66txWR1QB8+lAGIe9iVKTun3XokMMX5DdMiYjbJTD cEtWG7Zp0gE2z8qiZGGBEJG9azUScv/fYflGoFkShYc3XIkOkK2YDT9c+qJPqvRauWPbtFfd2VTm eubHFL8x7Hwaq/aLRdkkHzCsK/pTpB9JHn7oeVc/sJQq8XCavhSX13s1H8PvHZXFa/QmhebSmvE8 QLqcmR9HR3PeoLtGESblvCSJ0P4BZKqI/wWdrhSxuCAVM8j21+9kFz0olgIZkHGfJmdrVNYVE38K v+8ErLi6VlNkkiXtl1k3+I1VzGLOrlDOmpaVY6UvAhnuxoJW1zM1F4rLLNRtubnPtz+YH9Ari2N6 9swn6nqGaflI8ka+fj9xCItUZpjUuGktJf4AuQfeZ7geXwj/9OCDsUBWaPOXeM54A2qOrw6MroOf CUdQHaj7zjLr1/ikWj8nc5waM3U+r079sIOS8R24TUydw3L2PvbvQjzNLfZY30op1X9EaPIEfL3Y 7ZhZviU7G267+wre2CbbDVlLNW186MfgcBJ8Ibt+ruJD9fWpBSW//+Mpgm5pN2URXJf3KNER6If3 +/FRguPdNi82LqKXHv9UBv/71F9cI5xeSGhdccf2wWUrj4JAxSzDKZzQeDIzgtLYCJWF9IVLr0cp AjHpBWffuyKs1CO4S/zhIMb1yrYR34+LE9h+zkXluSDE+S6d/+cnszVwx+VvTYNnI67UdhJ/eCR/ MAYTl4JBZcNwSwUy3oDsypEe1CoN3yQ4zLfz2UyVtcrdZ6/dpozI6MNPaEdAb88Yrdfg01j1JWcQ EaNjgoaD2IW+1gPNruWNuifuZk2S3yPfBVGem3IWzoo2Mz+0vxqzO6FM6OX9r0CTVhGoRn3tt3t6 fUjJ5OinfEYSFyW4dde8OIFJ9YHagRQSztWP1oyLv6E2mnci2TfooGWifTTJmLK9C6vDczrRI1qI 4WkQKjX2ELv5PfYhhVCJhE0S1S56/Phyc0M2x1yV5w9Au2jqvyq6hOaSmXDWTMePfIxpVKnfo8lF pLGlNz2sGXN/MzP0cBwa6pWvf4nWANAj2gE7mySY+i7cf3sS5cNPKgTr6g8s++50Xv8x3IEbGnpR BuMGNZ7GNN1QyzkoSKtPp04ca0AE2wr0xsSHW77QxnjLlRGG0XucR3wM2eDJy8qhOtiUkBjkkWvK oheMkBiOngfZaoZ1AaFQVbTB2VHFAkZffqrbNI6IAD/NQkVm+Xc2BCTEaLUwSVZLMaNzbhKNxCl0 u+q3HJCQZwgHgu2BNNT+Nhx6dLkHRF76zLF03ufB3eOd9xheFbqDsXNWTqga9Y2+NeZ0RCe+vlgv 2F8RuCwUYJDOwWxnzOWQ+tg73ubJVlkN/D9uq3CXi9L1ZJpDiPtuMA+tQn0TayarHSuzdBeyg/OC 3wvBxohhT9yBXWNgj1Uq68nZqQbfW92ceJooKXMHll5XpbGZTBmDJtsUU9rHBflRU4IT1RDufvPO 240dUGBW5geUAmdDAlKg69s+m2Y/sgtWNuwaIzzS8vllHRxS0X+o0OkNpCcDh1fc9LnKk1c0CJT6 KOZgwiv2QXZrl9qJ6TdSdy/z7xueYbONnl7jzLD4q1LLQbUdO+xlPEhuCIBY4rNS0DQ0UY9Kw11r hNnJSbITBUxj0yrlrYEXz0zgbhPdD46YY+cRkfs2u9gLFjyytQnWeSZOMzApSiFyrKJB7gPVC73I aV++QqIiUnuLFPv81HqhXGsfOplqF/hCZoM4fzcEq8isa0vwcFoCzRNQDakJKgApXzi85+SjzlvP 52pnemkPtJBrkqX+a5gjng6yWYnwnU45D5VJtyQL3mRnRjVRI0oFVDNiXeSslk6cvfMtXJKxBo34 wD7V9CWjexPLRkNasDr4OxOQGpKegbndyeghXK75TexZBa81r7yI0NAL+8z10tTWP90DKbHFpFAm jYaE2YGMwTO9JqfngUz6XJDVHSoTB98D6az9G6CycmFO14qv/sX9+2TSWee6/PQvVKcvFxhYKGRf GSPi/P5k91yWnWm7QyLDHtI8R1deFZUpAPtHPA1YP9NOtos+3VeOnKDnAmTz1WHyJbmPZcW7qgb7 3X2h7wEVdTpeYPA1FnGA61yMESgqLcDB77LDL2mHjEFx4Nh3P1iiHu426daB2iqZ45DKOEmK+RiP SY86x4+ulBaYt0X6zKbgSrF3CBVKLFLKDJ1/Ku11/YidBAL9k8qUX1/9gSGCDNRb8eArWd5gXOKd SSZZf7PmG0GKs63lff3Qusb5YKwLiGeh74PeCsdVgZ2DPUUwwxbm5N8Ua/PE1Av8Sr8oOnIYNZkI gD5Ef8V4+JSoOwf8QYCkuL89Bpb+gOHn62mY34BiHtAJQp6TY48S/9SRwzTcPABexa9686f/1An+ emac8seRZA2Jrs9KVNgI8ctmZhc9l/mgAAbs1XUuNap0cF8SN50X4NhWH2xidiu7V6Kd9bRdbEin mWFz5Fb7nx6ocvW/9YntA0mNOPdKgsrUiq50ROJ5aSzC+LJmgiqxy/e+M3SxcFuDKV3UMC6WeIi/ i6EpypUwqlW8sJgR27kFboZNzgIbqdF7kxp7z1B2BXWlHlYJzm55c2vDR5DtkItKiEUTUZlG7ET0 7oaxbFYFEMpgUVcI/FSAxAv+WjT96TgR5b07KU5T8kuuyxBg5T0BSDnkQxwMlKfY+pQ6LvuE85QZ ZVCSYDNZlzUjNGkpic0JeNdlMiaEZ/MStUcjK4Hya8N9oNunTtCkryGLsHnKqypp9JYEgEl1fzLs u6TMCQfX5gtFl1yMuzQqO5NF/sz1jYTTuJmPumiYbZjfCAMUWyio6HZcCa2/pvliEgxPdFsmC1WK 3c2Er7kYVwLeQ0f87K9/CNI7AyJj8FIkDyHcB19hpAQwEYjks0hLc6Z8KPQpMBDvNKqDPMX0JmV8 /MUi2sk1BzFnvENNc3yeKmageao4rvpW/9GlfUjepKC33s7ig3OhFw+GXk/mQNqHTqP2geEt7VWr GTQMWE7EctfquCZp8FJbh2M3RjifS/hMAudeon6nw7WfhN0FwN19khSUjl97lVVTqzpOg+SdKrcz c+iofWStb1YFJo1ccMcU/zTG2sPT/Sq51ABdEyInL5IIohjM+UUQLS+HuaMRYunxHWzx+8ocAQ9C UuQg8z32uJJBHE0pQ4u6el+0GyQMFYZTaZTnUxIdQnvQItmKpHhMbSYy0YX6BsroPKHFtUoYBvN/ 42syJlK5CGD3RXRc1+sy7Q6RkooWg59W7zDMBtrqZG2PfOhMprQqo8GJtbA00ZehbpyhBbUDUnUA 3JyWrqDDm/bsqBBv7Gu0BbiI+uW2XYUi7+w7cO5HDAu6ZrJmjM56D11qQWVY95CFb7oR+dhkDCnJ xSlKSYc+Szarl4wqOCU74oufQYljMCYT+GRw8Be+VTcXWNxDqrDYU13q+IFQg+HHMER/nHvpwfY0 +E+s/A5Ss6ztVvGeyZ5I0bMGSE77meSTatpcYoYto0ZETLRVWMLnQsjoJUC+7idaMtNNGGKjtbC/ PT7wrat5xybtO58o2Wawvl4xzuQjxp5weu/f+9gf1T1kP3ABV3IJdBj9LQE6JzxVqEsFP0jqi6nS vxkVxYXTR5OT+R4HYBYbO8X1IpUmV9aa+qJAm/V81c3fegjTOw98TkNVhskzUOrgMO8+kph5vpWg E9RM9Ru0iOq9fw+CbLHj9C8VkHDKS6UtxBqYEH2Wgn1HgdT5ziMepFMxFGGyR8sDkrC2Krx55eCM d3D1KwKE22rv4uD0JSoa75ubKrsjt+BHxyyXpHuL+Fo1KLFi9XJ2mom7rvFb11kr9Ol3B2V5kCdr RkZS+pf3ynTKa7bh6qXD6QRnXgjDtK/EWgYWREO3kLZhwRW/rl0/D1jGCSV0UARbMvbpiD38kxkk /xRVmN8A7lJ3qBlfS64X1o1VausBJfrjSkid2T8pd7NLYwtsPzU4YU7UHXo/PClYkigsxQVZg739 w4alfcrRYGak74gBClbJIyCZKpY/Pb0IJHvNN15zCFcK/CaSn/ziaRkoNhCxL220LekoYmMH2xgB ZFzbzh7mRd3NgCokwcsl1is8JjqU8cxq+GQCn2gLsEEf4AgdL58U5PvYMIHG2HrGHpZFzaVHI/ea kfMSk5tdZijto0PKv+p5f2LMH1VMx2ZQf6GTu/4QGAxgGf7yId3QMqJ6rxfAkrQh9wwy2k7Q60te sKspGtbcMGSqX85SjKwokHV2gyzpX6F942w6fE14hPPIIAGXxCLUn+P435IdwWcJdO4Q6uG1G8jl ISIdjbn4ST4rDeletmRLXE/dpcMVaTPFeMDSYOCkXUGWL6iGFNjxdJoWxx7n5XuYMKX4+sa5e9Ox 6OUbBNS8E9Cu/pAYa6k8NOfC0Vzp5V7eDmgo2z5ZfwqdghdTVCr3Cmfw1ittBgbwL2Xs7CCFRQGp AdCVpsRtj77iIPFMdb1P+ssxemZQwVIafQf4sqi7oLcG8U4Z0b96bD4l/aQp6WLfKTkFel6OKh6z cHEVmYsRDwno6ybSsJjEfFeCQzelH6qx0Z+5E3nj51q5e1/DDp72wEQvbUQzHB7B09Z3s/FGP/Em xD3ySUpwOK0bnjO2qB0iz5+gU1vAjY0+05WOGgO/a4mC5f2F+1KBR30b3z4/XWoSptjc5PEa/TJ/ N8oCSduII81GiOsLpXj0CQY2VF8T4pom4FDELhvNKJ17KuapeJS5eFMSfafgKGJ/3I/tXP/GNV1q Rhbux6KQSKPVuvCvsR7xjDNWTBP4Nqsh32wPOVsRfAT1WZZfN0MeuuqUEg9yks6CRfWI6iccrtxw sLiXx4M7wNIXGghiMFXSCRq/y+ColXUzsD3ZOFHAfcU9506H4mykbHRcsAf0eH0G6FYudmQkFNUC rw31T21OgUa+OMXt4a8ILcAO3M0w7CcFVZT/93QoEyxxP4kAlkb90MgtTyQxYsBFiw3Qr6QEqZoB oZPWv9rjyFCT/6ZXe6RtdYLewv9j/sNnhRx9ujQetHVDmDgrg3NArwtRSsaiRa1aBnCd1E1GL91k D1BJngVfijr+zTHTjU7UthfUuMnouz1gE4StcZOu4rw3DyN/Lf5O63rbRxWb7/jvP2ZEV1zUaPFq cnMb9IiMQuWT98rqR/9TYkfvkoFUPHS/d+xa/Jnrdy1vVfyw//k9X2mMiFgMnnfrEEB4BWQyJklf S8AZDhUWlDciVHNa62q+M5+qht67ab4hke0rWW2J31c4xZLBsKFtAcpI4lu9GzLRysJYLh5Wzxx6 oxRvPQo6jO3+9BADpkcM/46Xj4iCjRXRwm0EBMt8nj1uxX7Bz4iaAcaJPYwkgwdiym+r4DJnTk7b JapKjeUTylBXp1igOhVZGmurgAk2XTbxuMwsBSOWWzc3PLs/fDYf57n9TJfd4h72yZozWVARZRFN /8LoAljPaXletYFuCb9WKTvrNE/15+nlz0zJ9UYTMDT1fipB0SkMVwSCyQI1ZrIddzxyta/Rx2Yj kzEF9YdyRiR20ZwisEl4CMjso3Qs+74zn+mMxCw7/uSrB+we68MX8+4hlr73kx0IVyatLlRAludH x8VqB7Xto9X9Wu/l+8sObmNyztLKNUgvy/OR3DruMgdF4cCrRG3Y0o04LhyZiNAIxt0yQJfDaCeD NmNYARCLSsfAh6PdaDKvE1qAdhvoav5vpl4V3YKO79zpsPhdf4NyaBEKKMiB5EbR3tVGafOlsyYU COOlN5XlR3HHkDPXifHyCHuiuE1N7XX3i5yz+m9n5sOxczdhpwwXfFtsWNq6IC+s2ZUeKgnMvSfH Tdy1yykhYz4WRLpNGNK13SSOAOq22SPIKd8F8H8/L6aUhzI40ypKR2W3nxsi0ZFcxCH6aQMM5Pyr GppMFUyJKVMk/vHcixW7ct1afb8ox4/OWqwzE/XXRUBHwVk4hclnU2khDQkFyWbYL5cQBiFENNbR uQwJy6T8w6tv43qnLIrqXwdU7b3Ctw38enwUIRrtrNYBGhTi4S+X8l5XsDuwSuxV2T9Qql0lszrc EoDVQjCcXkCsEmMf8oJJ1EB55mr8Y0/yPBcksYuNXPxlAH89hK32GuSjS6glBLnrIcqEIaOla0lH n40lPVaAWgOoUnSn47d4vLnQJu6vCOhIjnoci0lQiGHYI+Jqpe1hmxV1/degQfqIWjDrgEq/vC9Y G/yKYeoO0VEHtSY6f/drHbh2vYM6S1P+Sugywj6TB6hf2oz2Z0ePZOmrHalLBzqsO24LGLjbl4/s CzAL89G28IEMH96aBwKuX4T+3AiCeZen103G0F1FEFVd56yO74DCIaiI19CXXTajjgFiEULvHrti UhTzSV86eV7yuBcs4eVzDAZs4lprwkMdy1Eu4BYT2yd2ipb/59pL9Vs1yn+e9PE55SDPN/V3rk9q FylOSAB8Exyv9/aCatvk8PbV/T4eKguu0beGgE+D0cgkX14VkRDG0Oo6KXtY/k3Toy0yQ6Zttdwg 6rinRQp3sGj1dEsY/cpd99EUmJ0im/aq7KTojYos/cXBzHKFZsEwgljKPL4otJkwCNlT8CZJ/KgW OY2Pn93dJhkwn+/cXj3omBXMJw0gw4BuHMMOXynDYT42H+aYfrShj0Q8hAuzoLEsXWqnpr946tKk UHPdJLQpNXRkeJNURQ+O1yXw8j+ABWIguA88PXPlL0wMO8y50VEEe7sNKNdNl55uOWmU4D/XWL/4 463AFZbJPn8BAAXxzU7T6lk0KHvSA+gqemmUntzCeb+/rFnP603mM+CGTDp0Q9fXVgkaIN90ov/N /mfPBkZB0x1M0XoC35YP9dX7ZqSCihyNmLWJhIiK85nhzU+I0EVSjwdOhGwVCIN1JAaKFR0iLOt8 xloRHojrwbwXzALjxHcd17BGqRi3oNWg6yl39UEIzSMb6iLliPTTCy9qC4ws5mKam2hg93zYfRSe 64fRHSSspnNcanjSAqH9t0MuoMvRJjcUJtuwD0LxzpG+PmuJOOq/qUf+Ne0swR6XBH2w+jz4bevo b0piWIQ0wnWeL5SjiIQQZ1kEnz9JzTJDXKR74vVhQEW1edKtnEiJTIqZlLYom9/Uk8pB1Qs8+OoF qYZ5aLYm2H+psUCwEwKQ1ikSgLA5bOCLD+QZGdiuqusG+hhF8W8zbSuNZw4vFz2Rps0yAbCIAiES +Y58B5FlTDhDBm3SsV66B39jahTBcXEJfIy5u0ArXr3oPWwATG2PFamF+EdCGnVU2JUANXBqGq+4 /RuA6JA81+yhZONYnHVuka22gvZZJ0n5hvOd+bEegm3VYnbPhWNglXbN9CWKoU/tBwWLC1y0YT9/ TghHGAVIr9AiMhoHBsnRMDoH946MSZFBAVr3ARJ17g6l3XKlsQloD9Q+HukvjO3lHql+6NpayOCB q6QmDbMuGu1/rAtpTBK31f1GeczxuACq7x/cvjXiemwwtITh09u3CuYRRVzOyzuZ1mU96SNJvkFt X6Fy60m++9w9kq8nLvDltl3Cp/26NyoOV22zzVJ1emcLW5Ty0Z2itCUQD7X+CPa/p32tOxLfzzUN c4oR7zhO+I6TctUrUY2RZuBIB4wRZup1bh6bBRsILKCm/fISDngQtQfj8HrWDExryOrXNpCpBift N75FfZpCI1MHtuernffhC41cUjQ7ftMEW7/uE3IUULXznfqvmWhTHKf0lXUTb8OcqrNUkyjkGdeR uJViBcs2NHeSZvjocL/bwDPSbjNuITCzQ5zz/bThBETEYY7GeIdU2U5rkCSyLNrrrR4lxCBHnPqT 1a0frhCKwzvrcFGSKpNsRZCHq8aVljNZCC3b3ukjo2tnfQAFNSv/7RmUDLOiVmOCOSk14ie5Et9v QZPD07WxXbwUDV7y+QjvjhuLaImiUaL3TIhNzsM0Y7TCQlmG6a5hN1eeOgVGQUOvglpjkDzt4TI/ GLRqhwqfdS3vP5IHKrALbGNhsS0owbxP4ZB3r3JCrWuJIk5yM0JiBHikqYvnKIUh5I+DzqSSuTBI QUyrTNRhLVGAgrIdIuU1VicCqvHLrvdaqD507IxmHEqeAoqyxJ8Y0Z7ZHhbMSNsF/M7bW/FoABQa No4viX5zTA2aMRrq3UW4x4PyqzYjz76MyMbqnCbJLvce4VuwFEwm2XU1knxb3Z945o7jzdUcfcp+ 5f746Mi7snsmHeI4e3wWZJHcb79koqiH4Z7Slu/WSkJvIxdVIH4GLKvWZ5z7G5PUY47aTtcYV2CD qVP0QqwQpofOaRox36Z5AyRjdcxW6bwbcS8RApWuXhY8cvTfGbnqNJej4w89AYfcI/TD6sHVGuC+ xqzOe9quTER7A9uK3GKegask2H5XAlJ7XzSgL60+X0UstYaD6sFp9r8Vz7Y6tOx+wdlPbQFQWWzE hy0m5eWt3YkCo2YwoXPOKK37TqYRidN0lOqtovp85PSNuXwiv8AdO8VTtsMqHtAvehs+GSZ4OGJV X6gP00HVHCwKhjql6t1qJQDwDmoyj+Kzo7+1NAyt6WCziiEJPzW1irsltoX0RyDx+2WCybx1jmc5 Sv/k9BRTf0OtXRinr3raZ+91c74KqormPgpLf31h+TNwIvwcvHEjybbupAtv4bEZNWrc+lHF7f7M wBN2Gp1gB/6YfrIn05PciYX9FDGkrBUZXZJf3rX942iyn4f1Eig402EnEXBeJl4BbStJQp3ZMvoP D1yNgYfObo9aJWbVn5PW4eUAIr6zA1wcODm9OmByXHB97a+sEbCCOBGy3adGv+M8YltiSie8TwRL 7tozdQ8PJ6dO8yUItUcRN2fK+KBrrErybgSZL0M24YuMs+keP5oWzk/XVYd5Qce43t4kQJHleUTj nt+2Mth5A1uydGnPuENHZ6GQZPY+YG9y4v+SlcJ6EiOo2suDTl7fhGRx7pxyshbZdmlyxTlvh8ez vT3ymK97Rt1cAxIwFlJxS3c+SfOWV7Qr2mEfK2Bj/o3qJiFp8z2mX248CGHxBjcBpMN4R4sFOUY9 bFAYyOkDTwyX3vXcMcmqoioh/LyNbExwi3Zfi+H4i1womheI+8vY22uIx/4nwhkVJStNmoJZ6yn5 Us7a2upLNWHIrEjHkm426fw9JNOcCgZfDNrc/mP8igedeqA1R93ZRa75AhJ1rklU/NuAOLtjLoV1 SIo8/tdAnLZfgSYQgVNsW3HFctsEQe0CTbgYpmJ31RtqE3/tQjsSO78dBExA+2WiAQcG6OBkc4RJ 7ssnV0XMtPnwUZNQtVuAKnUb9XGctLg6aEFLhowYKmPvigJvlPXnxfShZ6DPAEBMsB4TwE1oVwBL KAJ0jgsYS4Dd8ugz3e3iD02H5ZBxLu/wmKoqQWp8euouJgBiFwetyf7yNCcgQ2hEh147XgDTtmSr LRI3iMEc9JoTPyuw0k3rhjNKX6vCaNMuXeOuKx45fyP/ZBMosmD83cKgYfXfLw2UF3bDWma+pGD5 lw3pXeB/3fyBMCGKxFQQwpPt8QLdIk5LfilmaJcpWWilRYZSuc/eka6Rn+xAZZ9ZWDsTZhyOnnop h8AroLI2DyqfDD7Oa2Wss+5vr/fBgfrwhv99vg5wecLdta7FpJikYuSScZuFxaVUFYjfJSqatBOu P0EYwcROvm6eINIg3UXom15blkju3z3yfZRCHxjk6e7uxnzAmgKZCbuK4VyjV1Vx9fgHvK6iFo+c 9vCM0RQVn8TS3UOoyfbIS1ZZot/y80aiA84CHObhV0lLm5ExtCAgcBgfuslGVOECALSpbfyJ5Dtt aAnjweqbZ/3jjzl/rG89bkv/i2BBZqIKX6JqEkFID+qsMo+bNN78FSnrHJA+Y9HM+jA4hd0pOhUw bqJRDRYwy+ZNcDZP0k2h0Bco2w/WfG0lwz4qJXDFHE8mRZ5uDm8ZpJ6waXLxCsaJGETNXcCUlzWt hwW1W8RWOVq9Q0KdsGbimKPp7aMtASsIXlNFUjT0ymxH7L1YdziMwExWtoTDhuAVHI0z6ycAXH/P 3REDDunGQeDbSQdtDjKfaZ1ysMGORwJqoGnBhvOkuHF4AL4x2n5dlMYufjfquZ6bhuWTapbleC/K IWcNojl1awAS8xMwe4vajFREwimZgTMxN4Xi3rNHFH3WyTOXV+ayNxoAsA7owLBRMbVa+/GYUOPV kcrl6KcxuvYmxhaFvg7dohpiSlsg0JtH+7/y2039Lu0rs/++d+TDhd7MKsPHbVyHzEmCsIBtZdkN 0WuGlclmNWdu2LNOXHsa+8ZgzackXu29OcBvtQQIkub+ekv9NjL4wnzdEfIvU2yOzJu/zXcMASpJ WiT0ZACrANLYTwRLJThSQMIW2XNvwXm3nINTQEhYKiebG2hICaA6b8hdaGC/UfkL4BhnKEsZie+M +jvNrFwJDOUeObCwKygz/Wixi56CCI2whBRWxYDQl19H8RnERxFCp5hPpbAa5clkW5gxTcjWjWKr 8dtTARh4OBJAU/l9p1sJlmSJe1qV/hx7aloNsdcawBcUzeTYZE/pkTSADc2q1e0DXDaUDM90brE8 BnfvqT+q0K+wSrP3N/O0ZZmIBR6cS23979DpfEu6/xaiXLOF64duAfH0IuFoWvvgXirXborprKeG cvb0G4omI2whudf6YeuzB99ksX9Oia8pl8IcoGZXEG/pvTtxFcNi6c62fUzxZtYgUPd3l5l9bG9Y ygUSrj6v6StSG+oes46eXWJJxQbW5Py7uUu7++Mzj+bY0H1T3g+PtalEw5Yk5jXAreBhR9lkFG89 g48YGyyCQYz4A6pX57vTiWYlUnEXEzNK6kXuYFNexLx+zoMaKogqOO7yZZLdcSzAFHwmb6eiEnFb yhQmiE05Oafy62ApsCpWUxV3f8xrGN3aK87vFuFo3zgC0S4vCD4fGaFEBVBqHGh1kK/yNoi9Iohn 8nKXB06+j4Mt+Tdk1S0tJR+QPuAn0UyzNAXwVYpV8+2IzzyDkOrotSZk8lVuMHprrE6gXibq5j9P OCwhsjihzFaMpQd/KEmJW5t4/ycUe8K9HNwdPCs8AomIwiETx6Y1cvuiW9D9DOMlqT9gpAFpF0Pa a4ENS5yLt45jE3WgPjHIZwWBhgyEGjyw4fDQ40e/Y1urVu1jVA41GPSw+IzlhiukiXuxN0/7zydL F1b2trv6mwoF2K+Edf9raSwy4Av8JrEKuWG7cQlTpOUjzStUOfNBu6UFfNFpfjjiX6qKkNOtay2O wxJh1rtY+ENK7ZMdlPzF9q/mYz95mHiWjUzaJBfKY0v+cCYISCqhBd9RkRpYRmFY8Qqnk1U1WAVX 3yh0Uxx7JLBe4O1vBTUNYTNMv+WuMwj0Te+1U9sapfgysNvXxbTfaBGQziPjZadi38AxCiFtRM/0 hQ6mC+6tZnrk5WwW6ryBFYrS0Lvtzy9+DyrGr4VY6PCwgQyZmTWUdA/gbw5JHTshYw9N++kZ+Jk8 pkybJkv0teDup2oXSf8jl75mseh2QSQ6JPfNMceKPnmOTeXl//D7BQvj3qfz7gf1EeyySqZk9ghl Dm3d7AOhKNkHaqOBfIxAgrNVAQuWBW/5aTkl37d5CWC/Lzq8sF+x3o2LO0NiYJ9c9aGjXaNQV/2V YtgaLH1PqODSWRONVGAhW+5dyrMaPNOeoxQTINZ/AamUzYVSZP/b84J1kGBFINorLsyb1mlTrVmC BooFGgweb1nJRran7OUofPHFgy6N8Eva6lfov4wXUxCTeOaczam6epHP22eVnLVSNLlNo/DUXj/j yixnLI0x6C+CgRvZbivWXwuiXGMj4Li+lQiL/+sb4zxCt/KpLojpUu2bxkBGCjVUIbCass5BepQm VTdC1ICAQiNZ04KlwMk8FdSIfA4E8zTgiWwQU0w5jfXjafFsNw4X6oLdOSRUjZPdNYcYbleBGdS6 KiJWpoeZccDS2hEdS5lSEHgcGcB02ch/NEcwFPowTvvEOOgDGRbCD2LRQtNl0ILNBR+/CckX1U2F mKkLseMEHRY8v3fcXUcTOhy5nmsdxfhPnK1kE6pqkvwMQlYV9F+FbA0JRSNrdA0R++bEOVK0/kXp YJAyhuAlHNhatNzaEAwmNA1OnMHBnVV0VjyTKYl3K0sq+UYcOdXgJ+VKjw1c1Opyfn9D6kxNudhf kc9Tqup6OZDlq++1xlxLqZhXAYFNtGu3ayFtmlqxYM7Sl4ItHZrg+ZpSh0uXnsj657ZU31PEaEKr dgFpLLFNoQyFZRJSrPGhWepTMf4MrOZByFTgdUC1gf4AY3XqOUjFKtSep4uxfz0dTl+yMnTabn0v Sbp4w8nnajhDRXtSwl86P8v3Q/7wOV+8w89c8G5pN6+7GG+Sg6OELlLNTcJymV4Ngy4QvJeEDbBy jTwDmcQqCIGSJVaiCwu6dBNyZD3ysvSCapLNLjjFZbTWt5MuZqbvkyqpm8KzEssJHRLeEFwYMNay O7ReYNFh4Vuk1Fc0JzZxZMX+x0MGvi7V3voLzCTWcmfLkkVoZHB47/iTA121Mpidgj6DOvONGknS Y64oe8qdh4EdKq2YUhrpKhk9lWgb1fhURyywYExS1xaYIcoBT1nFL+RpW1QVus9yn4yFg2pp55Pv lOWkKp/pIM1hpHWS5PKbiVrvngtINg7HWGFJgje3tp2Ah4lEzuDACVswYPNQAkT6fQ0vzV2nq17X UqMVCJw5QX3X3x0DsWlUziEbXmijmvkya6+v63jhq1sgzNc4ZGTjhOuhtvfDkH9dFERZ54vrNA0u jFjh6bh5nYq9HLBuMYmDZML9EBCP6MREtFUVd826Xlb+9Sx4gevuRfPRLMpb502WlsLLG88QlMGg iFdk+edYQGud8f0wajbgP8pQIWwyjPxX5ha23O8JmUym5JMqPZgu3rrFqxJ1dh9N8A2lFtXwAols 1s/YWTg+sW2XSbYt/ZV05Y6pY/5fUGJ+ZtdsE+pwpupXR1OSXEfSpPEfIzI6iYPcy6JWZ62bGAzY HAXZXRtNmSugtNA3+x4edcRqAkDKPmsHXhQdz3nZKN5AzgFIxpmlVWbaoH/JFdcaBkSMycwwqtLl nx7b/1s3NrzAQdd3UUMNLJ50eyQb30+WEOzFlMPgtXNnq/WJcO1rw5A6W8N6AycFO3/VSLw7hpIr 5sRl9hc9PbUMbDzOu7lc/rcLOfDzFLUZR8eX8ZY/zJEuE9DpgKVp23NGq28lAFL3Hl76IVsSnan5 35L0WHfnW85FsZWnxUpJVcANUrEgjtQ7eSzOCCcp/CbFWsSFis6cQoVH++CzhfQMGfWFTWkrlV2I 9G/g+7oH9tlCf0YREzzkzYu03y/Rc0BpEh8oPlMRj6oGabjafwI2iVk6GFCVECy1wAJyD5Jjkm55 n+YBfoHXMJMq/Xi0pEQ4h9s9xARkAYQLthbScBbhjSX3mssxkd8zc8yvXGyA0EPfQNR20IyuMc3W ixacoBmtdfg0A1b2jw1h64LrrPVf6nNELmycpOMjtTCb+pK7BtM1/6O86vX1s2TwUCbkkZeSYRIm ThAl7+HsbOWWCfliDks6ONgfZ5kerXskI+Eg/792vln8ccZGuEigh+yB5ct4iGysyvbpX/bPYIeS d4+BolFrt9/fQ6YCJfwk60ZDGXCYvzYH5JpEPJpMLSqp6793gr5/Qo3PDpeRj3IXqy8wHoeNExlC G4sO8w7Yt7ie+s7TkzgjRGTsXblXGc0IMcsah69pzVBvnjthIffN8BeNOpAIkzhqDEyOXh/D8ksH SyTsbf7EI8Z61eL8B+SFeonoxfcTa/v1n1t05/sI63Jf+yW9L7FSCyB8Vle5p0H2+X/khmuieApH xLwdgmhtE9wFo0r3lS/UBrW+rFMwHE6WvXABI/xIRsxUwvZeFuNFNjl2QiuaqzL9aa64pb/elNpR Ke94KoXPq//Y4sni7HQN85q6EKPWoBGxOhe6nybQGIafJZ7/xRt8zRUEQTzsETBOIOmaeEJenRD8 LiM1ev8kz8256iky+GgRed7f2rUw/2bFVwKMFfxI6Sp8KY2xmaThx9OYJZ8qFx3++h9wBq40wM8A K/ioVB2eLQyA8rg46RHVP969bRZPqE8t0UZ6AYtY6ejC8qmxTquN/ZGNaqGXOsbD909FcmxmyeHN Fx/5BEzncFgTgAlLk5i7yddY5hktRqSW+oommqOqZkHlREcOp+x0NH/cqj3naSzMoqtIO/12D4lo 6rI/loG7IHwrrxs5NfNxyHnTugktpjrC79FtWKnreIqsZHMqgZWYKxzZDEJ2pa1aJKBeTB0oCBX9 RXlBYZ9H+PBhPBAiig8/wU6IsNprl7RBHIU9pbTg44YfufZW/WIfL95AjVydEAIFATv9EFID1Lcm YgDK12YHrHrl1yeoKNuUP3uDZ1rrVsZ4YlJG1tMijkwr25sYoUTm8AKqAeiA96LPKC4DVnGlj7XK MVL/40+Dx9G/FcIIEHY1s9OgOxeT8Rup2e5l8ij2Sk1apR5A/LDw3ceeupZh4nL24JLYy7yJTUTS WqOcdoj09m2L06Qa5iYfxRSrXOGLv8Y3G9U9FVrE9N1Pqev72PCfCGXlkboOGn8ZxW3+THBZ6uaU 2HekjdFXEupTGzVSwGZ0Cl98MabISGQhddVAMlw+E27q38EasieiFEqYVZKK0+4WciWW0yFZSu9h z3bubIw4PxTzYZa1wrbn6jxBtBUxBTPWVEHpVtoOz0zu6B55gA6HR4UkTrOuQYJ4mNR988/y47A1 YAj66gQhU2wxNe/0sibm9rOzkAKNL5de7wR/1ght73MDLgccYZ4XHhpZdV27vK/91W6PRWy85ltc dhQ2Mc4U0rMSRCT/cKxd1M0wUcsdStafNIWXOv9qM/qO2oC2RoPe4FntLiLYIBaMFJTUIZp6HutR EejAzN1ndxvqTEaGvBh56wxKNeSjlXhgqWd4rIHYHdIZ4V/0O2ne9Sdxb3OLYDmh8wx/qX2M8rov cbNBRKSHJ7KAsGhFcHAf+84BgTp55v/+l7zYKkV/MXh5SIImoYQVS9Wqea2L5SlzGzZgcr8Iv9VX GD4FP3ujrtIW8g5/+qLZ3o0TQXo9dBpmvbxlEp7IVKQE+Vfrho6lQG/gDVLktbtmYgo1mckSNcdD AnhR6U/KBPsNQ/iyswLHjGmwzZyLH7UKtYH9+fkrTZfNE8tBY3hjBrkLtFLsWq/xd/fLs9wkfyZO mH7TW0XIKrF+oQuwpCGsVSWbgQzUxWU8RxoeNsOwgVRCAmbb6Jogackoo5OmOC4o8Hhgd55YCNTk WYlWch0eFRaeGiLIJmahxUUqFVdUgKa+HrpEJnNxC57VRFFzvL1BNYXHr98o3oYWWLICDEjk+rUb YpCzCDJy2qPvyOpdI4NWDhsvp3eCUH4spiy5jsfjhzNd5s8WFh0rbyaYY5Q/UOCkL+uJ6+sxESOI Yfl6MMrAxUKdUsFUWcBJR8EYy1xW56W0iNhBDx01w+W0k5DABxEa5NNaPWDmieZG8plfHu2WL9KG HXi17B9AANDwzBrctHEFIQ+oFS4rUthWpqS55scIVxGh/fZ6LllBGdY0JFQ5inDgLW6bQ9c61P3F xdRFHS8oGVKwZaSsIafsAJKlqxLHz9qJgBvLpysJY8K4RQ21khOP2dyfi2hvysaLIr68/AolPKFT X/DBPSfhWEt6mwb5EROu/C39YwD/mWnNdn61XNCG4/NFeT2njNnhBFGhmKTftnR0WlIYC6ZUHjPB X39TO+khfMWUKchMXU08tHTahyg1lvtOEcmSv70wK4t0UWevReCD4QEc32WwRIK9Ix427dgUZEaF 7jmSjVrvLeEjx9uj4CtrPRxwBb+l+KMrNT1zVsU7PDCzbICWkfb4OZTCg2mTyV462QVyK1TTuNu6 IQLXevDktdVndG8GdFNWKkIdIeF44VojFbVe/PcqzHuF+n+NphhO1vIRHL4S1pACBdOaZTAQPPJ5 ccykG8kMGZ8+hnCy3fOhkU1XorfWVa2V6w2hKjp0gqg+KntVkB+QWPd538Za6m8p55K/5YwwIrnQ bxmAqbCZWIPzJ7q5mVs2fXr4hX5euPtPQoRo17vXZA4lekL3l3Uk7FKglX5w2TQI0Ym0KpX0/BtT Q3zeeTKHgqXG01psOzKS5dpilhsVKAdHPICbZiu3o7A8aL7q5WXf9h6/eNCuXw8nCMQtm0iyIEO3 +sbRSJuEFvMiGmPrkirzXZcc0twDFXFNAPs6lx3FGjbYumjrKvGEwJaHbpy7DOwjiC+hqzM/GUim uWDhd8zKleyj7iS4Fmj4HUTpFrAiy0EAm+ysrxcmhfnccmGgu6Y4M78p3fa+Pr06vT9O7cZs8K3C Mu2W9+8MFXWNdq0N6Nx238SoHaEnkYN5eV4liMpAko8ONOra38XxigwFEK91uG+Xgzj+/N+GSDDo BNtnY6joiOnT6zpVOI6Z3SixzlpJ3+UT/4Jr/m/khvNPfRwYCC6PO5n7D+oZuFeuL2bNHJ5xFNJp 3r69pcSFufA+khv5gvbAWvqbgos3m7njLhTnDqAR0m1yC++Q7RS4+RSbfxu0t0tm9dWgH09dR+9v qtQPVwMUex3RunPsyWpZlENBBCK7FAh1TRRyN2x2E7hNlmr/mrwXLHItcgCRGkE+fb/sg2SgkeD0 sk+i45XQExvEBKDYXz8c239IaDmWj/mfx6NQrnboyxZ39cl4UJJidYfZoz+CyDZjpliQH0q/MywD u62gU0PIgcmHymEKTWVidIqWCYmYNPZCGIIfRjPzr1Sr8kXOPJOBJ9dF96mbHhVGk+RcjSMNLY4K 6eS4LANjvRF/xg6huvz1x4rPkkcs5LaMrzDCckDhR2NCODzXByBOTbcg/v72ZFM08WF35eYphi9q aOVzIj3vaxPIFC6YgY/SEYxrPT7NhmozV22t/8BIuTC95msrKn/6uya1lmHB3iH/OzTBxBq2azSC a33Nz72TVWj0MqaLJkQ32VK/PETBUxe2vXgC7Lwgn0x/Gib0BjjWwADiinDkRFf2w7oSi3jqeFmO /hffEC/VzJHS/LoIkpi5CU0YdH0NhO+N7mYz4ggX18zYWeKGbwRhF06xa3wYwaHhq8c2D++enUos 4cdHZssHHEOBVJKo73KLtWWu30O0P8a+Ox05edDWoxFSS0nY/gwJzhwAWy7imn+cOOuHEQ0v+dgG LpknyQYvxXj2yy8NLYgHn4vapTRmbb5gx8nhCDgO/uppEcGCSLhndVQ2OayhKmpOaCJOCEnaKQLN CYxINR+VsA3orAbK/t0a1jdlaB7zHcruFddrmi2hFjq3daLmlWzLGLrEtVkXcA48WNy6uF/z1CUi jLJphFDBAkxbSPrFppwkN6azFyjmhO6QvGrMaejFwbFEJU53MXtanJfC8pPS+kqMbU+wucVSHxyI RudzGl82MJZKmBNEyYI7BlG7F80ka8takRFjxDkiWk6IiJf3PQCtBCMD7RIorveDaOp0m799Tlnz vXuHTbuH8eBYBY6VoFgdZXuDShdlOCaq77yMkVvskWD3XQyGRDL9C3JdaTwbt2nOaBdMs7znjQYz 20AeWBr/K9gQHRPCOo7OOTUpkyT6KW7dcifusuFPG5fBcxdrldcCNNHF/kHaEidWeOYj/aHq3xPV GqfWIARNVv03RJoixXUgnLEfH3xVJ7tIBvnQV5yL7ZsFVt56+Gmid6Y2SEvsU0cDz+Yj7hBSMgk4 yfXJCgVagsgPOuyTs5gPgrsEbih2CGlVUUfSB9OaJEc5SmarLL7fv5AERpZTi3UCE+OVvBU6TasO pR7eHHxk6K0ankhx4r7kAfaLGxKQMLDak24D/iFu3i8jrZ+fmL7Apa5z9JND6BbdEZfeekhBdFTL tadLLQRlLfDUJVJvvvVL9y2qJVCzU3zryZHS8cRnUq1CUUzNiSjuokr8xY4Gx2kkXumfaimR+d+O KnZO+xInifMaIgrhYTY60T8XlbH+BSBmT52ZA99pjoci3DxdeisnFAtLmCU6pnUZHnWOYQDJIqjT yRDmB4cBdYaBZJsAGUpxGCDY2oPrVtolw1cxL5qgRX1F2oj5d8tVbyUI+vZKXsM+qM3MInjtMm5f fRxSCh5LxRdjhW1xUDbPkRX3JLl6tLDNDk79/GOCdAgfr+1aTBl19ogDul5O4RgKM7RDvk5Fxoli /35q4hSYwm/OPRVD9SSnfYawvF7tlbWJbTFHgi9JLhH4MB3qcFsD3Zag+nmYgurZAtbqRwHfR0bZ Lw0sll6J9uSDCKds7JW1vYSdrGbx0RIEBGwZV1fJDEICbhFo0ns4nNF4QPAuCZ//0pk6yAkEPt/g AQwO/zlO4qCZoECRxo2LK4XFXHVJhetgVL+6vZx7u1pPss18FixQBum9uM+DtzQDmAHqzP+eFu9h Asj/HFCz7xEOZhgJYFrgOvROWLGNmU7XUm1hyxBZfIdL2w8b3RzrTYCSI4GEvnbVgHBVBnhly79/ CULmH3UcBlK067LqTHLDTz8AAXoSsrYJNkCUuEQiJOzQ81kaqal2lac1HRgaNs4usZEbJ5NU13qE RR0o0V9v7zNRFNjoZTslUOYQ/ETRWD69nbxjnm2+j+k2t/SKmo2tTEwLgRM4JXAvntmUy0jMPxti zUCfeJ5L2f+LQWC8XaupdH3OceuiLvgyPVlqmWZSnXOlJaQvZzQZEpHSiYd62eHh79l2V+NlBQzO nldg3sSg9TeYZc6PIhUNjkH4OxC2qQdpNf9aikpKoukGZSi87s0AqZ30qcBEfQTlGvqRfxPPycvk 3+AsNbztIi5hHNxsHiTrdUPVoN806x+9XbLBSRyoD6Toq+Pu8DrG7hiv2fz4K24cBzpa4K0qxYyc czDukg9b9X5smrO1sHLwUza9TgLeidOOkSnv9b43T3SNVKSzqF8ApUDrTuweroDq1sfNVJT/Vh9w FuFXN3+pJfmK6zq4+H/YN+MuWdaSU3ywKj4EuYEU04eaCf1HeOWVT/8b11oD6LuRPBZ21ACTWFk1 Dyjj+j+U9iMoXAm6RorBrTlLAdcrJ+BXEJoj6KAkYQ8PQ+LUyIH8SQwjDceJEzM6GGQZKy00lnpP 6t+Bf4oF2KuadWhNmPgDbfEBR2m4qtYDaNQzvMaipABHRhPqkRvctu/XFSK8769L6PNSS4engM5j fW8H7P/tK18K96XbFPIsxPNLEHtZ7PFfaV9cPqm1WUFcDIJKXByn3ZefNsOeHSSG3i9QkySQn7Tn XccMmm+PGGoiDbwHDHYG3r3j9rkY7wxs7iZOgH7Uiwu5DJVjlJI/ywD7+lHC46IFCUhXBSu4SFnZ k9/YUQPfQqb6VC0XEjqFw5Khjxugke7j0iMR5gxMGc22iDA0TQwaoPXUGmkxoninAWfjuPGxyAIb oBa79K5V8UMYIyvMbHOqlVpPUMI00Hi4FtIP669LktxcmK8PsTaEFBfl4y8cERlzKBq072LmH9v2 pKtc/+a6WtJ9PxgLZKkoTsmQ9GvNPHo4lWLdePNdMTh398eOeNdherc2r4vC5H7OyE4Kq+noaVUM ni1aI9q+G0D4KKZkMqbxnrPqzsW3czdmRDmy0MSxoUyOR4lbOqLM5QA1U424l/pm8q+MJyOLo9ht qCgIZyrPjGgj/EK9eE5A0A7XSCYRoyKw8Pf8jfjKmTt6Yg+N4HwULRQObOF3nOJ51CA27nm4dHWl 3wh1BDIWAdURMtHJswXnEoJU8oxC2S3XBJIPzPW4SSHMBikK6ithliV0rK34UrsMZHpt82PbnoqR +1ye5SrF6oHNm90cnR0cnqrVxtGS9oTn6lW62tZXiLfj6GoZm9JW74bx2kFjMNRVS5oxBuzPyS2G 39RYv3UwBsH9SXeT4B9jMxDbutXdNRK9a4ZXygLiRGpUBc5C6Jg0L03L3uc39PC4dnFNFRoPmF6N wRnkFzqxiEKDhdP/Sw327ZeqH5QpHfMeTC3eeSjgQ7sfrjAOWhHd6nm/w7MITgIVXxfb1+XlT4j7 6ubQZsnPKqOJiYySbIAlIcTNpyv29sB8vggH0UJztENSxYhYhmWhExmfX+FxKwSFUfR0jgvHtQjT G9PPbzqP2duD+/513A+lophsTd2VPyhnt4IIvLJQuVE9mgFYDzRMno39o1Mur9U3OBwL+ODq05IU FIoehI5KYM13jmrl3GitDFgqoFQEXp91LoOIvfWJ0o4ewbFz0sxTmspEL+nEEUgV8sG+IAyckbaV CwAT6Edb+tSCewi9GEEJwH66tViMsXaaxo0c2BJh/+jAszQvX9oj8X015Z1CZh76LGwdR+Bh+XZC xXij1wMFQdvPZ7N5/1wea0l4Xko7HUPKqROxEglmnoBYeap68nUKwEkFBzDqlGps0oqLWcDRf77S WIbQpRhmO/jARmVpRHVx8IiKl25fl0E7/Nj14r4qA0FH9dALceBYNIcd4BOgtjd/f+8Mx+khS/Wx 6fL4fcbP4jttsTwKy54hLfSZeXY1z5rald9RP5mzHgVwiRJBgGT0IOxXywh7R3QZgUMH/5XfHjLX mhyMqw7bLPjGlZuZxu5YapDvKmOrvUI84RSm+Fo7mBiz4c9O0GaPcNp0Ypyfj50BGfEq3bDeOPP9 kht3LvE1HxvvlZhjw3Tb7Zjebo8x8zEBdgkQa8Tp2jqrSQzLNHoV2W/n111FMJPNNwn1GFEXlib2 tsxGr40jaXH+M5Ey0iRN/ON1hnJ5RllPjbshO/TAhAi88SsUy/E2mrILo1FL9Jg012yJvgQYnlCf ZXikat6Z5RJNt4+BK2pBjZUC4O++lyyEo9dwDlgZBPGTJLwc30Mq7NVr1jFQwUuB3hiiarSJ8kUd mh7B0zVOXvW9tNLdgORonIswMkuZ5Mv6qPI2q57nz4qiGXEpfoRGGtY26xKhtfdN08Terl8qswGY NDto1cql9o5r6WG7vO2MB31eju2Yy8QA7afdW+Q5sk6NyfOqmCt9WKPPdjdbGctpY1jmXfDL04V5 fmtldjm5oL0kej4NepCgY+45DJheTr5W3zp/R2m1qMwYCKdGVgQ2lpbt0SGvF70KF0lJwfuRE4Y9 HqMItlFn8IMCZeMR8b+Ly8VSuPJQ9gX3TMJQesYjT5t86kWh2ich7CZcGLwrPLMV1tBJ9YBAhOou VxwWCYyvB4dPl98URckxtex3BNh4vko8ojcMUnEw04FzGiSQ/tee6kUvT9JdrrOwUNmzDQe4ZJbG FSchWhWZABEW5u5KSZbdCmUuBuiukSTuw89nxpeZrmxfpZLypIsicFHrmtiNzRLCdbIxcA0Bsbsq fQZX602jTCt7NS+FjHWOYPA+kXLDO12+PD9I3Da5/vMhYGILXbdUlpAUt3MJaIU77tJ4pujMTZZ2 Cj0SIxXbpdgsi5IN+3UQ2AsGT+YQxE0IJFFz37a6qRTMwE3oJQkRXzz9kZn5DnkcqrmDbj+sTTR3 SfZzoJPqQb7MdYBeDHl6xiqpPWMKP4BU61jDOxPNJj5NuWQrCPWgkvT4zr/0MN2jcqBWmrLsB30t UQUkKFRlFiaQUJW729p2HwE9KkYe8saVyfV6JrSTYAdjsQMyo/EmTNleBoc1DIBsV2kn6L49c4HN 0gW3WPy0oT9osIAGwiRClWJRD/hqwHfOkxVAmH0wrIvOY8OSDGJiju9Xz0WHGYeV51J4RWEF0EYJ eR3hXs6H2ABqNk6SZcvwIZsNHc5s0HTrFRqjPK35pJiVLYn4drN/m4EHh3/LxAcpyNi/SXOExx9m M0Ae8FOb1TpO3d0thRG2y4yBBYzNBaE04UXNWDvq+pXxZBI3emn/YtIu/oZ1V516X0ebG1Y0gKeM U5XmTBbJzTQ8hd7uWZzl5uplnKk+dnYnZSbVF1Cl2633+MMnF6nZtijqwv1j7bZscdn/BqJrGJEE Q7sfEvwJIpRNSB/LNt5ZKtC/SNQ3r4IrmTKo2IuzVcqhmWwF4XMeparQOX4uMK8bnjv9mn1XuIoV 6/kF48aSd2yCTxoplkAAQqiudZsq98GSYRy09/Y6bRCBKQhaZt/5qWWC3wXOFqGAHDbiq4T62Uqc eCAvhSPAgRA7trW4aWJitQof4oBPRYXML4t2wytC9XcgykjDZAZGhKBpV/84GJ0yzWJhRL363kmy zHA3YDFtc7RwLl2hFCr+q3u7E9PJJATMD+yH65IB3TzD1dMXAAQWv2Lz3sWnNOyDpMG3n6tfcyPY 4lQG3BytE1t2eovLuBG3BaxnrXe2FbjQMvZZs7aNhHp+QY3wK9oBC4dPAU4CQCEI/fHuNV64E/9o FOakXIKVXQQWRGxw0afgMqzKgzCt6AiwrHuXkfv5N3AAKa06clW7tANBl0fGQMRMwHYt04AjNMBH ehjMalnDEPzS8msE0bJMS7sgdlbrMdRfVtdSV0dyjSLDZOMgyGdbzc764Ic6tSDbwMZvfXab0Ct4 Ghw644DIkjjqCTAHjBKa1ZYjdc8Suol91EXzvu3QBUaGw0L05uXTOwM1d0CP3fCMoLNV/m7/Nmyl vOXBTykwtREu4t4qt8JRfRAyWUDPT4Dbee1OyCzlxFoEgaYSFS0AOYiOetBbe1FLX3bGJ3AD7F8I CqPNfVxVJe0eUTXlMzjeS1dDMAo2FNczVCGMql2OQB99G3CZ7lBupQhy98wLSTgKL1pVsGb+ifHJ +5MnXEE1U73m6EhBKDDpe7/EAaKxQl5hBSzKKDogljmZWSXv8ay2vlGVpjoKZUne+zLaYFTLyirj sBZLaaN+a3JM8MhPde2RNT/TsD42ygc3LTtao588tpaKqlKk21Tm6tRp0ZBwmk0fdhrY1/2SCIht zpjNsaNWk7w2HIvsD1ICME0i9teowle8njR3G6kU5fiXFjzrH1skSKOyxf1yQ0VFsRHt+2PGyO9F GzOdEkEQLUgvP0t22Mu/7dTuK9etkFdncm41vdI8Gr055X1+uSdSBhtYGLVJWtIujVqc6jL1waiW j0yzhPtWus7ychrQAjmRDA3OTBLsNSaK2cqFIL74a5sOno973o4yNinkAg7gkKomPNiRfC6OLiH1 OiU6ENDzmoteCIFvETb6g3vCH+MKYdacv8JWNOrQHl/cU903VO9NcH691NNVlhtD9xyuOPOlBpg+ XibkNBh1QtqjspDBwG/LXWXONk36cJxX7LuExM8/0qk+lW/HrXNxzsspMFh8G69qkusaChcB0thD HZCoK5dKydnKwTuPqyFkkRvr5Zp9csQYQckxAAeGmk+uuisPixW6ZJh/kzckawWUwtL1IJZMqjcu 4OZSGp1Ur4Jj7waYlYG62l7oAchkMZz8dDAx9eT9Yq4ipFNHYMMR1O8D4ILYtOEfWBZ8sKZlhnHR eF5t69bUf+SU33FI8M1r2EfGur+UmmQsdoLMTcoZre8uMHvsxX6cKHen3wa8/BCXK/pzqkh+/P1n KuRQn/nZk5+aAXAAyxuYQ0cvRlbFlZvRmtLB6wzQSx+kxZ9trUrOkd2nA9KQHIsT5yjF9EgOpt/S KrIpLVapRoHCpAy3XrG/02rrrt9JUTn0RYHqyEwsfr6C1dYGbPSZdf6yRJfdRWfWLgmxyHZ28HSh wRaxARQoQyFbEcJQFHMxNnqd4rOiGuUlUJPtb75UMeKQ8kCVBGXAKp93zdrdCogIIHKCxJy3LRM0 KAFJ1TIZaP7aJ4agWogXTSIta2t/JHCIu5HUR7nh6AwH9VX0ISRlmmcPahSZs0olms5zd/2XNZyN MDfU9W+0gPT2aEp8b8C562GI2TGGFgbrwmQT5OmOO6iwvsZSkWSlheqlfVK3M8kGFFrHhuFImrel zTC2iliMLIBtvzlDqIJcfH9HAi1+XP3k2ZwgUf0qEPOxjIcvshSzsWYHnb8xJxx5yOalATYX/L1J 2nlaNs8qqC9FDTv1BOvX3F+ILKFF0t9chWfG87rBE9WwwsO+PyLjGI5i5/w372wd6gGefDBMAOXr YcX7ApdkcyvIFpbyNUWRk7Ny980zihQWQ6GizsCOvRsY7eVwl17rAmh7QfHkXhhLLDIRQpmA7xKz J7QExFFsjKEi6vRvRkP6Qf9q/+4e4dk9TvTGb2OPurG/seSdrFKsqs9M0f2bffX4cFpLid6MDY0R +UR77HW+VITGPLgrbi6Xqq1tAND2jnq1ypNM1frqcz+JR78Eh/Hzx/U2dKGbQvjIJfqB0L1decQD 8ei/PS+i39ZQrMdvIkPyF3LeYYnPuexV6uRX36U9dnYGbDJOL7FFDUirbqPs5RdR7TKeTtbFJRHm 2Ef/VzHK2jmINaTZ5HBb65w+uWZLi41CqMsZdDc3Mn9c+7C7f4f9tilIpTWvas+ws4T+18TIgu6s 2MF4joYxdmOCW6CpQ38Bl6onAg/NUzBEO3F3ddjinQeX4ahj1oN2y9L+sdSwOBv8J4QL9rBf45sC d9c0MZLW/lwl89wom9rz+A+rX/GsU3Mq1GtanMicDA2/V7SRBTuve0F43x0c/QfjIhGoLENfHpGD Fm6JMidx/BYo9+whBbJQbq4Lz9kdtTBVKO1QS4+26xKpgktsuZu3axhur7+uYOR1SNbQlF4LFgM1 FM2f2b2sTuGWntD2F2c2hvnuBohF3zmnOOrwU5AU5OxrIsI0cykVuh+vFBnDzeDG53FDXen019Fg bCB6O48ws036BFpfRT9zgLQtdf8ohefmHi/upwVV6yStW7qEnGglQEq9VhnOOhkZVCSzvFBkRifG hNcxuUSV5qfkZbHjAUx1nccFalUD/1vDLXPIde17CgqVONsZEU+04fFovxVYCItZeVG2d5TED8om CT09DkOkEl9vbq3UkCWisEP2ePvDBpJcmCVNb6piZzGMAg+SSVopuMj+mS8mchht/bge67ltG0+L jdaSmDd8EqD7JdFFWEHV/wvrqstxByln9YFzqH4CxFKMcHnZ0/zmzUliAIMVQTy3n6IwJDQBpdf5 ujt6lX5MFxaLbFHr5jq23WOQdjc0uksNREBJPty3Cct9TM3EVAuWf978j61bLngDr2lScgFA6dMG 3K/7LZsBE12aPfggSb+Lg/AV99OnJ6TW64tBhFzATZgJQAOX8yiEfFHMaqbh1uVVmHMnq4XrL2HU nCz9B2hFtPgCIq4202/ndQcbZA6I9OzdYUpC62o/aQqlg+/jJd/OiflyuVUjL0gSLAumgX8IGi9w RVtTc5R4gu9pxNkQj3xzMItj5oaxl3myw8zbYn71+beO4KWOvkGUlcPzP9SIZVpW6UPV5BgnaorM yqCBTzC/gR27lBMMhy97iuOWU2ghJW7bftC98QdTUZeyEWpPKUyimdVu5SOgqr1NSr4E+QFUwQsq umIUqr8jvlpOiGOlXCfDVW4oJybE6BFvkofhSkyaxSnOMVci1eBldN7YFwHqJwCwuwJleaNKVLPE XM9v/sM/DmU+fRwe0gk0ZqO3Glkxy61SPJk6Bec1x9h7fGAlLxGQ+oCNhehfDnczMOd+lkn2Tvhm RTxtPbfsth9dh/QmGYESPBrT9igrb8fKnJRQQLv9rI2hs20yewcfW4Vy7hA2KBcg2zBq3EQsdKTM hMbOZPYBsOVd5KqvbxP9kLlRtySUVLsEEmWsWJdXXmbUw4eTlBZ1c6O0aVAVFInpsTvAriUT+fE/ 7uF8VlN/jpYSIwW2Je4K9kLswBIZEhmJrZ5P9BCJ3hrV+83Twz0BR/UjI7jGRj1PFHIA+kxpTjRO BlPF5ckxj8FnM9uO9Lx0NbaGLIOqbnvU14jGhde0VpHVsAjjI54GTrU4nb5A5loUboofe6I1GyvT H6medSTOGYxM0FfZxfYG417bFTItfIZ3qW3oSOZsmAWA1trkjaIkCWOO0RV8sp0dOVLgUo5DqdDI 4QWQ1/Yi4LpE5N2u3i9Z836BmTjuMUdHfRfaVjQNleOHQcz9CptaCbgWgKyrJs2yI7n2syyfWikw xE2AgW4yBCGZsbbBSCUQyzEw6DtFGQw2PxImdHlOhF85BQkeZMObn7JvCo8c7aGiVVBp/T/ODkt9 XhUFFoludbdVHxe6tEM/fPsVo+saIZ9mlUpeNfrJjBgGI7J170a4jawZH+Z5670qi/ERsf/grhQq 0BLrRNqDFeb/oj0mCHGAFsGYqj+7e7jgALW5Y2dozyocp7h9/5qYnCVvMfA7cOC2U+7BAh864Jtz OiOKDwC07qZ/9LqsDw6hEitKOJeek437rNuuxgtZDSgJYnjiOwPwhl5nrQFHBiRJqvYJA+9W8unw C7lIKGfZJMzCI7+r2K6JvYPXCciHT9xx/dAmvfZQD0pK5h/xgdSTqi0YilMBZGURvFAuHPlTRMSu n2wmRmWgURT8GL9PdQOA/9/oqR1Gc7pHgAl/Fh8mwP7+5Zw8y8qUFfIGOWRmb8lmHFbvBJw7hbWh 7L/nd0dJy24FCg6QZYdIONuqoiHlbpU4F16b87evjci9iL+ufnXU/+kJK3Tt4XZl1bRqC4bIpJfB Zp9fgdSisJEIT55ZtHFNwlIIKpGSfjqL6WxxfkKquY2ImJHYi0O/4+0lkCdVX+D61a/O4OBXsaVY kYhtH57wa7B7vlRAjdpSlSAIDkF0TCEd4epfkZKPOkAeLdFhxrBGzdPgz3QiuvJteDJzl95p8+/O c0yfPfb7R+CSn1s1v9Lo6asXaetk2uybPcbTg/dzLSvOaABHYE/tijISmWM+5iH+5meBHDtHRuD8 F6Vz8o6uLA6RgkLyKkWKIBbmfdZgh+1+Zel4858RvDOdMESNjeBOjHr0EVZJ+nhpI6GUZupFpmmc 6whyzCSg9o82aOPe1bJ81IWlY6ojNcXt/OXMQTcbCq01Z5hw3P9yIjBBUJXN25iP/ft8hjJ/UsQa Kpm6eD8DTBbCvvpE/7UMJLaLULKk2hCiIxhKTwaN+/GH41nL7wBsXAnoHGDVUHawh0tgpBFlEWeA gcScEMT48eEKnSD6DUgcby8Cp/TWyMBOX74WXTpinHrSatzjR8c9IxOQ+FNxYnovGDoRN3SRSCas f81FmqLUG33Whn+JNd2nUYEJtodCk05A1gWPbbfCD+VsTtOH4TNysPYofhAKQUO1fo0uLvCUPJIy K/kT72LpsaFKWIKVKV26Tgw3kZZKJEbfvrM5g9hsiLruPZ2CpXLhxsH7OC7eEpzKcWjfkYqVg2bX y3xwtEe/AVN8e5XEIaudbHFmrn/dhJpJ+6zNN0sPW1TfixZcZOZcfJWtp4bGgn6jSesr74D6Gc71 aPOlE1/xspAzrdZ59GK7+T2fE6inmxByRtonjYKyoXkIgA81+xMkzJ2sAeG0Xoiu0CCk/NBksTjk o2+T8e57578/YzqBf3O6VqQa7xh+APG3tvZ1S3/ElLqRkCBrkTIUopMPd8v8gs3W2nN2RkNubXZV ugPXP6YYLZ7YkHXFt8GgvNtegJLGwZAKd6JM45qqA0UwsSwYwvPgHaYZLeIByF+/26MS8qHGiKV1 uzGn0Iks10l6a7kEgWitIhUIfjtAWfBh7NVOPd+5r535bCvMGfakX6oQi7h+IZ0P/WmNuegpMwWS PlJTLFLWt7Jv4BQX43AlQbgKvYvCi2lnYdmVM6qI6FbniPwQDnozxq4F+LFU+2CdPnm56WT5ikdS Tq7Jt8fmVBrpXcRkh8QW3h736qp0ElL83nIdO4kkvTRo9oVxJ+AY8Q3Brl6J+jwWVEgZl7eqAszV fC2f3sOJx2ABvzO3NZ6J3gIYQ6+dHhmtKB/mvgPSpys4/iDaf+JyEXnyOv2XpNSrAfjMiyUROqbk toPE0vdmP93wRu64grfCg/BQsQ5ERh3FA6VpwCIAaPDWttB6diOrxoNfDM9kAupRIkPwUSRhmlty OyiR4/HvKwmVpM0s5SWRqHUeiJNpjbgINgWul8LVobYL/u+MOv9psbRVZ2vqqXlpIGMFp+qXalVn Gon1DleIUnxexRagk2lBb0bpkzZWil+bibh8KrWn4Jyn/iUoKPSVigEgCmGX+voMNPs/px7cwqwq 1yYKwvh9IV8YWSxcwGraE/zMM+Mqx7lt/bEfMQo4sPieC2iSf3g4Z5Bdbrhzuh8p/kwoZ+008sp8 4rADA4I5GpEFMuqKsWiAZYBmEwLpZ4EGSTRprwt2smhOYq33d0VNzZttintA83+dUppYqKDIkEIH 7ytNnKghalxDvSeaXQHpjrxNGaIKFopU+UKKw53SDb+GvA020zs7sFD/XU8L7Z/23gXIDC3wDoz3 KbEKglUDqUdEn02/rQ88c6yAmwX8st//C+sjRdu8pNLXnfxPIEG69KhMXFb4b8JjvNYZGms/cy6E Em8ROgau88L0o0jzS3PRyXHvu+Q1RrNPEaG3MtUAWmf/nH5vOZDrgEncxXML4WZl6s5+b5hpBB2H pqvHexcvWdIx00reEyuSK/JLst2aUOCmHIiNdJDr/C2UqfVk2h+3L6VUnaMthShp8764FrStifrF EkHn4KHoFLg/iQdNaq1gLurMXPpxWQAri5FXIr2GZ61V+ScqZnceLQcs/vF91RcLO32tp9xsi4M1 Sv+q32XmrxlPIFJrJLd1soYEl4ofCZH/+P5Qxzo+Lh09R+RCGl8D5fY+XiP3IXBDoMTMkELWUtRR bMo1CV1lMlQbr/02yvnSKzcpCoBg08MjaSwUJ7CjiaSTdi7iCJ1CbHUkm/aWguTc1AEnC7N2tWm2 ddpZaS3QmFNTDqOLI8dpnAkxt5q4LzMCrOsljRsmULpUW/sWFfWvQQoVhc3/i3z0JDyxIZ2nSN90 1o3s7CyiJ165WlpsewyST/5Pq2YS1WFFIyBXEyFUGEyvbZ5MuX9bEuFMYVAX5+0JXdLi7x4qDN29 bD8jRyvjftsFmWlvYDHgZyuq7xgYLbyO5Y/90um6l+yQjKN0N2VrG73kC8EY6Gt3e+vZyHgLY9SP z2MvGiRLsKyz+zKmYTYVYqQTsnGfNhKypmXm/TNY3JOMTio01ElQGRMvWl5ScvtX/Q7PufCRa6Tm 8awT3FbYwkU9FNsDtHT63d9AffQOB88MoAR3LseXxh32p4euwQvDPSZ8am8gS5wRivNbGspP+SAE Adq+YtoNdDEX1m1S5/dIkap9STGUEkAjLcZlXeURsiOOrskfmINHUrqR9X7B67Nt7hfKaaVhTqQq 96hRbVaji24yXMyjsOi1J8kPiYukBsrSpxKvYW5NHUyRypzyo5Eii0P79lHfU7YiP2hMkbSMKvOq p6fLTIk9gQjxXWwf89Djs1s5c57vG1Po51CK6LUSx9Na8dpSqlGup301HG9wrWOaz41b/G9/q/na v96GGC6c5ZSYQLdazfc+vqqWQRnSg3Hmry8oBsRHIPt+ABe08L48Q3qppIiRjh8yYJy8u/5BdEfV 0UAt8aye4NzRTXyIFJOd5MONLX0+bSVLtYIW2q54/1i2Km8nvG0qfk98t5ZbUCraWVkCGuhqRkvI AhgkQLyNiMoNxLn3czFXmJsLY6jsMKGhkNvwgUFwKq+1PoBndQILlDjnlVzYG4s7hirxMXlkagIf Q822Oi3KmSUV2oVl7sBsP555LShiYtpdoShAg8dMGBN9W41stDSafqBEfegdswqLwAAO9gEe860M wbOrDAZgqLlDzKoBZgah044XwbNosNoL3YsbhOXP1fsI46njHJCkPShRkwBx16eFaSkGfB7cKLxu wFQJ14COp5zMfVlaZZVV4eXvzfz2B8LieoUieZFRR9y9JQk9iApLl0olGFhmRQKUADslZguWRMw0 OXn/M1zZQvh/LIgCqLypSIEK4wm38zhrVGuwmTKw4uPBgRoDERCM5n5PB7U6xmIjKQNK+Y7ij/bu h8umWd53k5JeKCaCjv1Jz2+vdtWuooabt9GyDJgeebSXV+0Ebiq/LYPx11ie/jpVGjggh8kYeteE 0BsCvQzwql0LWtWzQB5xdmsLG8J26XoErDjwK8BeWYna91Z7nJfX9GXGxaeeF74RAnmMbKi0N/M9 NEN453NJqD5fRdIogBJcpujimF+tHDLQwegVQYtumFr8fWJD5CBq+7an42af19pm/iZ0wPUvcj3C JCOkHEY5OpKznpZOumRZKKWtGZ+gdxZiatbzYcSLA2st/xRkPOLGE6jU+7shifgtl3mNJfR2GYM1 jF1b3hMzlUjXEawMQdH5he5r7yqikUJOc8lo/M3m2p1GTVLG1kiHoI86VQJVtBP93s0S86sGo15G eMZmnqhZVSV6RO+cUd3+/FEUR5T74kkhwlK/osap+OUUNdnCNredC0ecEZr3i0sIFlDGhWJqRM7Q U4aY5XLDQlfLg3EKVQDGIDTWi8RZh8o6rHvr7WoGoMFBD4aDsgnjOl+R2P3TBWA6FoyR/hfS/k9A 824eh0Hgjx5k95kRsgC2QNf0r5kibpgd8+Atr+XBx3sYa3xijTN+yjgslm/XA+5L/DI8Yn6Hwgec ahTlFUPLQ6D46fise9VkNLjGdx7/yQFRcSDroAhNRaeSaNglXrFVPUStDdR3NZpWn/CdSMbYN1on BTFtVZaugUifFJOdD6KWaKyl5ZYsBJyy53LdQuVjAQdIQmqLwKuC5w4W3lc8UfH+4Csvr9NlImfE 9z3JL6q/urjOXZq7lVUc5VqR10byvXws8Jfu8Yb0Xjp5WA22zHNBqKth8IqyclONwBgxXLAxXlFs v/jTERiWjMFR+JEDRpZ6C6NJZE9lUSkQP5NOIblSLugsaqI8PbOIJ9bSxsMTiHrv10PAhg48EmJb TCwuN75CW7ySSgucJSmwEeU04Y7SLYQJ64oCangjALfBoTPEZjQRb5vOwMEezY6ilN0gm+dxL4kw qxHHzm/9rWhrlNJ+qKHUn0tJ2cqs9VeFawvDKhW17BLMrJOX4vo4fdphlTeohPVYtpkNBn5knF2o HXnPnnxS5p22/KcE1uzIAkKhr6H0BXQczuKsC9jWtT799/vBf26WP9cwTbLdrtlwksOwoycpYxGC n8Pv2BjGHEEcdjSzkxifqw== `protect end_protected
-------------------------------------------------------------------------------- -- -- FIFO Generator v8.4 Core - core wrapper -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: pulse_regen_v6_top.vhd -- -- Description: -- This is the FIFO core wrapper with BUFG instances for clock connections. -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; library unisim; use unisim.vcomponents.all; -------------------------------------------------------------------------------- -- Entity Declaration -------------------------------------------------------------------------------- entity pulse_regen_v6_top is PORT ( WR_CLK : IN std_logic; RD_CLK : IN std_logic; VALID : OUT std_logic; RST : IN std_logic; WR_EN : IN std_logic; RD_EN : IN std_logic; DIN : IN std_logic_vector(1-1 DOWNTO 0); DOUT : OUT std_logic_vector(1-1 DOWNTO 0); FULL : OUT std_logic; EMPTY : OUT std_logic); end pulse_regen_v6_top; architecture xilinx of pulse_regen_v6_top is SIGNAL wr_clk_i : std_logic; SIGNAL rd_clk_i : std_logic; component pulse_regen_v6 is PORT ( WR_CLK : IN std_logic; RD_CLK : IN std_logic; VALID : OUT std_logic; RST : IN std_logic; WR_EN : IN std_logic; RD_EN : IN std_logic; DIN : IN std_logic_vector(1-1 DOWNTO 0); DOUT : OUT std_logic_vector(1-1 DOWNTO 0); FULL : OUT std_logic; EMPTY : OUT std_logic); end component; begin wr_clk_buf: bufg PORT map( i => WR_CLK, o => wr_clk_i ); rd_clk_buf: bufg PORT map( i => RD_CLK, o => rd_clk_i ); fg0 : pulse_regen_v6 PORT MAP ( WR_CLK => wr_clk_i, RD_CLK => rd_clk_i, VALID => valid, RST => rst, WR_EN => wr_en, RD_EN => rd_en, DIN => din, DOUT => dout, FULL => full, EMPTY => empty); end xilinx;