content
stringlengths 1
1.04M
⌀ |
---|
----------------------------------------------------------------------------------
-- Company:
-- Engineer: Ben Oztalay
--
-- Create Date: 11:19:54 10/27/2009
-- Design Name:
-- Module Name: ALU - Behavioral
-- Project Name: OZ-3
-- Target Devices: Xilinx XC3S500E-4FG320
-- Tool versions:
-- Description: The ALU for the OZ-3
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Revision 0.30 - File written and syntax checked
-- Revision 0.90 - Successfully simulated
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
---- Uncomment the following library declaration if instantiating
---- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity ALU is
Port ( A : in STD_LOGIC_VECTOR (31 downto 0);
B : in STD_LOGIC_VECTOR (31 downto 0);
sel : in STD_LOGIC_VECTOR (3 downto 0);
result : out STD_LOGIC_VECTOR (31 downto 0);
cond_bits : out STD_LOGIC_VECTOR (3 downto 0));
end ALU;
architecture Behavioral of ALU is
begin
main: process(A, B, sel) is
variable out_reg : STD_LOGIC_VECTOR(32 downto 0);
begin
cond_bits <= "0000";
--operations
case sel is
when "0000" => --add
out_reg := ('0' & A(31 downto 0)) + ('0' & B(31 downto 0));
when "0001" => --sub
out_reg := ('0' & A(31 downto 0)) - ('0' & B(31 downto 0));
when "0010" => --and
out_reg := '0' & (A and B);
when "0011" => --or
out_reg := '0' & (A or B);
when "0100" => --xor
out_reg := '0' & (A xor B);
when "0101" => --cp
out_reg := ('0' & A);
when "0110" => --sll
out_reg := (A(31 downto 0) & '0');
when "0111" => --srl
out_reg := ("00" & A(31 downto 1));
when "1000" => --rol
out_reg := (A(0) & A(0) & A(31 downto 1));
when "1001" => --ror
out_reg := (A(30) & A(30 downto 0) & A(31));
when others =>
out_reg := ('0' & A);
end case;
--condition bit logic
if (A > B) then
cond_bits(1) <= '1';
elsif (A = B) then
cond_bits(2) <= '1';
elsif (A < B) then
cond_bits(3) <= '1';
end if;
cond_bits(0) <= out_reg(32); --carry
result <= out_reg(31 downto 0);
end process;
end Behavioral;
|
entity tb_rec02 is
end tb_rec02;
library ieee;
use ieee.std_logic_1164.all;
use work.rec02_pkg.all;
architecture behav of tb_rec02 is
signal inp : myrec;
signal r : std_logic;
begin
dut: entity work.rec02
port map (inp => inp, o => r);
process
begin
inp.a <= 5;
inp.b <= '1';
wait for 1 ns;
assert r = '1' severity failure;
inp.a <= 2;
inp.b <= '1';
wait for 1 ns;
assert r = '0' severity failure;
inp.a <= 1;
inp.b <= '0';
wait for 1 ns;
assert r = '0' severity failure;
inp.a <= 4;
inp.b <= '0';
wait for 1 ns;
assert r = '0' severity failure;
wait;
end process;
end behav;
|
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library altera;
use altera.alt_dspbuilder_package.all;
library lpm;
use lpm.lpm_components.all;
entity alt_dspbuilder_if_statement_GNJ7D74ANQ is
generic ( use_else_output : natural := 0;
bwr : natural := 0;
use_else_input : natural := 0;
signed : natural := 0;
HDLTYPE : string := "STD_LOGIC_VECTOR";
if_expression : string := "a>b";
number_inputs : integer := 2;
width : natural := 24);
port(
true : out std_logic;
a : in std_logic_vector(23 downto 0);
b : in std_logic_vector(23 downto 0));
end entity;
architecture rtl of alt_dspbuilder_if_statement_GNJ7D74ANQ is
signal result : std_logic;
constant zero : STD_LOGIC_VECTOR(23 DOWNTO 0) := (others=>'0');
constant one : STD_LOGIC_VECTOR(23 DOWNTO 0) := (0 => '1', others => '0');
function myFunc ( Value: boolean )
return std_logic is
variable func_result : std_logic;
begin
if (Value) then
func_result := '1';
else
func_result := '0';
end if;
return func_result;
end;
function myFunc ( Value: std_logic )
return std_logic is
begin
return Value;
end;
Begin
-- DSP Builder Block - Simulink Block "IfStatement"
result <= myFunc(a>b) ;
true <= result;
end architecture;
|
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library altera;
use altera.alt_dspbuilder_package.all;
library lpm;
use lpm.lpm_components.all;
entity alt_dspbuilder_if_statement_GNJ7D74ANQ is
generic ( use_else_output : natural := 0;
bwr : natural := 0;
use_else_input : natural := 0;
signed : natural := 0;
HDLTYPE : string := "STD_LOGIC_VECTOR";
if_expression : string := "a>b";
number_inputs : integer := 2;
width : natural := 24);
port(
true : out std_logic;
a : in std_logic_vector(23 downto 0);
b : in std_logic_vector(23 downto 0));
end entity;
architecture rtl of alt_dspbuilder_if_statement_GNJ7D74ANQ is
signal result : std_logic;
constant zero : STD_LOGIC_VECTOR(23 DOWNTO 0) := (others=>'0');
constant one : STD_LOGIC_VECTOR(23 DOWNTO 0) := (0 => '1', others => '0');
function myFunc ( Value: boolean )
return std_logic is
variable func_result : std_logic;
begin
if (Value) then
func_result := '1';
else
func_result := '0';
end if;
return func_result;
end;
function myFunc ( Value: std_logic )
return std_logic is
begin
return Value;
end;
Begin
-- DSP Builder Block - Simulink Block "IfStatement"
result <= myFunc(a>b) ;
true <= result;
end architecture;
|
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library altera;
use altera.alt_dspbuilder_package.all;
library lpm;
use lpm.lpm_components.all;
entity alt_dspbuilder_if_statement_GNJ7D74ANQ is
generic ( use_else_output : natural := 0;
bwr : natural := 0;
use_else_input : natural := 0;
signed : natural := 0;
HDLTYPE : string := "STD_LOGIC_VECTOR";
if_expression : string := "a>b";
number_inputs : integer := 2;
width : natural := 24);
port(
true : out std_logic;
a : in std_logic_vector(23 downto 0);
b : in std_logic_vector(23 downto 0));
end entity;
architecture rtl of alt_dspbuilder_if_statement_GNJ7D74ANQ is
signal result : std_logic;
constant zero : STD_LOGIC_VECTOR(23 DOWNTO 0) := (others=>'0');
constant one : STD_LOGIC_VECTOR(23 DOWNTO 0) := (0 => '1', others => '0');
function myFunc ( Value: boolean )
return std_logic is
variable func_result : std_logic;
begin
if (Value) then
func_result := '1';
else
func_result := '0';
end if;
return func_result;
end;
function myFunc ( Value: std_logic )
return std_logic is
begin
return Value;
end;
Begin
-- DSP Builder Block - Simulink Block "IfStatement"
result <= myFunc(a>b) ;
true <= result;
end architecture;
|
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library altera;
use altera.alt_dspbuilder_package.all;
library lpm;
use lpm.lpm_components.all;
entity alt_dspbuilder_if_statement_GNJ7D74ANQ is
generic ( use_else_output : natural := 0;
bwr : natural := 0;
use_else_input : natural := 0;
signed : natural := 0;
HDLTYPE : string := "STD_LOGIC_VECTOR";
if_expression : string := "a>b";
number_inputs : integer := 2;
width : natural := 24);
port(
true : out std_logic;
a : in std_logic_vector(23 downto 0);
b : in std_logic_vector(23 downto 0));
end entity;
architecture rtl of alt_dspbuilder_if_statement_GNJ7D74ANQ is
signal result : std_logic;
constant zero : STD_LOGIC_VECTOR(23 DOWNTO 0) := (others=>'0');
constant one : STD_LOGIC_VECTOR(23 DOWNTO 0) := (0 => '1', others => '0');
function myFunc ( Value: boolean )
return std_logic is
variable func_result : std_logic;
begin
if (Value) then
func_result := '1';
else
func_result := '0';
end if;
return func_result;
end;
function myFunc ( Value: std_logic )
return std_logic is
begin
return Value;
end;
Begin
-- DSP Builder Block - Simulink Block "IfStatement"
result <= myFunc(a>b) ;
true <= result;
end architecture;
|
--------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 08:50:11 04/07/2016
-- Design Name:
-- Module Name: /home/robert/UMD_RISC-16G5/ProjectLab1/Poject_Lab01/Project1/Fetch_tb.vhd
-- Project Name: Project1
-- Target Device:
-- Tool versions:
-- Description:
--
-- VHDL Test Bench Created by ISE for module: Instruction_Memory_TL
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
-- Notes:
-- This testbench has been automatically generated using types std_logic and
-- std_logic_vector for the ports of the unit under test. Xilinx recommends
-- that these types always be used for the top-level I/O of a design in order
-- to guarantee that the testbench will bind correctly to the post-implementation
-- simulation model.
--------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--USE ieee.numeric_std.ALL;
ENTITY Fetch_tb IS
END Fetch_tb;
ARCHITECTURE behavior OF Fetch_tb IS
-- Component Declaration for the Unit Under Test (UUT)
COMPONENT Instruction_Memory_TL
PORT(
CLK : IN std_logic;
RST : IN std_logic;
RA : OUT std_logic_vector(3 downto 0);
RB : OUT std_logic_vector(3 downto 0);
OP : OUT std_logic_vector(3 downto 0);
IMM : OUT std_logic_vector(7 downto 0)
);
END COMPONENT;
--Inputs
signal CLK : std_logic := '0';
signal RST : std_logic := '0';
--Outputs
signal RA : std_logic_vector(3 downto 0);
signal RB : std_logic_vector(3 downto 0);
signal OP : std_logic_vector(3 downto 0);
signal IMM : std_logic_vector(7 downto 0);
-- Clock period definitions
constant CLK_period : time := 10 ns;
BEGIN
-- Instantiate the Unit Under Test (UUT)
uut: Instruction_Memory_TL PORT MAP (
CLK => CLK,
RST => RST,
RA => RA,
RB => RB,
OP => OP,
IMM => IMM
);
-- Clock process definitions
CLK_process :process
begin
CLK <= '0';
wait for CLK_period/2;
CLK <= '1';
wait for CLK_period/2;
end process;
-- Stimulus process
stim_proc: process
begin
-- hold reset state for 100 ns.
wait for 100 ns;
RST <= '1';
wait for CLK_period*10;
RST<= '0';
-- insert stimulus here
wait;
end process;
END;
|
--------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 08:50:11 04/07/2016
-- Design Name:
-- Module Name: /home/robert/UMD_RISC-16G5/ProjectLab1/Poject_Lab01/Project1/Fetch_tb.vhd
-- Project Name: Project1
-- Target Device:
-- Tool versions:
-- Description:
--
-- VHDL Test Bench Created by ISE for module: Instruction_Memory_TL
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
-- Notes:
-- This testbench has been automatically generated using types std_logic and
-- std_logic_vector for the ports of the unit under test. Xilinx recommends
-- that these types always be used for the top-level I/O of a design in order
-- to guarantee that the testbench will bind correctly to the post-implementation
-- simulation model.
--------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--USE ieee.numeric_std.ALL;
ENTITY Fetch_tb IS
END Fetch_tb;
ARCHITECTURE behavior OF Fetch_tb IS
-- Component Declaration for the Unit Under Test (UUT)
COMPONENT Instruction_Memory_TL
PORT(
CLK : IN std_logic;
RST : IN std_logic;
RA : OUT std_logic_vector(3 downto 0);
RB : OUT std_logic_vector(3 downto 0);
OP : OUT std_logic_vector(3 downto 0);
IMM : OUT std_logic_vector(7 downto 0)
);
END COMPONENT;
--Inputs
signal CLK : std_logic := '0';
signal RST : std_logic := '0';
--Outputs
signal RA : std_logic_vector(3 downto 0);
signal RB : std_logic_vector(3 downto 0);
signal OP : std_logic_vector(3 downto 0);
signal IMM : std_logic_vector(7 downto 0);
-- Clock period definitions
constant CLK_period : time := 10 ns;
BEGIN
-- Instantiate the Unit Under Test (UUT)
uut: Instruction_Memory_TL PORT MAP (
CLK => CLK,
RST => RST,
RA => RA,
RB => RB,
OP => OP,
IMM => IMM
);
-- Clock process definitions
CLK_process :process
begin
CLK <= '0';
wait for CLK_period/2;
CLK <= '1';
wait for CLK_period/2;
end process;
-- Stimulus process
stim_proc: process
begin
-- hold reset state for 100 ns.
wait for 100 ns;
RST <= '1';
wait for CLK_period*10;
RST<= '0';
-- insert stimulus here
wait;
end process;
END;
|
--------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 08:50:11 04/07/2016
-- Design Name:
-- Module Name: /home/robert/UMD_RISC-16G5/ProjectLab1/Poject_Lab01/Project1/Fetch_tb.vhd
-- Project Name: Project1
-- Target Device:
-- Tool versions:
-- Description:
--
-- VHDL Test Bench Created by ISE for module: Instruction_Memory_TL
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
-- Notes:
-- This testbench has been automatically generated using types std_logic and
-- std_logic_vector for the ports of the unit under test. Xilinx recommends
-- that these types always be used for the top-level I/O of a design in order
-- to guarantee that the testbench will bind correctly to the post-implementation
-- simulation model.
--------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--USE ieee.numeric_std.ALL;
ENTITY Fetch_tb IS
END Fetch_tb;
ARCHITECTURE behavior OF Fetch_tb IS
-- Component Declaration for the Unit Under Test (UUT)
COMPONENT Instruction_Memory_TL
PORT(
CLK : IN std_logic;
RST : IN std_logic;
RA : OUT std_logic_vector(3 downto 0);
RB : OUT std_logic_vector(3 downto 0);
OP : OUT std_logic_vector(3 downto 0);
IMM : OUT std_logic_vector(7 downto 0)
);
END COMPONENT;
--Inputs
signal CLK : std_logic := '0';
signal RST : std_logic := '0';
--Outputs
signal RA : std_logic_vector(3 downto 0);
signal RB : std_logic_vector(3 downto 0);
signal OP : std_logic_vector(3 downto 0);
signal IMM : std_logic_vector(7 downto 0);
-- Clock period definitions
constant CLK_period : time := 10 ns;
BEGIN
-- Instantiate the Unit Under Test (UUT)
uut: Instruction_Memory_TL PORT MAP (
CLK => CLK,
RST => RST,
RA => RA,
RB => RB,
OP => OP,
IMM => IMM
);
-- Clock process definitions
CLK_process :process
begin
CLK <= '0';
wait for CLK_period/2;
CLK <= '1';
wait for CLK_period/2;
end process;
-- Stimulus process
stim_proc: process
begin
-- hold reset state for 100 ns.
wait for 100 ns;
RST <= '1';
wait for CLK_period*10;
RST<= '0';
-- insert stimulus here
wait;
end process;
END;
|
--------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 08:50:11 04/07/2016
-- Design Name:
-- Module Name: /home/robert/UMD_RISC-16G5/ProjectLab1/Poject_Lab01/Project1/Fetch_tb.vhd
-- Project Name: Project1
-- Target Device:
-- Tool versions:
-- Description:
--
-- VHDL Test Bench Created by ISE for module: Instruction_Memory_TL
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
-- Notes:
-- This testbench has been automatically generated using types std_logic and
-- std_logic_vector for the ports of the unit under test. Xilinx recommends
-- that these types always be used for the top-level I/O of a design in order
-- to guarantee that the testbench will bind correctly to the post-implementation
-- simulation model.
--------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--USE ieee.numeric_std.ALL;
ENTITY Fetch_tb IS
END Fetch_tb;
ARCHITECTURE behavior OF Fetch_tb IS
-- Component Declaration for the Unit Under Test (UUT)
COMPONENT Instruction_Memory_TL
PORT(
CLK : IN std_logic;
RST : IN std_logic;
RA : OUT std_logic_vector(3 downto 0);
RB : OUT std_logic_vector(3 downto 0);
OP : OUT std_logic_vector(3 downto 0);
IMM : OUT std_logic_vector(7 downto 0)
);
END COMPONENT;
--Inputs
signal CLK : std_logic := '0';
signal RST : std_logic := '0';
--Outputs
signal RA : std_logic_vector(3 downto 0);
signal RB : std_logic_vector(3 downto 0);
signal OP : std_logic_vector(3 downto 0);
signal IMM : std_logic_vector(7 downto 0);
-- Clock period definitions
constant CLK_period : time := 10 ns;
BEGIN
-- Instantiate the Unit Under Test (UUT)
uut: Instruction_Memory_TL PORT MAP (
CLK => CLK,
RST => RST,
RA => RA,
RB => RB,
OP => OP,
IMM => IMM
);
-- Clock process definitions
CLK_process :process
begin
CLK <= '0';
wait for CLK_period/2;
CLK <= '1';
wait for CLK_period/2;
end process;
-- Stimulus process
stim_proc: process
begin
-- hold reset state for 100 ns.
wait for 100 ns;
RST <= '1';
wait for CLK_period*10;
RST<= '0';
-- insert stimulus here
wait;
end process;
END;
|
--------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 08:50:11 04/07/2016
-- Design Name:
-- Module Name: /home/robert/UMD_RISC-16G5/ProjectLab1/Poject_Lab01/Project1/Fetch_tb.vhd
-- Project Name: Project1
-- Target Device:
-- Tool versions:
-- Description:
--
-- VHDL Test Bench Created by ISE for module: Instruction_Memory_TL
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
-- Notes:
-- This testbench has been automatically generated using types std_logic and
-- std_logic_vector for the ports of the unit under test. Xilinx recommends
-- that these types always be used for the top-level I/O of a design in order
-- to guarantee that the testbench will bind correctly to the post-implementation
-- simulation model.
--------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--USE ieee.numeric_std.ALL;
ENTITY Fetch_tb IS
END Fetch_tb;
ARCHITECTURE behavior OF Fetch_tb IS
-- Component Declaration for the Unit Under Test (UUT)
COMPONENT Instruction_Memory_TL
PORT(
CLK : IN std_logic;
RST : IN std_logic;
RA : OUT std_logic_vector(3 downto 0);
RB : OUT std_logic_vector(3 downto 0);
OP : OUT std_logic_vector(3 downto 0);
IMM : OUT std_logic_vector(7 downto 0)
);
END COMPONENT;
--Inputs
signal CLK : std_logic := '0';
signal RST : std_logic := '0';
--Outputs
signal RA : std_logic_vector(3 downto 0);
signal RB : std_logic_vector(3 downto 0);
signal OP : std_logic_vector(3 downto 0);
signal IMM : std_logic_vector(7 downto 0);
-- Clock period definitions
constant CLK_period : time := 10 ns;
BEGIN
-- Instantiate the Unit Under Test (UUT)
uut: Instruction_Memory_TL PORT MAP (
CLK => CLK,
RST => RST,
RA => RA,
RB => RB,
OP => OP,
IMM => IMM
);
-- Clock process definitions
CLK_process :process
begin
CLK <= '0';
wait for CLK_period/2;
CLK <= '1';
wait for CLK_period/2;
end process;
-- Stimulus process
stim_proc: process
begin
-- hold reset state for 100 ns.
wait for 100 ns;
RST <= '1';
wait for CLK_period*10;
RST<= '0';
-- insert stimulus here
wait;
end process;
END;
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
use work.CONSTANTS.all;
entity IP_DUMMY is
port (
clk : in std_logic;
rst : in std_logic;
data_in : out std_logic_vector(DATA_WIDTH-1 downto 0);
data_out : in std_logic_vector(DATA_WIDTH-1 downto 0);
address : out std_logic_vector(ADD_WIDTH-1 downto 0);
W_enable : out std_logic;
R_enable : out std_logic;
generic_en : out std_logic;
enable : in std_logic;
ack : in std_logic;
interrupt : out std_logic
);
end IP_DUMMY;
architecture BEHAVIOURAL of IP_DUMMY is
begin
address <= conv_std_logic_vector(4, ADD_WIDTH);
data_in <= conv_std_logic_vector(666, DATA_WIDTH);
W_enable <= '1';
R_enable <= '0';
generic_en <= '1';
interrupt <= '0';
end architecture BEHAVIOURAL; |
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
use work.CONSTANTS.all;
entity IP_DUMMY is
port (
clk : in std_logic;
rst : in std_logic;
data_in : out std_logic_vector(DATA_WIDTH-1 downto 0);
data_out : in std_logic_vector(DATA_WIDTH-1 downto 0);
address : out std_logic_vector(ADD_WIDTH-1 downto 0);
W_enable : out std_logic;
R_enable : out std_logic;
generic_en : out std_logic;
enable : in std_logic;
ack : in std_logic;
interrupt : out std_logic
);
end IP_DUMMY;
architecture BEHAVIOURAL of IP_DUMMY is
begin
address <= conv_std_logic_vector(4, ADD_WIDTH);
data_in <= conv_std_logic_vector(666, DATA_WIDTH);
W_enable <= '1';
R_enable <= '0';
generic_en <= '1';
interrupt <= '0';
end architecture BEHAVIOURAL; |
------------------------------------------------------------------------------
-- user_logic.vhd - entity/architecture pair
------------------------------------------------------------------------------
--
-- ***************************************************************************
-- ** Copyright (c) 1995-2008 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** Xilinx, Inc. **
-- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" **
-- ** AS A COURTESY TO YOU, SOLELY FOR USE IN DEVELOPING PROGRAMS AND **
-- ** SOLUTIONS FOR XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, **
-- ** OR INFORMATION AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, **
-- ** APPLICATION OR STANDARD, XILINX IS MAKING NO REPRESENTATION **
-- ** THAT THIS IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, **
-- ** AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE **
-- ** FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY **
-- ** WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE **
-- ** IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR **
-- ** REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF **
-- ** INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS **
-- ** FOR A PARTICULAR PURPOSE. **
-- ** **
-- ***************************************************************************
--
------------------------------------------------------------------------------
-- Filename: user_logic.vhd
-- Version: 1.00.a
-- Description: User logic.
-- Date: Mon Jun 29 12:13:20 2009 (by Create and Import Peripheral Wizard)
-- VHDL Standard: VHDL'93
------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port: "*_i"
-- device pins: "*_pin"
-- ports: "- Names begin with Uppercase"
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>"
------------------------------------------------------------------------------
-- DO NOT EDIT BELOW THIS LINE --------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
library proc_common_v3_00_a;
use proc_common_v3_00_a.proc_common_pkg.all;
-- DO NOT EDIT ABOVE THIS LINE --------------------
--USER libraries added here
------------------------------------------------------------------------------
-- Entity section
------------------------------------------------------------------------------
-- Definition of Generics:
-- C_SLV_DWIDTH -- Slave interface data bus width
-- C_NUM_REG -- Number of software accessible registers
--
-- Definition of Ports:
-- Bus2IP_Clk -- Bus to IP clock
-- Bus2IP_Reset -- Bus to IP reset
-- Bus2IP_Addr -- Bus to IP address bus
-- Bus2IP_Data -- Bus to IP data bus
-- Bus2IP_BE -- Bus to IP byte enables
-- Bus2IP_RdCE -- Bus to IP read chip enable
-- Bus2IP_WrCE -- Bus to IP write chip enable
-- IP2Bus_Data -- IP to Bus data bus
-- IP2Bus_RdAck -- IP to Bus read transfer acknowledgement
-- IP2Bus_WrAck -- IP to Bus write transfer acknowledgement
-- IP2Bus_Error -- IP to Bus error response
------------------------------------------------------------------------------
entity user_logic is
generic
(
-- ADD USER GENERICS BELOW THIS LINE ---------------
--USER generics added here
-- ADD USER GENERICS ABOVE THIS LINE ---------------
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol parameters, do not add to or delete
C_SLV_DWIDTH : integer := 32;
C_NUM_REG : integer := 2
-- DO NOT EDIT ABOVE THIS LINE ---------------------
);
port
(
-- ADD USER PORTS BELOW THIS LINE ------------------
--USER ports added here
-- ADD USER PORTS ABOVE THIS LINE ------------------
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol ports, do not add to or delete
Bus2IP_Clk : in std_logic;
Bus2IP_Reset : in std_logic;
Bus2IP_Addr : in std_logic_vector(0 to 31);
Bus2IP_Data : in std_logic_vector(0 to C_SLV_DWIDTH-1);
Bus2IP_BE : in std_logic_vector(0 to C_SLV_DWIDTH/8-1);
Bus2IP_RdCE : in std_logic_vector(0 to C_NUM_REG-1);
Bus2IP_WrCE : in std_logic_vector(0 to C_NUM_REG-1);
IP2Bus_Data : out std_logic_vector(0 to C_SLV_DWIDTH-1);
IP2Bus_RdAck : out std_logic;
IP2Bus_WrAck : out std_logic;
IP2Bus_Error : out std_logic
-- DO NOT EDIT ABOVE THIS LINE ---------------------
);
attribute SIGIS : string;
attribute SIGIS of Bus2IP_Clk : signal is "CLK";
attribute SIGIS of Bus2IP_Reset : signal is "RST";
end entity user_logic;
------------------------------------------------------------------------------
-- Architecture section
------------------------------------------------------------------------------
architecture IMP of user_logic is
--USER signal declarations added here, as needed for user logic
------------------------------------------
-- Signals for user logic slave model s/w accessible register example
------------------------------------------
signal slv_counter_reg : std_logic_vector(0 to 2*C_SLV_DWIDTH-1);
signal slv_reg_read_sel : std_logic_vector(0 to 1);
signal slv_ip2bus_data : std_logic_vector(0 to C_SLV_DWIDTH-1);
signal slv_read_ack : std_logic;
signal slv_write_ack : std_logic;
begin
--USER logic implementation added here
------------------------------------------
-- Example code to read/write user logic slave model s/w accessible registers
--
-- Note:
-- The example code presented here is to show you one way of reading/writing
-- software accessible registers implemented in the user logic slave model.
-- Each bit of the Bus2IP_WrCE/Bus2IP_RdCE signals is configured to correspond
-- to one software accessible register by the top level template. For example,
-- if you have four 32 bit software accessible registers in the user logic,
-- you are basically operating on the following memory mapped registers:
--
-- Bus2IP_WrCE/Bus2IP_RdCE Memory Mapped Register
-- "1000" C_BASEADDR + 0x0
-- "0100" C_BASEADDR + 0x4
-- "0010" C_BASEADDR + 0x8
-- "0001" C_BASEADDR + 0xC
--
------------------------------------------
slv_reg_read_sel <= Bus2IP_RdCE(0 to 1);
slv_write_ack <= Bus2IP_WrCE(0) or Bus2IP_WrCE(1);
slv_read_ack <= Bus2IP_RdCE(0) or Bus2IP_RdCE(1);
-- implement slave model software accessible register(s) and counter
SLAVE_REG_WRITE_PROC : process( Bus2IP_Clk ) is
begin
if Bus2IP_Clk'event and Bus2IP_Clk = '1' then
if Bus2IP_Reset = '1' then
slv_counter_reg <= (others => '0');
else
slv_counter_reg <= slv_counter_reg + 1;
end if;
end if;
end process SLAVE_REG_WRITE_PROC;
-- implement slave model software accessible register(s) read mux
SLAVE_REG_READ_PROC : process( slv_reg_read_sel, slv_counter_reg ) is
begin
case slv_reg_read_sel is
when "10" => slv_ip2bus_data <= slv_counter_reg(0 to C_SLV_DWIDTH-1); -- Upper bits
when "01" => slv_ip2bus_data <= slv_counter_reg(C_SLV_DWIDTH to 2*C_SLV_DWIDTH-1); -- Lower bits
when others => slv_ip2bus_data <= (others => '0');
end case;
end process SLAVE_REG_READ_PROC;
------------------------------------------
-- Example code to drive IP to Bus signals
------------------------------------------
IP2Bus_Data <= slv_ip2bus_data when slv_read_ack = '1' else
(others => '0');
IP2Bus_WrAck <= slv_write_ack;
IP2Bus_RdAck <= slv_read_ack;
IP2Bus_Error <= '0';
end IMP;
|
------------------------------------------------------------------------------
-- user_logic.vhd - entity/architecture pair
------------------------------------------------------------------------------
--
-- ***************************************************************************
-- ** Copyright (c) 1995-2008 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** Xilinx, Inc. **
-- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" **
-- ** AS A COURTESY TO YOU, SOLELY FOR USE IN DEVELOPING PROGRAMS AND **
-- ** SOLUTIONS FOR XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, **
-- ** OR INFORMATION AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, **
-- ** APPLICATION OR STANDARD, XILINX IS MAKING NO REPRESENTATION **
-- ** THAT THIS IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, **
-- ** AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE **
-- ** FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY **
-- ** WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE **
-- ** IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR **
-- ** REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF **
-- ** INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS **
-- ** FOR A PARTICULAR PURPOSE. **
-- ** **
-- ***************************************************************************
--
------------------------------------------------------------------------------
-- Filename: user_logic.vhd
-- Version: 1.00.a
-- Description: User logic.
-- Date: Mon Jun 29 12:13:20 2009 (by Create and Import Peripheral Wizard)
-- VHDL Standard: VHDL'93
------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port: "*_i"
-- device pins: "*_pin"
-- ports: "- Names begin with Uppercase"
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>"
------------------------------------------------------------------------------
-- DO NOT EDIT BELOW THIS LINE --------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
library proc_common_v3_00_a;
use proc_common_v3_00_a.proc_common_pkg.all;
-- DO NOT EDIT ABOVE THIS LINE --------------------
--USER libraries added here
------------------------------------------------------------------------------
-- Entity section
------------------------------------------------------------------------------
-- Definition of Generics:
-- C_SLV_DWIDTH -- Slave interface data bus width
-- C_NUM_REG -- Number of software accessible registers
--
-- Definition of Ports:
-- Bus2IP_Clk -- Bus to IP clock
-- Bus2IP_Reset -- Bus to IP reset
-- Bus2IP_Addr -- Bus to IP address bus
-- Bus2IP_Data -- Bus to IP data bus
-- Bus2IP_BE -- Bus to IP byte enables
-- Bus2IP_RdCE -- Bus to IP read chip enable
-- Bus2IP_WrCE -- Bus to IP write chip enable
-- IP2Bus_Data -- IP to Bus data bus
-- IP2Bus_RdAck -- IP to Bus read transfer acknowledgement
-- IP2Bus_WrAck -- IP to Bus write transfer acknowledgement
-- IP2Bus_Error -- IP to Bus error response
------------------------------------------------------------------------------
entity user_logic is
generic
(
-- ADD USER GENERICS BELOW THIS LINE ---------------
--USER generics added here
-- ADD USER GENERICS ABOVE THIS LINE ---------------
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol parameters, do not add to or delete
C_SLV_DWIDTH : integer := 32;
C_NUM_REG : integer := 2
-- DO NOT EDIT ABOVE THIS LINE ---------------------
);
port
(
-- ADD USER PORTS BELOW THIS LINE ------------------
--USER ports added here
-- ADD USER PORTS ABOVE THIS LINE ------------------
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol ports, do not add to or delete
Bus2IP_Clk : in std_logic;
Bus2IP_Reset : in std_logic;
Bus2IP_Addr : in std_logic_vector(0 to 31);
Bus2IP_Data : in std_logic_vector(0 to C_SLV_DWIDTH-1);
Bus2IP_BE : in std_logic_vector(0 to C_SLV_DWIDTH/8-1);
Bus2IP_RdCE : in std_logic_vector(0 to C_NUM_REG-1);
Bus2IP_WrCE : in std_logic_vector(0 to C_NUM_REG-1);
IP2Bus_Data : out std_logic_vector(0 to C_SLV_DWIDTH-1);
IP2Bus_RdAck : out std_logic;
IP2Bus_WrAck : out std_logic;
IP2Bus_Error : out std_logic
-- DO NOT EDIT ABOVE THIS LINE ---------------------
);
attribute SIGIS : string;
attribute SIGIS of Bus2IP_Clk : signal is "CLK";
attribute SIGIS of Bus2IP_Reset : signal is "RST";
end entity user_logic;
------------------------------------------------------------------------------
-- Architecture section
------------------------------------------------------------------------------
architecture IMP of user_logic is
--USER signal declarations added here, as needed for user logic
------------------------------------------
-- Signals for user logic slave model s/w accessible register example
------------------------------------------
signal slv_counter_reg : std_logic_vector(0 to 2*C_SLV_DWIDTH-1);
signal slv_reg_read_sel : std_logic_vector(0 to 1);
signal slv_ip2bus_data : std_logic_vector(0 to C_SLV_DWIDTH-1);
signal slv_read_ack : std_logic;
signal slv_write_ack : std_logic;
begin
--USER logic implementation added here
------------------------------------------
-- Example code to read/write user logic slave model s/w accessible registers
--
-- Note:
-- The example code presented here is to show you one way of reading/writing
-- software accessible registers implemented in the user logic slave model.
-- Each bit of the Bus2IP_WrCE/Bus2IP_RdCE signals is configured to correspond
-- to one software accessible register by the top level template. For example,
-- if you have four 32 bit software accessible registers in the user logic,
-- you are basically operating on the following memory mapped registers:
--
-- Bus2IP_WrCE/Bus2IP_RdCE Memory Mapped Register
-- "1000" C_BASEADDR + 0x0
-- "0100" C_BASEADDR + 0x4
-- "0010" C_BASEADDR + 0x8
-- "0001" C_BASEADDR + 0xC
--
------------------------------------------
slv_reg_read_sel <= Bus2IP_RdCE(0 to 1);
slv_write_ack <= Bus2IP_WrCE(0) or Bus2IP_WrCE(1);
slv_read_ack <= Bus2IP_RdCE(0) or Bus2IP_RdCE(1);
-- implement slave model software accessible register(s) and counter
SLAVE_REG_WRITE_PROC : process( Bus2IP_Clk ) is
begin
if Bus2IP_Clk'event and Bus2IP_Clk = '1' then
if Bus2IP_Reset = '1' then
slv_counter_reg <= (others => '0');
else
slv_counter_reg <= slv_counter_reg + 1;
end if;
end if;
end process SLAVE_REG_WRITE_PROC;
-- implement slave model software accessible register(s) read mux
SLAVE_REG_READ_PROC : process( slv_reg_read_sel, slv_counter_reg ) is
begin
case slv_reg_read_sel is
when "10" => slv_ip2bus_data <= slv_counter_reg(0 to C_SLV_DWIDTH-1); -- Upper bits
when "01" => slv_ip2bus_data <= slv_counter_reg(C_SLV_DWIDTH to 2*C_SLV_DWIDTH-1); -- Lower bits
when others => slv_ip2bus_data <= (others => '0');
end case;
end process SLAVE_REG_READ_PROC;
------------------------------------------
-- Example code to drive IP to Bus signals
------------------------------------------
IP2Bus_Data <= slv_ip2bus_data when slv_read_ack = '1' else
(others => '0');
IP2Bus_WrAck <= slv_write_ack;
IP2Bus_RdAck <= slv_read_ack;
IP2Bus_Error <= '0';
end IMP;
|
------------------------------------------------------------------------------
-- user_logic.vhd - entity/architecture pair
------------------------------------------------------------------------------
--
-- ***************************************************************************
-- ** Copyright (c) 1995-2008 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** Xilinx, Inc. **
-- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" **
-- ** AS A COURTESY TO YOU, SOLELY FOR USE IN DEVELOPING PROGRAMS AND **
-- ** SOLUTIONS FOR XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, **
-- ** OR INFORMATION AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, **
-- ** APPLICATION OR STANDARD, XILINX IS MAKING NO REPRESENTATION **
-- ** THAT THIS IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, **
-- ** AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE **
-- ** FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY **
-- ** WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE **
-- ** IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR **
-- ** REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF **
-- ** INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS **
-- ** FOR A PARTICULAR PURPOSE. **
-- ** **
-- ***************************************************************************
--
------------------------------------------------------------------------------
-- Filename: user_logic.vhd
-- Version: 1.00.a
-- Description: User logic.
-- Date: Mon Jun 29 12:13:20 2009 (by Create and Import Peripheral Wizard)
-- VHDL Standard: VHDL'93
------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port: "*_i"
-- device pins: "*_pin"
-- ports: "- Names begin with Uppercase"
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>"
------------------------------------------------------------------------------
-- DO NOT EDIT BELOW THIS LINE --------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
library proc_common_v3_00_a;
use proc_common_v3_00_a.proc_common_pkg.all;
-- DO NOT EDIT ABOVE THIS LINE --------------------
--USER libraries added here
------------------------------------------------------------------------------
-- Entity section
------------------------------------------------------------------------------
-- Definition of Generics:
-- C_SLV_DWIDTH -- Slave interface data bus width
-- C_NUM_REG -- Number of software accessible registers
--
-- Definition of Ports:
-- Bus2IP_Clk -- Bus to IP clock
-- Bus2IP_Reset -- Bus to IP reset
-- Bus2IP_Addr -- Bus to IP address bus
-- Bus2IP_Data -- Bus to IP data bus
-- Bus2IP_BE -- Bus to IP byte enables
-- Bus2IP_RdCE -- Bus to IP read chip enable
-- Bus2IP_WrCE -- Bus to IP write chip enable
-- IP2Bus_Data -- IP to Bus data bus
-- IP2Bus_RdAck -- IP to Bus read transfer acknowledgement
-- IP2Bus_WrAck -- IP to Bus write transfer acknowledgement
-- IP2Bus_Error -- IP to Bus error response
------------------------------------------------------------------------------
entity user_logic is
generic
(
-- ADD USER GENERICS BELOW THIS LINE ---------------
--USER generics added here
-- ADD USER GENERICS ABOVE THIS LINE ---------------
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol parameters, do not add to or delete
C_SLV_DWIDTH : integer := 32;
C_NUM_REG : integer := 2
-- DO NOT EDIT ABOVE THIS LINE ---------------------
);
port
(
-- ADD USER PORTS BELOW THIS LINE ------------------
--USER ports added here
-- ADD USER PORTS ABOVE THIS LINE ------------------
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol ports, do not add to or delete
Bus2IP_Clk : in std_logic;
Bus2IP_Reset : in std_logic;
Bus2IP_Addr : in std_logic_vector(0 to 31);
Bus2IP_Data : in std_logic_vector(0 to C_SLV_DWIDTH-1);
Bus2IP_BE : in std_logic_vector(0 to C_SLV_DWIDTH/8-1);
Bus2IP_RdCE : in std_logic_vector(0 to C_NUM_REG-1);
Bus2IP_WrCE : in std_logic_vector(0 to C_NUM_REG-1);
IP2Bus_Data : out std_logic_vector(0 to C_SLV_DWIDTH-1);
IP2Bus_RdAck : out std_logic;
IP2Bus_WrAck : out std_logic;
IP2Bus_Error : out std_logic
-- DO NOT EDIT ABOVE THIS LINE ---------------------
);
attribute SIGIS : string;
attribute SIGIS of Bus2IP_Clk : signal is "CLK";
attribute SIGIS of Bus2IP_Reset : signal is "RST";
end entity user_logic;
------------------------------------------------------------------------------
-- Architecture section
------------------------------------------------------------------------------
architecture IMP of user_logic is
--USER signal declarations added here, as needed for user logic
------------------------------------------
-- Signals for user logic slave model s/w accessible register example
------------------------------------------
signal slv_counter_reg : std_logic_vector(0 to 2*C_SLV_DWIDTH-1);
signal slv_reg_read_sel : std_logic_vector(0 to 1);
signal slv_ip2bus_data : std_logic_vector(0 to C_SLV_DWIDTH-1);
signal slv_read_ack : std_logic;
signal slv_write_ack : std_logic;
begin
--USER logic implementation added here
------------------------------------------
-- Example code to read/write user logic slave model s/w accessible registers
--
-- Note:
-- The example code presented here is to show you one way of reading/writing
-- software accessible registers implemented in the user logic slave model.
-- Each bit of the Bus2IP_WrCE/Bus2IP_RdCE signals is configured to correspond
-- to one software accessible register by the top level template. For example,
-- if you have four 32 bit software accessible registers in the user logic,
-- you are basically operating on the following memory mapped registers:
--
-- Bus2IP_WrCE/Bus2IP_RdCE Memory Mapped Register
-- "1000" C_BASEADDR + 0x0
-- "0100" C_BASEADDR + 0x4
-- "0010" C_BASEADDR + 0x8
-- "0001" C_BASEADDR + 0xC
--
------------------------------------------
slv_reg_read_sel <= Bus2IP_RdCE(0 to 1);
slv_write_ack <= Bus2IP_WrCE(0) or Bus2IP_WrCE(1);
slv_read_ack <= Bus2IP_RdCE(0) or Bus2IP_RdCE(1);
-- implement slave model software accessible register(s) and counter
SLAVE_REG_WRITE_PROC : process( Bus2IP_Clk ) is
begin
if Bus2IP_Clk'event and Bus2IP_Clk = '1' then
if Bus2IP_Reset = '1' then
slv_counter_reg <= (others => '0');
else
slv_counter_reg <= slv_counter_reg + 1;
end if;
end if;
end process SLAVE_REG_WRITE_PROC;
-- implement slave model software accessible register(s) read mux
SLAVE_REG_READ_PROC : process( slv_reg_read_sel, slv_counter_reg ) is
begin
case slv_reg_read_sel is
when "10" => slv_ip2bus_data <= slv_counter_reg(0 to C_SLV_DWIDTH-1); -- Upper bits
when "01" => slv_ip2bus_data <= slv_counter_reg(C_SLV_DWIDTH to 2*C_SLV_DWIDTH-1); -- Lower bits
when others => slv_ip2bus_data <= (others => '0');
end case;
end process SLAVE_REG_READ_PROC;
------------------------------------------
-- Example code to drive IP to Bus signals
------------------------------------------
IP2Bus_Data <= slv_ip2bus_data when slv_read_ack = '1' else
(others => '0');
IP2Bus_WrAck <= slv_write_ack;
IP2Bus_RdAck <= slv_read_ack;
IP2Bus_Error <= '0';
end IMP;
|
------------------------------------------------------------------------------
-- user_logic.vhd - entity/architecture pair
------------------------------------------------------------------------------
--
-- ***************************************************************************
-- ** Copyright (c) 1995-2008 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** Xilinx, Inc. **
-- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" **
-- ** AS A COURTESY TO YOU, SOLELY FOR USE IN DEVELOPING PROGRAMS AND **
-- ** SOLUTIONS FOR XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, **
-- ** OR INFORMATION AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, **
-- ** APPLICATION OR STANDARD, XILINX IS MAKING NO REPRESENTATION **
-- ** THAT THIS IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, **
-- ** AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE **
-- ** FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY **
-- ** WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE **
-- ** IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR **
-- ** REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF **
-- ** INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS **
-- ** FOR A PARTICULAR PURPOSE. **
-- ** **
-- ***************************************************************************
--
------------------------------------------------------------------------------
-- Filename: user_logic.vhd
-- Version: 1.00.a
-- Description: User logic.
-- Date: Mon Jun 29 12:13:20 2009 (by Create and Import Peripheral Wizard)
-- VHDL Standard: VHDL'93
------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port: "*_i"
-- device pins: "*_pin"
-- ports: "- Names begin with Uppercase"
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>"
------------------------------------------------------------------------------
-- DO NOT EDIT BELOW THIS LINE --------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
library proc_common_v3_00_a;
use proc_common_v3_00_a.proc_common_pkg.all;
-- DO NOT EDIT ABOVE THIS LINE --------------------
--USER libraries added here
------------------------------------------------------------------------------
-- Entity section
------------------------------------------------------------------------------
-- Definition of Generics:
-- C_SLV_DWIDTH -- Slave interface data bus width
-- C_NUM_REG -- Number of software accessible registers
--
-- Definition of Ports:
-- Bus2IP_Clk -- Bus to IP clock
-- Bus2IP_Reset -- Bus to IP reset
-- Bus2IP_Addr -- Bus to IP address bus
-- Bus2IP_Data -- Bus to IP data bus
-- Bus2IP_BE -- Bus to IP byte enables
-- Bus2IP_RdCE -- Bus to IP read chip enable
-- Bus2IP_WrCE -- Bus to IP write chip enable
-- IP2Bus_Data -- IP to Bus data bus
-- IP2Bus_RdAck -- IP to Bus read transfer acknowledgement
-- IP2Bus_WrAck -- IP to Bus write transfer acknowledgement
-- IP2Bus_Error -- IP to Bus error response
------------------------------------------------------------------------------
entity user_logic is
generic
(
-- ADD USER GENERICS BELOW THIS LINE ---------------
--USER generics added here
-- ADD USER GENERICS ABOVE THIS LINE ---------------
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol parameters, do not add to or delete
C_SLV_DWIDTH : integer := 32;
C_NUM_REG : integer := 2
-- DO NOT EDIT ABOVE THIS LINE ---------------------
);
port
(
-- ADD USER PORTS BELOW THIS LINE ------------------
--USER ports added here
-- ADD USER PORTS ABOVE THIS LINE ------------------
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol ports, do not add to or delete
Bus2IP_Clk : in std_logic;
Bus2IP_Reset : in std_logic;
Bus2IP_Addr : in std_logic_vector(0 to 31);
Bus2IP_Data : in std_logic_vector(0 to C_SLV_DWIDTH-1);
Bus2IP_BE : in std_logic_vector(0 to C_SLV_DWIDTH/8-1);
Bus2IP_RdCE : in std_logic_vector(0 to C_NUM_REG-1);
Bus2IP_WrCE : in std_logic_vector(0 to C_NUM_REG-1);
IP2Bus_Data : out std_logic_vector(0 to C_SLV_DWIDTH-1);
IP2Bus_RdAck : out std_logic;
IP2Bus_WrAck : out std_logic;
IP2Bus_Error : out std_logic
-- DO NOT EDIT ABOVE THIS LINE ---------------------
);
attribute SIGIS : string;
attribute SIGIS of Bus2IP_Clk : signal is "CLK";
attribute SIGIS of Bus2IP_Reset : signal is "RST";
end entity user_logic;
------------------------------------------------------------------------------
-- Architecture section
------------------------------------------------------------------------------
architecture IMP of user_logic is
--USER signal declarations added here, as needed for user logic
------------------------------------------
-- Signals for user logic slave model s/w accessible register example
------------------------------------------
signal slv_counter_reg : std_logic_vector(0 to 2*C_SLV_DWIDTH-1);
signal slv_reg_read_sel : std_logic_vector(0 to 1);
signal slv_ip2bus_data : std_logic_vector(0 to C_SLV_DWIDTH-1);
signal slv_read_ack : std_logic;
signal slv_write_ack : std_logic;
begin
--USER logic implementation added here
------------------------------------------
-- Example code to read/write user logic slave model s/w accessible registers
--
-- Note:
-- The example code presented here is to show you one way of reading/writing
-- software accessible registers implemented in the user logic slave model.
-- Each bit of the Bus2IP_WrCE/Bus2IP_RdCE signals is configured to correspond
-- to one software accessible register by the top level template. For example,
-- if you have four 32 bit software accessible registers in the user logic,
-- you are basically operating on the following memory mapped registers:
--
-- Bus2IP_WrCE/Bus2IP_RdCE Memory Mapped Register
-- "1000" C_BASEADDR + 0x0
-- "0100" C_BASEADDR + 0x4
-- "0010" C_BASEADDR + 0x8
-- "0001" C_BASEADDR + 0xC
--
------------------------------------------
slv_reg_read_sel <= Bus2IP_RdCE(0 to 1);
slv_write_ack <= Bus2IP_WrCE(0) or Bus2IP_WrCE(1);
slv_read_ack <= Bus2IP_RdCE(0) or Bus2IP_RdCE(1);
-- implement slave model software accessible register(s) and counter
SLAVE_REG_WRITE_PROC : process( Bus2IP_Clk ) is
begin
if Bus2IP_Clk'event and Bus2IP_Clk = '1' then
if Bus2IP_Reset = '1' then
slv_counter_reg <= (others => '0');
else
slv_counter_reg <= slv_counter_reg + 1;
end if;
end if;
end process SLAVE_REG_WRITE_PROC;
-- implement slave model software accessible register(s) read mux
SLAVE_REG_READ_PROC : process( slv_reg_read_sel, slv_counter_reg ) is
begin
case slv_reg_read_sel is
when "10" => slv_ip2bus_data <= slv_counter_reg(0 to C_SLV_DWIDTH-1); -- Upper bits
when "01" => slv_ip2bus_data <= slv_counter_reg(C_SLV_DWIDTH to 2*C_SLV_DWIDTH-1); -- Lower bits
when others => slv_ip2bus_data <= (others => '0');
end case;
end process SLAVE_REG_READ_PROC;
------------------------------------------
-- Example code to drive IP to Bus signals
------------------------------------------
IP2Bus_Data <= slv_ip2bus_data when slv_read_ack = '1' else
(others => '0');
IP2Bus_WrAck <= slv_write_ack;
IP2Bus_RdAck <= slv_read_ack;
IP2Bus_Error <= '0';
end IMP;
|
------------------------------------------------------------------------------
-- user_logic.vhd - entity/architecture pair
------------------------------------------------------------------------------
--
-- ***************************************************************************
-- ** Copyright (c) 1995-2008 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** Xilinx, Inc. **
-- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" **
-- ** AS A COURTESY TO YOU, SOLELY FOR USE IN DEVELOPING PROGRAMS AND **
-- ** SOLUTIONS FOR XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, **
-- ** OR INFORMATION AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, **
-- ** APPLICATION OR STANDARD, XILINX IS MAKING NO REPRESENTATION **
-- ** THAT THIS IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, **
-- ** AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE **
-- ** FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY **
-- ** WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE **
-- ** IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR **
-- ** REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF **
-- ** INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS **
-- ** FOR A PARTICULAR PURPOSE. **
-- ** **
-- ***************************************************************************
--
------------------------------------------------------------------------------
-- Filename: user_logic.vhd
-- Version: 1.00.a
-- Description: User logic.
-- Date: Mon Jun 29 12:13:20 2009 (by Create and Import Peripheral Wizard)
-- VHDL Standard: VHDL'93
------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port: "*_i"
-- device pins: "*_pin"
-- ports: "- Names begin with Uppercase"
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>"
------------------------------------------------------------------------------
-- DO NOT EDIT BELOW THIS LINE --------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
library proc_common_v3_00_a;
use proc_common_v3_00_a.proc_common_pkg.all;
-- DO NOT EDIT ABOVE THIS LINE --------------------
--USER libraries added here
------------------------------------------------------------------------------
-- Entity section
------------------------------------------------------------------------------
-- Definition of Generics:
-- C_SLV_DWIDTH -- Slave interface data bus width
-- C_NUM_REG -- Number of software accessible registers
--
-- Definition of Ports:
-- Bus2IP_Clk -- Bus to IP clock
-- Bus2IP_Reset -- Bus to IP reset
-- Bus2IP_Addr -- Bus to IP address bus
-- Bus2IP_Data -- Bus to IP data bus
-- Bus2IP_BE -- Bus to IP byte enables
-- Bus2IP_RdCE -- Bus to IP read chip enable
-- Bus2IP_WrCE -- Bus to IP write chip enable
-- IP2Bus_Data -- IP to Bus data bus
-- IP2Bus_RdAck -- IP to Bus read transfer acknowledgement
-- IP2Bus_WrAck -- IP to Bus write transfer acknowledgement
-- IP2Bus_Error -- IP to Bus error response
------------------------------------------------------------------------------
entity user_logic is
generic
(
-- ADD USER GENERICS BELOW THIS LINE ---------------
--USER generics added here
-- ADD USER GENERICS ABOVE THIS LINE ---------------
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol parameters, do not add to or delete
C_SLV_DWIDTH : integer := 32;
C_NUM_REG : integer := 2
-- DO NOT EDIT ABOVE THIS LINE ---------------------
);
port
(
-- ADD USER PORTS BELOW THIS LINE ------------------
--USER ports added here
-- ADD USER PORTS ABOVE THIS LINE ------------------
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol ports, do not add to or delete
Bus2IP_Clk : in std_logic;
Bus2IP_Reset : in std_logic;
Bus2IP_Addr : in std_logic_vector(0 to 31);
Bus2IP_Data : in std_logic_vector(0 to C_SLV_DWIDTH-1);
Bus2IP_BE : in std_logic_vector(0 to C_SLV_DWIDTH/8-1);
Bus2IP_RdCE : in std_logic_vector(0 to C_NUM_REG-1);
Bus2IP_WrCE : in std_logic_vector(0 to C_NUM_REG-1);
IP2Bus_Data : out std_logic_vector(0 to C_SLV_DWIDTH-1);
IP2Bus_RdAck : out std_logic;
IP2Bus_WrAck : out std_logic;
IP2Bus_Error : out std_logic
-- DO NOT EDIT ABOVE THIS LINE ---------------------
);
attribute SIGIS : string;
attribute SIGIS of Bus2IP_Clk : signal is "CLK";
attribute SIGIS of Bus2IP_Reset : signal is "RST";
end entity user_logic;
------------------------------------------------------------------------------
-- Architecture section
------------------------------------------------------------------------------
architecture IMP of user_logic is
--USER signal declarations added here, as needed for user logic
------------------------------------------
-- Signals for user logic slave model s/w accessible register example
------------------------------------------
signal slv_counter_reg : std_logic_vector(0 to 2*C_SLV_DWIDTH-1);
signal slv_reg_read_sel : std_logic_vector(0 to 1);
signal slv_ip2bus_data : std_logic_vector(0 to C_SLV_DWIDTH-1);
signal slv_read_ack : std_logic;
signal slv_write_ack : std_logic;
begin
--USER logic implementation added here
------------------------------------------
-- Example code to read/write user logic slave model s/w accessible registers
--
-- Note:
-- The example code presented here is to show you one way of reading/writing
-- software accessible registers implemented in the user logic slave model.
-- Each bit of the Bus2IP_WrCE/Bus2IP_RdCE signals is configured to correspond
-- to one software accessible register by the top level template. For example,
-- if you have four 32 bit software accessible registers in the user logic,
-- you are basically operating on the following memory mapped registers:
--
-- Bus2IP_WrCE/Bus2IP_RdCE Memory Mapped Register
-- "1000" C_BASEADDR + 0x0
-- "0100" C_BASEADDR + 0x4
-- "0010" C_BASEADDR + 0x8
-- "0001" C_BASEADDR + 0xC
--
------------------------------------------
slv_reg_read_sel <= Bus2IP_RdCE(0 to 1);
slv_write_ack <= Bus2IP_WrCE(0) or Bus2IP_WrCE(1);
slv_read_ack <= Bus2IP_RdCE(0) or Bus2IP_RdCE(1);
-- implement slave model software accessible register(s) and counter
SLAVE_REG_WRITE_PROC : process( Bus2IP_Clk ) is
begin
if Bus2IP_Clk'event and Bus2IP_Clk = '1' then
if Bus2IP_Reset = '1' then
slv_counter_reg <= (others => '0');
else
slv_counter_reg <= slv_counter_reg + 1;
end if;
end if;
end process SLAVE_REG_WRITE_PROC;
-- implement slave model software accessible register(s) read mux
SLAVE_REG_READ_PROC : process( slv_reg_read_sel, slv_counter_reg ) is
begin
case slv_reg_read_sel is
when "10" => slv_ip2bus_data <= slv_counter_reg(0 to C_SLV_DWIDTH-1); -- Upper bits
when "01" => slv_ip2bus_data <= slv_counter_reg(C_SLV_DWIDTH to 2*C_SLV_DWIDTH-1); -- Lower bits
when others => slv_ip2bus_data <= (others => '0');
end case;
end process SLAVE_REG_READ_PROC;
------------------------------------------
-- Example code to drive IP to Bus signals
------------------------------------------
IP2Bus_Data <= slv_ip2bus_data when slv_read_ack = '1' else
(others => '0');
IP2Bus_WrAck <= slv_write_ack;
IP2Bus_RdAck <= slv_read_ack;
IP2Bus_Error <= '0';
end IMP;
|
------------------------------------------------------------------------------
-- user_logic.vhd - entity/architecture pair
------------------------------------------------------------------------------
--
-- ***************************************************************************
-- ** Copyright (c) 1995-2008 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** Xilinx, Inc. **
-- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" **
-- ** AS A COURTESY TO YOU, SOLELY FOR USE IN DEVELOPING PROGRAMS AND **
-- ** SOLUTIONS FOR XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, **
-- ** OR INFORMATION AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, **
-- ** APPLICATION OR STANDARD, XILINX IS MAKING NO REPRESENTATION **
-- ** THAT THIS IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, **
-- ** AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE **
-- ** FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY **
-- ** WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE **
-- ** IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR **
-- ** REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF **
-- ** INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS **
-- ** FOR A PARTICULAR PURPOSE. **
-- ** **
-- ***************************************************************************
--
------------------------------------------------------------------------------
-- Filename: user_logic.vhd
-- Version: 1.00.a
-- Description: User logic.
-- Date: Mon Jun 29 12:13:20 2009 (by Create and Import Peripheral Wizard)
-- VHDL Standard: VHDL'93
------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port: "*_i"
-- device pins: "*_pin"
-- ports: "- Names begin with Uppercase"
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>"
------------------------------------------------------------------------------
-- DO NOT EDIT BELOW THIS LINE --------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
library proc_common_v3_00_a;
use proc_common_v3_00_a.proc_common_pkg.all;
-- DO NOT EDIT ABOVE THIS LINE --------------------
--USER libraries added here
------------------------------------------------------------------------------
-- Entity section
------------------------------------------------------------------------------
-- Definition of Generics:
-- C_SLV_DWIDTH -- Slave interface data bus width
-- C_NUM_REG -- Number of software accessible registers
--
-- Definition of Ports:
-- Bus2IP_Clk -- Bus to IP clock
-- Bus2IP_Reset -- Bus to IP reset
-- Bus2IP_Addr -- Bus to IP address bus
-- Bus2IP_Data -- Bus to IP data bus
-- Bus2IP_BE -- Bus to IP byte enables
-- Bus2IP_RdCE -- Bus to IP read chip enable
-- Bus2IP_WrCE -- Bus to IP write chip enable
-- IP2Bus_Data -- IP to Bus data bus
-- IP2Bus_RdAck -- IP to Bus read transfer acknowledgement
-- IP2Bus_WrAck -- IP to Bus write transfer acknowledgement
-- IP2Bus_Error -- IP to Bus error response
------------------------------------------------------------------------------
entity user_logic is
generic
(
-- ADD USER GENERICS BELOW THIS LINE ---------------
--USER generics added here
-- ADD USER GENERICS ABOVE THIS LINE ---------------
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol parameters, do not add to or delete
C_SLV_DWIDTH : integer := 32;
C_NUM_REG : integer := 2
-- DO NOT EDIT ABOVE THIS LINE ---------------------
);
port
(
-- ADD USER PORTS BELOW THIS LINE ------------------
--USER ports added here
-- ADD USER PORTS ABOVE THIS LINE ------------------
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol ports, do not add to or delete
Bus2IP_Clk : in std_logic;
Bus2IP_Reset : in std_logic;
Bus2IP_Addr : in std_logic_vector(0 to 31);
Bus2IP_Data : in std_logic_vector(0 to C_SLV_DWIDTH-1);
Bus2IP_BE : in std_logic_vector(0 to C_SLV_DWIDTH/8-1);
Bus2IP_RdCE : in std_logic_vector(0 to C_NUM_REG-1);
Bus2IP_WrCE : in std_logic_vector(0 to C_NUM_REG-1);
IP2Bus_Data : out std_logic_vector(0 to C_SLV_DWIDTH-1);
IP2Bus_RdAck : out std_logic;
IP2Bus_WrAck : out std_logic;
IP2Bus_Error : out std_logic
-- DO NOT EDIT ABOVE THIS LINE ---------------------
);
attribute SIGIS : string;
attribute SIGIS of Bus2IP_Clk : signal is "CLK";
attribute SIGIS of Bus2IP_Reset : signal is "RST";
end entity user_logic;
------------------------------------------------------------------------------
-- Architecture section
------------------------------------------------------------------------------
architecture IMP of user_logic is
--USER signal declarations added here, as needed for user logic
------------------------------------------
-- Signals for user logic slave model s/w accessible register example
------------------------------------------
signal slv_counter_reg : std_logic_vector(0 to 2*C_SLV_DWIDTH-1);
signal slv_reg_read_sel : std_logic_vector(0 to 1);
signal slv_ip2bus_data : std_logic_vector(0 to C_SLV_DWIDTH-1);
signal slv_read_ack : std_logic;
signal slv_write_ack : std_logic;
begin
--USER logic implementation added here
------------------------------------------
-- Example code to read/write user logic slave model s/w accessible registers
--
-- Note:
-- The example code presented here is to show you one way of reading/writing
-- software accessible registers implemented in the user logic slave model.
-- Each bit of the Bus2IP_WrCE/Bus2IP_RdCE signals is configured to correspond
-- to one software accessible register by the top level template. For example,
-- if you have four 32 bit software accessible registers in the user logic,
-- you are basically operating on the following memory mapped registers:
--
-- Bus2IP_WrCE/Bus2IP_RdCE Memory Mapped Register
-- "1000" C_BASEADDR + 0x0
-- "0100" C_BASEADDR + 0x4
-- "0010" C_BASEADDR + 0x8
-- "0001" C_BASEADDR + 0xC
--
------------------------------------------
slv_reg_read_sel <= Bus2IP_RdCE(0 to 1);
slv_write_ack <= Bus2IP_WrCE(0) or Bus2IP_WrCE(1);
slv_read_ack <= Bus2IP_RdCE(0) or Bus2IP_RdCE(1);
-- implement slave model software accessible register(s) and counter
SLAVE_REG_WRITE_PROC : process( Bus2IP_Clk ) is
begin
if Bus2IP_Clk'event and Bus2IP_Clk = '1' then
if Bus2IP_Reset = '1' then
slv_counter_reg <= (others => '0');
else
slv_counter_reg <= slv_counter_reg + 1;
end if;
end if;
end process SLAVE_REG_WRITE_PROC;
-- implement slave model software accessible register(s) read mux
SLAVE_REG_READ_PROC : process( slv_reg_read_sel, slv_counter_reg ) is
begin
case slv_reg_read_sel is
when "10" => slv_ip2bus_data <= slv_counter_reg(0 to C_SLV_DWIDTH-1); -- Upper bits
when "01" => slv_ip2bus_data <= slv_counter_reg(C_SLV_DWIDTH to 2*C_SLV_DWIDTH-1); -- Lower bits
when others => slv_ip2bus_data <= (others => '0');
end case;
end process SLAVE_REG_READ_PROC;
------------------------------------------
-- Example code to drive IP to Bus signals
------------------------------------------
IP2Bus_Data <= slv_ip2bus_data when slv_read_ack = '1' else
(others => '0');
IP2Bus_WrAck <= slv_write_ack;
IP2Bus_RdAck <= slv_read_ack;
IP2Bus_Error <= '0';
end IMP;
|
------------------------------------------------------------------------------
-- user_logic.vhd - entity/architecture pair
------------------------------------------------------------------------------
--
-- ***************************************************************************
-- ** Copyright (c) 1995-2008 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** Xilinx, Inc. **
-- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" **
-- ** AS A COURTESY TO YOU, SOLELY FOR USE IN DEVELOPING PROGRAMS AND **
-- ** SOLUTIONS FOR XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, **
-- ** OR INFORMATION AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, **
-- ** APPLICATION OR STANDARD, XILINX IS MAKING NO REPRESENTATION **
-- ** THAT THIS IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, **
-- ** AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE **
-- ** FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY **
-- ** WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE **
-- ** IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR **
-- ** REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF **
-- ** INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS **
-- ** FOR A PARTICULAR PURPOSE. **
-- ** **
-- ***************************************************************************
--
------------------------------------------------------------------------------
-- Filename: user_logic.vhd
-- Version: 1.00.a
-- Description: User logic.
-- Date: Mon Jun 29 12:13:20 2009 (by Create and Import Peripheral Wizard)
-- VHDL Standard: VHDL'93
------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port: "*_i"
-- device pins: "*_pin"
-- ports: "- Names begin with Uppercase"
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>"
------------------------------------------------------------------------------
-- DO NOT EDIT BELOW THIS LINE --------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
library proc_common_v3_00_a;
use proc_common_v3_00_a.proc_common_pkg.all;
-- DO NOT EDIT ABOVE THIS LINE --------------------
--USER libraries added here
------------------------------------------------------------------------------
-- Entity section
------------------------------------------------------------------------------
-- Definition of Generics:
-- C_SLV_DWIDTH -- Slave interface data bus width
-- C_NUM_REG -- Number of software accessible registers
--
-- Definition of Ports:
-- Bus2IP_Clk -- Bus to IP clock
-- Bus2IP_Reset -- Bus to IP reset
-- Bus2IP_Addr -- Bus to IP address bus
-- Bus2IP_Data -- Bus to IP data bus
-- Bus2IP_BE -- Bus to IP byte enables
-- Bus2IP_RdCE -- Bus to IP read chip enable
-- Bus2IP_WrCE -- Bus to IP write chip enable
-- IP2Bus_Data -- IP to Bus data bus
-- IP2Bus_RdAck -- IP to Bus read transfer acknowledgement
-- IP2Bus_WrAck -- IP to Bus write transfer acknowledgement
-- IP2Bus_Error -- IP to Bus error response
------------------------------------------------------------------------------
entity user_logic is
generic
(
-- ADD USER GENERICS BELOW THIS LINE ---------------
--USER generics added here
-- ADD USER GENERICS ABOVE THIS LINE ---------------
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol parameters, do not add to or delete
C_SLV_DWIDTH : integer := 32;
C_NUM_REG : integer := 2
-- DO NOT EDIT ABOVE THIS LINE ---------------------
);
port
(
-- ADD USER PORTS BELOW THIS LINE ------------------
--USER ports added here
-- ADD USER PORTS ABOVE THIS LINE ------------------
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol ports, do not add to or delete
Bus2IP_Clk : in std_logic;
Bus2IP_Reset : in std_logic;
Bus2IP_Addr : in std_logic_vector(0 to 31);
Bus2IP_Data : in std_logic_vector(0 to C_SLV_DWIDTH-1);
Bus2IP_BE : in std_logic_vector(0 to C_SLV_DWIDTH/8-1);
Bus2IP_RdCE : in std_logic_vector(0 to C_NUM_REG-1);
Bus2IP_WrCE : in std_logic_vector(0 to C_NUM_REG-1);
IP2Bus_Data : out std_logic_vector(0 to C_SLV_DWIDTH-1);
IP2Bus_RdAck : out std_logic;
IP2Bus_WrAck : out std_logic;
IP2Bus_Error : out std_logic
-- DO NOT EDIT ABOVE THIS LINE ---------------------
);
attribute SIGIS : string;
attribute SIGIS of Bus2IP_Clk : signal is "CLK";
attribute SIGIS of Bus2IP_Reset : signal is "RST";
end entity user_logic;
------------------------------------------------------------------------------
-- Architecture section
------------------------------------------------------------------------------
architecture IMP of user_logic is
--USER signal declarations added here, as needed for user logic
------------------------------------------
-- Signals for user logic slave model s/w accessible register example
------------------------------------------
signal slv_counter_reg : std_logic_vector(0 to 2*C_SLV_DWIDTH-1);
signal slv_reg_read_sel : std_logic_vector(0 to 1);
signal slv_ip2bus_data : std_logic_vector(0 to C_SLV_DWIDTH-1);
signal slv_read_ack : std_logic;
signal slv_write_ack : std_logic;
begin
--USER logic implementation added here
------------------------------------------
-- Example code to read/write user logic slave model s/w accessible registers
--
-- Note:
-- The example code presented here is to show you one way of reading/writing
-- software accessible registers implemented in the user logic slave model.
-- Each bit of the Bus2IP_WrCE/Bus2IP_RdCE signals is configured to correspond
-- to one software accessible register by the top level template. For example,
-- if you have four 32 bit software accessible registers in the user logic,
-- you are basically operating on the following memory mapped registers:
--
-- Bus2IP_WrCE/Bus2IP_RdCE Memory Mapped Register
-- "1000" C_BASEADDR + 0x0
-- "0100" C_BASEADDR + 0x4
-- "0010" C_BASEADDR + 0x8
-- "0001" C_BASEADDR + 0xC
--
------------------------------------------
slv_reg_read_sel <= Bus2IP_RdCE(0 to 1);
slv_write_ack <= Bus2IP_WrCE(0) or Bus2IP_WrCE(1);
slv_read_ack <= Bus2IP_RdCE(0) or Bus2IP_RdCE(1);
-- implement slave model software accessible register(s) and counter
SLAVE_REG_WRITE_PROC : process( Bus2IP_Clk ) is
begin
if Bus2IP_Clk'event and Bus2IP_Clk = '1' then
if Bus2IP_Reset = '1' then
slv_counter_reg <= (others => '0');
else
slv_counter_reg <= slv_counter_reg + 1;
end if;
end if;
end process SLAVE_REG_WRITE_PROC;
-- implement slave model software accessible register(s) read mux
SLAVE_REG_READ_PROC : process( slv_reg_read_sel, slv_counter_reg ) is
begin
case slv_reg_read_sel is
when "10" => slv_ip2bus_data <= slv_counter_reg(0 to C_SLV_DWIDTH-1); -- Upper bits
when "01" => slv_ip2bus_data <= slv_counter_reg(C_SLV_DWIDTH to 2*C_SLV_DWIDTH-1); -- Lower bits
when others => slv_ip2bus_data <= (others => '0');
end case;
end process SLAVE_REG_READ_PROC;
------------------------------------------
-- Example code to drive IP to Bus signals
------------------------------------------
IP2Bus_Data <= slv_ip2bus_data when slv_read_ack = '1' else
(others => '0');
IP2Bus_WrAck <= slv_write_ack;
IP2Bus_RdAck <= slv_read_ack;
IP2Bus_Error <= '0';
end IMP;
|
------------------------------------------------------------------------------
-- user_logic.vhd - entity/architecture pair
------------------------------------------------------------------------------
--
-- ***************************************************************************
-- ** Copyright (c) 1995-2008 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** Xilinx, Inc. **
-- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" **
-- ** AS A COURTESY TO YOU, SOLELY FOR USE IN DEVELOPING PROGRAMS AND **
-- ** SOLUTIONS FOR XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, **
-- ** OR INFORMATION AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, **
-- ** APPLICATION OR STANDARD, XILINX IS MAKING NO REPRESENTATION **
-- ** THAT THIS IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, **
-- ** AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE **
-- ** FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY **
-- ** WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE **
-- ** IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR **
-- ** REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF **
-- ** INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS **
-- ** FOR A PARTICULAR PURPOSE. **
-- ** **
-- ***************************************************************************
--
------------------------------------------------------------------------------
-- Filename: user_logic.vhd
-- Version: 1.00.a
-- Description: User logic.
-- Date: Mon Jun 29 12:13:20 2009 (by Create and Import Peripheral Wizard)
-- VHDL Standard: VHDL'93
------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port: "*_i"
-- device pins: "*_pin"
-- ports: "- Names begin with Uppercase"
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>"
------------------------------------------------------------------------------
-- DO NOT EDIT BELOW THIS LINE --------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
library proc_common_v3_00_a;
use proc_common_v3_00_a.proc_common_pkg.all;
-- DO NOT EDIT ABOVE THIS LINE --------------------
--USER libraries added here
------------------------------------------------------------------------------
-- Entity section
------------------------------------------------------------------------------
-- Definition of Generics:
-- C_SLV_DWIDTH -- Slave interface data bus width
-- C_NUM_REG -- Number of software accessible registers
--
-- Definition of Ports:
-- Bus2IP_Clk -- Bus to IP clock
-- Bus2IP_Reset -- Bus to IP reset
-- Bus2IP_Addr -- Bus to IP address bus
-- Bus2IP_Data -- Bus to IP data bus
-- Bus2IP_BE -- Bus to IP byte enables
-- Bus2IP_RdCE -- Bus to IP read chip enable
-- Bus2IP_WrCE -- Bus to IP write chip enable
-- IP2Bus_Data -- IP to Bus data bus
-- IP2Bus_RdAck -- IP to Bus read transfer acknowledgement
-- IP2Bus_WrAck -- IP to Bus write transfer acknowledgement
-- IP2Bus_Error -- IP to Bus error response
------------------------------------------------------------------------------
entity user_logic is
generic
(
-- ADD USER GENERICS BELOW THIS LINE ---------------
--USER generics added here
-- ADD USER GENERICS ABOVE THIS LINE ---------------
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol parameters, do not add to or delete
C_SLV_DWIDTH : integer := 32;
C_NUM_REG : integer := 2
-- DO NOT EDIT ABOVE THIS LINE ---------------------
);
port
(
-- ADD USER PORTS BELOW THIS LINE ------------------
--USER ports added here
-- ADD USER PORTS ABOVE THIS LINE ------------------
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol ports, do not add to or delete
Bus2IP_Clk : in std_logic;
Bus2IP_Reset : in std_logic;
Bus2IP_Addr : in std_logic_vector(0 to 31);
Bus2IP_Data : in std_logic_vector(0 to C_SLV_DWIDTH-1);
Bus2IP_BE : in std_logic_vector(0 to C_SLV_DWIDTH/8-1);
Bus2IP_RdCE : in std_logic_vector(0 to C_NUM_REG-1);
Bus2IP_WrCE : in std_logic_vector(0 to C_NUM_REG-1);
IP2Bus_Data : out std_logic_vector(0 to C_SLV_DWIDTH-1);
IP2Bus_RdAck : out std_logic;
IP2Bus_WrAck : out std_logic;
IP2Bus_Error : out std_logic
-- DO NOT EDIT ABOVE THIS LINE ---------------------
);
attribute SIGIS : string;
attribute SIGIS of Bus2IP_Clk : signal is "CLK";
attribute SIGIS of Bus2IP_Reset : signal is "RST";
end entity user_logic;
------------------------------------------------------------------------------
-- Architecture section
------------------------------------------------------------------------------
architecture IMP of user_logic is
--USER signal declarations added here, as needed for user logic
------------------------------------------
-- Signals for user logic slave model s/w accessible register example
------------------------------------------
signal slv_counter_reg : std_logic_vector(0 to 2*C_SLV_DWIDTH-1);
signal slv_reg_read_sel : std_logic_vector(0 to 1);
signal slv_ip2bus_data : std_logic_vector(0 to C_SLV_DWIDTH-1);
signal slv_read_ack : std_logic;
signal slv_write_ack : std_logic;
begin
--USER logic implementation added here
------------------------------------------
-- Example code to read/write user logic slave model s/w accessible registers
--
-- Note:
-- The example code presented here is to show you one way of reading/writing
-- software accessible registers implemented in the user logic slave model.
-- Each bit of the Bus2IP_WrCE/Bus2IP_RdCE signals is configured to correspond
-- to one software accessible register by the top level template. For example,
-- if you have four 32 bit software accessible registers in the user logic,
-- you are basically operating on the following memory mapped registers:
--
-- Bus2IP_WrCE/Bus2IP_RdCE Memory Mapped Register
-- "1000" C_BASEADDR + 0x0
-- "0100" C_BASEADDR + 0x4
-- "0010" C_BASEADDR + 0x8
-- "0001" C_BASEADDR + 0xC
--
------------------------------------------
slv_reg_read_sel <= Bus2IP_RdCE(0 to 1);
slv_write_ack <= Bus2IP_WrCE(0) or Bus2IP_WrCE(1);
slv_read_ack <= Bus2IP_RdCE(0) or Bus2IP_RdCE(1);
-- implement slave model software accessible register(s) and counter
SLAVE_REG_WRITE_PROC : process( Bus2IP_Clk ) is
begin
if Bus2IP_Clk'event and Bus2IP_Clk = '1' then
if Bus2IP_Reset = '1' then
slv_counter_reg <= (others => '0');
else
slv_counter_reg <= slv_counter_reg + 1;
end if;
end if;
end process SLAVE_REG_WRITE_PROC;
-- implement slave model software accessible register(s) read mux
SLAVE_REG_READ_PROC : process( slv_reg_read_sel, slv_counter_reg ) is
begin
case slv_reg_read_sel is
when "10" => slv_ip2bus_data <= slv_counter_reg(0 to C_SLV_DWIDTH-1); -- Upper bits
when "01" => slv_ip2bus_data <= slv_counter_reg(C_SLV_DWIDTH to 2*C_SLV_DWIDTH-1); -- Lower bits
when others => slv_ip2bus_data <= (others => '0');
end case;
end process SLAVE_REG_READ_PROC;
------------------------------------------
-- Example code to drive IP to Bus signals
------------------------------------------
IP2Bus_Data <= slv_ip2bus_data when slv_read_ack = '1' else
(others => '0');
IP2Bus_WrAck <= slv_write_ack;
IP2Bus_RdAck <= slv_read_ack;
IP2Bus_Error <= '0';
end IMP;
|
----------------------------------------------------------------------------------------------
--
-- Input file : execute.vhd
-- Design name : execute
-- Author : Tamar Kranenburg
-- Company : Delft University of Technology
-- : Faculty EEMCS, Department ME&CE
-- : Systems and Circuits group
--
-- Description : The Execution Unit performs all arithmetic operations and makes
-- the branch decision. Furthermore the forwarding logic is located
-- here. Everything is computed within a single clock-cycle
--
--
----------------------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
library mblite;
use mblite.config_Pkg.all;
use mblite.core_Pkg.all;
use mblite.std_Pkg.all;
entity execute is generic
(
G_USE_HW_MUL : boolean := CFG_USE_HW_MUL;
G_USE_BARREL : boolean := CFG_USE_BARREL
);
port
(
exec_o : out execute_out_type;
exec_i : in execute_in_type;
ena_i : in std_logic;
rst_i : in std_logic;
clk_i : in std_logic
);
end execute;
architecture arch of execute is
type execute_reg_type is record
carry : std_logic;
break_in_progress : std_logic;
flush_ex : std_logic;
end record;
signal r, rin : execute_out_type;
signal reg, regin : execute_reg_type;
begin
exec_o <= r;
execute_comb: process(exec_i, r, reg)
variable v : execute_out_type;
variable v_reg : execute_reg_type;
variable alu_src_a : std_logic_vector(CFG_DMEM_WIDTH - 1 downto 0);
variable alu_src_b : std_logic_vector(CFG_DMEM_WIDTH - 1 downto 0);
variable carry : std_logic;
variable result : std_logic_vector(CFG_DMEM_WIDTH downto 0);
variable result_add : std_logic_vector(CFG_DMEM_WIDTH downto 0);
variable zero : std_logic;
variable dat_a, dat_b : std_logic_vector(CFG_DMEM_WIDTH - 1 downto 0);
variable sel_dat_a, sel_dat_b, sel_dat_d : std_logic_vector(CFG_DMEM_WIDTH - 1 downto 0);
variable mem_result : std_logic_vector(CFG_DMEM_WIDTH - 1 downto 0);
variable special_reg : std_logic_vector(CFG_DMEM_WIDTH - 1 downto 0);
begin
v := r;
v_reg := reg;
sel_dat_a := select_register_data(exec_i.dat_a, exec_i.fwd_dec_result, forward_condition(exec_i.fwd_dec.reg_write, exec_i.fwd_dec.reg_d, exec_i.reg_a));
sel_dat_b := select_register_data(exec_i.dat_b, exec_i.fwd_dec_result, forward_condition(exec_i.fwd_dec.reg_write, exec_i.fwd_dec.reg_d, exec_i.reg_b));
sel_dat_d := select_register_data(exec_i.dat_d, exec_i.fwd_dec_result, forward_condition(exec_i.fwd_dec.reg_write, exec_i.fwd_dec.reg_d, exec_i.ctrl_wrb.reg_d));
if reg.flush_ex = '1' then
v.ctrl_mem.mem_write := '0';
v.ctrl_mem.mem_read := '0';
v.ctrl_wrb.reg_write := '0';
v.ctrl_wrb.reg_d := (others => '0');
else
v.ctrl_mem := exec_i.ctrl_mem;
v.ctrl_wrb := exec_i.ctrl_wrb;
if exec_i.ctrl_wrb.reg_d = "00000" then
v.ctrl_wrb.reg_write := '0';
end if;
end if;
if exec_i.ctrl_mem_wrb.mem_read = '1' then
mem_result := align_mem_load(exec_i.mem_result, exec_i.ctrl_mem_wrb.transfer_size, exec_i.alu_result(1 downto 0));
else
mem_result := exec_i.alu_result;
end if;
if forward_condition(r.ctrl_wrb.reg_write, r.ctrl_wrb.reg_d, exec_i.reg_a) = '1' then
-- Forward Execution Result to REG a
dat_a := r.alu_result;
elsif forward_condition(exec_i.fwd_mem.reg_write, exec_i.fwd_mem.reg_d, exec_i.reg_a) = '1' then
-- Forward Memory Result to REG a
dat_a := mem_result;
else
-- DEFAULT: value of REG a
dat_a := sel_dat_a;
end if;
if forward_condition(r.ctrl_wrb.reg_write, r.ctrl_wrb.reg_d, exec_i.reg_b) = '1' then
-- Forward (latched) Execution Result to REG b
dat_b := r.alu_result;
elsif forward_condition(exec_i.fwd_mem.reg_write, exec_i.fwd_mem.reg_d, exec_i.reg_b) = '1' then
-- Forward Memory Result to REG b
dat_b := mem_result;
else
-- DEFAULT: value of REG b
dat_b := sel_dat_b;
end if;
if forward_condition(r.ctrl_wrb.reg_write, r.ctrl_wrb.reg_d, exec_i.ctrl_wrb.reg_d) = '1' then
-- Forward Execution Result to REG d
v.dat_d := align_mem_store(r.alu_result, exec_i.ctrl_mem.transfer_size);
elsif forward_condition(exec_i.fwd_mem.reg_write, exec_i.fwd_mem.reg_d, exec_i.ctrl_wrb.reg_d) = '1' then
-- Forward Memory Result to REG d
v.dat_d := align_mem_store(mem_result, exec_i.ctrl_mem.transfer_size);
else
-- DEFAULT: value of REG d
v.dat_d := align_mem_store(sel_dat_d, exec_i.ctrl_mem.transfer_size);
end if;
-- In case more than just one special register needs to be supported, a multiplexer can be made here. For now, just MSR.
special_reg := (31 => reg.carry,
3 => reg.break_in_progress,
2 => reg.carry,
1 => r.interrupt_enable,
others => '0' );
-- Set the first operand of the ALU
case exec_i.ctrl_ex.alu_src_a is
when ALU_SRC_PC => alu_src_a := sign_extend(exec_i.program_counter, '0', 32);
when ALU_SRC_NOT_REGA => alu_src_a := not dat_a;
when ALU_SRC_SPR => alu_src_a := special_reg;
when others => alu_src_a := dat_a;
end case;
-- Set the second operand of the ALU
case exec_i.ctrl_ex.alu_src_b is
when ALU_SRC_IMM => alu_src_b := exec_i.imm;
when ALU_SRC_NOT_IMM => alu_src_b := not exec_i.imm;
when ALU_SRC_NOT_REGB => alu_src_b := not dat_b;
when others => alu_src_b := dat_b;
end case;
-- Determine value of carry in
case exec_i.ctrl_ex.carry is
when CARRY_ALU => carry := reg.carry;
when CARRY_ONE => carry := '1';
when CARRY_ARITH => carry := alu_src_a(CFG_DMEM_WIDTH - 1);
when others => carry := '0';
end case;
result_add := add(alu_src_a, alu_src_b, carry);
case exec_i.ctrl_ex.alu_op is
when ALU_ADD => result := result_add;
when ALU_OR => result := '0' & (alu_src_a or alu_src_b);
when ALU_AND => result := '0' & (alu_src_a and alu_src_b);
when ALU_XOR => result := '0' & (alu_src_a xor alu_src_b);
when ALU_SHIFT => result := alu_src_a(0) & carry & alu_src_a(CFG_DMEM_WIDTH - 1 downto 1);
when ALU_SEXT8 => result := '0' & sign_extend(alu_src_a(7 downto 0), alu_src_a(7), 32);
when ALU_SEXT16 => result := '0' & sign_extend(alu_src_a(15 downto 0), alu_src_a(15), 32);
when ALU_MUL =>
if G_USE_HW_MUL = true then
result := '0' & multiply(alu_src_a, alu_src_b);
else
result := (others => '0');
end if;
when ALU_BS =>
if G_USE_BARREL = true then
result := '0' & shift(alu_src_a, alu_src_b(4 downto 0), exec_i.imm(10), exec_i.imm(9));
else
result := (others => '0');
end if;
when others =>
result := (others => '0');
report "Invalid ALU operation" severity FAILURE;
end case;
if reg.flush_ex = '0' then
-- Set carry register
if exec_i.ctrl_ex.carry_keep = CARRY_KEEP then
v_reg.carry := reg.carry;
else
v_reg.carry := result(CFG_DMEM_WIDTH);
end if;
-- MSR operations
case exec_i.ctrl_ex.msr_op is
when MSR_SET_I =>
v.interrupt_enable := '1';
when MSR_CLR_I =>
v.interrupt_enable := '0';
when LOAD_MSR =>
v_reg.break_in_progress := dat_a(3);
v_reg.carry := dat_a(2);
v.interrupt_enable := dat_a(1);
when MSR_SET =>
v_reg.break_in_progress := exec_i.imm(3) or reg.break_in_progress;
v_reg.carry := exec_i.imm(2) or reg.carry;
v.interrupt_enable := exec_i.imm(1) or r.interrupt_enable;
when MSR_CLR =>
v_reg.break_in_progress := not exec_i.imm(3) and reg.break_in_progress;
v_reg.carry := not exec_i.imm(2) and reg.carry;
v.interrupt_enable := not exec_i.imm(1) and r.interrupt_enable;
when others =>
null;
end case;
end if;
zero := is_zero(dat_a);
-- Overwrite branch condition
if reg.flush_ex = '1' then
v.branch := '0';
else
-- Determine branch condition
case exec_i.ctrl_ex.branch_cond is
when BNC => v.branch := '1';
when BEQ => v.branch := zero;
when BNE => v.branch := not zero;
when BLT => v.branch := dat_a(CFG_DMEM_WIDTH - 1);
when BLE => v.branch := dat_a(CFG_DMEM_WIDTH - 1) or zero;
when BGT => v.branch := not (dat_a(CFG_DMEM_WIDTH - 1) or zero);
when BGE => v.branch := not dat_a(CFG_DMEM_WIDTH - 1);
when others => v.branch := '0';
end case;
end if;
v.alu_result := result(CFG_DMEM_WIDTH - 1 downto 0);
-- Handle CMPU and CMP
if ( exec_i.ctrl_ex.operation = "11" ) then
v.alu_result(CFG_DMEM_WIDTH - 1) := not result_add(CFG_DMEM_WIDTH); -- unsigned = bit 32 of result
elsif ( exec_i.ctrl_ex.operation = "01" ) then
v.alu_result(CFG_DMEM_WIDTH - 1) := (result_add(CFG_DMEM_WIDTH) xor alu_src_a(CFG_DMEM_WIDTH-1) xor alu_src_b(CFG_DMEM_WIDTH-1)); -- signed
end if;
v.program_counter := exec_i.program_counter;
-- Determine flush signals
v.flush_id := v.branch;
v_reg.flush_ex := v.branch and not exec_i.ctrl_ex.delay;
rin <= v;
regin <= v_reg;
end process;
execute_seq: process(clk_i)
procedure proc_execute_reset is
begin
r.alu_result <= (others => '0');
r.dat_d <= (others => '0');
r.branch <= '0';
r.program_counter <= (others => '0');
r.flush_id <= '0';
r.interrupt_enable <= '0';
r.ctrl_mem.mem_write <= '0';
r.ctrl_mem.mem_read <= '0';
r.ctrl_mem.transfer_size <= WORD;
r.ctrl_wrb.reg_d <= (others => '0');
r.ctrl_wrb.reg_write <= '0';
reg.carry <= '0';
reg.break_in_progress <= '0';
reg.flush_ex <= '0';
end procedure proc_execute_reset;
begin
if rising_edge(clk_i) then
if rst_i = '1' then
proc_execute_reset;
elsif ena_i = '1' then
r <= rin;
reg <= regin;
end if;
end if;
end process;
end arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1757.vhd,v 1.2 2001-10-26 16:29:43 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c09s05b01x00p01n01i01757ent IS
END c09s05b01x00p01n01i01757ent;
ARCHITECTURE c09s05b01x00p01n01i01757arch OF c09s05b01x00p01n01i01757ent IS
type t_wlogic is (U, D, Z0, Z1, ZDX, DZX, ZX);
signal count : integer ;
signal ECLK : t_wlogic;
signal ECLK2 : t_wlogic;
signal ECL : integer := 1;
BEGIN
count <= 0 after 0 ns,
1 after 10 ns,
2 after 20 ns,
3 after 30 ns,
4 after 40 ns,
5 after 50 ns,
6 after 60 ns;
----------------------------------------------------------------------
ECLK <= transport
U after 1 ns WHEN count=0 ELSE
D after 1 ns WHEN count=1 ELSE
Z0 after 1 ns WHEN count=2 ELSE
Z1 after 1 ns WHEN count=3 ELSE
ZDX after 1 ns WHEN count=4 ELSE
DZX after 1 ns WHEN count=5 ELSE
ZX after 1 ns ;
TESTING: PROCESS(count)
BEGIN
if count = 0 then
ECLK2 <= transport U after 1 ns;
elsif count = 1 then
ECLK2 <= transport D after 1 ns;
elsif count = 2 then
ECLK2 <= transport Z0 after 1 ns;
elsif count = 3 then
ECLK2 <= transport Z1 after 1 ns;
elsif count = 4 then
ECLK2 <= transport ZDX after 1 ns;
elsif count = 5 then
ECLK2 <= transport DZX after 1 ns;
else
ECLK2 <= transport ZX after 1 ns;
end if;
END PROCESS TESTING;
PROCESS(ECLK,ECLK2)
BEGIN
if now = 0 ns then
NULL;
elsif (now = 1 ns) and (ECLK /= ECLK2) then
assert FALSE
report "FAILED TEST"
severity ERROR;
ECL <= 0;
elsif (now = 11 ns) and (ECLK /= ECLK2) then
assert FALSE
report "FAILED TEST"
severity ERROR;
ECL <= 0;
elsif (now = 21 ns) and (ECLK /= ECLK2) then
assert FALSE
report "FAILED TEST"
severity ERROR;
ECL <= 0;
elsif (now = 31 ns) and (ECLK /= ECLK2) then
assert FALSE
report "FAILED TEST"
severity ERROR;
ECL <= 0;
elsif (now = 41 ns) and (ECLK /= ECLK2) then
assert FALSE
report "FAILED TEST"
severity ERROR;
ECL <= 0;
elsif (now = 51 ns) and (ECLK /= ECLK2) then
assert FALSE
report "FAILED TEST"
severity ERROR;
ECL <= 0;
elsif (now = 61 ns) and (ECLK /= ECLK2) then
assert FALSE
report "FAILED TEST"
severity ERROR;
ECL <= 0;
end if;
END PROCESS;
PROCESS(ECLK,ECLK2)
BEGIN
if (now > 60 ns) and (ECL = 1) then
assert FALSE
report "***PASSED TEST: c09s05b01x00p01n01i01757"
severity NOTE;
elsif (now > 60 ns) and (ECL = 0) then
assert FALSE
report "***FAILED TEST: c09s05b01x00p01n01i01757 - The conditional signal assignment represents a process statement in which the signal transform is an if statement."
severity ERROR;
end if;
END PROCESS;
END c09s05b01x00p01n01i01757arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1757.vhd,v 1.2 2001-10-26 16:29:43 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c09s05b01x00p01n01i01757ent IS
END c09s05b01x00p01n01i01757ent;
ARCHITECTURE c09s05b01x00p01n01i01757arch OF c09s05b01x00p01n01i01757ent IS
type t_wlogic is (U, D, Z0, Z1, ZDX, DZX, ZX);
signal count : integer ;
signal ECLK : t_wlogic;
signal ECLK2 : t_wlogic;
signal ECL : integer := 1;
BEGIN
count <= 0 after 0 ns,
1 after 10 ns,
2 after 20 ns,
3 after 30 ns,
4 after 40 ns,
5 after 50 ns,
6 after 60 ns;
----------------------------------------------------------------------
ECLK <= transport
U after 1 ns WHEN count=0 ELSE
D after 1 ns WHEN count=1 ELSE
Z0 after 1 ns WHEN count=2 ELSE
Z1 after 1 ns WHEN count=3 ELSE
ZDX after 1 ns WHEN count=4 ELSE
DZX after 1 ns WHEN count=5 ELSE
ZX after 1 ns ;
TESTING: PROCESS(count)
BEGIN
if count = 0 then
ECLK2 <= transport U after 1 ns;
elsif count = 1 then
ECLK2 <= transport D after 1 ns;
elsif count = 2 then
ECLK2 <= transport Z0 after 1 ns;
elsif count = 3 then
ECLK2 <= transport Z1 after 1 ns;
elsif count = 4 then
ECLK2 <= transport ZDX after 1 ns;
elsif count = 5 then
ECLK2 <= transport DZX after 1 ns;
else
ECLK2 <= transport ZX after 1 ns;
end if;
END PROCESS TESTING;
PROCESS(ECLK,ECLK2)
BEGIN
if now = 0 ns then
NULL;
elsif (now = 1 ns) and (ECLK /= ECLK2) then
assert FALSE
report "FAILED TEST"
severity ERROR;
ECL <= 0;
elsif (now = 11 ns) and (ECLK /= ECLK2) then
assert FALSE
report "FAILED TEST"
severity ERROR;
ECL <= 0;
elsif (now = 21 ns) and (ECLK /= ECLK2) then
assert FALSE
report "FAILED TEST"
severity ERROR;
ECL <= 0;
elsif (now = 31 ns) and (ECLK /= ECLK2) then
assert FALSE
report "FAILED TEST"
severity ERROR;
ECL <= 0;
elsif (now = 41 ns) and (ECLK /= ECLK2) then
assert FALSE
report "FAILED TEST"
severity ERROR;
ECL <= 0;
elsif (now = 51 ns) and (ECLK /= ECLK2) then
assert FALSE
report "FAILED TEST"
severity ERROR;
ECL <= 0;
elsif (now = 61 ns) and (ECLK /= ECLK2) then
assert FALSE
report "FAILED TEST"
severity ERROR;
ECL <= 0;
end if;
END PROCESS;
PROCESS(ECLK,ECLK2)
BEGIN
if (now > 60 ns) and (ECL = 1) then
assert FALSE
report "***PASSED TEST: c09s05b01x00p01n01i01757"
severity NOTE;
elsif (now > 60 ns) and (ECL = 0) then
assert FALSE
report "***FAILED TEST: c09s05b01x00p01n01i01757 - The conditional signal assignment represents a process statement in which the signal transform is an if statement."
severity ERROR;
end if;
END PROCESS;
END c09s05b01x00p01n01i01757arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc1757.vhd,v 1.2 2001-10-26 16:29:43 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c09s05b01x00p01n01i01757ent IS
END c09s05b01x00p01n01i01757ent;
ARCHITECTURE c09s05b01x00p01n01i01757arch OF c09s05b01x00p01n01i01757ent IS
type t_wlogic is (U, D, Z0, Z1, ZDX, DZX, ZX);
signal count : integer ;
signal ECLK : t_wlogic;
signal ECLK2 : t_wlogic;
signal ECL : integer := 1;
BEGIN
count <= 0 after 0 ns,
1 after 10 ns,
2 after 20 ns,
3 after 30 ns,
4 after 40 ns,
5 after 50 ns,
6 after 60 ns;
----------------------------------------------------------------------
ECLK <= transport
U after 1 ns WHEN count=0 ELSE
D after 1 ns WHEN count=1 ELSE
Z0 after 1 ns WHEN count=2 ELSE
Z1 after 1 ns WHEN count=3 ELSE
ZDX after 1 ns WHEN count=4 ELSE
DZX after 1 ns WHEN count=5 ELSE
ZX after 1 ns ;
TESTING: PROCESS(count)
BEGIN
if count = 0 then
ECLK2 <= transport U after 1 ns;
elsif count = 1 then
ECLK2 <= transport D after 1 ns;
elsif count = 2 then
ECLK2 <= transport Z0 after 1 ns;
elsif count = 3 then
ECLK2 <= transport Z1 after 1 ns;
elsif count = 4 then
ECLK2 <= transport ZDX after 1 ns;
elsif count = 5 then
ECLK2 <= transport DZX after 1 ns;
else
ECLK2 <= transport ZX after 1 ns;
end if;
END PROCESS TESTING;
PROCESS(ECLK,ECLK2)
BEGIN
if now = 0 ns then
NULL;
elsif (now = 1 ns) and (ECLK /= ECLK2) then
assert FALSE
report "FAILED TEST"
severity ERROR;
ECL <= 0;
elsif (now = 11 ns) and (ECLK /= ECLK2) then
assert FALSE
report "FAILED TEST"
severity ERROR;
ECL <= 0;
elsif (now = 21 ns) and (ECLK /= ECLK2) then
assert FALSE
report "FAILED TEST"
severity ERROR;
ECL <= 0;
elsif (now = 31 ns) and (ECLK /= ECLK2) then
assert FALSE
report "FAILED TEST"
severity ERROR;
ECL <= 0;
elsif (now = 41 ns) and (ECLK /= ECLK2) then
assert FALSE
report "FAILED TEST"
severity ERROR;
ECL <= 0;
elsif (now = 51 ns) and (ECLK /= ECLK2) then
assert FALSE
report "FAILED TEST"
severity ERROR;
ECL <= 0;
elsif (now = 61 ns) and (ECLK /= ECLK2) then
assert FALSE
report "FAILED TEST"
severity ERROR;
ECL <= 0;
end if;
END PROCESS;
PROCESS(ECLK,ECLK2)
BEGIN
if (now > 60 ns) and (ECL = 1) then
assert FALSE
report "***PASSED TEST: c09s05b01x00p01n01i01757"
severity NOTE;
elsif (now > 60 ns) and (ECL = 0) then
assert FALSE
report "***FAILED TEST: c09s05b01x00p01n01i01757 - The conditional signal assignment represents a process statement in which the signal transform is an if statement."
severity ERROR;
end if;
END PROCESS;
END c09s05b01x00p01n01i01757arch;
|
-------------------------------------------------------------------------------
-- $Id: xbic_dbeat_control.vhd,v 1.2.2.1 2008/12/16 22:23:17 dougt Exp $
-------------------------------------------------------------------------------
-- xbic_dbeat_control.vhd
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
--
-- DISCLAIMER OF LIABILITY
--
-- This file contains proprietary and confidential information of
-- Xilinx, Inc. ("Xilinx"), that is distributed under a license
-- from Xilinx, and may be used, copied and/or disclosed only
-- pursuant to the terms of a valid license agreement with Xilinx.
--
-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION
-- ("MATERIALS") "AS IS" WITHOUT WARRANTY OF ANY KIND, EITHER
-- EXPRESSED, IMPLIED, OR STATUTORY, INCLUDING WITHOUT
-- LIMITATION, ANY WARRANTY WITH RESPECT TO NONINFRINGEMENT,
-- MERCHANTABILITY OR FITNESS FOR ANY PARTICULAR PURPOSE. Xilinx
-- does not warrant that functions included in the Materials will
-- meet the requirements of Licensee, or that the operation of the
-- Materials will be uninterrupted or error-free, or that defects
-- in the Materials will be corrected. Furthermore, Xilinx does
-- not warrant or make any representations regarding use, or the
-- results of the use, of the Materials in terms of correctness,
-- accuracy, reliability or otherwise.
--
-- Xilinx products are not designed or intended to be fail-safe,
-- or for use in any application requiring fail-safe performance,
-- such as life-support or safety devices or systems, Class III
-- medical devices, nuclear facilities, applications related to
-- the deployment of airbags, or any other applications that could
-- lead to death, personal injury or severe property or
-- environmental damage (individually and collectively, "critical
-- applications"). Customer assumes the sole risk and liability
-- of any use of Xilinx products in critical applications,
-- subject only to applicable laws and regulations governing
-- limitations on product liability.
--
-- Copyright 2007, 2008, 2009 Xilinx, Inc.
-- All rights reserved.
--
-- This disclaimer and copyright notice must be retained as part
-- of this file at all times.
--
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Filename: xbic_dbeat_control.vhd
-- Version: v1_00_a
-- Description:
-- This VHDL design implements burst support features that are used for fixed
-- length bursts and cacheline transfers.
--
--
--
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
--
-- xps_bram_if_cntlr.vhd
-- |
-- |- xbic_slave_attach_sngl
-- | |
-- | |- xbic_addr_decode
-- | |- xbic_addr_be_support
-- | |- xbic_data_steer_mirror
-- |
-- |- xbic_slave_attach_burst
-- |
-- |- xbic_addr_decode
-- |- xbic_addr_be_support
-- |- xbic_data_steer_mirror
-- |- xbic_addr_cntr
-- | |
-- | |- xbic_be_reset_gen.vhd
-- |
-- |- xbic_dbeat_control
-- |- xbic_data_steer_mirror
--
--
-------------------------------------------------------------------------------
-- Author: DET
-- Revision: $Revision: 1.2.2.1 $
-- Date: $5/15/2002$
--
-- History:
--
-- DET Feb-5-07
-- ~~~~~~
-- -- Special version for the XPS BRAM IF Cntlr that is adapted
-- from plbv46_slave_burst_V1_00_a library
-- ^^^^^^
--
-- DET 8/25/2008 v1_00_b
-- ~~~~~~
-- - Updated to proc_common_v3_00_a.
-- ^^^^^^
--
-- DET 9/9/2008 v1_00_b for EDK 11.x release
-- ~~~~~~
-- - Updated Disclaimer in header section.
-- ^^^^^^
--
-- DET 12/16/2008 v1_01_b
-- ~~~~~~
-- - Updated eula/header to latest version.
-- ^^^^^^
--
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
library proc_common_v3_00_a;
use proc_common_v3_00_a.all;
use proc_common_v3_00_a.proc_common_pkg.all;
use proc_common_v3_00_a.ipif_pkg.all;
use proc_common_v3_00_a.family_support.all;
use proc_common_v3_00_a.counter_f;
-- Xilinx Primitive Library
library unisim;
use unisim.vcomponents.all;
-------------------------------------------------------------------------------
entity xbic_dbeat_control is
generic (
-- Generics
C_NATIVE_DWIDTH : integer := 32 ;
C_FAMILY : string := "virtex5"
);
port (
-- Input ports
Bus_Rst : in std_logic ;
Bus_clk : in std_logic ;
-- Start Control
Req_Init : in std_logic ;
-- Qualifiers
Doing_Single : in std_logic ;
Doing_Cacheline : in std_logic ;
Doing_FLBurst : in std_logic ;
RNW_In : in std_logic ;
BE_In : in std_logic_vector(0 to 3);
Size_In : in std_logic_vector(0 to 3);
MSize_In : in std_logic_vector(0 to 1);
-- Count Enables
Wr_DAck : in std_logic ;
Rd_DAck : in std_logic ;
-- Special Case Output signals
Cline_Spec_1DBeat_Case : out std_logic ;
-- Done State signals
AlmostDone : out std_logic ;
Done : out std_logic
);
end entity xbic_dbeat_control;
architecture implementation of xbic_dbeat_control is
-- functions
-- none
-- Constants
--Constant COUNTER_SIZE : integer := 5;
constant DBEAT_CNTR_SIZE : integer := 4;
Constant CNTR_LD_ZEROS : std_logic_vector(0 to
DBEAT_CNTR_SIZE-1):= (others => '0');
Constant LOGIC_LOW : std_logic := '0';
Constant LOGIC_HIGH : std_logic := '1';
Constant ZERO : integer := 0;
Constant ONE : integer := 1;
Constant COUNT_ZERO : std_logic_vector(0 to DBEAT_CNTR_SIZE-1)
:= std_logic_vector(to_unsigned(ZERO, DBEAT_CNTR_SIZE));
Constant CYCLE_CNT_ZERO : std_logic_vector(0 to DBEAT_CNTR_SIZE-1)
:= std_logic_vector(to_unsigned(ZERO, DBEAT_CNTR_SIZE));
Constant CYCLE_CNT_ONE : std_logic_vector(0 to DBEAT_CNTR_SIZE-1)
:= std_logic_vector(to_unsigned(ONE, DBEAT_CNTR_SIZE));
-- Types
-- Signals
signal req_init_reg : std_logic;
signal req_init_strt : std_logic;
signal dbeat_cnt_en : std_logic;
signal dbeat_cnt_init : std_logic;
signal dbeat_count : std_logic_vector(0 to
DBEAT_CNTR_SIZE-1);
signal almst_done_cline_value : std_logic_vector(0 to
DBEAT_CNTR_SIZE-1);
signal almst_done_flburst_value : std_logic_vector(0 to
DBEAT_CNTR_SIZE-1);
signal almst_done_comp_value_reg : std_logic_vector(0 to
DBEAT_CNTR_SIZE-1);
signal dbeat_cnt_almst_done_raw : std_logic;
signal dbeat_cnt_almst_done : std_logic;
signal dbeat_cnt_done : std_logic;
signal mult_by_done : std_logic;
signal mult_by_almost_done : std_logic;
signal mult_by_1 : std_logic;
signal mult_by_2 : std_logic;
signal mult_by_4 : std_logic;
signal doing_multi_dbeat : std_logic;
signal mult_cnt_sreg : std_logic_vector(0 to 3);
signal Cline_special_case1 : std_logic;
signal Cline_special_case2 : std_logic;
signal Burst_special_case1 : std_logic;
signal Burst_special_case2 : std_logic;
signal Cline_special_case1_reg : std_logic;
signal Cline_special_case2_reg : std_logic;
signal Burst_special_case1_reg : std_logic;
signal Burst_special_case2_reg : std_logic;
-------------------------------------------------------------------------------
begin --(architecture implementation)
-- Misc assignments
AlmostDone <= (dbeat_cnt_almst_done and mult_by_almost_done)
When (Cline_special_case1_reg = '1')
else (dbeat_cnt_almst_done and mult_by_done);
Done <= dbeat_cnt_done and mult_by_done;
-- Detect a FLBurst of 2 data beats as a special case
Burst_special_case1 <= '1'
when (BE_In = "0001" and
Doing_FLBurst = '1')
Else '0';
-- Detect a FLBurst of 3 data beats as a special case
Burst_special_case2 <= '1'
when (BE_In = "0010" and
Doing_FLBurst = '1')
Else '0';
-- DET req_init_strt <= Req_Init and
-- DET not(req_init_reg);
req_init_strt <= Req_Init;
dbeat_cnt_init <= Bus_Rst or
req_init_strt or
--(not(mult_by_done) and
dbeat_cnt_done or
Cline_special_case1_reg or
Burst_special_case1_reg;
doing_multi_dbeat <= Doing_Cacheline or
Doing_FLBurst;
dbeat_cnt_en <= Wr_DAck or
Rd_DAck;
mult_by_done <= mult_cnt_sreg(0);
mult_by_almost_done <= mult_cnt_sreg(0) or
mult_cnt_sreg(1);
dbeat_cnt_almst_done_raw <= '1'
when (dbeat_count = almst_done_comp_value_reg)
Else '0';
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: REG_REQ_INIT
--
-- Process Description:
--
--
-------------------------------------------------------------
REG_REQ_INIT : process (bus_clk)
begin
if (Bus_Clk'event and Bus_Clk = '1') then
if (Bus_Rst = '1') then
req_init_reg <= '0';
else
req_init_reg <= Req_Init;
end if;
end if;
end process REG_REQ_INIT;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: REG_SPEC_CASES
--
-- Process Description:
--
--
-------------------------------------------------------------
REG_SPEC_CASES : process (bus_clk)
begin
if (Bus_Clk'event and Bus_Clk = '1') then
if (Bus_Rst = '1' or
(dbeat_cnt_done = '1'and
mult_by_done = '1' and
req_init_strt = '0')) then
Cline_special_case1_reg <= '0';
Cline_special_case2_reg <= '0';
Burst_special_case1_reg <= '0';
Burst_special_case2_reg <= '0';
Cline_Spec_1DBeat_Case <= '0';
elsif (req_init_strt = '1') then
Cline_special_case1_reg <= Cline_special_case1;
Cline_special_case2_reg <= Cline_special_case2;
Burst_special_case1_reg <= Burst_special_case1;
Burst_special_case2_reg <= Burst_special_case2;
Cline_Spec_1DBeat_Case <= Cline_special_case1 and
mult_by_1;
else
null; -- hold current state
end if;
end if;
end process REG_SPEC_CASES;
-------------------------------------------------------------
-- Combinational Process
--
-- Label: CALC_FLB_DONE_COMP_VALUE
--
-- Process Description:
-- This process is a simple lookup table for generating the
-- compare to value for the data beat up-counter during
-- Fixed Length Burst Operations.
--
-------------------------------------------------------------
CALC_FLB_DONE_COMP_VALUE : process (BE_In)
begin
case BE_In is
-- when "0001" =>
-- almst_done_flburst_value <= "0000"
-- when "0010" =>
-- almst_done_flburst_value <= "0000"
when "0011" =>
almst_done_flburst_value <= "0001";
when "0100" =>
almst_done_flburst_value <= "0010";
when "0101" =>
almst_done_flburst_value <= "0011";
when "0110" =>
almst_done_flburst_value <= "0100";
when "0111" =>
almst_done_flburst_value <= "0101";
when "1000" =>
almst_done_flburst_value <= "0110";
when "1001" =>
almst_done_flburst_value <= "0111";
when "1010" =>
almst_done_flburst_value <= "1000";
when "1011" =>
almst_done_flburst_value <= "1001";
when "1100" =>
almst_done_flburst_value <= "1010";
when "1101" =>
almst_done_flburst_value <= "1011";
when "1110" =>
almst_done_flburst_value <= "1100";
when "1111" =>
almst_done_flburst_value <= "1101";
when others =>
almst_done_flburst_value <= "0000";
end case;
end process CALC_FLB_DONE_COMP_VALUE;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: REG_COMP_VALUE
--
-- Process Description:
--
--
-------------------------------------------------------------
REG_COMP_VALUE : process (bus_clk)
begin
if (Bus_Clk'event and Bus_Clk = '1') then
if (Bus_Rst = '1' or
(Req_Init = '1' and
Doing_Single = '1')) then
almst_done_comp_value_reg <= (others => '0');
elsif (Req_Init = '1' and
Doing_FLBurst = '1') then
almst_done_comp_value_reg <= almst_done_flburst_value;
Elsif (Req_Init = '1' and
Doing_Cacheline = '1') Then
almst_done_comp_value_reg <= almst_done_cline_value;
else
null; -- hold current value
end if;
end if;
end process REG_COMP_VALUE;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: REG_DONE_FLAGS
--
-- Process Description:
-- This process implements the almost_done and done flags.
--
-------------------------------------------------------------
REG_DONE_FLAGS : process (bus_clk)
begin
if (Bus_Clk'event and Bus_Clk = '1') then
if (Bus_Rst = '1' or
(dbeat_cnt_en = '1' and
dbeat_cnt_done = '1' and
mult_by_done = '1')) then
dbeat_cnt_almst_done <= '0';
dbeat_cnt_done <= '0';
-- Special case Singles
Elsif (req_init_strt = '1' and
Doing_Single = '1') Then
--dbeat_cnt_almst_done <= '0';
dbeat_cnt_almst_done <= '1';
dbeat_cnt_done <= '1';
-- Special case Cachelines (1 data beat case)
Elsif (req_init_strt = '1' and
--mult_by_1 = '1' and
Doing_Cacheline = '1' and
Cline_special_case1 = '1') Then
--dbeat_cnt_almst_done <= '0';
dbeat_cnt_almst_done <= '1';
dbeat_cnt_done <= '1';
-- Special case Cachelines (2 data beat case)
Elsif (req_init_strt = '1' and
--mult_by_1 = '1' and
Doing_Cacheline = '1' and
Cline_special_case2 = '1') Then
dbeat_cnt_almst_done <= '1';
dbeat_cnt_done <= '0';
-- Special case Fixed Length Burst (2 data beat case)
Elsif (req_init_strt = '1' and
--mult_by_1 = '1' and
Doing_FLBurst = '1' and
Burst_special_case1 = '1') Then
dbeat_cnt_almst_done <= '1';
dbeat_cnt_done <= '0';
-- Starting a non-special case cacheline or flburst -- new DET
Elsif (req_init_strt = '1') Then -- new DET
-- new DET
dbeat_cnt_almst_done <= '0'; -- new DET
dbeat_cnt_done <= '0'; -- new DET
-- new DET
-- Normal termination case based on Dbeat Counter
elsif (dbeat_cnt_en = '1') then
dbeat_cnt_almst_done <= (dbeat_cnt_almst_done_raw and
not(dbeat_cnt_almst_done)) or
Cline_special_case1_reg;
dbeat_cnt_done <= dbeat_cnt_almst_done;
else
null; -- hold current state
end if;
end if;
end process REG_DONE_FLAGS;
----------------------------------------------------------------------------
-- Data Beat Counter Logic
-- This counter is reset at command start and counts up with every data
-- acknowledge assertion. A primary requirement for its implementation is
-- that it be ready to count a Write data acknowledge on the same clock
-- cycle that the Sl_Addrack is being asserted to the PLB.
----------------------------------------------------------------------------
-- RESP_LOAD_VALUE : process(Num_Data_Beats)
-- begin
-- if(C_MAX_DBEAT_CNT > Num_Data_Beats)then
-- resp_db_load_value <= std_logic_vector(to_unsigned(Num_Data_Beats, DBEAT_CNTR_SIZE));
-- else
-- resp_db_load_value <= std_logic_vector(to_unsigned(C_MAX_DBEAT_CNT-1,DBEAT_CNTR_SIZE));
-- end if;
-- end process RESP_LOAD_VALUE;
--
-- resp_db_cnten <= Target_DataAck and
-- not(Response_Done_i);
I_DBEAT_CNTR : entity proc_common_v3_00_a.counter_f
generic map(
C_NUM_BITS => DBEAT_CNTR_SIZE,
C_FAMILY => C_FAMILY
)
port map (
Clk => Bus_clk,
Rst => dbeat_cnt_init,
Load_In => CNTR_LD_ZEROS,
Count_Enable => dbeat_cnt_en,
Count_Load => LOGIC_LOW,
Count_Down => '0', -- count up
Count_Out => dbeat_count,
Carry_Out => open
);
------------------------------------------------------------
-- If Generate
--
-- Label: BLE_32_BIT_SLAVE
--
-- If Generate Description:
-- Detirmine the Burst Length Expansion factor when the
-- Slave width is 32.
--
--
------------------------------------------------------------
BLE_32_BIT_SLAVE : if (C_NATIVE_DWIDTH = 32) generate
begin
-------------------------------------------------------------
-- Combinational Process
--
-- Label: CALC_CLINE_DONE_COMP_VALUE_S32
--
-- Process Description:
-- This process is a simple lookup table for generating the
-- compare to value for the data beat up-counter during
-- Cacheline Operations.
--
--
-- 32-bit Slave can transfer 1 wds per data beat
-------------------------------------------------------------
CALC_CLINE_DONE_COMP_VALUE_S32 : process (Size_In)
begin
Cline_special_case1 <= '0';
Cline_special_case2 <= '0';
case Size_In is
when "0001" => -- Cacheline 4wrds (4 dbeats)
almst_done_cline_value <= "0001";
when "0010" => -- Cacheline 8wrds (8 dbeats)
almst_done_cline_value <= "0101";
when "0011" => -- Cacheline 16wrds (16 dbeats)
almst_done_cline_value <= "1101";
when others =>
almst_done_cline_value <= "0000";
end case;
end process CALC_CLINE_DONE_COMP_VALUE_S32;
-------------------------------------------------------------
-- Combinational Process
--
-- Label: GET_MULT_BY_S32
--
-- Process Description:
-- Detirmine the Burst Length Expansion factor when the
-- Slave width is 32.
--
-------------------------------------------------------------
GET_MULT_BY_S32 : process (Doing_FLBurst,
Doing_Cacheline,
--MSize_In,
Size_In)
begin
mult_by_1 <= '1';
mult_by_2 <= '0';
mult_by_4 <= '0';
If (Doing_FLBurst = '1') Then
case Size_In is
-- when "1010" => -- 32-bit burst request
--
-- mult_by_1 <= '1';
--
when "1011" => -- 64-bit burst request
mult_by_1 <= '0';
mult_by_2 <= '1';
when "1100" => -- 128-bit burst request
mult_by_1 <= '0';
mult_by_4 <= '1';
when others => -- default to 32-bit
null; -- use defaults
end case;
Elsif (Doing_Cacheline = '1') Then
mult_by_1 <= '1';
-- case MSize_In is
-- when "00" => -- 32-bit master/32-bit slave
--
-- mult_by_1 <= '1';
--
-- when "01" => -- 64-bit Master/32-bit slave
--
-- mult_by_1 <= '0';
-- mult_by_2 <= '1';
--
-- when others => -- 128 bit master/32-bit slave
--
-- mult_by_1 <= '0';
-- mult_by_4 <= '1';
--
-- end case;
End if;
end process GET_MULT_BY_S32;
end generate BLE_32_BIT_SLAVE;
------------------------------------------------------------
-- If Generate
--
-- Label: BLE_64_BIT_SLAVE
--
-- If Generate Description:
-- Detirmine the Burst Length Expansion factor when the
-- Slave width is 64.
--
--
------------------------------------------------------------
BLE_64_BIT_SLAVE : if (C_NATIVE_DWIDTH = 64) generate
begin
-------------------------------------------------------------
-- Combinational Process
--
-- Label: CALC_CLINE_DONE_COMP_VALUE_S64
--
-- Process Description:
-- This process is a simple lookup table for generating the
-- compare to value for the data beat up-counter during
-- Cacheline Operations.
--
-- 64-bit Slave can transfer 2 wds per data beat but if
-- requesting Master is 32-bits, then only 32-bits per
-- data beat.
--
-- Cline_special_case1 is asserted when the resolved dbeat
-- count is 1 data beat (only possible with 128-bit Master and
-- 128-bit Slave and 4wrd cacheline)
-- Cline_special_case12 is asserted when the resolved dbeat
-- count is 2 data beats
-------------------------------------------------------------
CALC_CLINE_DONE_COMP_VALUE_S64 : process (Size_In)
--,MSize_In)
begin
Cline_special_case1 <= '0';
Cline_special_case2 <= '0';
case Size_In is
when "0001" => -- Cacheline 4wrds (2 dbeats minimum)
almst_done_cline_value <= "0001"; -- special case value
Cline_special_case1 <= '0';
Cline_special_case2 <= '1';
-- If (MSize_In = "00") Then -- 32-bit Master
-- -- requires 4 data beats
--
-- almst_done_cline_value <= "0001"; -- special case value
-- Cline_special_case1 <= '0';
-- Cline_special_case2 <= '1';
--
-- else -- 64 or 128-bit Master (only 2 data beats)
--
-- --almst_done_cline_value <= "0000";
-- almst_done_cline_value <= "0000";
-- Cline_special_case1 <= '0';
-- Cline_special_case2 <= '1';
--
--
-- End if;
when "0010" => -- Cacheline 8wrds (4 dbeats)
almst_done_cline_value <= "0001";
when "0011" => -- Cacheline 16wrds (8 dbeats)
almst_done_cline_value <= "0101";
when others =>
almst_done_cline_value <= "0000";
end case;
end process CALC_CLINE_DONE_COMP_VALUE_S64;
-------------------------------------------------------------
-- Combinational Process
--
-- Label: GET_MULT_BY_S64
--
-- Process Description:
-- Detirmine the Burst Length Expansion factor when the
-- Slave width is 64.
--
-------------------------------------------------------------
GET_MULT_BY_S64 : process (Doing_FLBurst,
Doing_Cacheline,
MSize_In,
Size_In)
begin
mult_by_1 <= '1';
mult_by_2 <= '0';
mult_by_4 <= '0';
If (Doing_FLBurst = '1') Then
case Size_In is
when "1100" => -- 128-bit burst request
mult_by_1 <= '0';
mult_by_2 <= '1';
-- when "1010" => -- 32-bit burst request
--
-- mult_by_1 <= '1';
--
-- when "1011" => -- 64-bit burst request
--
-- mult_by_1 <= '1';
when others => -- default to 32 or 64 bit burst request
null; -- use defaults
-- mult_by_1 <= '0';
-- mult_by_2 <= '1';
end case;
Elsif (Doing_Cacheline = '1') Then
case MSize_In is
when "00" => -- 32-bit master/64-bit Slave
mult_by_1 <= '0';
mult_by_2 <= '1';
-- when "01" => -- 64-bit Master/64-bit Slave
--
-- mult_by_1 <= '1';
when others => -- 128 bit master/64-bit Slave
null; -- use defaults
-- mult_by_1 <= '1';
end case;
End if;
end process GET_MULT_BY_S64;
end generate BLE_64_BIT_SLAVE;
------------------------------------------------------------
-- If Generate
--
-- Label: BLE_128_BIT_SLAVE
--
-- If Generate Description:
-- Detirmine the Burst Length Expansion factor when the
-- Slave width is 128. Will always be 1 in this case.
--
--
------------------------------------------------------------
BLE_128_BIT_SLAVE : if (C_NATIVE_DWIDTH = 128) generate
begin
-------------------------------------------------------------
-- Combinational Process
--
-- Label: CALC_CLINE_DONE_COMP_VALUE_S128
--
-- Process Description:
-- This process is a simple lookup table for generating the
-- compare to value for the data beat up-counter during
-- Cacheline Operations.
--
-- 128-bit Slave can transfer 4 wds per data beat
-------------------------------------------------------------
CALC_CLINE_DONE_COMP_VALUE_S128 : process (Size_In)
begin
Cline_special_case1 <= '0';
Cline_special_case2 <= '0';
case Size_In is
when "0001" => -- Cacheline 4wrds (1 dbeat minimum)
Cline_special_case1 <= '1';
almst_done_cline_value <= "0000";
when "0010" => -- Cacheline 8wrds (2 dbeats minimum)
Cline_special_case2 <= '1';
--almst_done_cline_value <= "0000";
almst_done_cline_value <= "0001"; -- special case
when "0011" => -- Cacheline 16wrds (4 dbeats minimum)
almst_done_cline_value <= "0001";
when others =>
almst_done_cline_value <= "0000";
end case;
end process CALC_CLINE_DONE_COMP_VALUE_S128;
-------------------------------------------------------------
-- Combinational Process
--
-- Label: GET_MULT_BY_S128
--
-- Process Description:
-- Detirmine the Burst Length Expansion factor when the
-- Slave width is 128.
--
-------------------------------------------------------------
GET_MULT_BY_S128 : process (Doing_Cacheline,
MSize_In)
begin
mult_by_1 <= '1';
mult_by_2 <= '0';
mult_by_4 <= '0';
if (Doing_Cacheline = '1') Then
case MSize_In is
when "00" => -- 32-bit master/128-bit Slave
mult_by_1 <= '0';
mult_by_4 <= '1';
when "01" => -- 64-bit Master/128-bit Slave
mult_by_1 <= '0';
mult_by_2 <= '1';
when others => -- 128 bit master/128-bit Slave
null; -- use defaults
-- mult_by_1 <= '1';
end case;
End if;
end process GET_MULT_BY_S128;
end generate BLE_128_BIT_SLAVE;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: MULT_CNTR
--
-- Process Description:
-- This process implements a shift register that is set at
-- command start to the appropriate multipy value for
-- multi-data beat commands.
-- This is needed to support Burst Length Expansion, a
-- requirement when the requesting Master is wider than the
-- Slave's native data width.
--
-------------------------------------------------------------
MULT_CNTR : process (bus_clk)
begin
if (Bus_Clk'event and Bus_Clk = '1') then
if (Bus_Rst = '1') then
mult_cnt_sreg <= (others => '0');
elsif (Req_Init = '1') then
mult_cnt_sreg(0) <= mult_by_1;
mult_cnt_sreg(1) <= mult_by_2;
mult_cnt_sreg(2) <= '0';
mult_cnt_sreg(3) <= mult_by_4;
Elsif (dbeat_cnt_en = '1' and
dbeat_cnt_done = '1') Then
-- shift the set pulse down shift register
mult_cnt_sreg(0) <= mult_cnt_sreg(1);
mult_cnt_sreg(1) <= mult_cnt_sreg(2);
mult_cnt_sreg(2) <= mult_cnt_sreg(3);
mult_cnt_sreg(3) <= '0';
else
null; -- hold current state
end if;
end if;
end process MULT_CNTR;
end implementation;
|
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
|
------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2013, Aeroflex Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-----------------------------------------------------------------------------
-- Entity: various
-- File: ddr_phy_unisim.vhd
-- Author: Jiri Gaisler, Gaisler Research
-- Description: DDR PHY for Virtex-2 and Virtex-4
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library grlib;
use grlib.stdlib.all;
-- pragma translate_off
library unisim;
use unisim.BUFG;
use unisim.DCM;
use unisim.ODDR;
use unisim.FD;
use unisim.IDDR;
-- pragma translate_on
library techmap;
use techmap.gencomp.all;
------------------------------------------------------------------
-- Virtex4 DDR PHY -----------------------------------------------
------------------------------------------------------------------
entity virtex4_ddr_phy is
generic (MHz : integer := 100; rstdelay : integer := 200;
dbits : integer := 16; clk_mul : integer := 2 ;
clk_div : integer := 2; rskew : integer := 0;
phyiconf : integer := 0);
port (
rst : in std_ulogic;
clk : in std_logic; -- input clock
clkout : out std_ulogic; -- system clock
lock : out std_ulogic; -- DCM locked
ddr_clk : out std_logic_vector(2 downto 0);
ddr_clkb : out std_logic_vector(2 downto 0);
ddr_clk_fb_out : out std_logic;
ddr_clk_fb : in std_logic;
ddr_cke : out std_logic_vector(1 downto 0);
ddr_csb : out std_logic_vector(1 downto 0);
ddr_web : out std_ulogic; -- ddr write enable
ddr_rasb : out std_ulogic; -- ddr ras
ddr_casb : out std_ulogic; -- ddr cas
ddr_dm : out std_logic_vector (dbits/8-1 downto 0); -- ddr dm
ddr_dqs : inout std_logic_vector (dbits/8-1 downto 0); -- ddr dqs
ddr_ad : out std_logic_vector (13 downto 0); -- ddr address
ddr_ba : out std_logic_vector (1 downto 0); -- ddr bank address
ddr_dq : inout std_logic_vector (dbits-1 downto 0); -- ddr data
addr : in std_logic_vector (13 downto 0); -- data mask
ba : in std_logic_vector ( 1 downto 0); -- data mask
dqin : out std_logic_vector (dbits*2-1 downto 0); -- ddr input data
dqout : in std_logic_vector (dbits*2-1 downto 0); -- ddr input data
dm : in std_logic_vector (dbits/4-1 downto 0); -- data mask
oen : in std_ulogic;
dqs : in std_ulogic;
dqsoen : in std_ulogic;
rasn : in std_ulogic;
casn : in std_ulogic;
wen : in std_ulogic;
csn : in std_logic_vector(1 downto 0);
cke : in std_logic_vector(1 downto 0);
ck : in std_logic_vector(2 downto 0)
);
end;
architecture rtl of virtex4_ddr_phy is
component DCM
generic (
CLKDV_DIVIDE : real := 2.0;
CLKFX_DIVIDE : integer := 1;
CLKFX_MULTIPLY : integer := 4;
CLKIN_DIVIDE_BY_2 : boolean := false;
CLKIN_PERIOD : real := 10.0;
CLKOUT_PHASE_SHIFT : string := "NONE";
CLK_FEEDBACK : string := "1X";
DESKEW_ADJUST : string := "SYSTEM_SYNCHRONOUS";
DFS_FREQUENCY_MODE : string := "LOW";
DLL_FREQUENCY_MODE : string := "LOW";
DSS_MODE : string := "NONE";
DUTY_CYCLE_CORRECTION : boolean := true;
FACTORY_JF : bit_vector := X"C080";
PHASE_SHIFT : integer := 0;
STARTUP_WAIT : boolean := false
);
port (
CLKFB : in std_logic;
CLKIN : in std_logic;
DSSEN : in std_logic;
PSCLK : in std_logic;
PSEN : in std_logic;
PSINCDEC : in std_logic;
RST : in std_logic;
CLK0 : out std_logic;
CLK90 : out std_logic;
CLK180 : out std_logic;
CLK270 : out std_logic;
CLK2X : out std_logic;
CLK2X180 : out std_logic;
CLKDV : out std_logic;
CLKFX : out std_logic;
CLKFX180 : out std_logic;
LOCKED : out std_logic;
PSDONE : out std_logic;
STATUS : out std_logic_vector (7 downto 0));
end component;
component BUFG port (O : out std_logic; I : in std_logic); end component;
component ODDR
generic
( DDR_CLK_EDGE : string := "OPPOSITE_EDGE";
-- INIT : bit := '0';
SRTYPE : string := "SYNC");
port
(
Q : out std_ulogic;
C : in std_ulogic;
CE : in std_ulogic;
D1 : in std_ulogic;
D2 : in std_ulogic;
R : in std_ulogic;
S : in std_ulogic
);
end component;
component FD
generic ( INIT : bit := '0');
port ( Q : out std_ulogic;
C : in std_ulogic;
D : in std_ulogic);
end component;
component IDDR
generic ( DDR_CLK_EDGE : string := "SAME_EDGE";
INIT_Q1 : bit := '0';
INIT_Q2 : bit := '0';
SRTYPE : string := "ASYNC");
port
( Q1 : out std_ulogic;
Q2 : out std_ulogic;
C : in std_ulogic;
CE : in std_ulogic;
D : in std_ulogic;
R : in std_ulogic;
S : in std_ulogic);
end component;
signal vcc, gnd, dqsn, oe, lockl : std_ulogic;
signal ddr_clk_fb_outr : std_ulogic;
signal ddr_clk_fbl, fbclk : std_ulogic;
signal ddr_rasnr, ddr_casnr, ddr_wenr : std_ulogic;
signal ddr_clkl, ddr_clkbl : std_logic_vector(2 downto 0);
signal ddr_csnr, ddr_ckenr, ckel : std_logic_vector(1 downto 0);
signal clk_0ro, clk_90ro, clk_180ro, clk_270ro : std_ulogic;
signal clk_0r, clk_90r, clk_180r, clk_270r : std_ulogic;
signal clk0r, clk90r, clk180r, clk270r : std_ulogic;
signal locked, vlockl, ddrclkfbl, dllfb : std_ulogic;
signal ddr_dqin : std_logic_vector (dbits-1 downto 0); -- ddr data
signal ddr_dqout : std_logic_vector (dbits-1 downto 0); -- ddr data
signal ddr_dqoen : std_logic_vector (dbits-1 downto 0); -- ddr data
signal ddr_adr : std_logic_vector (13 downto 0); -- ddr address
signal ddr_bar : std_logic_vector (1 downto 0); -- ddr address
signal ddr_dmr : std_logic_vector (dbits/8-1 downto 0); -- ddr address
signal ddr_dqsin : std_logic_vector (dbits/8-1 downto 0); -- ddr dqs
signal ddr_dqsoen : std_logic_vector (dbits/8-1 downto 0); -- ddr dqs
signal ddr_dqsoutl : std_logic_vector (dbits/8-1 downto 0); -- ddr dqs
signal dqsdel, dqsclk : std_logic_vector (dbits/8-1 downto 0); -- ddr dqs
signal da : std_logic_vector (dbits-1 downto 0); -- ddr data
signal dqinl : std_logic_vector (dbits-1 downto 0); -- ddr data
signal dllrst : std_logic_vector(0 to 3);
signal dll0rst, dll2rst : std_logic_vector(0 to 3);
signal mlock, mclkfb, mclk, mclkfx, mclk0 : std_ulogic;
signal rclk270b, rclk90b, rclk0b : std_ulogic;
signal rclk270, rclk90, rclk0 : std_ulogic;
constant DDR_FREQ : integer := (MHz * clk_mul) / clk_div;
attribute keep : boolean;
attribute keep of rclk90b : signal is true;
attribute syn_keep : boolean;
attribute syn_keep of rclk90b : signal is true;
attribute syn_preserve : boolean;
attribute syn_preserve of rclk90b : signal is true;
-- To prevent synplify 9.4 to remove any of these registers.
attribute syn_noprune : boolean;
attribute syn_noprune of FD : component is true;
attribute syn_noprune of IDDR : component is true;
attribute syn_noprune of ODDR : component is true;
begin
oe <= not oen;
vcc <= '1'; gnd <= '0';
-- Optional DDR clock multiplication
noclkscale : if clk_mul = clk_div generate
mclk <= clk;
end generate;
clkscale : if clk_mul /= clk_div generate
rstdel : process (clk, rst)
begin
if rst = '0' then dll0rst <= (others => '1');
elsif rising_edge(clk) then
dll0rst <= dll0rst(1 to 3) & '0';
end if;
end process;
bufg0 : BUFG port map (I => mclkfx, O => mclk);
bufg1 : BUFG port map (I => mclk0, O => mclkfb);
dllm : DCM
generic map (CLKFX_MULTIPLY => clk_mul, CLKFX_DIVIDE => clk_div)
port map ( CLKIN => clk, CLKFB => mclkfb, DSSEN => gnd, PSCLK => gnd,
PSEN => gnd, PSINCDEC => gnd, RST => dll0rst(0), CLK0 => mclk0,
LOCKED => mlock, CLKFX => mclkfx );
end generate;
-- DDR clock generation
ddrref_pad : clkpad generic map (tech => virtex4)
port map (ddr_clk_fb, ddrclkfbl);
bufg1 : BUFG port map (I => clk_0ro, O => clk_0r);
-- bufg2 : BUFG port map (I => clk_90ro, O => clk_90r);
clk_90r <= not clk_270r;
-- bufg3 : BUFG port map (I => clk_180ro, O => clk_180r);
clk_180r <= not clk_0r;
bufg4 : BUFG port map (I => clk_270ro, O => clk_270r);
clkout <= clk_270r; clk0r <= clk_270r; clk90r <= clk_0r;
clk180r <= clk_90r; clk270r <= clk_180r;
dllfb <= clk_0r;
dll : DCM generic map (CLKFX_MULTIPLY => 2, CLKFX_DIVIDE => 2)
port map ( CLKIN => mclk, CLKFB => dllfb, DSSEN => gnd, PSCLK => gnd,
PSEN => gnd, PSINCDEC => gnd, RST => dllrst(0), CLK0 => clk_0ro,
CLK90 => clk_90ro, CLK180 => clk_180ro, CLK270 => clk_270ro,
LOCKED => lockl);
rstdel : process (mclk, rst)
begin
if rst = '0' then dllrst <= (others => '1');
elsif rising_edge(mclk) then
dllrst <= dllrst(1 to 3) & '0';
end if;
end process;
rdel : if rstdelay /= 0 generate
rcnt : process (clk_0r)
variable cnt : std_logic_vector(15 downto 0);
variable vlock, co : std_ulogic;
begin
if rising_edge(clk_0r) then
co := cnt(15);
vlockl <= vlock;
if lockl = '0' then
cnt := conv_std_logic_vector(rstdelay*DDR_FREQ, 16); vlock := '0';
else
if vlock = '0' then
cnt := cnt -1; vlock := cnt(15) and not co;
end if;
end if;
end if;
if lockl = '0' then
vlock := '0';
end if;
end process;
end generate;
locked <= lockl when rstdelay = 0 else vlockl;
lock <= locked;
-- Generate external DDR clock
fbdclk0r : ODDR port map ( Q => ddr_clk_fb_outr, C => clk90r, CE => vcc,
D1 => vcc, D2 => gnd, R => gnd, S => gnd);
fbclk_pad : outpad generic map (tech => virtex4, level => sstl2_i)
port map (ddr_clk_fb_out, ddr_clk_fb_outr);
ddrclkdiffio : if phyiconf = 0 generate
ddrclocks0 : for i in 0 to 2 generate
dclk0r : ODDR port map ( Q => ddr_clkl(i), C => clk90r, CE => vcc,
D1 => vcc, D2 => gnd, R => gnd, S => gnd);
ddrclk_pad : outpad_ds generic map (tech => virtex4, level => sstl2_ii)
port map (ddr_clk(i), ddr_clkb(i), ddr_clkl(i), '1');
end generate;
end generate;
ddrclknodiffio : if phyiconf = 1 generate
ddrclocks1 : for i in 0 to 2 generate
dclk0r : ODDR port map ( Q => ddr_clkl(i), C => clk90r, CE => vcc,
D1 => vcc, D2 => gnd, R => gnd, S => gnd);
ddrclk1_pad : outpad generic map (tech => virtex4, level => sstl2_ii)
port map (ddr_clk(i), ddr_clkl(i));
dclk0rb : ODDR port map ( Q => ddr_clkbl(i), C => clk90r, CE => vcc,
D1 => gnd, D2 => vcc, R => gnd, S => gnd);
ddrclk1b_pad : outpad generic map (tech => virtex4, level => sstl2_i)
port map (ddr_clkb(i), ddr_clkbl(i));
end generate;
end generate;
ddrbanks : for i in 0 to 1 generate
csn0gen : ODDR generic map (DDR_CLK_EDGE => "SAME_EDGE")
port map ( Q => ddr_csnr(i), C => clk0r, CE => vcc,
D1 => csn(i), D2 => csn(i), R => gnd, S => gnd);
csn0_pad : outpad generic map (tech => virtex4, level => sstl2_i)
port map (ddr_csb(i), ddr_csnr(i));
ckel(i) <= cke(i) and locked;
ckegen : ODDR generic map (DDR_CLK_EDGE => "SAME_EDGE")
port map ( Q => ddr_ckenr(i), C => clk0r, CE => vcc,
D1 => ckel(i), D2 => ckel(i), R => gnd, S => gnd);
cke_pad : outpad generic map (tech => virtex4, level => sstl2_i)
port map (ddr_cke(i), ddr_ckenr(i));
end generate;
rasgen : ODDR generic map (DDR_CLK_EDGE => "SAME_EDGE")
port map ( Q => ddr_rasnr, C => clk0r, CE => vcc,
D1 => rasn, D2 => rasn, R => gnd, S => gnd);
rasn_pad : outpad generic map (tech => virtex4, level => sstl2_i)
port map (ddr_rasb, ddr_rasnr);
casgen : ODDR generic map (DDR_CLK_EDGE => "SAME_EDGE")
port map ( Q => ddr_casnr, C => clk0r, CE => vcc,
D1 => casn, D2 => casn, R => gnd, S => gnd);
casn_pad : outpad generic map (tech => virtex4, level => sstl2_i)
port map (ddr_casb, ddr_casnr);
wengen : ODDR generic map (DDR_CLK_EDGE => "SAME_EDGE")
port map ( Q => ddr_wenr, C => clk0r, CE => vcc,
D1 => wen, D2 => wen, R => gnd, S => gnd);
wen_pad : outpad generic map (tech => virtex4, level => sstl2_i)
port map (ddr_web, ddr_wenr);
dmgen : for i in 0 to dbits/8-1 generate
da0 : ODDR generic map (DDR_CLK_EDGE => "SAME_EDGE")
port map ( Q => ddr_dmr(i), C => clk0r, CE => vcc,
D1 => dm(i+dbits/8), D2 => dm(i), R => gnd, S => gnd);
ddr_bm_pad : outpad generic map (tech => virtex4, level => sstl2_i)
port map (ddr_dm(i), ddr_dmr(i));
end generate;
bagen : for i in 0 to 1 generate
da0 : ODDR generic map (DDR_CLK_EDGE => "SAME_EDGE")
port map ( Q => ddr_bar(i), C => clk0r, CE => vcc,
D1 => ba(i), D2 => ba(i), R => gnd, S => gnd);
ddr_ba_pad : outpad generic map (tech => virtex4, level => sstl2_i)
port map (ddr_ba(i), ddr_bar(i));
end generate;
dagen : for i in 0 to 13 generate
da0 : ODDR generic map (DDR_CLK_EDGE => "SAME_EDGE")
port map ( Q => ddr_adr(i), C => clk0r, CE => vcc,
D1 => addr(i), D2 => addr(i), R => gnd, S => gnd);
ddr_ad_pad : outpad generic map (tech => virtex4, level => sstl2_i)
port map (ddr_ad(i), ddr_adr(i));
end generate;
-- DQS generation
dsqreg : FD port map ( Q => dqsn, C => clk180r, D => oe);
dqsgen : for i in 0 to dbits/8-1 generate
da0 : ODDR generic map (DDR_CLK_EDGE => "SAME_EDGE")
port map ( Q => ddr_dqsin(i), C => clk90r, CE => vcc,
D1 => dqsn, D2 => gnd, R => gnd, S => gnd);
doen : FD port map ( Q => ddr_dqsoen(i), C => clk0r, D => dqsoen);
dqs_pad : iopad generic map (tech => virtex4, level => sstl2_ii)
port map (pad => ddr_dqs(i), i => ddr_dqsin(i), en => ddr_dqsoen(i),
o => ddr_dqsoutl(i));
end generate;
-- Data bus
read_rstdel : process (clk_0r, lockl)
begin
if lockl = '0' then dll2rst <= (others => '1');
elsif rising_edge(clk_0r) then
dll2rst <= dll2rst(1 to 3) & '0';
end if;
end process;
bufg7 : BUFG port map (I => rclk0, O => rclk0b);
bufg8 : BUFG port map (I => rclk90, O => rclk90b);
-- bufg9 : BUFG port map (I => rclk270, O => rclk270b);
rclk270b <= not rclk90b;
nops : if rskew = 0 generate
read_dll : DCM
generic map (clkin_period => 10.0, DESKEW_ADJUST => "SOURCE_SYNCHRONOUS")
port map ( CLKIN => ddrclkfbl, CLKFB => rclk0b, DSSEN => gnd, PSCLK => gnd,
PSEN => gnd, PSINCDEC => gnd, RST => dll2rst(0), CLK0 => rclk0,
CLK90 => rclk90, CLK270 => rclk270);
end generate;
ps : if rskew /= 0 generate
read_dll : DCM
generic map (clkin_period => 10.0, DESKEW_ADJUST => "SOURCE_SYNCHRONOUS",
CLKOUT_PHASE_SHIFT => "FIXED", PHASE_SHIFT => rskew)
port map ( CLKIN => ddrclkfbl, CLKFB => rclk0b, DSSEN => gnd, PSCLK => gnd,
PSEN => gnd, PSINCDEC => gnd, RST => dll2rst(0), CLK0 => rclk0,
CLK90 => rclk90, CLK270 => rclk270);
end generate;
ddgen : for i in 0 to dbits-1 generate
qi : IDDR generic map (DDR_CLK_EDGE => "OPPOSITE_EDGE")
port map ( Q1 => dqinl(i), --(i+dbits), -- 1-bit output for positive edge of clock
Q2 => dqin(i), -- 1-bit output for negative edge of clock
C => rclk90b, --clk270r, --dqsclk((2*i)/dbits), -- 1-bit clock input
CE => vcc, -- 1-bit clock enable input
D => ddr_dqin(i), -- 1-bit DDR data input
R => gnd, -- 1-bit reset
S => gnd -- 1-bit set
);
dinq1 : FD port map ( Q => dqin(i+dbits), C => rclk270b, D => dqinl(i));
dout : ODDR generic map (DDR_CLK_EDGE => "SAME_EDGE")
port map ( Q => ddr_dqout(i), C => clk0r, CE => vcc,
D1 => dqout(i+dbits), D2 => dqout(i), R => gnd, S => gnd);
doen : FD port map ( Q => ddr_dqoen(i), C => clk0r, D => oen);
dq_pad : iopad generic map (tech => virtex4, level => sstl2_ii)
port map (pad => ddr_dq(i), i => ddr_dqout(i), en => ddr_dqoen(i), o => ddr_dqin(i));
end generate;
end;
library ieee;
use ieee.std_logic_1164.all;
-- pragma translate_off
library unisim;
use unisim.BUFG;
use unisim.DCM;
use unisim.FDDRRSE;
use unisim.IFDDRRSE;
use unisim.FD;
-- pragma translate_on
library grlib;
use grlib.stdlib.all;
library techmap;
use techmap.gencomp.all;
use techmap.oddrv2;
------------------------------------------------------------------
-- Virtex2 DDR PHY -----------------------------------------------
------------------------------------------------------------------
entity virtex2_ddr_phy is
generic (MHz : integer := 100; rstdelay : integer := 200;
dbits : integer := 16; clk_mul : integer := 2 ;
clk_div : integer := 2; rskew : integer := 0);
port (
rst : in std_ulogic;
clk : in std_logic; -- input clock
clkout : out std_ulogic; -- system clock
lock : out std_ulogic; -- DCM locked
ddr_clk : out std_logic_vector(2 downto 0);
ddr_clkb : out std_logic_vector(2 downto 0);
ddr_clk_fb_out : out std_logic;
ddr_clk_fb : in std_logic;
ddr_cke : out std_logic_vector(1 downto 0);
ddr_csb : out std_logic_vector(1 downto 0);
ddr_web : out std_ulogic; -- ddr write enable
ddr_rasb : out std_ulogic; -- ddr ras
ddr_casb : out std_ulogic; -- ddr cas
ddr_dm : out std_logic_vector (dbits/8-1 downto 0); -- ddr dm
ddr_dqs : inout std_logic_vector (dbits/8-1 downto 0); -- ddr dqs
ddr_ad : out std_logic_vector (13 downto 0); -- ddr address
ddr_ba : out std_logic_vector (1 downto 0); -- ddr bank address
ddr_dq : inout std_logic_vector (dbits-1 downto 0); -- ddr data
addr : in std_logic_vector (13 downto 0); -- data mask
ba : in std_logic_vector ( 1 downto 0); -- data mask
dqin : out std_logic_vector (dbits*2-1 downto 0); -- ddr input data
dqout : in std_logic_vector (dbits*2-1 downto 0); -- ddr input data
dm : in std_logic_vector (dbits/4-1 downto 0); -- data mask
oen : in std_ulogic;
dqs : in std_ulogic;
dqsoen : in std_ulogic;
rasn : in std_ulogic;
casn : in std_ulogic;
wen : in std_ulogic;
csn : in std_logic_vector(1 downto 0);
cke : in std_logic_vector(1 downto 0)
);
end;
architecture rtl of virtex2_ddr_phy is
component DCM
generic (
CLKDV_DIVIDE : real := 2.0;
CLKFX_DIVIDE : integer := 1;
CLKFX_MULTIPLY : integer := 4;
CLKIN_DIVIDE_BY_2 : boolean := false;
CLKIN_PERIOD : real := 10.0;
CLKOUT_PHASE_SHIFT : string := "NONE";
CLK_FEEDBACK : string := "1X";
DESKEW_ADJUST : string := "SYSTEM_SYNCHRONOUS";
DFS_FREQUENCY_MODE : string := "LOW";
DLL_FREQUENCY_MODE : string := "LOW";
DSS_MODE : string := "NONE";
DUTY_CYCLE_CORRECTION : boolean := true;
FACTORY_JF : bit_vector := X"C080";
PHASE_SHIFT : integer := 0;
STARTUP_WAIT : boolean := false
);
port (
CLKFB : in std_logic;
CLKIN : in std_logic;
DSSEN : in std_logic;
PSCLK : in std_logic;
PSEN : in std_logic;
PSINCDEC : in std_logic;
RST : in std_logic;
CLK0 : out std_logic;
CLK90 : out std_logic;
CLK180 : out std_logic;
CLK270 : out std_logic;
CLK2X : out std_logic;
CLK2X180 : out std_logic;
CLKDV : out std_logic;
CLKFX : out std_logic;
CLKFX180 : out std_logic;
LOCKED : out std_logic;
PSDONE : out std_logic;
STATUS : out std_logic_vector (7 downto 0));
end component;
component BUFG port (O : out std_logic; I : in std_logic); end component;
component FDDRRSE
-- generic ( INIT : bit := '0');
port
(
Q : out std_ulogic;
C0 : in std_ulogic;
C1 : in std_ulogic;
CE : in std_ulogic;
D0 : in std_ulogic;
D1 : in std_ulogic;
R : in std_ulogic;
S : in std_ulogic
);
end component;
component IFDDRRSE
port (
Q0 : out std_ulogic;
Q1 : out std_ulogic;
C0 : in std_ulogic;
C1 : in std_ulogic;
CE : in std_ulogic;
D : in std_ulogic;
R : in std_ulogic;
S : in std_ulogic);
end component;
component FD
generic ( INIT : bit := '0');
port ( Q : out std_ulogic;
C : in std_ulogic;
D : in std_ulogic);
end component;
component oddrv2
generic ( tech : integer := virtex4);
port
( Q : out std_ulogic;
C1 : in std_ulogic;
C2 : in std_ulogic;
CE : in std_ulogic;
D1 : in std_ulogic;
D2 : in std_ulogic;
R : in std_ulogic;
S : in std_ulogic);
end component;
signal vcc, gnd, dqsn, oe, lockl : std_ulogic;
signal ddr_clk_fb_outr : std_ulogic;
signal ddr_clk_fbl, fbclk : std_ulogic;
signal ddr_rasnr, ddr_casnr, ddr_wenr : std_ulogic;
signal ddr_clkl, ddr_clkbl : std_logic_vector(2 downto 0);
signal ddr_csnr, ddr_ckenr, ckel : std_logic_vector(1 downto 0);
signal clk_0ro, clk_90ro, clk_180ro, clk_270ro : std_ulogic;
signal clk_0r, clk_90r, clk_180r, clk_270r : std_ulogic;
signal clk0r, clk90r, clk180r, clk270r : std_ulogic;
signal locked, vlockl, ddrclkfbl : std_ulogic;
signal ddr_dqin : std_logic_vector (dbits-1 downto 0); -- ddr data
signal ddr_dqout : std_logic_vector (dbits-1 downto 0); -- ddr data
signal ddr_dqoen : std_logic_vector (dbits-1 downto 0); -- ddr data
signal ddr_adr : std_logic_vector (13 downto 0); -- ddr address
signal ddr_bar : std_logic_vector (1 downto 0); -- ddr address
signal ddr_dmr : std_logic_vector (dbits/8-1 downto 0); -- ddr address
signal ddr_dqsin : std_logic_vector (dbits/8-1 downto 0); -- ddr dqs
signal ddr_dqsoen : std_logic_vector (dbits/8-1 downto 0); -- ddr dqs
signal ddr_dqsoutl : std_logic_vector (dbits/8-1 downto 0); -- ddr dqs
signal dqsdel, dqsclk : std_logic_vector (dbits/8-1 downto 0); -- ddr dqs
signal da : std_logic_vector (dbits-1 downto 0); -- ddr data
signal dqinl : std_logic_vector (dbits-1 downto 0); -- ddr data
signal dllrst : std_logic_vector(0 to 3);
signal dll0rst, dll2rst : std_logic_vector(0 to 3);
signal mlock, mclkfb, mclk, mclkfx, mclk0 : std_ulogic;
signal rclk270b, rclk90b, rclk0b : std_ulogic;
signal rclk270, rclk90, rclk0 : std_ulogic;
constant DDR_FREQ : integer := (MHz * clk_mul) / clk_div;
-- To prevent synplify 9.4 to remove any of these registers.
attribute syn_noprune : boolean;
attribute syn_noprune of FD : component is true;
attribute syn_noprune of FDDRRSE : component is true;
attribute syn_noprune of IFDDRRSE : component is true;
attribute syn_noprune of oddrv2 : component is true;
begin
oe <= not oen;
vcc <= '1'; gnd <= '0';
-- Optional DDR clock multiplication
noclkscale : if clk_mul = clk_div generate
mclk <= clk; mlock <= rst;
end generate;
clkscale : if clk_mul /= clk_div generate
rstdel : process (clk, rst)
begin
if rst = '0' then dll0rst <= (others => '1');
elsif rising_edge(clk) then
dll0rst <= dll0rst(1 to 3) & '0';
end if;
end process;
bufg0 : BUFG port map (I => mclkfx, O => mclk);
bufg1 : BUFG port map (I => mclk0, O => mclkfb);
dllm : DCM
generic map (CLKFX_MULTIPLY => clk_mul, CLKFX_DIVIDE => clk_div,
CLKIN_PERIOD => 10.0)
port map ( CLKIN => clk, CLKFB => mclkfb, DSSEN => gnd, PSCLK => gnd,
PSEN => gnd, PSINCDEC => gnd, RST => dll0rst(0), CLK0 => mclk0,
LOCKED => mlock, CLKFX => mclkfx );
end generate;
-- DDR output clock generation
bufg1 : BUFG port map (I => clk_0ro, O => clk_0r);
-- bufg2 : BUFG port map (I => clk_90ro, O => clk_90r);
clk_90r <= not clk_270r;
-- bufg3 : BUFG port map (I => clk_180ro, O => clk_180r);
clk_180r <= not clk_0r;
bufg4 : BUFG port map (I => clk_270ro, O => clk_270r);
clkout <= clk_270r; clk0r <= clk_270r; clk90r <= clk_0r;
clk180r <= clk_90r; clk270r <= clk_180r;
dll : DCM generic map (CLKFX_MULTIPLY => 2, CLKFX_DIVIDE => 2)
port map ( CLKIN => mclk, CLKFB => clk_0r, DSSEN => gnd, PSCLK => gnd,
PSEN => gnd, PSINCDEC => gnd, RST => dllrst(0), CLK0 => clk_0ro,
CLK90 => clk_90ro, CLK180 => clk_180ro, CLK270 => clk_270ro,
LOCKED => lockl);
rstdel : process (mclk, mlock)
begin
if mlock = '0' then dllrst <= (others => '1');
elsif rising_edge(mclk) then
dllrst <= dllrst(1 to 3) & '0';
end if;
end process;
rdel : if rstdelay /= 0 generate
rcnt : process (clk_0r)
variable cnt : std_logic_vector(15 downto 0);
variable vlock, co : std_ulogic;
begin
if rising_edge(clk_0r) then
co := cnt(15);
vlockl <= vlock;
if lockl = '0' then
cnt := conv_std_logic_vector(rstdelay*DDR_FREQ, 16); vlock := '0';
else
if vlock = '0' then
cnt := cnt -1; vlock := cnt(15) and not co;
end if;
end if;
end if;
if lockl = '0' then
vlock := '0';
end if;
end process;
end generate;
locked <= lockl when rstdelay = 0 else vlockl;
lock <= locked;
-- Generate external DDR clock
fbdclk0r : FDDRRSE port map ( Q => ddr_clk_fb_outr, C0 => clk90r, C1 => clk270r,
CE => vcc, D0 => vcc, D1 => gnd, R => gnd, S => gnd);
fbclk_pad : outpad generic map (tech => virtex4, level => sstl2_i)
port map (ddr_clk_fb_out, ddr_clk_fb_outr);
ddrclocks : for i in 0 to 2 generate
dclk0r : FDDRRSE port map ( Q => ddr_clkl(i), C0 => clk90r, C1 => clk270r,
CE => vcc, D0 => vcc, D1 => gnd, R => gnd, S => gnd);
ddrclk_pad : outpad generic map (tech => virtex4, level => sstl2_i)
port map (ddr_clk(i), ddr_clkl(i));
dclk0rb : FDDRRSE port map ( Q => ddr_clkbl(i), C0 => clk90r, C1 => clk270r,
CE => vcc, D0 => gnd, D1 => vcc, R => gnd, S => gnd);
ddrclkb_pad : outpad generic map (tech => virtex4, level => sstl2_i)
port map (ddr_clkb(i), ddr_clkbl(i));
end generate;
ddrbanks : for i in 0 to 1 generate
csn0gen : FD port map ( Q => ddr_csnr(i), C => clk0r, D => csn(i));
csn0_pad : outpad generic map (tech => virtex4, level => sstl2_i)
port map (ddr_csb(i), ddr_csnr(i));
ckel(i) <= cke(i) and locked;
ckegen : FD port map ( Q => ddr_ckenr(i), C => clk0r, D => ckel(i));
cke_pad : outpad generic map (tech => virtex4, level => sstl2_i)
port map (ddr_cke(i), ddr_ckenr(i));
end generate;
-- DDR single-edge control signals
rasgen : FD port map ( Q => ddr_rasnr, C => clk0r, D => rasn);
rasn_pad : outpad generic map (tech => virtex4, level => sstl2_i)
port map (ddr_rasb, ddr_rasnr);
casgen : FD port map ( Q => ddr_casnr, C => clk0r, D => casn);
casn_pad : outpad generic map (tech => virtex4, level => sstl2_i)
port map (ddr_casb, ddr_casnr);
wengen : FD port map ( Q => ddr_wenr, C => clk0r, D => wen);
wen_pad : outpad generic map (tech => virtex4, level => sstl2_i)
port map (ddr_web, ddr_wenr);
dmgen : for i in 0 to dbits/8-1 generate
da0 : oddrv2 port map ( Q => ddr_dmr(i), C1 => clk0r, C2 => clk180r,
CE => vcc, D1 => dm(i+dbits/8), D2 => dm(i), R => gnd, S => gnd);
ddr_bm_pad : outpad generic map (tech => virtex4, level => sstl2_i)
port map (ddr_dm(i), ddr_dmr(i));
end generate;
bagen : for i in 0 to 1 generate
da0 : FD port map ( Q => ddr_bar(i), C => clk0r, D => ba(i));
ddr_ba_pad : outpad generic map (tech => virtex4, level => sstl2_i)
port map (ddr_ba(i), ddr_bar(i));
end generate;
dagen : for i in 0 to 13 generate
da0 : FD port map ( Q => ddr_adr(i), C => clk0r, D => addr(i));
ddr_ad_pad : outpad generic map (tech => virtex4, level => sstl2_i)
port map (ddr_ad(i), ddr_adr(i));
end generate;
-- DQS generation
dsqreg : FD port map ( Q => dqsn, C => clk180r, D => oe);
dqsgen : for i in 0 to dbits/8-1 generate
da0 : oddrv2
port map ( Q => ddr_dqsin(i), C1 => clk90r, C2 => clk270r,
CE => vcc, D1 => dqsn, D2 => gnd, R => gnd, S => gnd);
doen : FD port map ( Q => ddr_dqsoen(i), C => clk0r, D => dqsoen);
dqs_pad : iopad generic map (tech => virtex4, level => sstl2_ii)
port map (pad => ddr_dqs(i), i => ddr_dqsin(i), en => ddr_dqsoen(i),
o => ddr_dqsoutl(i));
end generate;
-- Data bus
ddrref_pad : clkpad generic map (tech => virtex2)
port map (ddr_clk_fb, ddrclkfbl);
read_rstdel : process (clk_0r, lockl)
begin
if lockl = '0' then dll2rst <= (others => '1');
elsif rising_edge(clk_0r) then
dll2rst <= dll2rst(1 to 3) & '0';
end if;
end process;
bufg7 : BUFG port map (I => rclk0, O => rclk0b);
bufg8 : BUFG port map (I => rclk90, O => rclk90b);
-- bufg9 : BUFG port map (I => rclk270, O => rclk270b);
rclk270b <= not rclk90b;
nops : if rskew = 0 generate
read_dll : DCM
generic map (clkin_period => 10.0, DESKEW_ADJUST => "SOURCE_SYNCHRONOUS")
port map ( CLKIN => ddrclkfbl, CLKFB => rclk0b, DSSEN => gnd, PSCLK => gnd,
PSEN => gnd, PSINCDEC => gnd, RST => dll2rst(0), CLK0 => rclk0,
CLK90 => rclk90, CLK270 => rclk270);
end generate;
ps : if rskew /= 0 generate
read_dll : DCM
generic map (clkin_period => 10.0, DESKEW_ADJUST => "SOURCE_SYNCHRONOUS",
CLKOUT_PHASE_SHIFT => "FIXED", PHASE_SHIFT => rskew)
port map ( CLKIN => ddrclkfbl, CLKFB => rclk0b, DSSEN => gnd, PSCLK => gnd,
PSEN => gnd, PSINCDEC => gnd, RST => dll2rst(0), CLK0 => rclk0,
CLK90 => rclk90, CLK270 => rclk270);
end generate;
ddgen : for i in 0 to dbits-1 generate
qi : IFDDRRSE
port map ( Q0 => dqinl(i), --(i+dbits), -- 1-bit output for positive edge of clock
Q1 => dqin(i), -- 1-bit output for negative edge of clock
C0 => rclk90b, -- clk270r, --dqsclk((2*i)/dbits), -- 1-bit clock input
C1 => rclk270b, -- clk90r, --dqsclk((2*i)/dbits), -- 1-bit clock input
CE => vcc, -- 1-bit clock enable input
D => ddr_dq(i), -- 1-bit DDR data input
R => gnd, -- 1-bit reset
S => gnd -- 1-bit set
);
-- dinq1 : FD port map ( Q => dqin(i+dbits), C => clk90r, D => dqinl(i));
dinq1 : FD port map ( Q => dqin(i+dbits), C => rclk270b, D => dqinl(i));
dout : oddrv2
port map ( Q => ddr_dqout(i), C1 => clk0r, C2 => clk180r, CE => vcc,
D1 => dqout(i+dbits), D2 => dqout(i), R => gnd, S => gnd);
doen : FD port map ( Q => ddr_dqoen(i), C => clk0r, D => oen);
dq_pad : iopad generic map (tech => virtex4, level => sstl2_ii)
port map (pad => ddr_dq(i), i => ddr_dqout(i), en => ddr_dqoen(i), o => open); -- o => ddr_dqin(i));
end generate;
end;
library ieee;
use ieee.std_logic_1164.all;
-- pragma translate_off
library unisim;
use unisim.BUFG;
use unisim.DCM;
use unisim.ODDR2;
use unisim.IDDR2;
use unisim.FD;
-- pragma translate_on
library grlib;
use grlib.stdlib.all;
library techmap;
use techmap.gencomp.all;
use techmap.oddrc3e;
------------------------------------------------------------------
-- Spartan3E DDR PHY -----------------------------------------------
------------------------------------------------------------------
entity spartan3e_ddr_phy is
generic (MHz : integer := 100; rstdelay : integer := 200;
dbits : integer := 16; clk_mul : integer := 2 ;
clk_div : integer := 2; rskew : integer := 0);
port (
rst : in std_ulogic;
clk : in std_logic; -- input clock
clkout : out std_ulogic; -- DDR state clock
clkread : out std_ulogic; -- DDR read clock
lock : out std_ulogic; -- DCM locked
ddr_clk : out std_logic_vector(2 downto 0);
ddr_clkb : out std_logic_vector(2 downto 0);
ddr_clk_fb_out : out std_logic;
ddr_clk_fb : in std_logic;
ddr_cke : out std_logic_vector(1 downto 0);
ddr_csb : out std_logic_vector(1 downto 0);
ddr_web : out std_ulogic; -- ddr write enable
ddr_rasb : out std_ulogic; -- ddr ras
ddr_casb : out std_ulogic; -- ddr cas
ddr_dm : out std_logic_vector (dbits/8-1 downto 0); -- ddr dm
ddr_dqs : inout std_logic_vector (dbits/8-1 downto 0); -- ddr dqs
ddr_ad : out std_logic_vector (13 downto 0); -- ddr address
ddr_ba : out std_logic_vector (1 downto 0); -- ddr bank address
ddr_dq : inout std_logic_vector (dbits-1 downto 0); -- ddr data
addr : in std_logic_vector (13 downto 0); -- data mask
ba : in std_logic_vector ( 1 downto 0); -- data mask
dqin : out std_logic_vector (dbits*2-1 downto 0); -- ddr input data
dqout : in std_logic_vector (dbits*2-1 downto 0); -- ddr input data
dm : in std_logic_vector (dbits/4-1 downto 0); -- data mask
oen : in std_ulogic;
dqs : in std_ulogic;
dqsoen : in std_ulogic;
rasn : in std_ulogic;
casn : in std_ulogic;
wen : in std_ulogic;
csn : in std_logic_vector(1 downto 0);
cke : in std_logic_vector(1 downto 0)
);
end;
architecture rtl of spartan3e_ddr_phy is
component oddrc3e
generic ( tech : integer := virtex4);
port
( Q : out std_ulogic;
C1 : in std_ulogic;
C2 : in std_ulogic;
CE : in std_ulogic;
D1 : in std_ulogic;
D2 : in std_ulogic;
R : in std_ulogic;
S : in std_ulogic);
end component;
component DCM
generic (
CLKDV_DIVIDE : real := 2.0;
CLKFX_DIVIDE : integer := 1;
CLKFX_MULTIPLY : integer := 4;
CLKIN_DIVIDE_BY_2 : boolean := false;
CLKIN_PERIOD : real := 10.0;
CLKOUT_PHASE_SHIFT : string := "NONE";
CLK_FEEDBACK : string := "1X";
DESKEW_ADJUST : string := "SYSTEM_SYNCHRONOUS";
DFS_FREQUENCY_MODE : string := "LOW";
DLL_FREQUENCY_MODE : string := "LOW";
DSS_MODE : string := "NONE";
DUTY_CYCLE_CORRECTION : boolean := true;
FACTORY_JF : bit_vector := X"C080";
PHASE_SHIFT : integer := 0;
STARTUP_WAIT : boolean := false
);
port (
CLKFB : in std_logic;
CLKIN : in std_logic;
DSSEN : in std_logic;
PSCLK : in std_logic;
PSEN : in std_logic;
PSINCDEC : in std_logic;
RST : in std_logic;
CLK0 : out std_logic;
CLK90 : out std_logic;
CLK180 : out std_logic;
CLK270 : out std_logic;
CLK2X : out std_logic;
CLK2X180 : out std_logic;
CLKDV : out std_logic;
CLKFX : out std_logic;
CLKFX180 : out std_logic;
LOCKED : out std_logic;
PSDONE : out std_logic;
STATUS : out std_logic_vector (7 downto 0));
end component;
component BUFG port (O : out std_logic; I : in std_logic); end component;
component FD
generic ( INIT : bit := '0');
port ( Q : out std_ulogic;
C : in std_ulogic;
D : in std_ulogic);
end component;
component ODDR2
generic
(
DDR_ALIGNMENT : string := "NONE";
INIT : bit := '0';
SRTYPE : string := "SYNC"
);
port
(
Q : out std_ulogic;
C0 : in std_ulogic;
C1 : in std_ulogic;
CE : in std_ulogic;
D0 : in std_ulogic;
D1 : in std_ulogic;
R : in std_ulogic;
S : in std_ulogic
);
end component;
component IDDR2
generic
(
DDR_ALIGNMENT : string := "NONE";
INIT_Q0 : bit := '0';
INIT_Q1 : bit := '0';
SRTYPE : string := "SYNC"
);
port
(
Q0 : out std_ulogic;
Q1 : out std_ulogic;
C0 : in std_ulogic;
C1 : in std_ulogic;
CE : in std_ulogic;
D : in std_ulogic;
R : in std_ulogic;
S : in std_ulogic
);
end component;
signal vcc, gnd, dqsn, oe, lockl : std_ulogic;
signal ddr_clk_fb_outr : std_ulogic;
signal ddr_clk_fbl, fbclk : std_ulogic;
signal ddr_rasnr, ddr_casnr, ddr_wenr : std_ulogic;
signal ddr_clkl, ddr_clkbl : std_logic_vector(2 downto 0);
signal ddr_csnr, ddr_ckenr, ckel : std_logic_vector(1 downto 0);
signal clk_0ro, clk_90ro, clk_180ro, clk_270ro : std_ulogic;
signal clk_0r, clk_90r, clk_180r, clk_270r : std_ulogic;
signal clk0r, clk90r, clk180r, clk270r : std_ulogic;
signal locked, vlockl, ddrclkfbl, dllfb : std_ulogic;
signal ddr_dqin : std_logic_vector (dbits-1 downto 0); -- ddr data
signal ddr_dqout : std_logic_vector (dbits-1 downto 0); -- ddr data
signal ddr_dqoen : std_logic_vector (dbits-1 downto 0); -- ddr data
signal ddr_adr : std_logic_vector (13 downto 0); -- ddr address
signal ddr_bar : std_logic_vector (1 downto 0); -- ddr address
signal ddr_dmr : std_logic_vector (dbits/8-1 downto 0); -- ddr address
signal ddr_dqsin : std_logic_vector (dbits/8-1 downto 0); -- ddr dqs
signal ddr_dqsoen : std_logic_vector (dbits/8-1 downto 0); -- ddr dqs
signal ddr_dqsoutl : std_logic_vector (dbits/8-1 downto 0); -- ddr dqs
signal dqsdel, dqsclk : std_logic_vector (dbits/8-1 downto 0); -- ddr dqs
signal da : std_logic_vector (dbits-1 downto 0); -- ddr data
signal dqinl : std_logic_vector (dbits-1 downto 0); -- ddr data
signal dllrst : std_logic_vector(0 to 3);
signal dll0rst, dll2rst : std_logic_vector(0 to 3);
signal mlock, mclkfb, mclk, mclkfx, mclk0 : std_ulogic;
signal rclk270b, rclk90b, rclk0b : std_ulogic;
signal rclk270, rclk90, rclk0 : std_ulogic;
constant DDR_FREQ : integer := (MHz * clk_mul) / clk_div;
-- To prevent synplify 9.4 to remove any of these registers.
attribute syn_noprune : boolean;
attribute syn_noprune of FD : component is true;
attribute syn_noprune of IDDR2 : component is true;
attribute syn_noprune of ODDR2 : component is true;
attribute syn_noprune of oddrc3e : component is true;
begin
oe <= not oen;
vcc <= '1'; gnd <= '0';
-- Optional DDR clock multiplication
noclkscale : if clk_mul = clk_div generate
mclk <= clk; mlock <= rst;
end generate;
clkscale : if clk_mul /= clk_div generate
rstdel : process (clk, rst)
begin
if rst = '0' then dll0rst <= (others => '1');
elsif rising_edge(clk) then
dll0rst <= dll0rst(1 to 3) & '0';
end if;
end process;
bufg0 : BUFG port map (I => mclkfx, O => mclk);
bufg1 : BUFG port map (I => mclk0, O => mclkfb);
dllm : DCM
generic map (CLKFX_MULTIPLY => clk_mul, CLKFX_DIVIDE => clk_div,
CLKIN_PERIOD => 10.0)
port map ( CLKIN => clk, CLKFB => mclkfb, DSSEN => gnd, PSCLK => gnd,
PSEN => gnd, PSINCDEC => gnd, RST => dll0rst(0), CLK0 => mclk0,
LOCKED => mlock, CLKFX => mclkfx );
end generate;
-- DDR output clock generation
bufg1 : BUFG port map (I => clk_0ro, O => clk_0r);
-- bufg2 : BUFG port map (I => clk_90ro, O => clk_90r);
clk_90r <= not clk_270r;
-- bufg3 : BUFG port map (I => clk_180ro, O => clk_180r);
clk_180r <= not clk_0r;
bufg4 : BUFG port map (I => clk_270ro, O => clk_270r);
clkout <= clk_270r;
-- clkout <= clk_90r when DDR_FREQ > 120 else clk_0r;
clk0r <= clk_270r; clk90r <= clk_0r;
clk180r <= clk_90r; clk270r <= clk_180r;
dll : DCM generic map (CLKFX_MULTIPLY => 2, CLKFX_DIVIDE => 2)
port map ( CLKIN => mclk, CLKFB => clk_0r, DSSEN => gnd, PSCLK => gnd,
PSEN => gnd, PSINCDEC => gnd, RST => dllrst(0), CLK0 => clk_0ro,
CLK90 => clk_90ro, CLK180 => clk_180ro, CLK270 => clk_270ro,
LOCKED => lockl);
rstdel : process (mclk, mlock)
begin
if mlock = '0' then dllrst <= (others => '1');
elsif rising_edge(mclk) then
dllrst <= dllrst(1 to 3) & '0';
end if;
end process;
rdel : if rstdelay /= 0 generate
rcnt : process (clk_0r)
variable cnt : std_logic_vector(15 downto 0);
variable vlock, co : std_ulogic;
begin
if rising_edge(clk_0r) then
co := cnt(15);
vlockl <= vlock;
if lockl = '0' then
cnt := conv_std_logic_vector(rstdelay*DDR_FREQ, 16); vlock := '0';
else
if vlock = '0' then
cnt := cnt -1; vlock := cnt(15) and not co;
end if;
end if;
end if;
if lockl = '0' then
vlock := '0';
end if;
end process;
end generate;
locked <= lockl when rstdelay = 0 else vlockl;
lock <= locked;
-- Generate external DDR clock
fbdclk0r : ODDR2 port map ( Q => ddr_clk_fb_outr, C0 => clk90r, C1 => clk270r,
CE => vcc, D0 => vcc, D1 => gnd, R => gnd, S => gnd);
fbclk_pad : outpad generic map (tech => virtex4, level => sstl2_i)
port map (ddr_clk_fb_out, ddr_clk_fb_outr);
ddrclocks : for i in 0 to 2 generate
dclk0r : ODDR2 port map ( Q => ddr_clkl(i), C0 => clk90r, C1 => clk270r,
CE => vcc, D0 => vcc, D1 => gnd, R => gnd, S => gnd);
ddrclk_pad : outpad generic map (tech => virtex4, level => sstl2_i)
port map (ddr_clk(i), ddr_clkl(i));
dclk0rb : ODDR2 port map ( Q => ddr_clkbl(i), C0 => clk90r, C1 => clk270r,
CE => vcc, D0 => gnd, D1 => vcc, R => gnd, S => gnd);
ddrclkb_pad : outpad generic map (tech => virtex4, level => sstl2_i)
port map (ddr_clkb(i), ddr_clkbl(i));
end generate;
ddrbanks : for i in 0 to 1 generate
csn0gen : FD port map ( Q => ddr_csnr(i), C => clk0r, D => csn(i));
csn0_pad : outpad generic map (tech => virtex4, level => sstl2_i)
port map (ddr_csb(i), ddr_csnr(i));
ckel(i) <= cke(i) and locked;
ckegen : FD port map ( Q => ddr_ckenr(i), C => clk0r, D => ckel(i));
cke_pad : outpad generic map (tech => virtex4, level => sstl2_i)
port map (ddr_cke(i), ddr_ckenr(i));
end generate;
-- DDR single-edge control signals
rasgen : FD port map ( Q => ddr_rasnr, C => clk0r, D => rasn);
rasn_pad : outpad generic map (tech => virtex4, level => sstl2_i)
port map (ddr_rasb, ddr_rasnr);
casgen : FD port map ( Q => ddr_casnr, C => clk0r, D => casn);
casn_pad : outpad generic map (tech => virtex4, level => sstl2_i)
port map (ddr_casb, ddr_casnr);
wengen : FD port map ( Q => ddr_wenr, C => clk0r, D => wen);
wen_pad : outpad generic map (tech => virtex4, level => sstl2_i)
port map (ddr_web, ddr_wenr);
dmgen : for i in 0 to dbits/8-1 generate
da0 : oddrc3e
port map ( Q => ddr_dmr(i), C1 => clk0r, C2 => clk180r,
CE => vcc, D1 => dm(i+dbits/8), D2 => dm(i), R => gnd, S => gnd);
ddr_bm_pad : outpad generic map (tech => virtex4, level => sstl2_i)
port map (ddr_dm(i), ddr_dmr(i));
end generate;
bagen : for i in 0 to 1 generate
da0 : FD port map ( Q => ddr_bar(i), C => clk0r, D => ba(i));
ddr_ba_pad : outpad generic map (tech => virtex4, level => sstl2_i)
port map (ddr_ba(i), ddr_bar(i));
end generate;
dagen : for i in 0 to 13 generate
da0 : FD port map ( Q => ddr_adr(i), C => clk0r, D => addr(i));
ddr_ad_pad : outpad generic map (tech => virtex4, level => sstl2_i)
port map (ddr_ad(i), ddr_adr(i));
end generate;
-- DQS generation
dsqreg : FD port map ( Q => dqsn, C => clk180r, D => oe);
dqsgen : for i in 0 to dbits/8-1 generate
da0 : oddrc3e
port map ( Q => ddr_dqsin(i), C1 => clk90r, C2 => clk270r,
CE => vcc, D1 => dqsn, D2 => gnd, R => gnd, S => gnd);
doen : FD port map ( Q => ddr_dqsoen(i), C => clk0r, D => dqsoen);
dqs_pad : iopad generic map (tech => virtex4, level => sstl2_i)
port map (pad => ddr_dqs(i), i => ddr_dqsin(i), en => ddr_dqsoen(i),
o => ddr_dqsoutl(i));
end generate;
-- Data bus
ddrref_pad : clkpad generic map (tech => virtex2)
port map (ddr_clk_fb, ddrclkfbl);
read_rstdel : process (clk_0r, lockl)
begin
if lockl = '0' then dll2rst <= (others => '1');
elsif rising_edge(clk_0r) then
dll2rst <= dll2rst(1 to 3) & '0';
end if;
end process;
bufg7 : BUFG port map (I => rclk0, O => rclk0b);
bufg8 : BUFG port map (I => rclk90, O => rclk90b);
-- bufg9 : BUFG port map (I => rclk270, O => rclk270b);
rclk270b <= not rclk90b;
clkread <= not rclk90b;
nops : if rskew = 0 generate
read_dll : DCM
generic map (clkin_period => 10.0, DESKEW_ADJUST => "SOURCE_SYNCHRONOUS")
port map ( CLKIN => ddrclkfbl, CLKFB => rclk0b, DSSEN => gnd, PSCLK => gnd,
PSEN => gnd, PSINCDEC => gnd, RST => dll2rst(0), CLK0 => rclk0,
CLK90 => rclk90, CLK270 => rclk270);
end generate;
ps : if rskew /= 0 generate
read_dll : DCM
generic map (clkin_period => 10.0, DESKEW_ADJUST => "SOURCE_SYNCHRONOUS",
CLKOUT_PHASE_SHIFT => "FIXED", PHASE_SHIFT => rskew)
port map ( CLKIN => ddrclkfbl, CLKFB => rclk0b, DSSEN => gnd, PSCLK => gnd,
PSEN => gnd, PSINCDEC => gnd, RST => dll2rst(0), CLK0 => rclk0,
CLK90 => rclk90, CLK270 => rclk270);
end generate;
ddgen : for i in 0 to dbits-1 generate
qi : IDDR2
port map ( Q0 => dqinl(i), Q1 => dqin(i), C0 => rclk90b, C1 => rclk270b,
CE => vcc, D => ddr_dqin(i), R => gnd, S => gnd );
dinq1 : FD port map ( Q => dqin(i+dbits), C => rclk270b, D => dqinl(i));
dout : oddrc3e
port map ( Q => ddr_dqout(i), C1 => clk0r, C2 => clk180r, CE => vcc,
D1 => dqout(i+dbits), D2 => dqout(i), R => gnd, S => gnd);
doen : FD port map ( Q => ddr_dqoen(i), C => clk0r, D => oen);
dq_pad : iopad generic map (tech => virtex4, level => sstl2_i)
port map (pad => ddr_dq(i), i => ddr_dqout(i), en => ddr_dqoen(i), o => ddr_dqin(i));
end generate;
end;
library ieee;
use ieee.std_logic_1164.all;
library grlib;
use grlib.stdlib.all;
-- pragma translate_off
library unisim;
use unisim.BUFG;
use unisim.DCM;
use unisim.ODDR;
use unisim.FD;
use unisim.IDELAY;
use unisim.ISERDES;
use unisim.BUFIO;
use unisim.IDELAYCTRL;
use unisim.IDDR;
-- pragma translate_on
library techmap;
use techmap.gencomp.all;
------------------------------------------------------------------
-- Virtex5 DDR2 PHY ----------------------------------------------
------------------------------------------------------------------
entity virtex5_ddr2_phy_wo_pads is
generic (MHz : integer := 100; rstdelay : integer := 200;
dbits : integer := 16; clk_mul : integer := 2; clk_div : integer := 2;
ddelayb0 : integer := 0; ddelayb1 : integer := 0; ddelayb2 : integer := 0;
ddelayb3 : integer := 0; ddelayb4 : integer := 0; ddelayb5 : integer := 0;
ddelayb6 : integer := 0; ddelayb7 : integer := 0; ddelayb8 : integer := 0;
ddelayb9 : integer := 0; ddelayb10: integer := 0; ddelayb11: integer := 0;
numidelctrl : integer := 4; norefclk : integer := 0;
tech : integer := virtex5; odten : integer := 0;
eightbanks : integer range 0 to 1 := 0;
dqsse : integer range 0 to 1 := 0; abits: integer := 14; nclk: integer := 3;
ncs: integer := 2);
port (
rst : in std_ulogic;
clk : in std_logic; -- input clock
clkref200 : in std_logic; -- input 200MHz clock
clkout : out std_ulogic; -- system clock
clkoutret : in std_ulogic; -- system clock return
lock : out std_ulogic; -- DCM locked
ddr_clk : out std_logic_vector(nclk-1 downto 0);
ddr_clkb : out std_logic_vector(nclk-1 downto 0);
ddr_cke : out std_logic_vector(ncs-1 downto 0);
ddr_csb : out std_logic_vector(ncs-1 downto 0);
ddr_web : out std_ulogic; -- ddr write enable
ddr_rasb : out std_ulogic; -- ddr ras
ddr_casb : out std_ulogic; -- ddr cas
ddr_dm : out std_logic_vector (dbits/8-1 downto 0); -- ddr dm
ddr_dqs_in : in std_logic_vector (dbits/8-1 downto 0); -- ddr dqs
ddr_dqs_out : out std_logic_vector (dbits/8-1 downto 0); -- ddr dqs
ddr_dqs_oen : out std_logic_vector (dbits/8-1 downto 0); -- ddr dqs
ddr_ad : out std_logic_vector (abits-1 downto 0); -- ddr address
ddr_ba : out std_logic_vector (1+eightbanks downto 0); -- ddr bank address
ddr_dq_in : in std_logic_vector (dbits-1 downto 0); -- ddr data
ddr_dq_out : out std_logic_vector (dbits-1 downto 0); -- ddr data
ddr_dq_oen : out std_logic_vector (dbits-1 downto 0); -- ddr data
ddr_odt : out std_logic_vector(ncs-1 downto 0);
addr : in std_logic_vector (abits-1 downto 0); -- ddr address
ba : in std_logic_vector ( 2 downto 0); -- ddr bank address
dqin : out std_logic_vector (dbits*2-1 downto 0); -- ddr input data
dqout : in std_logic_vector (dbits*2-1 downto 0); -- ddr input data
dm : in std_logic_vector (dbits/4-1 downto 0); -- data mask
oen : in std_ulogic;
dqs : in std_ulogic;
dqsoen : in std_ulogic;
rasn : in std_ulogic;
casn : in std_ulogic;
wen : in std_ulogic;
csn : in std_logic_vector(ncs-1 downto 0);
cke : in std_logic_vector(ncs-1 downto 0);
cal_en : in std_logic_vector(dbits/8-1 downto 0);
cal_inc : in std_logic_vector(dbits/8-1 downto 0);
cal_rst : in std_logic;
odt : in std_logic_vector(ncs-1 downto 0)
);
end;
architecture rtl of virtex5_ddr2_phy_wo_pads is
component DCM
generic (
CLKDV_DIVIDE : real := 2.0;
CLKFX_DIVIDE : integer := 1;
CLKFX_MULTIPLY : integer := 4;
CLKIN_DIVIDE_BY_2 : boolean := false;
CLKIN_PERIOD : real := 10.0;
CLKOUT_PHASE_SHIFT : string := "NONE";
CLK_FEEDBACK : string := "1X";
DESKEW_ADJUST : string := "SYSTEM_SYNCHRONOUS";
DFS_FREQUENCY_MODE : string := "LOW";
DLL_FREQUENCY_MODE : string := "LOW";
DSS_MODE : string := "NONE";
DUTY_CYCLE_CORRECTION : boolean := true;
FACTORY_JF : bit_vector := X"C080";
PHASE_SHIFT : integer := 0;
STARTUP_WAIT : boolean := false
);
port (
CLKFB : in std_logic;
CLKIN : in std_logic;
DSSEN : in std_logic;
PSCLK : in std_logic;
PSEN : in std_logic;
PSINCDEC : in std_logic;
RST : in std_logic;
CLK0 : out std_logic;
CLK90 : out std_logic;
CLK180 : out std_logic;
CLK270 : out std_logic;
CLK2X : out std_logic;
CLK2X180 : out std_logic;
CLKDV : out std_logic;
CLKFX : out std_logic;
CLKFX180 : out std_logic;
LOCKED : out std_logic;
PSDONE : out std_logic;
STATUS : out std_logic_vector (7 downto 0));
end component;
component BUFG port (O : out std_logic; I : in std_logic); end component;
component ODDR
generic
( DDR_CLK_EDGE : string := "OPPOSITE_EDGE";
-- INIT : bit := '0';
SRTYPE : string := "SYNC");
port
(
Q : out std_ulogic;
C : in std_ulogic;
CE : in std_ulogic;
D1 : in std_ulogic;
D2 : in std_ulogic;
R : in std_ulogic;
S : in std_ulogic
);
end component;
component FD
generic ( INIT : bit := '0');
port ( Q : out std_ulogic;
C : in std_ulogic;
D : in std_ulogic);
end component;
component IDDR
generic ( DDR_CLK_EDGE : string := "SAME_EDGE";
INIT_Q1 : bit := '0';
INIT_Q2 : bit := '0';
SRTYPE : string := "ASYNC");
port
( Q1 : out std_ulogic;
Q2 : out std_ulogic;
C : in std_ulogic;
CE : in std_ulogic;
D : in std_ulogic;
R : in std_ulogic;
S : in std_ulogic);
end component;
component IDELAY
generic ( IOBDELAY_TYPE : string := "DEFAULT";
IOBDELAY_VALUE : integer := 0);
port ( O : out std_ulogic;
C : in std_ulogic;
CE : in std_ulogic;
I : in std_ulogic;
INC : in std_ulogic;
RST : in std_ulogic);
end component;
component OBUFDS
generic (
CAPACITANCE : string := "DONT_CARE";
IOSTANDARD : string := "DEFAULT";
SLEW : string := "SLOW"
);
port (
O : out std_ulogic;
OB : out std_ulogic;
I : in std_ulogic
);
end component;
component IDELAYCTRL
port ( RDY : out std_ulogic;
REFCLK : in std_ulogic;
RST : in std_ulogic);
end component;
signal vcc, gnd, oe, lockl : std_ulogic;
signal dqsn : std_logic_vector(dbits/8-1 downto 0);
signal cbdqsn : std_logic_vector(dbits/8-1 downto 0);
signal ddr_clk_fb_outr : std_ulogic;
signal ddr_clk_fbl, fbclk : std_ulogic;
signal ddr_rasnr, ddr_casnr, ddr_wenr : std_ulogic;
signal ddr_rasnr2, ddr_casnr2, ddr_wenr2 : std_ulogic;
signal ddr_clkl, ddr_clkbl : std_logic_vector(nclk-1 downto 0);
signal ddr_csnr, ddr_ckenr, ckel : std_logic_vector(ncs-1 downto 0);
signal clk_0ro, clk_90ro, clk_180ro, clk_270ro : std_ulogic;
signal clk_0r, clk_90r, clk_180r, clk_270r : std_ulogic;
signal clk90r, clk180r, clk270r : std_ulogic;
signal locked, vlockl, ddrclkfbl, dllfb : std_ulogic;
signal ddr_dqin, ddr_dqin_nodel : std_logic_vector (dbits-1 downto 0); -- ddr data
signal ddr_dqout : std_logic_vector (dbits-1 downto 0); -- ddr data
signal ddr_dqoen : std_logic_vector (dbits-1 downto 0); -- ddr data
signal ddr_cbdqin, ddr_cbdqin_nodel : std_logic_vector (dbits-1 downto 0); -- ddr checkbits
signal ddr_cbdqout : std_logic_vector (dbits-1 downto 0); -- ddr checkbits
signal ddr_cbdqoen : std_logic_vector (dbits-1 downto 0); -- ddr checkbits
signal ddr_adr : std_logic_vector (abits-1 downto 0); -- ddr address
signal ddr_bar : std_logic_vector (1+eightbanks downto 0); -- ddr address
signal ddr_adr2 : std_logic_vector (abits-1 downto 0); -- ddr address
signal ddr_bar2 : std_logic_vector (1+eightbanks downto 0); -- ddr address
signal ddr_dmr : std_logic_vector (dbits/8-1 downto 0); -- ddr data mask
signal ddr_cbdmr : std_logic_vector (dbits/8-1 downto 0); -- ddr checkbit mask
signal ddr_dqsin : std_logic_vector (dbits/8-1 downto 0); -- ddr dqs
signal ddr_dqsoen_reg: std_logic_vector (dbits/8-1 downto 0); -- ddr dqs reg
signal ddr_dqsoen : std_logic_vector (dbits/8-1 downto 0); -- ddr dqs
signal ddr_dqsoutl : std_logic_vector (dbits/8-1 downto 0); -- ddr dqs
signal ddr_cbdqsin : std_logic_vector (dbits/8-1 downto 0); -- ddr dqs
signal ddr_cbdqsoen_reg: std_logic_vector (dbits/8-1 downto 0); -- ddr dqs reg
signal ddr_cbdqsoen : std_logic_vector (dbits/8-1 downto 0); -- ddr dqs
signal ddr_cbdqsoutl : std_logic_vector (dbits/8-1 downto 0); -- ddr dqs
signal dqsdel, dqsclk, dqsclkn : std_logic_vector (dbits/8-1 downto 0); -- ddr dqs
signal da : std_logic_vector (dbits-1 downto 0); -- ddr data
signal dqinl : std_logic_vector (dbits-1 downto 0); -- ddr data
signal dllrst : std_logic_vector(0 to 3);
signal dll0rst, dll2rst : std_logic_vector(0 to 3);
signal mlock, mclkfb, mclk, mclkfx, mclk0 : std_ulogic;
signal rclk270b, rclk90b, rclk0b : std_ulogic;
signal rclk270, rclk90, rclk0 : std_ulogic;
signal clk200, clk200_0, clk200fb, clk200fx, lock200 : std_logic;
signal odtl : std_logic_vector(ncs-1 downto 0);
signal refclk_rdy : std_logic_vector(numidelctrl-1 downto 0);
constant DDR_FREQ : integer := (MHz * clk_mul) / clk_div;
type ddelay_type is array (0 to 11) of integer;
constant ddelay : ddelay_type := (ddelayb0, ddelayb1, ddelayb2,
ddelayb3, ddelayb4, ddelayb5,
ddelayb6, ddelayb7, ddelayb8,
ddelayb9, ddelayb10, ddelayb11);
attribute syn_noprune : boolean;
attribute syn_noprune of IDELAYCTRL : component is true;
attribute syn_keep : boolean;
attribute syn_keep of dqsclk : signal is true;
attribute syn_preserve : boolean;
attribute syn_preserve of dqsclk : signal is true;
attribute syn_keep of dqsn : signal is true;
attribute syn_preserve of dqsn : signal is true;
-- To prevent synplify 9.4 to remove any of these registers.
attribute syn_noprune of FD : component is true;
attribute syn_noprune of IDDR : component is true;
attribute syn_noprune of ODDR : component is true;
attribute keep : boolean;
attribute keep of mclkfx : signal is true;
attribute keep of clk_90ro : signal is true;
attribute syn_keep of mclkfx : signal is true;
attribute syn_keep of clk_90ro : signal is true;
begin
-- Generate 200 MHz ref clock if not supplied
refclkx : if norefclk = 0 generate
buf_clk200 : BUFG port map( I => clkref200, O => clk200);
lock200 <= '1';
end generate;
norefclkx : if norefclk /= 0 generate
bufg0 : BUFG port map (I => clk200fx, O => clk200);
HMODE_dll200 : if (tech = virtex4 and MHz >= 210) or (tech = virtex5) generate
dll200 : DCM
generic map (
CLKFX_MULTIPLY => 400/MHz, CLKFX_DIVIDE => 2,
DFS_FREQUENCY_MODE => "HIGH", DLL_FREQUENCY_MODE => "HIGH",
CLK_FEEDBACK => "NONE")
port map (
CLKIN => clk, CLKFB => clk200fb, DSSEN => gnd, PSCLK => gnd,
PSEN => gnd, PSINCDEC => gnd, RST => dll0rst(0),
LOCKED => lock200, CLKFX => clk200fx);
end generate;
LMODE_dll200 : if not ((tech = virtex4 and MHz >= 210) or (tech = virtex5)) generate
dll200 : DCM
generic map (
CLKFX_MULTIPLY => 400/MHz, CLKFX_DIVIDE => 2,
DFS_FREQUENCY_MODE => "LOW", DLL_FREQUENCY_MODE => "LOW",
CLK_FEEDBACK => "NONE")
port map (
CLKIN => clk, CLKFB => clk200fb, DSSEN => gnd, PSCLK => gnd,
PSEN => gnd, PSINCDEC => gnd, RST => dll0rst(0),
LOCKED => lock200, CLKFX => clk200fx);
end generate;
end generate;
-- Delay control
idelctrl : for i in 0 to numidelctrl-1 generate
u : IDELAYCTRL port map (rst => dllrst(0), refclk => clk200, rdy => refclk_rdy(i));
end generate;
oe <= not oen;
vcc <= '1';
gnd <= '0';
-- Optional DDR clock multiplication
noclkscale : if clk_mul = clk_div generate
dll0rst <= dllrst;
mlock <= '1';
mbufg0 : BUFG port map (I => clk, O => mclk);
end generate;
clkscale : if clk_mul /= clk_div generate
rstdel : process (clk, rst)
begin
if rst = '0' then
dll0rst <= (others => '1');
elsif rising_edge(clk) then
dll0rst <= dll0rst(1 to 3) & '0';
end if;
end process;
bufg0 : BUFG port map (I => mclkfx, O => mclk);
bufg1 : BUFG port map (I => mclk0, O => mclkfb);
HMODE_dllm : if (tech = virtex4 and (((MHz*clk_mul)/clk_div >= 210) or (MHz >= 210)))
or (tech = virtex5 and (((MHz*clk_mul)/clk_div > 140) or (MHz > 120))) generate
dllm : DCM
generic map (
CLKFX_MULTIPLY => clk_mul, CLKFX_DIVIDE => clk_div,
DFS_FREQUENCY_MODE => "HIGH", DLL_FREQUENCY_MODE => "HIGH")
port map (
CLKIN => clk, CLKFB => mclkfb, DSSEN => gnd, PSCLK => gnd,
PSEN => gnd, PSINCDEC => gnd, RST => dll0rst(0), CLK0 => mclk0,
LOCKED => mlock, CLKFX => mclkfx );
end generate;
LMODE_dllm : if not ((tech = virtex4 and (((MHz*clk_mul)/clk_div >= 210) or (MHz >= 210)))
or (tech = virtex5 and (((MHz*clk_mul)/clk_div > 140) or (MHz > 120)))) generate
dllm : DCM
generic map (
CLKFX_MULTIPLY => clk_mul, CLKFX_DIVIDE => clk_div,
DFS_FREQUENCY_MODE => "LOW", DLL_FREQUENCY_MODE => "LOW")
port map (
CLKIN => clk, CLKFB => mclkfb, DSSEN => gnd, PSCLK => gnd,
PSEN => gnd, PSINCDEC => gnd, RST => dll0rst(0), CLK0 => mclk0,
LOCKED => mlock, CLKFX => mclkfx );
end generate;
end generate;
-- DDR clock generation
bufg2 : BUFG port map (I => clk_90ro, O => clk90r);
clk180r <= not mclk;
clkout <= mclk;
dllfb <= clk90r;
HMODE_dll : if (tech = virtex4 and ((MHz*clk_mul)/clk_div >= 150))
or (tech = virtex5 and ((MHz*clk_mul)/clk_div >= 120)) generate
dll : DCM generic map (CLKFX_MULTIPLY => 2, CLKFX_DIVIDE => 2,
DFS_FREQUENCY_MODE => "HIGH", DLL_FREQUENCY_MODE => "HIGH", --"HIGH")
PHASE_SHIFT => 64, CLKOUT_PHASE_SHIFT => "FIXED")--, CLKIN_PERIOD => real((1000*clk_div)/(MHz*clk_mul)))
port map ( CLKIN => mclk, CLKFB => dllfb, DSSEN => gnd, PSCLK => gnd,
PSEN => gnd, PSINCDEC => gnd, RST => dllrst(0), CLK0 => clk_90ro,
CLK90 => open, CLK180 => open, CLK270 => open,
LOCKED => lockl);
end generate;
LMODE_dll : if not ((tech = virtex4 and ((MHz*clk_mul)/clk_div >= 150))
or (tech = virtex5 and ((MHz*clk_mul)/clk_div >= 120))) generate
dll : DCM generic map (CLKFX_MULTIPLY => 2, CLKFX_DIVIDE => 2,
DFS_FREQUENCY_MODE => "LOW", DLL_FREQUENCY_MODE => "LOW", --"HIGH")
PHASE_SHIFT => 64, CLKOUT_PHASE_SHIFT => "FIXED")--, CLKIN_PERIOD => real((1000*clk_div)/(MHz*clk_mul)))
port map ( CLKIN => mclk, CLKFB => dllfb, DSSEN => gnd, PSCLK => gnd,
PSEN => gnd, PSINCDEC => gnd, RST => dllrst(0), CLK0 => clk_90ro,
CLK90 => open, CLK180 => open, CLK270 => open,
LOCKED => lockl);
end generate;
rstdel : process (mclk, rst, mlock, lock200)
begin
if rst = '0' or mlock = '0' or lock200 = '0' then dllrst <= (others => '1');
elsif rising_edge(mclk) then
dllrst <= dllrst(1 to 3) & '0';
end if;
end process;
rdel : if rstdelay /= 0 generate
--rcnt : process (clk_0r)
rcnt : process (clkoutret)
variable cnt : std_logic_vector(15 downto 0);
variable vlock, co : std_ulogic;
begin
--if rising_edge(clk_0r) then
if rising_edge(clkoutret) then
co := cnt(15);
vlockl <= vlock;
if lockl = '0' then
cnt := conv_std_logic_vector(rstdelay*DDR_FREQ, 16); vlock := '0';
else
if vlock = '0' then
cnt := cnt -1; vlock := cnt(15) and not co;
end if;
end if;
end if;
if lockl = '0' then
vlock := '0';
end if;
end process;
end generate;
locked <= lockl when rstdelay = 0 else vlockl;
lock <= locked and orv(refclk_rdy);
-- Generate external DDR clock
ddrclocks : for i in 0 to nclk-1 generate
dclk0r : ODDR port map ( Q => ddr_clk(i), C => clk90r, CE => vcc,
D1 => vcc, D2 => gnd, R => gnd, S => gnd);
ddr_clkb(i) <= '0'; -- unused
end generate;
-- ODT
odtgen : for i in 0 to ncs-1 generate
odtl(i) <= locked and orv(refclk_rdy) and odt(i);
ddr_odt(i) <= odtl(i);
end generate;
ddrbanks : for i in 0 to ncs-1 generate
csn0gen : ODDR generic map (DDR_CLK_EDGE => "SAME_EDGE")
port map ( Q => ddr_csnr(i), C => clk180r, CE => vcc,
D1 => csn(i), D2 => csn(i), R => gnd, S => gnd);
ddr_csb(i) <= ddr_csnr(i);
ckel(i) <= cke(i) and locked;
ckegen : ODDR generic map (DDR_CLK_EDGE => "SAME_EDGE")
port map ( Q => ddr_ckenr(i), C => clk180r, CE => vcc,
D1 => ckel(i), D2 => ckel(i), R => gnd, S => gnd);
ddr_cke(i) <= ddr_ckenr(i);
end generate;
rasgen : ODDR generic map (DDR_CLK_EDGE => "SAME_EDGE")
port map ( Q => ddr_rasnr, C => clk180r, CE => vcc,
D1 => rasn, D2 => rasn, R => gnd, S => gnd);
ddr_rasb <= ddr_rasnr;
casgen : ODDR generic map (DDR_CLK_EDGE => "SAME_EDGE")
port map ( Q => ddr_casnr, C => clk180r, CE => vcc,
D1 => casn, D2 => casn, R => gnd, S => gnd);
ddr_casb <= ddr_casnr;
wengen : ODDR generic map (DDR_CLK_EDGE => "SAME_EDGE")
port map ( Q => ddr_wenr, C => clk180r, CE => vcc,
D1 => wen, D2 => wen, R => gnd, S => gnd);
ddr_web <= ddr_wenr;
dmgen : for i in 0 to dbits/8-1 generate
da0 : ODDR generic map (DDR_CLK_EDGE => "SAME_EDGE")
port map ( Q => ddr_dmr(i), C => clkoutret, CE => vcc,
D1 => dm(i+dbits/8), D2 => dm(i), R => gnd, S => gnd);
end generate;
ddr_dm <= ddr_dmr;
bagen : for i in 0 to 1+eightbanks generate
da0 : ODDR generic map (DDR_CLK_EDGE => "SAME_EDGE")
port map ( Q => ddr_bar(i), C => clk180r, CE => vcc,
D1 => ba(i), D2 => ba(i), R => gnd, S => gnd);
end generate;
ddr_ba <= ddr_bar;
dagen : for i in 0 to abits-1 generate
da0 : ODDR generic map (DDR_CLK_EDGE => "SAME_EDGE")
port map ( Q => ddr_adr(i), C => clk180r, CE => vcc,
D1 => addr(i), D2 => addr(i), R => gnd, S => gnd);
end generate;
ddr_ad <= ddr_adr;
-- DQS generation
dqsgen : for i in 0 to dbits/8-1 generate
dsqreg : FD port map ( Q => dqsn(i), C => clk180r, D => oe);
da0 : ODDR generic map (DDR_CLK_EDGE => "SAME_EDGE")
port map ( Q => ddr_dqsin(i), C => clk90r, CE => vcc,
--D1 => dqsn, D2 => gnd, R => gnd, S => gnd);
D1 => dqsn(i), D2 => gnd, R => gnd, S => gnd);
doen_reg : FD port map ( Q => ddr_dqsoen_reg(i), C => clk180r, D => dqsoen);
doen : FD port map ( Q => ddr_dqsoen(i), C => clk90r, D => ddr_dqsoen_reg(i));
end generate;
ddr_dqs_out <= ddr_dqsin;
ddr_dqs_oen <= ddr_dqsoen;
ddr_dqsoutl <= ddr_dqs_in;
-- Data bus
ddgen : for i in 0 to dbits-1 generate
del_dq0 : IDELAY generic map(IOBDELAY_TYPE => "VARIABLE", IOBDELAY_VALUE => ddelay(i/8))
port map(O => ddr_dqin(i), I => ddr_dqin_nodel(i), C => clkoutret, CE => cal_en(i/8),
INC => cal_inc(i/8), RST => cal_rst);
qi : IDDR generic map (DDR_CLK_EDGE => "OPPOSITE_EDGE")
port map ( Q1 => dqinl(i), --(i+dbits), -- 1-bit output for positive edge of clock
Q2 => dqin(i), --dqin(i), -- 1-bit output for negative edge of clock
C => clk180r, --clk270r, --dqsclk((2*i)/dbits), -- 1-bit clock input
CE => vcc, -- 1-bit clock enable input
D => ddr_dqin(i), -- 1-bit DDR data input
R => gnd, -- 1-bit reset
S => gnd -- 1-bit set
);
dinq1 : FD port map ( Q => dqin(i+dbits), C => clkoutret, D => dqinl(i));
dout : ODDR generic map (DDR_CLK_EDGE => "SAME_EDGE")
port map ( Q => ddr_dqout(i), C => clkoutret, CE => vcc,
D1 => dqout(i+dbits), D2 => dqout(i), R => gnd, S => gnd);
doen : FD
generic map (INIT => '1')
port map ( Q => ddr_dqoen(i), C => clkoutret, D => oen);
end generate;
ddr_dq_out <= ddr_dqout;
ddr_dq_oen <= ddr_dqoen;
ddr_dqin_nodel <= ddr_dq_in;
end;
------------------------------------------------------------------
-- Spartan 3A DDR2 PHY -------------------------------------------
------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library grlib;
use grlib.stdlib.all;
-- pragma translate_off
library unisim;
use unisim.BUFG;
use unisim.DCM;
use unisim.IDDR2;
use unisim.ODDR2;
use unisim.FD;
use unisim.BUFIO;
-- pragma translate_on
library techmap;
use techmap.gencomp.all;
entity spartan3a_ddr2_phy is
generic (MHz : integer := 125; rstdelay : integer := 200;
dbits : integer := 16; clk_mul : integer := 2;
clk_div : integer := 2; tech : integer := spartan3;
rskew : integer := 0; eightbanks : integer range 0 to 1 := 0);
port ( rst : in std_ulogic;
clk : in std_logic; -- input clock
clkout : out std_ulogic; -- DDR clock
lock : out std_ulogic; -- DCM locked
ddr_clk : out std_logic_vector(2 downto 0);
ddr_clkb : out std_logic_vector(2 downto 0);
ddr_clk_fb_out : out std_logic;
ddr_clk_fb : in std_logic;
ddr_cke : out std_logic_vector(1 downto 0);
ddr_csb : out std_logic_vector(1 downto 0);
ddr_web : out std_ulogic; -- ddr write enable
ddr_rasb : out std_ulogic; -- ddr ras
ddr_casb : out std_ulogic; -- ddr cas
ddr_dm : out std_logic_vector (dbits/8-1 downto 0); -- ddr dm
ddr_dqs : inout std_logic_vector (dbits/8-1 downto 0); -- ddr dqs
ddr_dqsn : inout std_logic_vector (dbits/8-1 downto 0); -- ddr dqsn
ddr_ad : out std_logic_vector (13 downto 0); -- ddr address
ddr_ba : out std_logic_vector (1+eightbanks downto 0); -- ddr bank address
ddr_dq : inout std_logic_vector (dbits-1 downto 0); -- ddr data
ddr_odt : out std_logic_vector(1 downto 0);
addr : in std_logic_vector (13 downto 0); -- row address
ba : in std_logic_vector ( 2 downto 0); -- bank address
dqin : out std_logic_vector (dbits*2-1 downto 0); -- ddr input data
dqout : in std_logic_vector (dbits*2-1 downto 0); -- ddr output data
dm : in std_logic_vector (dbits/4-1 downto 0); -- data mask
oen : in std_ulogic;
dqs : in std_ulogic;
dqsoen : in std_ulogic;
rasn : in std_ulogic;
casn : in std_ulogic;
wen : in std_ulogic;
csn : in std_logic_vector(1 downto 0);
cke : in std_logic_vector(1 downto 0);
cal_pll : in std_logic_vector(1 downto 0);
odt : in std_logic_vector(1 downto 0));
end;
architecture rtl of spartan3a_ddr2_phy is
component DCM
generic (CLKDV_DIVIDE : real := 2.0;
CLKFX_DIVIDE : integer := 1;
CLKFX_MULTIPLY : integer := 4;
CLKIN_DIVIDE_BY_2 : boolean := false;
CLKIN_PERIOD : real := 10.0;
CLKOUT_PHASE_SHIFT : string := "NONE";
CLK_FEEDBACK : string := "1X";
DESKEW_ADJUST : string := "SYSTEM_SYNCHRONOUS";
DFS_FREQUENCY_MODE : string := "LOW";
DLL_FREQUENCY_MODE : string := "LOW";
DSS_MODE : string := "NONE";
DUTY_CYCLE_CORRECTION : boolean := true;
FACTORY_JF : bit_vector := X"C080";
PHASE_SHIFT : integer := 0;
STARTUP_WAIT : boolean := false);
port ( CLKFB : in std_logic;
CLKIN : in std_logic;
DSSEN : in std_logic;
PSCLK : in std_logic;
PSEN : in std_logic;
PSINCDEC : in std_logic;
RST : in std_logic;
CLK0 : out std_logic;
CLK90 : out std_logic;
CLK180 : out std_logic;
CLK270 : out std_logic;
CLK2X : out std_logic;
CLK2X180 : out std_logic;
CLKDV : out std_logic;
CLKFX : out std_logic;
CLKFX180 : out std_logic;
LOCKED : out std_logic;
PSDONE : out std_logic;
STATUS : out std_logic_vector (7 downto 0));
end component;
component BUFG
port (O : out std_logic;
I : in std_logic);
end component;
component ODDR2
generic (DDR_ALIGNMENT : string := "NONE"; -- Sets output alignment to "NONE", "C0" or "C1"
INIT : bit := '0'; -- Sets initial state of the Q0
SRTYPE : string := "SYNC"); -- Specifies "SYNC" or "ASYNC" set/reset
port ( Q : out std_ulogic; -- 1-bit DDR output data
C0 : in std_ulogic; -- 1-bit clock input
C1 : in std_ulogic; -- 1-bit clock input
CE : in std_ulogic; -- 1-bit clock enable input
D0 : in std_ulogic; -- 1-bit data input (associated with C1)
D1 : in std_ulogic; -- 1-bit data input (associated with C1)
R : in std_ulogic; -- 1-bit reset input
S : in std_ulogic); -- 1-bit set input
end component;
component FD
generic (INIT : bit := '0');
port ( Q : out std_ulogic;
C : in std_ulogic;
D : in std_ulogic);
end component;
component IDDR2
generic (DDR_ALIGNMENT : string := "NONE"; -- Sets output alignment to "NONE", "C0" or "C1"
INIT_Q0 : bit := '0'; -- Sets initial state of the Q0
INIT_Q1 : bit := '0'; -- Sets initial state of the Q1
SRTYPE : string := "SYNC"); -- Specifies "SYNC" or "ASYNC" set/reset
port ( Q0 : out std_ulogic; -- 1-bit output captured with C0 clock
Q1 : out std_ulogic; -- 1-bit output captured with C1 clock
C0 : in std_ulogic; -- 1-bit clock input
C1 : in std_ulogic; -- 1-bit clock input
CE : in std_ulogic; -- 1-bit clock enable input
D : in std_ulogic; -- 1-bit DDR data input
R : in std_ulogic; -- 1-bit reset input
S : in std_ulogic); -- 1-bit set input
end component;
signal vcc, gnd, oe, lockl : std_ulogic;
signal dqsn : std_logic_vector(dbits/8-1 downto 0);
signal ddr_rasnr, ddr_casnr, ddr_wenr : std_ulogic;
signal ddr_clkl, ddr_clkbl : std_logic_vector(2 downto 0);
signal ddr_csnr, ddr_ckenr, ckel : std_logic_vector(1 downto 0);
signal ddr_clk_fbl, ddr_clk_fb_outl : std_ulogic;
signal clk_90ro : std_ulogic;
signal clk0r, clk90r, clk180r, clk270r : std_ulogic;
signal rclk0b, rclk90b, rclk180b, rclk270b : std_ulogic;
signal rclk0, rclk90, rclk180, rclk270 : std_ulogic;
signal rclk0b_high, rclk90b_high, rclk270b_high : std_ulogic;
signal rclk0_high, rclk90_high, rclk270_high : std_ulogic;
signal locked, vlockl, dllfb : std_ulogic;
signal ddr_dqin : std_logic_vector (dbits-1 downto 0); -- ddr data
signal ddr_dqout : std_logic_vector (dbits-1 downto 0); -- ddr data
signal ddr_dqoen : std_logic_vector (dbits-1 downto 0); -- ddr data
signal ddr_adr : std_logic_vector (13 downto 0); -- ddr row address
signal ddr_bar : std_logic_vector (1+eightbanks downto 0); -- ddr bank address
signal ddr_dmr : std_logic_vector (dbits/8-1 downto 0); -- ddr mask
signal ddr_dqsin : std_logic_vector (dbits/8-1 downto 0); -- ddr dqs
signal ddr_dqsoen : std_logic_vector (dbits/8-1 downto 0); -- ddr dqs
signal ddr_dqsoutl : std_logic_vector (dbits/8-1 downto 0); -- ddr dqs
signal dqinl : std_logic_vector (dbits*2-1 downto 0); -- ddr data
signal dllrst : std_logic_vector(0 to 3);
signal dll0rst : std_ulogic;
signal dll1rst : std_ulogic;
signal mlock, mclkfb, mclk, mclkfx, mclk0 : std_ulogic;
signal odtl : std_logic_vector(1 downto 0);
--signals needed for alignment with DQS
signal dm_delay : std_logic_vector (dbits/8-1 downto 0);
signal dqout_delay : std_logic_vector (dbits-1 downto 0);
constant DDR_FREQ : integer := (MHz * clk_mul) / clk_div;
attribute keep : boolean;
attribute syn_keep : boolean;
attribute syn_preserve : boolean;
attribute syn_keep of dqsn : signal is true;
attribute syn_preserve of dqsn : signal is true;
attribute keep of mclkfx : signal is true;
attribute keep of clk_90ro : signal is true;
attribute syn_keep of mclkfx : signal is true;
attribute syn_keep of clk_90ro : signal is true;
-- To prevent synplify 9.4 to remove any of these registers.
attribute syn_noprune : boolean;
attribute syn_noprune of FD : component is true;
attribute syn_noprune of IDDR2 : component is true;
attribute syn_noprune of ODDR2 : component is true;
begin
oe <= not oen;
vcc <= '1'; gnd <= '0';
-- Optional DDR clock multiplication
noclkscale : if clk_mul = clk_div generate
mlock <= '1';
mbufg0 : BUFG port map (I => clk, O => mclk);
end generate;
clkscale : if clk_mul /= clk_div generate
rstdel : process (clk, rst)
begin
if rst = '0' then
dll0rst <= '1';
elsif rising_edge(clk) then
dll0rst <= '0';
end if;
end process;
bufg0 : BUFG port map (I => mclkfx, O => mclk);
bufg1 : BUFG port map (I => mclk0, O => mclkfb);
dllm : DCM
generic map (CLKFX_MULTIPLY => clk_mul, CLKFX_DIVIDE => clk_div)
port map (CLKIN => clk, CLKFB => mclkfb, DSSEN => gnd, PSCLK => gnd,
PSEN => gnd, PSINCDEC => gnd, RST => dll0rst, CLK0 => mclk0,
LOCKED => mlock, CLKFX => mclkfx );
end generate;
-- DDR clock generation (90 degrees phase-shifted DLL)
bufg2 : BUFG port map (I => clk_90ro, O => clk90r);
dllfb <= clk90r;
dll : DCM
generic map (CLKFX_MULTIPLY => 2, CLKFX_DIVIDE => 2,
CLKOUT_PHASE_SHIFT => "FIXED", PHASE_SHIFT => 64)
port map (CLKIN => mclk, CLKFB => dllfb, DSSEN => gnd, PSCLK => gnd,
PSEN => gnd, PSINCDEC => gnd, RST => dllrst(0), CLK0 => clk_90ro,
CLK90 => open, CLK180 => open, CLK270 => open,
LOCKED => lockl);
clk0r <= mclk;
clk180r <= not mclk;
clk270r <= not clk90r;
clkout <= mclk;
rstdel : process (mclk, rst, mlock)
begin
if rst = '0' or mlock = '0' then
dllrst <= (others => '1');
elsif rising_edge(mclk) then
dllrst <= dllrst(1 to 3) & '0';
end if;
end process;
rdel : if rstdelay /= 0 generate
rcnt : process (clk0r)
variable cnt : std_logic_vector(15 downto 0);
variable vlock, co : std_ulogic;
begin
if rising_edge(clk0r) then
co := cnt(15);
vlockl <= vlock;
if lockl = '0' then
cnt := conv_std_logic_vector(rstdelay*DDR_FREQ, 16);
vlock := '0';
elsif vlock = '0' then
cnt := cnt -1;
vlock := cnt(15) and not co;
end if;
end if;
if lockl = '0' then
vlock := '0';
end if;
end process;
end generate;
locked <= lockl when rstdelay = 0 else vlockl;
lock <= locked;
-- Generate external DDR clock
ddrclocks : for i in 0 to 2 generate
dclk0r : ODDR2
port map (Q => ddr_clkl(i), C0 => clk90r, C1 => clk270r, CE => vcc,
D0 => vcc, D1 => gnd, R => gnd, S => gnd);
ddrclk_pad : outpad
generic map (tech => virtex4, level => sstl18_i)
port map (ddr_clk(i), ddr_clkl(i));
dclk0rb : ODDR2
port map (Q => ddr_clkbl(i), C0 => clk90r, C1 => clk270r, CE => vcc,
D0 => gnd, D1 => vcc, R => gnd, S => gnd);
ddrclkb_pad : outpad
generic map (tech => virtex4, level => sstl18_i)
port map (ddr_clkb(i), ddr_clkbl(i));
end generate;
-- Generate the DDR clock to be fed back for DQ synchronization
dclkfb0r : ODDR2
port map (Q => ddr_clk_fb_outl, C0 => clk90r, C1 => clk270r, CE => vcc,
D0 => vcc, D1 => gnd, R => gnd, S => gnd);
ddrclkfb_pad : outpad
generic map (tech => virtex4, level => sstl18_i)
port map (ddr_clk_fb_out, ddr_clk_fb_outl);
-- The above clock fed back for DQ synchronization
ddrref_pad : clkpad generic map (tech => virtex4)
port map (ddr_clk_fb, ddr_clk_fbl);
-- ODT pads
odtgen : for i in 0 to 1 generate
odtl(i) <= locked and odt(i);
ddr_odt_pad : outpad
generic map (tech => virtex4, level => sstl18_i)
port map (ddr_odt(i), odtl(i));
end generate;
-- DDR single-edge control signals
ddrbanks : for i in 0 to 1 generate
csn0gen : FD
port map ( Q => ddr_csnr(i), C => clk0r, D => csn(i));
csn0_pad : outpad
generic map (tech => virtex4, level => sstl18_i)
port map (ddr_csb(i), ddr_csnr(i));
ckel(i) <= cke(i) and locked;
ckegen : FD
port map ( Q => ddr_ckenr(i), C => clk0r, D => ckel(i));
cke_pad : outpad
generic map (tech => virtex4, level => sstl18_i)
port map (ddr_cke(i), ddr_ckenr(i));
end generate;
rasgen : FD
port map ( Q => ddr_rasnr, C => clk0r, D => rasn);
rasn_pad : outpad
generic map (tech => virtex4, level => sstl18_i)
port map (ddr_rasb, ddr_rasnr);
casgen : FD
port map ( Q => ddr_casnr, C => clk0r, D => casn);
casn_pad : outpad
generic map (tech => virtex4, level => sstl18_i)
port map (ddr_casb, ddr_casnr);
wengen : FD
port map ( Q => ddr_wenr, C => clk0r, D => wen);
wen_pad : outpad
generic map (tech => virtex4, level => sstl18_i)
port map (ddr_web, ddr_wenr);
bagen : for i in 0 to 1+eightbanks generate
ba0 : FD
port map ( Q => ddr_bar(i), C => clk0r, D => ba(i));
ddr_ba_pad : outpad
generic map (tech => virtex4, level => sstl18_i)
port map (ddr_ba(i), ddr_bar(i));
end generate;
addrgen : for i in 0 to 13 generate
addr0 : FD
port map ( Q => ddr_adr(i), C => clk0r, D => addr(i));
ddr_ad_pad : outpad
generic map (tech => virtex4, level => sstl18_i)
port map (ddr_ad(i), ddr_adr(i));
end generate;
-- Data mask (DM) generation
dmgen : for i in 0 to dbits/8-1 generate
dq_delay : FD
port map ( Q => dm_delay(i), C => clk0r, D => dm(i));
dm0 : ODDR2
generic map (DDR_ALIGNMENT => "NONE")
port map (Q => ddr_dmr(i), C0 => clk0r, C1 => clk180r, CE => vcc,
D0 => dm(i+dbits/8), D1 => dm_delay(i), R => gnd, S => gnd);
ddr_bm_pad : outpad
generic map (tech => virtex4, level => sstl18_i)
port map (ddr_dm(i), ddr_dmr(i));
end generate;
-- Data strobe (DQS) generation
dqsgen : for i in 0 to dbits/8-1 generate
dsqreg : FD port map ( Q => dqsn(i), C => clk180r, D => oe);
da0 : ODDR2
port map ( Q => ddr_dqsin(i), C0 => clk90r, C1 => clk270r, CE => vcc,
D0 => dqsn(i), D1 => gnd, R => gnd, S => gnd);
doen : FD
port map ( Q => ddr_dqsoen(i), C => clk0r, D => dqsoen);
dqs_pad : iopad_ds
generic map (tech => virtex5, level => sstl18_ii)
port map (padp => ddr_dqs(i), padn => ddr_dqsn(i), i => ddr_dqsin(i),
en => ddr_dqsoen(i), o => ddr_dqsoutl(i));
end generate;
-- Phase shift the feedback clock and use it to latch DQ
rstphase : process (ddr_clk_fbl, rst, lockl)
begin
if rst = '0' or lockl = '0' then
dll1rst <= '1';
elsif rising_edge(ddr_clk_fbl) then
dll1rst <= '0';
end if;
end process;
bufg7 : BUFG port map (I => rclk90, O => rclk90b);
-- bufg8 : BUFG port map (I => rclk270, O => rclk270b);
rclk270b <= not rclk90b;
bufg9 : BUFG port map (I => rclk180, O => rclk180b);
read_dll : DCM
generic map (clkin_period => 8.0, DESKEW_ADJUST => "SOURCE_SYNCHRONOUS",
CLKOUT_PHASE_SHIFT => "VARIABLE", PHASE_SHIFT => rskew)
port map ( CLKIN => ddr_clk_fbl, CLKFB => rclk90b, DSSEN => gnd, PSCLK => mclk,
PSEN => cal_pll(0), PSINCDEC => cal_pll(1), RST => dll1rst, CLK0 => rclk90,
CLK90 => rclk180); --, CLK180 => rclk270);
-- Data bus
ddgen : for i in 0 to dbits-1 generate
qi : IDDR2
port map (Q0 => dqinl(i+dbits), -- 1-bit output for positive edge of C0
Q1 => dqinl(i), -- 1-bit output for negative edge of C1
C0 => rclk90b, -- 1-bit clock input
C1 => rclk270b, -- 1-bit clock input
CE => vcc, -- 1-bit clock enable input
D => ddr_dqin(i), -- 1-bit DDR data input
R => gnd, -- 1-bit reset
S => gnd); -- 1-bit set
dinq0 : FD
port map ( Q => dqin(i+dbits), C => rclk180b, D => dqinl(i));
dinq1 : FD
port map ( Q => dqin(i), C => rclk180b, D => dqinl(i+dbits));
dq_delay : FD
port map ( Q => dqout_delay(i), C => clk0r, D => dqout(i));
dout : ODDR2
generic map (DDR_ALIGNMENT => "NONE")
port map (Q => ddr_dqout(i), C0 => clk0r, C1 => clk180r, CE => vcc,
D0 => dqout(i+dbits), D1 => dqout_delay(i), R => gnd, S => gnd);
doen : FD
port map (Q => ddr_dqoen(i), C => clk0r, D => oen);
dq_pad : iopad
generic map (tech => virtex4, level => sstl18_ii)
port map (pad => ddr_dq(i), i => ddr_dqout(i), en => ddr_dqoen(i), o => ddr_dqin(i));
end generate;
end;
------------------------------------------------------------------
-- Spartan 6 DDR2 PHY -------------------------------------------
------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library grlib;
use grlib.stdlib.all;
-- pragma translate_off
library unisim;
use unisim.BUFG;
use unisim.DCM_SP;
use unisim.IDDR2;
use unisim.ODDR2;
use unisim.FD;
use unisim.IODELAY2;
-- pragma translate_on
library techmap;
use techmap.gencomp.all;
entity spartan6_ddr2_phy_wo_pads is
generic (MHz : integer := 125; rstdelay : integer := 200;
dbits : integer := 16; clk_mul : integer := 2;
clk_div : integer := 2; tech : integer := spartan6;
rskew : integer := 0; eightbanks : integer range 0 to 1 := 0;
abits : integer := 14;
nclk : integer := 3; ncs : integer := 2 );
port ( rst : in std_ulogic;
clk : in std_logic; -- input clock
clkout : out std_ulogic; -- DDR clock
lock : out std_ulogic; -- DCM locked
ddr_clk : out std_logic_vector(nclk-1 downto 0);
ddr_cke : out std_logic_vector(ncs-1 downto 0);
ddr_csb : out std_logic_vector(ncs-1 downto 0);
ddr_web : out std_ulogic; -- ddr write enable
ddr_rasb : out std_ulogic; -- ddr ras
ddr_casb : out std_ulogic; -- ddr cas
ddr_dm : out std_logic_vector (dbits/8-1 downto 0); -- ddr dm
ddr_dqs_in : in std_logic_vector (dbits/8-1 downto 0);
ddr_dqs_out : out std_logic_vector (dbits/8-1 downto 0);
ddr_dqs_oen : out std_logic_vector (dbits/8-1 downto 0);
ddr_ad : out std_logic_vector (abits-1 downto 0); -- ddr address
ddr_ba : out std_logic_vector (1+eightbanks downto 0); -- ddr bank address
ddr_dq_in : in std_logic_vector (dbits-1 downto 0); -- ddr data
ddr_dq_out : out std_logic_vector (dbits-1 downto 0); -- ddr data
ddr_dq_oen : out std_logic_vector (dbits-1 downto 0); -- ddr data
ddr_odt : out std_logic_vector(ncs-1 downto 0);
addr : in std_logic_vector (abits-1 downto 0); -- row address
ba : in std_logic_vector ( 2 downto 0); -- bank address
dqin : out std_logic_vector (dbits*2-1 downto 0); -- ddr input data
dqout : in std_logic_vector (dbits*2-1 downto 0); -- ddr output data
dm : in std_logic_vector (dbits/4-1 downto 0); -- data mask
oen : in std_ulogic;
dqs : in std_ulogic;
dqsoen : in std_ulogic;
rasn : in std_ulogic;
casn : in std_ulogic;
wen : in std_ulogic;
csn : in std_logic_vector(ncs-1 downto 0);
cke : in std_logic_vector(ncs-1 downto 0);
cal_en : in std_logic_vector(dbits/8-1 downto 0);
cal_inc : in std_logic_vector(dbits/8-1 downto 0);
cal_rst : in std_logic;
odt : in std_logic_vector(ncs-1 downto 0));
end;
architecture rtl of spartan6_ddr2_phy_wo_pads is
component DCM_SP is
generic (
CLKDV_DIVIDE : real := 2.0;
CLKFX_DIVIDE : integer := 1;
CLKFX_MULTIPLY : integer := 4;
CLKIN_DIVIDE_BY_2 : boolean := false;
CLKIN_PERIOD : real := 10.0;
CLKOUT_PHASE_SHIFT : string := "NONE";
CLK_FEEDBACK : string := "1X";
DESKEW_ADJUST : string := "SYSTEM_SYNCHRONOUS";
DFS_FREQUENCY_MODE : string := "LOW";
DLL_FREQUENCY_MODE : string := "LOW";
DSS_MODE : string := "NONE";
DUTY_CYCLE_CORRECTION : boolean := true;
FACTORY_JF : bit_vector := X"C080";
PHASE_SHIFT : integer := 0;
STARTUP_WAIT : boolean := false );
port (
CLK0 : out std_ulogic;
CLK180 : out std_ulogic;
CLK270 : out std_ulogic;
CLK2X : out std_ulogic;
CLK2X180 : out std_ulogic;
CLK90 : out std_ulogic;
CLKDV : out std_ulogic;
CLKFX : out std_ulogic;
CLKFX180 : out std_ulogic;
LOCKED : out std_ulogic;
PSDONE : out std_ulogic;
STATUS : out std_logic_vector(7 downto 0);
CLKFB : in std_ulogic;
CLKIN : in std_ulogic;
DSSEN : in std_ulogic;
PSCLK : in std_ulogic;
PSEN : in std_ulogic;
PSINCDEC : in std_ulogic;
RST : in std_ulogic );
end component;
component BUFG
port (O : out std_logic;
I : in std_logic);
end component;
component ODDR2
generic (DDR_ALIGNMENT : string := "NONE"; -- Sets output alignment to "NONE", "C0" or "C1"
INIT : bit := '0'; -- Sets initial state of the Q0
SRTYPE : string := "SYNC"); -- Specifies "SYNC" or "ASYNC" set/reset
port ( Q : out std_ulogic; -- 1-bit DDR output data
C0 : in std_ulogic; -- 1-bit clock input
C1 : in std_ulogic; -- 1-bit clock input
CE : in std_ulogic; -- 1-bit clock enable input
D0 : in std_ulogic; -- 1-bit data input (associated with C1)
D1 : in std_ulogic; -- 1-bit data input (associated with C1)
R : in std_ulogic; -- 1-bit reset input
S : in std_ulogic); -- 1-bit set input
end component;
component FD
generic (INIT : bit := '0');
port ( Q : out std_ulogic;
C : in std_ulogic;
D : in std_ulogic);
end component;
component IDDR2
generic (DDR_ALIGNMENT : string := "NONE"; -- Sets output alignment to "NONE", "C0" or "C1"
INIT_Q0 : bit := '0'; -- Sets initial state of the Q0
INIT_Q1 : bit := '0'; -- Sets initial state of the Q1
SRTYPE : string := "SYNC"); -- Specifies "SYNC" or "ASYNC" set/reset
port ( Q0 : out std_ulogic; -- 1-bit output captured with C0 clock
Q1 : out std_ulogic; -- 1-bit output captured with C1 clock
C0 : in std_ulogic; -- 1-bit clock input
C1 : in std_ulogic; -- 1-bit clock input
CE : in std_ulogic; -- 1-bit clock enable input
D : in std_ulogic; -- 1-bit DDR data input
R : in std_ulogic; -- 1-bit reset input
S : in std_ulogic); -- 1-bit set input
end component;
component IODELAY2 is
generic (
COUNTER_WRAPAROUND : string := "WRAPAROUND";
DATA_RATE : string := "SDR";
DELAY_SRC : string := "IO";
IDELAY2_VALUE : integer := 0;
IDELAY_MODE : string := "NORMAL";
IDELAY_TYPE : string := "DEFAULT";
IDELAY_VALUE : integer := 0;
ODELAY_VALUE : integer := 0;
SERDES_MODE : string := "NONE";
SIM_TAPDELAY_VALUE : integer := 75 );
port (
BUSY : out std_ulogic;
DATAOUT : out std_ulogic;
DATAOUT2 : out std_ulogic;
DOUT : out std_ulogic;
TOUT : out std_ulogic;
CAL : in std_ulogic;
CE : in std_ulogic;
CLK : in std_ulogic;
IDATAIN : in std_ulogic;
INC : in std_ulogic;
IOCLK0 : in std_ulogic;
IOCLK1 : in std_ulogic;
ODATAIN : in std_ulogic;
RST : in std_ulogic;
T : in std_ulogic );
end component;
signal vcc, gnd, oe, lockl : std_ulogic;
signal dqsn : std_logic_vector(dbits/8-1 downto 0);
signal dqsoen_reg : std_logic_vector(dbits/8-1 downto 0);
signal ddr_dq_indel : std_logic_vector(dbits-1 downto 0);
signal ckel : std_logic_vector(ncs-1 downto 0);
signal clk_90ro : std_ulogic;
signal clk0r, clk90r, clk180r, clk270r : std_ulogic;
signal locked, vlockl, dllfb : std_ulogic;
signal dllrst : std_logic_vector(0 to 3);
signal dll0rst : std_logic_vector(0 to 3);
signal mlock, mclkfb, mclk, mclkfx, mclk0 : std_ulogic;
signal delay_cal : std_ulogic;
signal dcal_started : std_ulogic;
constant DDR_FREQ : integer := (MHz * clk_mul) / clk_div;
attribute keep : boolean;
attribute syn_keep : boolean;
attribute syn_preserve : boolean;
attribute syn_keep of dqsn : signal is true;
attribute syn_preserve of dqsn : signal is true;
attribute keep of mclkfx : signal is true;
attribute keep of clk_90ro : signal is true;
attribute syn_keep of mclkfx : signal is true;
attribute syn_keep of clk_90ro : signal is true;
-- To prevent synplify 9.4 to remove any of these registers.
attribute syn_noprune : boolean;
attribute syn_noprune of FD : component is true;
attribute syn_noprune of IDDR2 : component is true;
attribute syn_noprune of ODDR2 : component is true;
begin
oe <= not oen;
vcc <= '1'; gnd <= '0';
-- Optional DDR clock multiplication
noclkscale : if clk_mul = clk_div generate
mlock <= '1';
mclk <= clk;
-- mbufg0 : BUFG port map (I => clk, O => mclk);
end generate;
clkscale : if clk_mul /= clk_div generate
-- Extend DCM reset signal.
dll0rstdel : process (clk, rst)
begin
if rst = '0' then
dll0rst <= (others => '1');
elsif rising_edge(clk) then
dll0rst <= dll0rst(1 to 3) & "0";
end if;
end process;
bufg0 : BUFG port map (I => mclkfx, O => mclk);
bufg1 : BUFG port map (I => mclk0, O => mclkfb);
dllm : DCM_SP
generic map (
CLKFX_MULTIPLY => clk_mul, CLKFX_DIVIDE => clk_div,
CLK_FEEDBACK => "1X", CLKIN_PERIOD => 1000.0/real(MHz) )
port map (CLKIN => clk, CLKFB => mclkfb, DSSEN => gnd, PSCLK => gnd,
PSEN => gnd, PSINCDEC => gnd, RST => dll0rst(0), CLK0 => mclk0,
LOCKED => mlock, CLKFX => mclkfx );
end generate;
-- DDR clock generation (90 degrees phase-shifted DLL)
bufg2 : BUFG port map (I => clk_90ro, O => clk90r);
dllfb <= clk90r;
dll : DCM_SP
generic map ( CLKFX_MULTIPLY => 2, CLKFX_DIVIDE => 2,
CLKOUT_PHASE_SHIFT => "FIXED", PHASE_SHIFT => 64 )
port map (CLKIN => mclk, CLKFB => dllfb, DSSEN => gnd, PSCLK => gnd,
PSEN => gnd, PSINCDEC => gnd, RST => dllrst(0), CLK0 => clk_90ro,
CLK90 => open, CLK180 => open, CLK270 => open,
LOCKED => lockl);
clk0r <= mclk;
clk180r <= not mclk;
clk270r <= not clk90r;
clkout <= mclk;
-- Extend DCM reset signal.
dllrstdel : process (mclk, rst, mlock)
begin
if rst = '0' or mlock = '0' then
dllrst <= (others => '1');
elsif rising_edge(mclk) then
dllrst <= dllrst(1 to 3) & "0";
end if;
end process;
-- Delay lock signal.
rdel : if rstdelay /= 0 generate
rcnt : process (clk0r)
variable cnt : std_logic_vector(15 downto 0);
variable vlock, co : std_ulogic;
begin
if rising_edge(clk0r) then
co := cnt(15);
vlockl <= vlock;
if lockl = '0' then
cnt := conv_std_logic_vector(rstdelay*DDR_FREQ, 16);
vlock := '0';
elsif vlock = '0' then
cnt := cnt -1;
vlock := cnt(15) and not co;
end if;
end if;
if lockl = '0' then
vlock := '0';
end if;
end process;
end generate;
locked <= lockl when rstdelay = 0 else vlockl;
lock <= locked;
-- Generate external DDR clock
ddrclocks : for i in 0 to nclk-1 generate
dclk0r : ODDR2
port map ( Q => ddr_clk(i), C0 => clk90r, C1 => clk270r, CE => vcc,
D0 => vcc, D1 => gnd, R => gnd, S => gnd );
end generate;
-- DDR single-edge control signals
ddrbanks : for i in 0 to ncs-1 generate
ddr_odt(i) <= locked and odt(i);
csn0gen : ODDR2
generic map ( DDR_ALIGNMENT => "C0", SRTYPE => "ASYNC" )
port map ( Q => ddr_csb(i), C0 => clk0r, C1 => clk180r, CE => vcc,
D0 => csn(i), D1 => csn(i), R => gnd, S => gnd );
ckel(i) <= cke(i) and locked;
ckegen : ODDR2
generic map ( DDR_ALIGNMENT => "C0", SRTYPE => "ASYNC" )
port map ( Q => ddr_cke(i), C0 => clk0r, C1 => clk180r, CE => vcc,
D0 => ckel(i), D1 => ckel(i), R => gnd, S => gnd );
end generate;
rasgen : ODDR2
generic map ( DDR_ALIGNMENT => "C0", SRTYPE => "ASYNC" )
port map ( Q => ddr_rasb, C0 => clk0r, C1 => clk180r, CE => vcc,
D0 => rasn, D1 => rasn, R => gnd, S => gnd );
casgen : ODDR2
generic map ( DDR_ALIGNMENT => "C0", SRTYPE => "ASYNC" )
port map ( Q => ddr_casb, C0 => clk0r, C1 => clk180r, CE => vcc,
D0 => casn, D1 => casn, R => gnd, S => gnd );
wengen : ODDR2
generic map ( DDR_ALIGNMENT => "C0", SRTYPE => "ASYNC" )
port map ( Q => ddr_web, C0 => clk0r, C1 => clk180r, CE => vcc,
D0 => wen, D1 => wen, R => gnd, S => gnd );
bagen : for i in 0 to 1+eightbanks generate
ba0 : ODDR2
generic map ( DDR_ALIGNMENT => "C0", SRTYPE => "ASYNC" )
port map ( Q => ddr_ba(i), C0 => clk0r, C1 => clk180r, CE => vcc,
D0 => ba(i), D1 => ba(i), R => gnd, S => gnd );
end generate;
addrgen : for i in 0 to abits-1 generate
addr0 : ODDR2
generic map ( DDR_ALIGNMENT => "C0", SRTYPE => "ASYNC" )
port map ( Q => ddr_ad(i), C0 => clk0r, C1 => clk180r, CE => vcc,
D0 => addr(i), D1 => addr(i), R => gnd, S => gnd );
end generate;
-- Data mask (DM) generation
dmgen : for i in 0 to dbits/8-1 generate
dmgen0 : ODDR2
generic map ( DDR_ALIGNMENT => "C0", SRTYPE => "ASYNC" )
port map ( Q => ddr_dm(i), C0 => clk0r, C1 => clk180r, CE => vcc,
D0 => dm(i+dbits/8), D1 => dm(i), R => gnd, S => gnd );
end generate;
-- Data strobe (DQS) generation
dqsgen : for i in 0 to dbits/8-1 generate
dqsreg : FD
port map ( Q => dqsn(i), C => clk180r, D => oe );
dqsgen0 : ODDR2
generic map ( DDR_ALIGNMENT => "C0", SRTYPE => "ASYNC" )
port map ( Q => ddr_dqs_out(i), C0 => clk90r, C1 => clk270r, CE => vcc,
D0 => dqsn(i), D1 => gnd, R => gnd, S => gnd );
doenreg : FD
port map ( Q => dqsoen_reg(i), C => clk180r, D => dqsoen );
doen0 : ODDR2
generic map ( DDR_ALIGNMENT => "C0", SRTYPE => "ASYNC" )
port map ( Q => ddr_dqs_oen(i), C0 => clk90r, C1 => clk270r, CE => vcc,
D0 => dqsoen_reg(i), D1 => dqsoen_reg(i), R => gnd, S => gnd );
end generate;
-- Data bus
ddgen : for i in 0 to dbits-1 generate
dqdelay : IODELAY2
generic map ( DATA_RATE => "DDR", DELAY_SRC => "IDATAIN",
IDELAY_TYPE => "VARIABLE_FROM_ZERO" )
port map ( BUSY => open, CAL => delay_cal, CE => cal_en(i/8), CLK => clk0r,
DATAOUT => ddr_dq_indel(i), DATAOUT2 => open, DOUT => open,
IDATAIN => ddr_dq_in(i), INC => cal_inc(i/8),
IOCLK0 => clk0r, IOCLK1 => clk180r,
ODATAIN => gnd, RST => cal_rst, T => vcc, TOUT => open );
din : IDDR2
generic map ( DDR_ALIGNMENT => "C0", SRTYPE => "ASYNC" )
port map ( D => ddr_dq_indel(i), C0 => clk0r, C1 => clk180r, CE => vcc,
R => gnd, S => gnd, Q0 => dqin(i), Q1 => dqin(i+dbits) );
dout : ODDR2
generic map ( DDR_ALIGNMENT => "C0", SRTYPE => "ASYNC" )
port map ( Q => ddr_dq_out(i), C0 => clk0r, C1 => clk180r, CE => vcc,
D0 => dqout(i+dbits), D1 => dqout(i), R => gnd, S => gnd );
doen : ODDR2
generic map ( DDR_ALIGNMENT => "C0", SRTYPE => "ASYNC" )
port map ( Q => ddr_dq_oen(i), C0 => clk0r, C1 => clk180r, CE => vcc,
D0 => oen, D1 => oen, R => gnd, S => gnd );
end generate;
-- Generate IODELAY calibration command after core reset.
calcmd : process (mclk, rst)
begin
if rst = '0' then
dcal_started <= '0';
delay_cal <= '0';
elsif rising_edge(mclk) then
if mlock = '1' then
dcal_started <= '1';
delay_cal <= not dcal_started;
end if;
end if;
end process;
end architecture;
|
--------------------------------------------------------------------------------
-- This file is owned and controlled by Xilinx and must be used --
-- solely for design, simulation, implementation and creation of --
-- design files limited to Xilinx devices or technologies. Use --
-- with non-Xilinx devices or technologies is expressly prohibited --
-- and immediately terminates your license. --
-- --
-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" --
-- SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR --
-- XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION --
-- AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION --
-- OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS --
-- IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, --
-- AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE --
-- FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY --
-- WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE --
-- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR --
-- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF --
-- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS --
-- FOR A PARTICULAR PURPOSE. --
-- --
-- Xilinx products are not intended for use in life support --
-- appliances, devices, or systems. Use in such applications are --
-- expressly prohibited. --
-- --
-- (c) Copyright 1995-2007 Xilinx, Inc. --
-- All rights reserved. --
--------------------------------------------------------------------------------
-- You must compile the wrapper file fifo_512x8x.vhd when simulating
-- the core, fifo_512x8x. When compiling the wrapper file, be sure to
-- reference the XilinxCoreLib VHDL simulation library. For detailed
-- instructions, please refer to the "CORE Generator Help".
-- The synthesis directives "translate_off/translate_on" specified
-- below are supported by Xilinx, Mentor Graphics and Synplicity
-- synthesis tools. Ensure they are correct for your synthesis tool(s).
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- synthesis translate_off
Library XilinxCoreLib;
-- synthesis translate_on
ENTITY fifo_512x8x IS
port (
din: IN std_logic_VECTOR(7 downto 0);
rd_clk: IN std_logic;
rd_en: IN std_logic;
ainit: IN std_logic;
wr_clk: IN std_logic;
wr_en: IN std_logic;
dout: OUT std_logic_VECTOR(7 downto 0);
empty: OUT std_logic;
full: OUT std_logic;
wr_count: OUT std_logic_VECTOR(8 downto 0));
END fifo_512x8x;
ARCHITECTURE fifo_512x8x_a OF fifo_512x8x IS
-- synthesis translate_off
component wrapped_fifo_512x8x
port (
din: IN std_logic_VECTOR(7 downto 0);
rd_clk: IN std_logic;
rd_en: IN std_logic;
rst: IN std_logic;
wr_clk: IN std_logic;
wr_en: IN std_logic;
dout: OUT std_logic_VECTOR(7 downto 0);
empty: OUT std_logic;
full: OUT std_logic;
wr_data_count: OUT std_logic_VECTOR(8 downto 0));
end component;
-- Configuration specification
for all : wrapped_fifo_512x8x use entity XilinxCoreLib.fifo_generator_v3_3(behavioral)
generic map(
c_rd_freq => 100,
c_wr_response_latency => 1,
c_has_srst => 0,
c_has_rd_data_count => 0,
c_din_width => 8,
c_has_wr_data_count => 1,
c_implementation_type => 2,
c_family => "spartan3",
c_has_wr_rst => 0,
c_wr_freq => 100,
c_underflow_low => 0,
c_has_meminit_file => 0,
c_has_overflow => 0,
c_preload_latency => 1,
c_dout_width => 8,
c_rd_depth => 512,
c_default_value => "BlankString",
c_mif_file_name => "BlankString",
c_has_underflow => 0,
c_has_rd_rst => 0,
c_has_almost_full => 0,
c_has_rst => 1,
c_data_count_width => 9,
c_has_wr_ack => 0,
c_use_ecc => 0,
c_wr_ack_low => 0,
c_common_clock => 0,
c_rd_pntr_width => 9,
c_has_almost_empty => 0,
c_rd_data_count_width => 9,
c_enable_rlocs => 0,
c_wr_pntr_width => 9,
c_overflow_low => 0,
c_prog_empty_type => 0,
c_optimization_mode => 0,
c_wr_data_count_width => 9,
c_preload_regs => 0,
c_dout_rst_val => "0",
c_has_data_count => 0,
c_prog_full_thresh_negate_val => 509,
c_wr_depth => 512,
c_prog_empty_thresh_negate_val => 3,
c_prog_empty_thresh_assert_val => 2,
c_has_valid => 0,
c_init_wr_pntr_val => 0,
c_prog_full_thresh_assert_val => 510,
c_use_fifo16_flags => 0,
c_has_backup => 0,
c_valid_low => 0,
c_prim_fifo_type => "2kx9",
c_count_type => 0,
c_prog_full_type => 0,
c_memory_type => 1);
-- synthesis translate_on
BEGIN
-- synthesis translate_off
U0 : wrapped_fifo_512x8x
port map (
din => din,
rd_clk => rd_clk,
rd_en => rd_en,
rst => ainit,
wr_clk => wr_clk,
wr_en => wr_en,
dout => dout,
empty => empty,
full => full,
wr_data_count => wr_count);
-- synthesis translate_on
END fifo_512x8x_a;
|
--------------------------------------------------------------------------------
-- This file is owned and controlled by Xilinx and must be used --
-- solely for design, simulation, implementation and creation of --
-- design files limited to Xilinx devices or technologies. Use --
-- with non-Xilinx devices or technologies is expressly prohibited --
-- and immediately terminates your license. --
-- --
-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" --
-- SOLELY FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR --
-- XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, OR INFORMATION --
-- AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, APPLICATION --
-- OR STANDARD, XILINX IS MAKING NO REPRESENTATION THAT THIS --
-- IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, --
-- AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE --
-- FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY --
-- WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE --
-- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR --
-- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF --
-- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS --
-- FOR A PARTICULAR PURPOSE. --
-- --
-- Xilinx products are not intended for use in life support --
-- appliances, devices, or systems. Use in such applications are --
-- expressly prohibited. --
-- --
-- (c) Copyright 1995-2007 Xilinx, Inc. --
-- All rights reserved. --
--------------------------------------------------------------------------------
-- You must compile the wrapper file fifo_512x8x.vhd when simulating
-- the core, fifo_512x8x. When compiling the wrapper file, be sure to
-- reference the XilinxCoreLib VHDL simulation library. For detailed
-- instructions, please refer to the "CORE Generator Help".
-- The synthesis directives "translate_off/translate_on" specified
-- below are supported by Xilinx, Mentor Graphics and Synplicity
-- synthesis tools. Ensure they are correct for your synthesis tool(s).
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- synthesis translate_off
Library XilinxCoreLib;
-- synthesis translate_on
ENTITY fifo_512x8x IS
port (
din: IN std_logic_VECTOR(7 downto 0);
rd_clk: IN std_logic;
rd_en: IN std_logic;
ainit: IN std_logic;
wr_clk: IN std_logic;
wr_en: IN std_logic;
dout: OUT std_logic_VECTOR(7 downto 0);
empty: OUT std_logic;
full: OUT std_logic;
wr_count: OUT std_logic_VECTOR(8 downto 0));
END fifo_512x8x;
ARCHITECTURE fifo_512x8x_a OF fifo_512x8x IS
-- synthesis translate_off
component wrapped_fifo_512x8x
port (
din: IN std_logic_VECTOR(7 downto 0);
rd_clk: IN std_logic;
rd_en: IN std_logic;
rst: IN std_logic;
wr_clk: IN std_logic;
wr_en: IN std_logic;
dout: OUT std_logic_VECTOR(7 downto 0);
empty: OUT std_logic;
full: OUT std_logic;
wr_data_count: OUT std_logic_VECTOR(8 downto 0));
end component;
-- Configuration specification
for all : wrapped_fifo_512x8x use entity XilinxCoreLib.fifo_generator_v3_3(behavioral)
generic map(
c_rd_freq => 100,
c_wr_response_latency => 1,
c_has_srst => 0,
c_has_rd_data_count => 0,
c_din_width => 8,
c_has_wr_data_count => 1,
c_implementation_type => 2,
c_family => "spartan3",
c_has_wr_rst => 0,
c_wr_freq => 100,
c_underflow_low => 0,
c_has_meminit_file => 0,
c_has_overflow => 0,
c_preload_latency => 1,
c_dout_width => 8,
c_rd_depth => 512,
c_default_value => "BlankString",
c_mif_file_name => "BlankString",
c_has_underflow => 0,
c_has_rd_rst => 0,
c_has_almost_full => 0,
c_has_rst => 1,
c_data_count_width => 9,
c_has_wr_ack => 0,
c_use_ecc => 0,
c_wr_ack_low => 0,
c_common_clock => 0,
c_rd_pntr_width => 9,
c_has_almost_empty => 0,
c_rd_data_count_width => 9,
c_enable_rlocs => 0,
c_wr_pntr_width => 9,
c_overflow_low => 0,
c_prog_empty_type => 0,
c_optimization_mode => 0,
c_wr_data_count_width => 9,
c_preload_regs => 0,
c_dout_rst_val => "0",
c_has_data_count => 0,
c_prog_full_thresh_negate_val => 509,
c_wr_depth => 512,
c_prog_empty_thresh_negate_val => 3,
c_prog_empty_thresh_assert_val => 2,
c_has_valid => 0,
c_init_wr_pntr_val => 0,
c_prog_full_thresh_assert_val => 510,
c_use_fifo16_flags => 0,
c_has_backup => 0,
c_valid_low => 0,
c_prim_fifo_type => "2kx9",
c_count_type => 0,
c_prog_full_type => 0,
c_memory_type => 1);
-- synthesis translate_on
BEGIN
-- synthesis translate_off
U0 : wrapped_fifo_512x8x
port map (
din => din,
rd_clk => rd_clk,
rd_en => rd_en,
rst => ainit,
wr_clk => wr_clk,
wr_en => wr_en,
dout => dout,
empty => empty,
full => full,
wr_data_count => wr_count);
-- synthesis translate_on
END fifo_512x8x_a;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc2874.vhd,v 1.2 2001-10-26 16:29:49 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c02s01b00x00p06n05i02874ent IS
function "+" (I1:Bit) return bit; --- No_Failure_here
function "+" (I1:Bit) return bit is
begin
if (I1 = '1') then
return '1';
else
return '0';
end if;
end;
END c02s01b00x00p06n05i02874ent;
ARCHITECTURE c02s01b00x00p06n05i02874arch OF c02s01b00x00p06n05i02874ent IS
BEGIN
TESTING: PROCESS
variable k : bit := '0';
BEGIN
k := "+"('1');
assert NOT(k='1')
report "***PASSED TEST: c02s01b00x00p06n05i02874"
severity NOTE;
assert (k='1')
report "***FAILED TEST: c02s01b00x00p06n05i02874 - Operator symbol as the function designator test failed."
severity ERROR;
wait;
END PROCESS TESTING;
END c02s01b00x00p06n05i02874arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc2874.vhd,v 1.2 2001-10-26 16:29:49 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c02s01b00x00p06n05i02874ent IS
function "+" (I1:Bit) return bit; --- No_Failure_here
function "+" (I1:Bit) return bit is
begin
if (I1 = '1') then
return '1';
else
return '0';
end if;
end;
END c02s01b00x00p06n05i02874ent;
ARCHITECTURE c02s01b00x00p06n05i02874arch OF c02s01b00x00p06n05i02874ent IS
BEGIN
TESTING: PROCESS
variable k : bit := '0';
BEGIN
k := "+"('1');
assert NOT(k='1')
report "***PASSED TEST: c02s01b00x00p06n05i02874"
severity NOTE;
assert (k='1')
report "***FAILED TEST: c02s01b00x00p06n05i02874 - Operator symbol as the function designator test failed."
severity ERROR;
wait;
END PROCESS TESTING;
END c02s01b00x00p06n05i02874arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc2874.vhd,v 1.2 2001-10-26 16:29:49 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c02s01b00x00p06n05i02874ent IS
function "+" (I1:Bit) return bit; --- No_Failure_here
function "+" (I1:Bit) return bit is
begin
if (I1 = '1') then
return '1';
else
return '0';
end if;
end;
END c02s01b00x00p06n05i02874ent;
ARCHITECTURE c02s01b00x00p06n05i02874arch OF c02s01b00x00p06n05i02874ent IS
BEGIN
TESTING: PROCESS
variable k : bit := '0';
BEGIN
k := "+"('1');
assert NOT(k='1')
report "***PASSED TEST: c02s01b00x00p06n05i02874"
severity NOTE;
assert (k='1')
report "***FAILED TEST: c02s01b00x00p06n05i02874 - Operator symbol as the function designator test failed."
severity ERROR;
wait;
END PROCESS TESTING;
END c02s01b00x00p06n05i02874arch;
|
------------------------------------------------------------------------------
-- channel.vhd - entity/architecture pair
------------------------------------------------------------------------------
-- IMPORTANT:
-- DO NOT MODIFY THIS FILE EXCEPT IN THE DESIGNATED SECTIONS.
--
-- SEARCH FOR --USER TO DETERMINE WHERE CHANGES ARE ALLOWED.
--
-- TYPICALLY, THE ONLY ACCEPTABLE CHANGES INVOLVE ADDING NEW
-- PORTS AND GENERICS THAT GET PASSED THROUGH TO THE INSTANTIATION
-- OF THE USER_LOGIC ENTITY.
------------------------------------------------------------------------------
--
-- ***************************************************************************
-- ** Copyright (c) 1995-2012 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** Xilinx, Inc. **
-- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" **
-- ** AS A COURTESY TO YOU, SOLELY FOR USE IN DEVELOPING PROGRAMS AND **
-- ** SOLUTIONS FOR XILINX DEVICES. BY PROVIDING THIS DESIGN, CODE, **
-- ** OR INFORMATION AS ONE POSSIBLE IMPLEMENTATION OF THIS FEATURE, **
-- ** APPLICATION OR STANDARD, XILINX IS MAKING NO REPRESENTATION **
-- ** THAT THIS IMPLEMENTATION IS FREE FROM ANY CLAIMS OF INFRINGEMENT, **
-- ** AND YOU ARE RESPONSIBLE FOR OBTAINING ANY RIGHTS YOU MAY REQUIRE **
-- ** FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY DISCLAIMS ANY **
-- ** WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE **
-- ** IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR **
-- ** REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF **
-- ** INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS **
-- ** FOR A PARTICULAR PURPOSE. **
-- ** **
-- ***************************************************************************
--
------------------------------------------------------------------------------
-- Filename: channel.vhd
-- Version: 1.00.a
-- Description: Top level design, instantiates library components and user logic.
-- Date: Fri May 29 16:59:20 2015 (by Create and Import Peripheral Wizard)
-- VHDL Standard: VHDL'93
------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port: "*_i"
-- device pins: "*_pin"
-- ports: "- Names begin with Uppercase"
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>"
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
library proc_common_v3_00_a;
use proc_common_v3_00_a.proc_common_pkg.all;
use proc_common_v3_00_a.ipif_pkg.all;
library axi_lite_ipif_v1_01_a;
use axi_lite_ipif_v1_01_a.axi_lite_ipif;
library channel_v1_00_a;
use channel_v1_00_a.user_logic;
------------------------------------------------------------------------------
-- Entity section
------------------------------------------------------------------------------
-- Definition of Generics:
-- C_S_AXI_DATA_WIDTH -- AXI4LITE slave: Data width
-- C_S_AXI_ADDR_WIDTH -- AXI4LITE slave: Address Width
-- C_S_AXI_MIN_SIZE -- AXI4LITE slave: Min Size
-- C_USE_WSTRB -- AXI4LITE slave: Write Strobe
-- C_DPHASE_TIMEOUT -- AXI4LITE slave: Data Phase Timeout
-- C_BASEADDR -- AXI4LITE slave: base address
-- C_HIGHADDR -- AXI4LITE slave: high address
-- C_FAMILY -- FPGA Family
-- C_NUM_REG -- Number of software accessible registers
-- C_NUM_MEM -- Number of address-ranges
-- C_SLV_AWIDTH -- Slave interface address bus width
-- C_SLV_DWIDTH -- Slave interface data bus width
--
-- Definition of Ports:
-- S_AXI_ACLK -- AXI4LITE slave: Clock
-- S_AXI_ARESETN -- AXI4LITE slave: Reset
-- S_AXI_AWADDR -- AXI4LITE slave: Write address
-- S_AXI_AWVALID -- AXI4LITE slave: Write address valid
-- S_AXI_WDATA -- AXI4LITE slave: Write data
-- S_AXI_WSTRB -- AXI4LITE slave: Write strobe
-- S_AXI_WVALID -- AXI4LITE slave: Write data valid
-- S_AXI_BREADY -- AXI4LITE slave: Response ready
-- S_AXI_ARADDR -- AXI4LITE slave: Read address
-- S_AXI_ARVALID -- AXI4LITE slave: Read address valid
-- S_AXI_RREADY -- AXI4LITE slave: Read data ready
-- S_AXI_ARREADY -- AXI4LITE slave: read addres ready
-- S_AXI_RDATA -- AXI4LITE slave: Read data
-- S_AXI_RRESP -- AXI4LITE slave: Read data response
-- S_AXI_RVALID -- AXI4LITE slave: Read data valid
-- S_AXI_WREADY -- AXI4LITE slave: Write data ready
-- S_AXI_BRESP -- AXI4LITE slave: Response
-- S_AXI_BVALID -- AXI4LITE slave: Resonse valid
-- S_AXI_AWREADY -- AXI4LITE slave: Wrte address ready
------------------------------------------------------------------------------
entity channel is
generic
(
-- ADD USER GENERICS BELOW THIS LINE ---------------
--USER generics added here
-- ADD USER GENERICS ABOVE THIS LINE ---------------
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol parameters, do not add to or delete
C_S_AXI_DATA_WIDTH : integer := 32;
C_S_AXI_ADDR_WIDTH : integer := 32;
C_S_AXI_MIN_SIZE : std_logic_vector := X"000001FF";
C_USE_WSTRB : integer := 0;
C_DPHASE_TIMEOUT : integer := 8;
C_BASEADDR : std_logic_vector := X"FFFFFFFF";
C_HIGHADDR : std_logic_vector := X"00000000";
C_FAMILY : string := "virtex6";
C_NUM_REG : integer := 1;
C_NUM_MEM : integer := 1;
C_SLV_AWIDTH : integer := 32;
C_SLV_DWIDTH : integer := 32
-- DO NOT EDIT ABOVE THIS LINE ---------------------
);
port
(
-- ADD USER PORTS BELOW THIS LINE ------------------
CLK_48_in : in std_logic;
CLK_100M_in : in std_logic; -- get rid of this
Channel_Left_in : in std_logic_vector(23 downto 0);
Channel_Right_in : in std_logic_vector(23 downto 0);
Channel_Left_out : out std_logic_vector(23 downto 0);
Channel_Right_out : out std_logic_vector(23 downto 0);
-- ADD USER PORTS ABOVE THIS LINE ------------------
-- DO NOT EDIT BELOW THIS LINE ---------------------
-- Bus protocol ports, do not add to or delete
S_AXI_ACLK : in std_logic;
S_AXI_ARESETN : in std_logic;
S_AXI_AWADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0);
S_AXI_AWVALID : in std_logic;
S_AXI_WDATA : in std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
S_AXI_WSTRB : in std_logic_vector((C_S_AXI_DATA_WIDTH/8)-1 downto 0);
S_AXI_WVALID : in std_logic;
S_AXI_BREADY : in std_logic;
S_AXI_ARADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0);
S_AXI_ARVALID : in std_logic;
S_AXI_RREADY : in std_logic;
S_AXI_ARREADY : out std_logic;
S_AXI_RDATA : out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0);
S_AXI_RRESP : out std_logic_vector(1 downto 0);
S_AXI_RVALID : out std_logic;
S_AXI_WREADY : out std_logic;
S_AXI_BRESP : out std_logic_vector(1 downto 0);
S_AXI_BVALID : out std_logic;
S_AXI_AWREADY : out std_logic
-- DO NOT EDIT ABOVE THIS LINE ---------------------
);
attribute MAX_FANOUT : string;
attribute SIGIS : string;
attribute MAX_FANOUT of S_AXI_ACLK : signal is "10000";
attribute MAX_FANOUT of S_AXI_ARESETN : signal is "10000";
attribute SIGIS of S_AXI_ACLK : signal is "Clk";
attribute SIGIS of S_AXI_ARESETN : signal is "Rst";
end entity channel;
------------------------------------------------------------------------------
-- Architecture section
------------------------------------------------------------------------------
architecture IMP of channel is
constant USER_SLV_DWIDTH : integer := C_S_AXI_DATA_WIDTH;
constant IPIF_SLV_DWIDTH : integer := C_S_AXI_DATA_WIDTH;
constant ZERO_ADDR_PAD : std_logic_vector(0 to 31) := (others => '0');
constant USER_SLV_BASEADDR : std_logic_vector := C_BASEADDR;
constant USER_SLV_HIGHADDR : std_logic_vector := C_HIGHADDR;
constant IPIF_ARD_ADDR_RANGE_ARRAY : SLV64_ARRAY_TYPE :=
(
ZERO_ADDR_PAD & USER_SLV_BASEADDR, -- user logic slave space base address
ZERO_ADDR_PAD & USER_SLV_HIGHADDR -- user logic slave space high address
);
constant USER_SLV_NUM_REG : integer := 32;
constant USER_NUM_REG : integer := USER_SLV_NUM_REG;
constant TOTAL_IPIF_CE : integer := USER_NUM_REG;
constant IPIF_ARD_NUM_CE_ARRAY : INTEGER_ARRAY_TYPE :=
(
0 => (USER_SLV_NUM_REG) -- number of ce for user logic slave space
);
------------------------------------------
-- Index for CS/CE
------------------------------------------
constant USER_SLV_CS_INDEX : integer := 0;
constant USER_SLV_CE_INDEX : integer := calc_start_ce_index(IPIF_ARD_NUM_CE_ARRAY, USER_SLV_CS_INDEX);
constant USER_CE_INDEX : integer := USER_SLV_CE_INDEX;
------------------------------------------
-- IP Interconnect (IPIC) signal declarations
------------------------------------------
signal ipif_Bus2IP_Clk : std_logic;
signal ipif_Bus2IP_Resetn : std_logic;
signal ipif_Bus2IP_Addr : std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0);
signal ipif_Bus2IP_RNW : std_logic;
signal ipif_Bus2IP_BE : std_logic_vector(IPIF_SLV_DWIDTH/8-1 downto 0);
signal ipif_Bus2IP_CS : std_logic_vector((IPIF_ARD_ADDR_RANGE_ARRAY'LENGTH)/2-1 downto 0);
signal ipif_Bus2IP_RdCE : std_logic_vector(calc_num_ce(IPIF_ARD_NUM_CE_ARRAY)-1 downto 0);
signal ipif_Bus2IP_WrCE : std_logic_vector(calc_num_ce(IPIF_ARD_NUM_CE_ARRAY)-1 downto 0);
signal ipif_Bus2IP_Data : std_logic_vector(IPIF_SLV_DWIDTH-1 downto 0);
signal ipif_IP2Bus_WrAck : std_logic;
signal ipif_IP2Bus_RdAck : std_logic;
signal ipif_IP2Bus_Error : std_logic;
signal ipif_IP2Bus_Data : std_logic_vector(IPIF_SLV_DWIDTH-1 downto 0);
signal user_Bus2IP_RdCE : std_logic_vector(USER_NUM_REG-1 downto 0);
signal user_Bus2IP_WrCE : std_logic_vector(USER_NUM_REG-1 downto 0);
signal user_IP2Bus_Data : std_logic_vector(USER_SLV_DWIDTH-1 downto 0);
signal user_IP2Bus_RdAck : std_logic;
signal user_IP2Bus_WrAck : std_logic;
signal user_IP2Bus_Error : std_logic;
begin
------------------------------------------
-- instantiate axi_lite_ipif
------------------------------------------
AXI_LITE_IPIF_I : entity axi_lite_ipif_v1_01_a.axi_lite_ipif
generic map
(
C_S_AXI_DATA_WIDTH => IPIF_SLV_DWIDTH,
C_S_AXI_ADDR_WIDTH => C_S_AXI_ADDR_WIDTH,
C_S_AXI_MIN_SIZE => C_S_AXI_MIN_SIZE,
C_USE_WSTRB => C_USE_WSTRB,
C_DPHASE_TIMEOUT => C_DPHASE_TIMEOUT,
C_ARD_ADDR_RANGE_ARRAY => IPIF_ARD_ADDR_RANGE_ARRAY,
C_ARD_NUM_CE_ARRAY => IPIF_ARD_NUM_CE_ARRAY,
C_FAMILY => C_FAMILY
)
port map
(
S_AXI_ACLK => S_AXI_ACLK,
S_AXI_ARESETN => S_AXI_ARESETN,
S_AXI_AWADDR => S_AXI_AWADDR,
S_AXI_AWVALID => S_AXI_AWVALID,
S_AXI_WDATA => S_AXI_WDATA,
S_AXI_WSTRB => S_AXI_WSTRB,
S_AXI_WVALID => S_AXI_WVALID,
S_AXI_BREADY => S_AXI_BREADY,
S_AXI_ARADDR => S_AXI_ARADDR,
S_AXI_ARVALID => S_AXI_ARVALID,
S_AXI_RREADY => S_AXI_RREADY,
S_AXI_ARREADY => S_AXI_ARREADY,
S_AXI_RDATA => S_AXI_RDATA,
S_AXI_RRESP => S_AXI_RRESP,
S_AXI_RVALID => S_AXI_RVALID,
S_AXI_WREADY => S_AXI_WREADY,
S_AXI_BRESP => S_AXI_BRESP,
S_AXI_BVALID => S_AXI_BVALID,
S_AXI_AWREADY => S_AXI_AWREADY,
Bus2IP_Clk => ipif_Bus2IP_Clk,
Bus2IP_Resetn => ipif_Bus2IP_Resetn,
Bus2IP_Addr => ipif_Bus2IP_Addr,
Bus2IP_RNW => ipif_Bus2IP_RNW,
Bus2IP_BE => ipif_Bus2IP_BE,
Bus2IP_CS => ipif_Bus2IP_CS,
Bus2IP_RdCE => ipif_Bus2IP_RdCE,
Bus2IP_WrCE => ipif_Bus2IP_WrCE,
Bus2IP_Data => ipif_Bus2IP_Data,
IP2Bus_WrAck => ipif_IP2Bus_WrAck,
IP2Bus_RdAck => ipif_IP2Bus_RdAck,
IP2Bus_Error => ipif_IP2Bus_Error,
IP2Bus_Data => ipif_IP2Bus_Data
);
------------------------------------------
-- instantiate User Logic
------------------------------------------
USER_LOGIC_I : entity channel_v1_00_a.user_logic
generic map
(
-- MAP USER GENERICS BELOW THIS LINE ---------------
--USER generics mapped here
-- MAP USER GENERICS ABOVE THIS LINE ---------------
C_NUM_REG => USER_NUM_REG,
C_SLV_DWIDTH => USER_SLV_DWIDTH
)
port map
(
-- MAP USER PORTS BELOW THIS LINE ------------------
CLK_48_in => CLK_48_in,
CLK_100M_in => CLK_100M_in,
Channel_Left_in => Channel_Left_in,
Channel_Right_in => Channel_Right_in,
Channel_Left_out => Channel_Left_out,
Channel_Right_out => Channel_Right_out,
-- MAP USER PORTS ABOVE THIS LINE ------------------
Bus2IP_Clk => ipif_Bus2IP_Clk,
Bus2IP_Resetn => ipif_Bus2IP_Resetn,
Bus2IP_Data => ipif_Bus2IP_Data,
Bus2IP_BE => ipif_Bus2IP_BE,
Bus2IP_RdCE => user_Bus2IP_RdCE,
Bus2IP_WrCE => user_Bus2IP_WrCE,
IP2Bus_Data => user_IP2Bus_Data,
IP2Bus_RdAck => user_IP2Bus_RdAck,
IP2Bus_WrAck => user_IP2Bus_WrAck,
IP2Bus_Error => user_IP2Bus_Error
);
------------------------------------------
-- connect internal signals
------------------------------------------
ipif_IP2Bus_Data <= user_IP2Bus_Data;
ipif_IP2Bus_WrAck <= user_IP2Bus_WrAck;
ipif_IP2Bus_RdAck <= user_IP2Bus_RdAck;
ipif_IP2Bus_Error <= user_IP2Bus_Error;
user_Bus2IP_RdCE <= ipif_Bus2IP_RdCE(USER_NUM_REG-1 downto 0);
user_Bus2IP_WrCE <= ipif_Bus2IP_WrCE(USER_NUM_REG-1 downto 0);
end IMP;
|
-------------------------------------------------------------------------------
-- Title : Accelerator Adapter
-- Project :
-------------------------------------------------------------------------------
-- File : dp_bank_sdp_v6.vhd
-- Author : rmg/jn
-- Company : Xilinx, Inc.
-- Created : 2012-09-05
-- Last update: 2012-11-04
-- Platform :
-- Standard : VHDL'93
-------------------------------------------------------------------------------
-- Description:
-------------------------------------------------------------------------------
-- (c) Copyright 2013 Xilinx, Inc. All rights reserved.
-------------------------------------------------------------------------------
-- Revisions :
-- Date Version Author Description
-- 2012-09-05 1.0 rmg/jn Created
-- 2013-08-25 2.0 pvk Updated BRAM data input pin correctly when standard SDP mode is used.
-- Lower bits mapped to DIBDI in standard SDP. In Extra wide SDP
-- configuration, higher 32 bit mapped to DIBDI.
-- 2013-10-25 2.0 pvk Added support for UltraScale primitives.
-------------------------------------------------------------------------------
-- ****************************************************************************
--
-- (c) Copyright 2013 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- ****************************************************************************
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library unisim;
use unisim.vcomponents.all;
library axis_accelerator_adapter_v2_1_6;
use axis_accelerator_adapter_v2_1_6.xd_adapter_pkg.all;
entity dp_bank_sdp_v6 is
generic (
C_FAMILY : string;
C_BRAM_TYPE : string := "7_SERIES"; -- 7_SERIES = RAMB36E1. ULTRASCALE = RAMB36E2
C_SDP_WIDE : integer;
C_RD_AWIDTH : integer;
C_RD_DWIDTH : integer;
C_WR_AWIDTH : integer;
C_WR_DWIDTH : integer);
port (
rst : in std_logic;
wr_clk : in std_logic;
wr_en : in std_logic;
wr_addr : in std_logic_vector(C_WR_AWIDTH-1 downto 0);
din : in std_logic_vector(C_WR_DWIDTH-1 downto 0);
rd_clk : in std_logic;
rd_en : in std_logic;
rd_addr : in std_logic_vector(C_RD_AWIDTH-1 downto 0);
dout : out std_logic_vector(C_RD_DWIDTH-1 downto 0));
end dp_bank_sdp_v6;
architecture rtl of dp_bank_sdp_v6 is
signal bram_din : std_logic_vector(63 downto 0);
signal bram_dout : std_logic_vector(63 downto 0);
signal bram_wr_addr : std_logic_vector(15 downto 0);
signal bram_rd_addr : std_logic_vector(15 downto 0);
signal bram_we : std_logic_vector(7 downto 0);
constant USE_RAMB36E1 : boolean := ((C_WR_DWIDTH = 64 and C_RD_DWIDTH <= 64) or
(C_RD_DWIDTH = 64 and C_WR_DWIDTH <= 64));
constant USE_RAMB18E1 : boolean := ((C_WR_DWIDTH = 32 and C_RD_DWIDTH <= 32) or
(C_RD_DWIDTH = 32 and C_WR_DWIDTH <= 32));
begin
PORT_64_GEN : if USE_RAMB36E1 generate
begin
process(wr_addr)
constant ADDR_LSB : integer := log2(C_WR_DWIDTH);
constant ADDR_MSB : integer := ADDR_LSB+C_WR_AWIDTH-1;
begin
bram_wr_addr <= (others => '0');
bram_wr_addr(ADDR_MSB downto ADDR_LSB) <= wr_addr;
end process;
process(rd_addr)
constant ADDR_LSB : integer := log2(C_RD_DWIDTH);
constant ADDR_MSB : integer := ADDR_LSB+C_RD_AWIDTH-1;
begin
bram_rd_addr <= (others => '0');
bram_rd_addr(ADDR_MSB downto ADDR_LSB) <= rd_addr;
end process;
SDP_EXTRA_WIDE_GEN : if C_SDP_WIDE = 1 generate
begin
bram_din(C_WR_DWIDTH-1 downto 0) <= din;
bram_din(63 downto C_WR_DWIDTH) <= (others => '0');
end generate SDP_EXTRA_WIDE_GEN;
SDP_STD_GEN : if C_SDP_WIDE = 0 generate
begin
bram_din(32+C_WR_DWIDTH-1 downto 32) <= din;
bram_din(C_WR_DWIDTH-1 downto 0) <= din;
bram_din(31 downto C_WR_DWIDTH) <= (others => '0');
bram_din(63 downto 32+C_WR_DWIDTH) <= (others => '0');
end generate SDP_STD_GEN;
-- process(din)
-- begin
-- bram_din <= (others => '0');
-- bram_din(C_WR_DWIDTH-1 downto 0) <= din;
-- end process;
dout <= bram_dout(C_RD_DWIDTH-1 downto 0);
-- Port A: read
-- Port B: write
--------------------------
-- 7 Series BRAM Primitive
--------------------------
BRAM_7_SERIES : if (C_BRAM_TYPE = "7_SERIES") generate
begin
BRAM_I : RAMB36E1
generic map (
SIM_COLLISION_CHECK => "ALL", -- Colision check: Values ("ALL", "WARNING_ONLY", "GENERATE_X_ONLY" or "NONE")
RDADDR_COLLISION_HWCONFIG => "DELAYED_WRITE", -- "PERFORMANCE" or "DELAYED_WRITE"
DOA_REG => 0, -- DOA_REG, DOB_REG: Optional output register (0 or 1)
DOB_REG => 0,
EN_ECC_READ => false, -- Error Correction Circuitry (ECC): Encoder/decoder enable (TRUE/FALSE)
EN_ECC_WRITE => false,
INIT_A => x"000000000", -- INIT_A, INIT_B: Initial values on output ports
INIT_B => x"000000000",
INIT_FILE => "NONE", -- RAM initialization file
RAM_EXTENSION_A => "NONE", -- RAM_EXTENSION_A, RAM_EXTENSION_B: Selects cascade mode ("UPPER", "LOWER", or "NONE")
RAM_EXTENSION_B => "NONE",
RAM_MODE => "SDP", -- "SDP" or "TDP"
READ_WIDTH_A => C_RD_DWIDTH+(C_RD_DWIDTH/8), -- READ_WIDTH_A: 0, 1, 2, 4, 9, 18, 36, 72
WRITE_WIDTH_A => 0, -- WRITE_WIDTH_A: 0, 1, 2, 4, 9, 18, 36
READ_WIDTH_B => 0, -- READ_WIDTH_B: 0, 1, 2, 4, 9, 18, 36
WRITE_WIDTH_B => C_WR_DWIDTH+(C_WR_DWIDTH/8), -- WRITE_WIDTH_B: 0, 1, 2, 4, 9, 18, 36, 72
RSTREG_PRIORITY_A => "REGCE", -- RSTREG_PRIORITY_A, RSTREG_PRIORITY_B: Reset or enable priority ("RSTREG" or "REGCE")
RSTREG_PRIORITY_B => "REGCE",
SRVAL_A => x"000000000", -- SRVAL_A, SRVAL_B: Set/reset value for output
SRVAL_B => x"000000000",
WRITE_MODE_A => "READ_FIRST", -- WriteMode: Value on output upon a write ("WRITE_FIRST", "READ_FIRST", or "NO_CHANGE")
WRITE_MODE_B => "READ_FIRST")
port map (
-- ECC Signals: 1-bit (each) output Error Correction Circuitry ports
INJECTDBITERR => '0', -- 1-bit input Inject a double bit error
INJECTSBITERR => '0', -- 1-bit input Inject a single bit error
DBITERR => open, -- 1-bit output double bit error status output
ECCPARITY => open, -- 8-bit output generated error correction parity
RDADDRECC => open, -- 9-bit output ECC read address
SBITERR => open, -- 1-bit output Single bit error status output
CASCADEINA => '0', -- 1-bit input A port cascade input
CASCADEOUTA => open, -- 1-bit output A port cascade output
DIADI => bram_din(31 downto 0), -- 32-bit input A port data/LSB data input
DIPADIP => x"0", -- 4-bit input A port parity/LSB parity input
DOADO => bram_dout(31 downto 0), -- 32-bit output A port data/LSB data output
DOPADOP => open, -- 4-bit output A port parity/LSB parity output
ADDRARDADDR => bram_rd_addr, -- 16-bit input A port address/Read address input
CLKARDCLK => rd_clk, -- 1-bit input A port clock/Read clock input
ENARDEN => rd_en, -- 1-bit input A port enable/Read enable input
REGCEAREGCE => '1', -- 1-bit input A port register enable/Register enable input
RSTRAMARSTRAM => rst, -- 1-bit input A port set/reset input
RSTREGARSTREG => '0', -- 1-bit input A port register set/reset input
WEA => x"0", -- 4-bit input A port write enable input
CASCADEINB => '0', -- 1-bit input B port cascade input
CASCADEOUTB => open, -- 1-bit output B port cascade output
DIBDI => bram_din(63 downto 32), -- 32-bit input B port data/MSB data input
DIPBDIP => x"0", -- 4-bit input B port parity/MSB parity input
DOBDO => bram_dout(63 downto 32), -- 32-bit output B port data/MSB data output
DOPBDOP => open, -- 4-bit output B port parity/MSB parity output
ADDRBWRADDR => bram_wr_addr, -- 16-bit input B port address/Write address input
CLKBWRCLK => wr_clk, -- 1-bit input B port clock/Write clock input
ENBWREN => wr_en, -- 1-bit input B port enable/Write enable input
REGCEB => '1', -- 1-bit input B port register enable input
RSTRAMB => rst, -- Reset del latch de salida de la memoria (1 bit)
RSTREGB => '0', -- Reset del registro opcional de salida (1 bit)
WEBWE => x"FF"); -- 8-bit input B port write enable/Write enable input
end generate BRAM_7_SERIES;
--------------------------
-- 8 Series BRAM Primitive
--------------------------
BRAM_8_SERIES : if (C_BRAM_TYPE = "ULTRASCALE") generate
begin
BRAM_I: RAMB36E2
GENERIC MAP (
SIM_COLLISION_CHECK => "ALL",
CASCADE_ORDER_A => "NONE",
CASCADE_ORDER_B => "NONE",
CLOCK_DOMAINS => "INDEPENDENT",
DOA_REG => 0, -- DOA_REG, DOB_REG: Optional output register (0 or 1)
DOB_REG => 0,
ENADDRENA => "FALSE",
ENADDRENB => "FALSE",
EN_ECC_PIPE => "FALSE",
EN_ECC_READ => "FALSE",
EN_ECC_WRITE => "FALSE",
INIT_A => X"000000000",
INIT_B => X"000000000",
INIT_FILE => "NONE", -- RAM initialization file
RDADDRCHANGEA => "FALSE",
RDADDRCHANGEB => "FALSE",
READ_WIDTH_A => C_RD_DWIDTH+(C_RD_DWIDTH/8), -- READ_WIDTH_A: 0, 1, 2, 4, 9, 18, 36, 72
WRITE_WIDTH_A => 0, -- WRITE_WIDTH_A: 0, 1, 2, 4, 9, 18, 36,
READ_WIDTH_B => 0, -- READ_WIDTH_B: 0, 1, 2, 4, 9, 18, 36,
WRITE_WIDTH_B => C_WR_DWIDTH+(C_WR_DWIDTH/8), -- WRITE_WIDTH_B: 0, 1, 2, 4, 9, 18, 36, 72
RSTREG_PRIORITY_A => "REGCE",
RSTREG_PRIORITY_B => "REGCE",
SLEEP_ASYNC => "FALSE",
SRVAL_A => X"000000000", -- SRVAL_A, SRVAL_B: Set/reset value for output,
SRVAL_B => X"000000000",
WRITE_MODE_A => "READ_FIRST", -- WriteMode: Value on output upon a write ("WRITE_FIRST", "READ_FIRST", or "NO_CHANGE"),
WRITE_MODE_B => "READ_FIRST"
)
PORT MAP (
INJECTDBITERR => '0',
INJECTSBITERR => '0',
DBITERR => open,
ECCPARITY => open,
RDADDRECC => open,
SBITERR => open,
CASDOUTA => open,
CASDOUTB => open,
CASDOUTPA => open,
CASDOUTPB => open,
CASOUTDBITERR => open,
CASOUTSBITERR => open,
CASDIMUXA => '0',
CASDIMUXB => '0',
CASDOMUXEN_A => '0',
CASDOMUXEN_B => '0',
CASOREGIMUXEN_A => '0',
CASOREGIMUXEN_B => '0',
CASDINA => (OTHERS => '0'),
CASDINB => (OTHERS => '0'),
CASDINPA => (OTHERS => '0'),
CASDINPB => (OTHERS => '0'),
CASDOMUXA => '0',
CASDOMUXB => '0',
CASINDBITERR => '0',
CASINSBITERR => '0',
CASOREGIMUXA => '0',
CASOREGIMUXB => '0',
DINADIN => bram_din(31 downto 0), -- 32-bit input A port data/LSB data input
DINPADINP => x"0", -- 4-bit input A port parity/LSB parity input,
DOUTADOUT => bram_dout(31 downto 0), -- 32-bit output A port data/LSB data output
DOUTPADOUTP => open,
ADDRARDADDR => bram_rd_addr(14 downto 0), -- 16-bit input A port address/Read address input
CLKARDCLK => rd_clk,
ENARDEN => rd_en, -- 1-bit input A port enable/Read enable input
ADDRENA => '0',
REGCEAREGCE => '1',
RSTRAMARSTRAM => rst,
RSTREGARSTREG => '0',
WEA => x"0", -- 4-bit input A port write enable input
DINBDIN => bram_din(63 downto 32), -- 32-bit input B port data/MSB data input
DINPBDINP => x"0", -- 4-bit input B port parity/MSB parity input
DOUTBDOUT => bram_dout(63 downto 32), -- 32-bit output B port data/MSB data output
DOUTPBDOUTP => open,
ADDRBWRADDR => bram_wr_addr(14 downto 0), -- 16-bit input B port address/Write address input
CLKBWRCLK => wr_clk,
ENBWREN => wr_en, -- 1-bit input B port enable/Write enable input
ADDRENB => '0',
ECCPIPECE => '0',
REGCEB => '1',
RSTRAMB => rst,
RSTREGB => '0',
SLEEP => '0',
WEBWE => x"FF" -- 8-bit input B port write enable/Write enable input
);
end generate BRAM_8_SERIES;
end generate PORT_64_GEN;
end rtl;
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
MhstKyA2PMxb+FCs1Yur6egruvUNouCZpvWFtZHBmrWhcaFljQ+YGxuuv5oeyukwz6tNOZXIYKZp
r6m134vVsA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
cH+CDl7orzmu+ALDBrVmwDLS3kiMzpe73qlDPQwV/C9nUru3LQtmRfVu9s8d1M2aYVdlrqmUKmuz
7sQPWhGP3ZUYBOe/tfXdr9TqLewIxhme7kT/Vcp7MOyg1NKA4G4sIv7r+sy+48GMAFQ5LIqbX3qW
bMiB5zACYbcey0JmybE=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
jGi84HIG6st1VmmLag5NxkeMa2qbqhGAGxYZIdB7GroUMrgVeHPDgHFgOJtgN+DdUH6Sc/5CwOkR
LZe+YPoE6P0lPLZULN1kSJjQM2EdC6/TDXi+3AlBxS9hnl9U3eCOAC4InJNdtle0sFrXs9q9oHHV
qZxkoFr3EJVK2rc/8zDAyhfPFQeF/NvOlduFtaz62qU3xW8rZN3lhaggh3mGXCVSJTnpwwrUPcR+
H76PUui1vkOIscuBoEPCnUWKj4ehEed2pSQugGvvDoF5gnQLtOlEkM3dmBsCTDgAHriAqnOaoHxS
7oaWoXl+QwJiXla0a9CLmO/0CSsrbhLyPFmMXg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
TEOfwGd5RiJCD9iYW1mbOuSNYudaMJIeXPycA8O4yraxbW5xqqfjinE6A7FALKc6kO7BRxnB2HiS
2sdsejPhoKdGy1nfNvHsKMs8t9smOw/dxkrr6ER6+9Pwju2h0+mVQTFUyVUeMigzykZvyHazHHWV
Hs6inFyVvQeNG/4aHoM=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Mna/RvGma0+gJVQG0kjhwBTAnwtKGUGnHSbkOl9zZMEweb0QKYW1tHWNXDF4ODoI1mAq/l18tFFY
48GQwppvnnryxyxbDnMKpLxKRelv1rUEha0LM31GM5VbUd7YufOVSrL51q6Tx5wHB6NOBJJrJLhA
j9ZeI57TvXcf8KR43TFdK2evHmoqQEOv4ASsOgcGh099jtpLXccswUGD78ZqLCFWsQL0UlWxpHLe
5VHNlc+6KyrzIVrmeViLCdRSv6SfOF2UJKn91uR1AkY9ZYvrF/NMBp9wxv23+IvnQERyAvapDCvZ
CzSHwIlX/Q1gYXSaYDowhc40B0YtFykZ4MYQVQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 22688)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
MhstKyA2PMxb+FCs1Yur6egruvUNouCZpvWFtZHBmrWhcaFljQ+YGxuuv5oeyukwz6tNOZXIYKZp
r6m134vVsA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
cH+CDl7orzmu+ALDBrVmwDLS3kiMzpe73qlDPQwV/C9nUru3LQtmRfVu9s8d1M2aYVdlrqmUKmuz
7sQPWhGP3ZUYBOe/tfXdr9TqLewIxhme7kT/Vcp7MOyg1NKA4G4sIv7r+sy+48GMAFQ5LIqbX3qW
bMiB5zACYbcey0JmybE=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
jGi84HIG6st1VmmLag5NxkeMa2qbqhGAGxYZIdB7GroUMrgVeHPDgHFgOJtgN+DdUH6Sc/5CwOkR
LZe+YPoE6P0lPLZULN1kSJjQM2EdC6/TDXi+3AlBxS9hnl9U3eCOAC4InJNdtle0sFrXs9q9oHHV
qZxkoFr3EJVK2rc/8zDAyhfPFQeF/NvOlduFtaz62qU3xW8rZN3lhaggh3mGXCVSJTnpwwrUPcR+
H76PUui1vkOIscuBoEPCnUWKj4ehEed2pSQugGvvDoF5gnQLtOlEkM3dmBsCTDgAHriAqnOaoHxS
7oaWoXl+QwJiXla0a9CLmO/0CSsrbhLyPFmMXg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
TEOfwGd5RiJCD9iYW1mbOuSNYudaMJIeXPycA8O4yraxbW5xqqfjinE6A7FALKc6kO7BRxnB2HiS
2sdsejPhoKdGy1nfNvHsKMs8t9smOw/dxkrr6ER6+9Pwju2h0+mVQTFUyVUeMigzykZvyHazHHWV
Hs6inFyVvQeNG/4aHoM=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Mna/RvGma0+gJVQG0kjhwBTAnwtKGUGnHSbkOl9zZMEweb0QKYW1tHWNXDF4ODoI1mAq/l18tFFY
48GQwppvnnryxyxbDnMKpLxKRelv1rUEha0LM31GM5VbUd7YufOVSrL51q6Tx5wHB6NOBJJrJLhA
j9ZeI57TvXcf8KR43TFdK2evHmoqQEOv4ASsOgcGh099jtpLXccswUGD78ZqLCFWsQL0UlWxpHLe
5VHNlc+6KyrzIVrmeViLCdRSv6SfOF2UJKn91uR1AkY9ZYvrF/NMBp9wxv23+IvnQERyAvapDCvZ
CzSHwIlX/Q1gYXSaYDowhc40B0YtFykZ4MYQVQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 22688)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
MhstKyA2PMxb+FCs1Yur6egruvUNouCZpvWFtZHBmrWhcaFljQ+YGxuuv5oeyukwz6tNOZXIYKZp
r6m134vVsA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
cH+CDl7orzmu+ALDBrVmwDLS3kiMzpe73qlDPQwV/C9nUru3LQtmRfVu9s8d1M2aYVdlrqmUKmuz
7sQPWhGP3ZUYBOe/tfXdr9TqLewIxhme7kT/Vcp7MOyg1NKA4G4sIv7r+sy+48GMAFQ5LIqbX3qW
bMiB5zACYbcey0JmybE=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
jGi84HIG6st1VmmLag5NxkeMa2qbqhGAGxYZIdB7GroUMrgVeHPDgHFgOJtgN+DdUH6Sc/5CwOkR
LZe+YPoE6P0lPLZULN1kSJjQM2EdC6/TDXi+3AlBxS9hnl9U3eCOAC4InJNdtle0sFrXs9q9oHHV
qZxkoFr3EJVK2rc/8zDAyhfPFQeF/NvOlduFtaz62qU3xW8rZN3lhaggh3mGXCVSJTnpwwrUPcR+
H76PUui1vkOIscuBoEPCnUWKj4ehEed2pSQugGvvDoF5gnQLtOlEkM3dmBsCTDgAHriAqnOaoHxS
7oaWoXl+QwJiXla0a9CLmO/0CSsrbhLyPFmMXg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
TEOfwGd5RiJCD9iYW1mbOuSNYudaMJIeXPycA8O4yraxbW5xqqfjinE6A7FALKc6kO7BRxnB2HiS
2sdsejPhoKdGy1nfNvHsKMs8t9smOw/dxkrr6ER6+9Pwju2h0+mVQTFUyVUeMigzykZvyHazHHWV
Hs6inFyVvQeNG/4aHoM=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Mna/RvGma0+gJVQG0kjhwBTAnwtKGUGnHSbkOl9zZMEweb0QKYW1tHWNXDF4ODoI1mAq/l18tFFY
48GQwppvnnryxyxbDnMKpLxKRelv1rUEha0LM31GM5VbUd7YufOVSrL51q6Tx5wHB6NOBJJrJLhA
j9ZeI57TvXcf8KR43TFdK2evHmoqQEOv4ASsOgcGh099jtpLXccswUGD78ZqLCFWsQL0UlWxpHLe
5VHNlc+6KyrzIVrmeViLCdRSv6SfOF2UJKn91uR1AkY9ZYvrF/NMBp9wxv23+IvnQERyAvapDCvZ
CzSHwIlX/Q1gYXSaYDowhc40B0YtFykZ4MYQVQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 22688)
`protect data_block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`protect end_protected
|
-- $Id: rgbdrv_3x2mux.vhd 1181 2019-07-08 17:00:50Z mueller $
-- SPDX-License-Identifier: GPL-3.0-or-later
-- Copyright 2018- by Walter F.J. Mueller <[email protected]>
--
------------------------------------------------------------------------------
-- Module Name: rgbdrv_3x2mux - syn
-- Description: rgbled driver: mux three 2bit inputs
--
-- Dependencies: xlib/iob_reg_o_gen
-- Test bench: -
-- Target Devices: generic
-- Tool versions: viv 2017.2-2018.2; ghdl 0.34
--
-- Revision History:
-- Date Rev Version Comment
-- 2018-08-11 1038 1.0 Initial version (derived from rgbdrv_3x4mux)
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.slvtypes.all;
use work.xlib.all;
entity rgbdrv_3x2mux is -- rgbled driver: mux three 2bit inputs
port (
CLK : in slbit; -- clock
RESET : in slbit := '0'; -- reset
CE_USEC : in slbit; -- 1 us clock enable
DATR : in slv2; -- red data
DATG : in slv2; -- green data
DATB : in slv2; -- blue data
O_RGBLED0 : out slv3; -- pad-o: rgb led 0
O_RGBLED1 : out slv3 -- pad-o: rgb led 1
);
end rgbdrv_3x2mux;
architecture syn of rgbdrv_3x2mux is
signal R_LED : slv4 := "0001"; -- keep 4 states to keep brightness !
signal R_COL : slv3 := "001";
signal R_DIM : slbit := '1';
signal RGB0 : slv3 := (others=>'0');
signal RGB1 : slv3 := (others=>'0');
begin
IOB_RGB0: iob_reg_o_gen
generic map (DWIDTH => 3)
port map (CLK => CLK, CE => '1', DO => RGB0, PAD => O_RGBLED0);
IOB_RGB1: iob_reg_o_gen
generic map (DWIDTH => 3)
port map (CLK => CLK, CE => '1', DO => RGB1, PAD => O_RGBLED1);
proc_regs: process (CLK)
begin
if rising_edge(CLK) then
if RESET = '1' then
R_LED <= "0001";
R_COL <= "001";
R_DIM <= '1';
else
if CE_USEC = '1' then
R_DIM <= not R_DIM;
if R_DIM = '1' then
R_COL <= R_COL(1) & R_COL(0) & R_COL(2);
if R_COL(2) = '1' then
R_LED <= R_LED(2) & R_LED(1) & R_LED(0) & R_LED(3);
end if;
end if;
end if;
end if;
end if;
end process proc_regs;
proc_mux: process (R_DIM, R_COL, R_LED, DATR, DATG, DATB)
begin
RGB0(0) <= (not R_DIM) and R_COL(0) and R_LED(0) and DATR(0);
RGB0(1) <= (not R_DIM) and R_COL(1) and R_LED(0) and DATG(0);
RGB0(2) <= (not R_DIM) and R_COL(2) and R_LED(0) and DATB(0);
RGB1(0) <= (not R_DIM) and R_COL(0) and R_LED(1) and DATR(1);
RGB1(1) <= (not R_DIM) and R_COL(1) and R_LED(1) and DATG(1);
RGB1(2) <= (not R_DIM) and R_COL(2) and R_LED(1) and DATB(1);
end process proc_mux;
end syn;
|
library ieee;
use ieee.std_logic_1164.all;
entity cmp_204 is
port (
eq : out std_logic;
in0 : in std_logic_vector(2 downto 0);
in1 : in std_logic_vector(2 downto 0)
);
end cmp_204;
architecture augh of cmp_204 is
signal tmp : std_logic;
begin
-- Compute the result
tmp <=
'0' when in0 /= in1 else
'1';
-- Set the outputs
eq <= tmp;
end architecture;
|
library ieee;
use ieee.std_logic_1164.all;
entity cmp_204 is
port (
eq : out std_logic;
in0 : in std_logic_vector(2 downto 0);
in1 : in std_logic_vector(2 downto 0)
);
end cmp_204;
architecture augh of cmp_204 is
signal tmp : std_logic;
begin
-- Compute the result
tmp <=
'0' when in0 /= in1 else
'1';
-- Set the outputs
eq <= tmp;
end architecture;
|
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:user:vga_buffer:1.0
-- IP Revision: 3
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
ENTITY system_vga_buffer_1_0 IS
PORT (
clk_w : IN STD_LOGIC;
clk_r : IN STD_LOGIC;
wen : IN STD_LOGIC;
x_addr_w : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
y_addr_w : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
x_addr_r : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
y_addr_r : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
data_w : IN STD_LOGIC_VECTOR(23 DOWNTO 0);
data_r : OUT STD_LOGIC_VECTOR(23 DOWNTO 0)
);
END system_vga_buffer_1_0;
ARCHITECTURE system_vga_buffer_1_0_arch OF system_vga_buffer_1_0 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF system_vga_buffer_1_0_arch: ARCHITECTURE IS "yes";
COMPONENT vga_buffer IS
GENERIC (
SIZE_POW2 : INTEGER
);
PORT (
clk_w : IN STD_LOGIC;
clk_r : IN STD_LOGIC;
wen : IN STD_LOGIC;
x_addr_w : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
y_addr_w : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
x_addr_r : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
y_addr_r : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
data_w : IN STD_LOGIC_VECTOR(23 DOWNTO 0);
data_r : OUT STD_LOGIC_VECTOR(23 DOWNTO 0)
);
END COMPONENT vga_buffer;
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF clk_w: SIGNAL IS "xilinx.com:signal:clock:1.0 clk CLK";
BEGIN
U0 : vga_buffer
GENERIC MAP (
SIZE_POW2 => 10
)
PORT MAP (
clk_w => clk_w,
clk_r => clk_r,
wen => wen,
x_addr_w => x_addr_w,
y_addr_w => y_addr_w,
x_addr_r => x_addr_r,
y_addr_r => y_addr_r,
data_w => data_w,
data_r => data_r
);
END system_vga_buffer_1_0_arch;
|
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:user:vga_buffer:1.0
-- IP Revision: 3
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
ENTITY system_vga_buffer_1_0 IS
PORT (
clk_w : IN STD_LOGIC;
clk_r : IN STD_LOGIC;
wen : IN STD_LOGIC;
x_addr_w : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
y_addr_w : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
x_addr_r : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
y_addr_r : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
data_w : IN STD_LOGIC_VECTOR(23 DOWNTO 0);
data_r : OUT STD_LOGIC_VECTOR(23 DOWNTO 0)
);
END system_vga_buffer_1_0;
ARCHITECTURE system_vga_buffer_1_0_arch OF system_vga_buffer_1_0 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF system_vga_buffer_1_0_arch: ARCHITECTURE IS "yes";
COMPONENT vga_buffer IS
GENERIC (
SIZE_POW2 : INTEGER
);
PORT (
clk_w : IN STD_LOGIC;
clk_r : IN STD_LOGIC;
wen : IN STD_LOGIC;
x_addr_w : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
y_addr_w : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
x_addr_r : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
y_addr_r : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
data_w : IN STD_LOGIC_VECTOR(23 DOWNTO 0);
data_r : OUT STD_LOGIC_VECTOR(23 DOWNTO 0)
);
END COMPONENT vga_buffer;
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF clk_w: SIGNAL IS "xilinx.com:signal:clock:1.0 clk CLK";
BEGIN
U0 : vga_buffer
GENERIC MAP (
SIZE_POW2 => 10
)
PORT MAP (
clk_w => clk_w,
clk_r => clk_r,
wen => wen,
x_addr_w => x_addr_w,
y_addr_w => y_addr_w,
x_addr_r => x_addr_r,
y_addr_r => y_addr_r,
data_w => data_w,
data_r => data_r
);
END system_vga_buffer_1_0_arch;
|
--------------------------------------------------------------------------------
-- This file is owned and controlled by Xilinx and must be used solely --
-- for design, simulation, implementation and creation of design files --
-- limited to Xilinx devices or technologies. Use with non-Xilinx --
-- devices or technologies is expressly prohibited and immediately --
-- terminates your license. --
-- --
-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY --
-- FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY --
-- PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE --
-- IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS --
-- MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY --
-- CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY --
-- RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY --
-- DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE --
-- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR --
-- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF --
-- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A --
-- PARTICULAR PURPOSE. --
-- --
-- Xilinx products are not intended for use in life support appliances, --
-- devices, or systems. Use in such applications are expressly --
-- prohibited. --
-- --
-- (c) Copyright 1995-2013 Xilinx, Inc. --
-- All rights reserved. --
--------------------------------------------------------------------------------
--------------------------------------------------------------------------------
-- Generated from core with identifier: xilinx.com:ip:fifo_generator:9.2 --
-- --
-- The FIFO Generator is a parameterizable first-in/first-out memory --
-- queue generator. Use it to generate resource and performance --
-- optimized FIFOs with common or independent read/write clock domains, --
-- and optional fixed or programmable full and empty flags and --
-- handshaking signals. Choose from a selection of memory resource --
-- types for implementation. Optional Hamming code based error --
-- detection and correction as well as error injection capability for --
-- system test help to insure data integrity. FIFO width and depth are --
-- parameterizable, and for native interface FIFOs, asymmetric read and --
-- write port widths are also supported. --
--------------------------------------------------------------------------------
-- Synthesized Netlist Wrapper
-- This file is provided to wrap around the synthesized netlist (if appropriate)
-- Interfaces:
-- AXI4Stream_MASTER_M_AXIS
-- AXI4Stream_SLAVE_S_AXIS
-- AXI4_MASTER_M_AXI
-- AXI4_SLAVE_S_AXI
-- AXI4Lite_MASTER_M_AXI
-- AXI4Lite_SLAVE_S_AXI
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
ENTITY rgbfifo IS
PORT (
clk : IN STD_LOGIC;
rst : IN STD_LOGIC;
din : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
wr_en : IN STD_LOGIC;
rd_en : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
full : OUT STD_LOGIC;
almost_full : OUT STD_LOGIC;
empty : OUT STD_LOGIC;
almost_empty : OUT STD_LOGIC;
valid : OUT STD_LOGIC
);
END rgbfifo;
ARCHITECTURE spartan6 OF rgbfifo IS
BEGIN
-- WARNING: This file provides an entity declaration with empty architecture, it
-- does not support direct instantiation. Please use an instantiation
-- template (VHO) to instantiate the IP within a design.
END spartan6;
|
--------------------------------------------------------------------------------
-- This file is owned and controlled by Xilinx and must be used solely --
-- for design, simulation, implementation and creation of design files --
-- limited to Xilinx devices or technologies. Use with non-Xilinx --
-- devices or technologies is expressly prohibited and immediately --
-- terminates your license. --
-- --
-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY --
-- FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY --
-- PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE --
-- IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS --
-- MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY --
-- CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY --
-- RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY --
-- DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE --
-- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR --
-- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF --
-- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A --
-- PARTICULAR PURPOSE. --
-- --
-- Xilinx products are not intended for use in life support appliances, --
-- devices, or systems. Use in such applications are expressly --
-- prohibited. --
-- --
-- (c) Copyright 1995-2013 Xilinx, Inc. --
-- All rights reserved. --
--------------------------------------------------------------------------------
--------------------------------------------------------------------------------
-- Generated from core with identifier: xilinx.com:ip:fifo_generator:9.2 --
-- --
-- The FIFO Generator is a parameterizable first-in/first-out memory --
-- queue generator. Use it to generate resource and performance --
-- optimized FIFOs with common or independent read/write clock domains, --
-- and optional fixed or programmable full and empty flags and --
-- handshaking signals. Choose from a selection of memory resource --
-- types for implementation. Optional Hamming code based error --
-- detection and correction as well as error injection capability for --
-- system test help to insure data integrity. FIFO width and depth are --
-- parameterizable, and for native interface FIFOs, asymmetric read and --
-- write port widths are also supported. --
--------------------------------------------------------------------------------
-- Synthesized Netlist Wrapper
-- This file is provided to wrap around the synthesized netlist (if appropriate)
-- Interfaces:
-- AXI4Stream_MASTER_M_AXIS
-- AXI4Stream_SLAVE_S_AXIS
-- AXI4_MASTER_M_AXI
-- AXI4_SLAVE_S_AXI
-- AXI4Lite_MASTER_M_AXI
-- AXI4Lite_SLAVE_S_AXI
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
ENTITY rgbfifo IS
PORT (
clk : IN STD_LOGIC;
rst : IN STD_LOGIC;
din : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
wr_en : IN STD_LOGIC;
rd_en : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
full : OUT STD_LOGIC;
almost_full : OUT STD_LOGIC;
empty : OUT STD_LOGIC;
almost_empty : OUT STD_LOGIC;
valid : OUT STD_LOGIC
);
END rgbfifo;
ARCHITECTURE spartan6 OF rgbfifo IS
BEGIN
-- WARNING: This file provides an entity declaration with empty architecture, it
-- does not support direct instantiation. Please use an instantiation
-- template (VHO) to instantiate the IP within a design.
END spartan6;
|
--------------------------------------------------------------------------------
-- This file is owned and controlled by Xilinx and must be used solely --
-- for design, simulation, implementation and creation of design files --
-- limited to Xilinx devices or technologies. Use with non-Xilinx --
-- devices or technologies is expressly prohibited and immediately --
-- terminates your license. --
-- --
-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY --
-- FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY --
-- PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE --
-- IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS --
-- MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY --
-- CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY --
-- RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY --
-- DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE --
-- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR --
-- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF --
-- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A --
-- PARTICULAR PURPOSE. --
-- --
-- Xilinx products are not intended for use in life support appliances, --
-- devices, or systems. Use in such applications are expressly --
-- prohibited. --
-- --
-- (c) Copyright 1995-2013 Xilinx, Inc. --
-- All rights reserved. --
--------------------------------------------------------------------------------
--------------------------------------------------------------------------------
-- Generated from core with identifier: xilinx.com:ip:fifo_generator:9.2 --
-- --
-- The FIFO Generator is a parameterizable first-in/first-out memory --
-- queue generator. Use it to generate resource and performance --
-- optimized FIFOs with common or independent read/write clock domains, --
-- and optional fixed or programmable full and empty flags and --
-- handshaking signals. Choose from a selection of memory resource --
-- types for implementation. Optional Hamming code based error --
-- detection and correction as well as error injection capability for --
-- system test help to insure data integrity. FIFO width and depth are --
-- parameterizable, and for native interface FIFOs, asymmetric read and --
-- write port widths are also supported. --
--------------------------------------------------------------------------------
-- Synthesized Netlist Wrapper
-- This file is provided to wrap around the synthesized netlist (if appropriate)
-- Interfaces:
-- AXI4Stream_MASTER_M_AXIS
-- AXI4Stream_SLAVE_S_AXIS
-- AXI4_MASTER_M_AXI
-- AXI4_SLAVE_S_AXI
-- AXI4Lite_MASTER_M_AXI
-- AXI4Lite_SLAVE_S_AXI
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
ENTITY rgbfifo IS
PORT (
clk : IN STD_LOGIC;
rst : IN STD_LOGIC;
din : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
wr_en : IN STD_LOGIC;
rd_en : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
full : OUT STD_LOGIC;
almost_full : OUT STD_LOGIC;
empty : OUT STD_LOGIC;
almost_empty : OUT STD_LOGIC;
valid : OUT STD_LOGIC
);
END rgbfifo;
ARCHITECTURE spartan6 OF rgbfifo IS
BEGIN
-- WARNING: This file provides an entity declaration with empty architecture, it
-- does not support direct instantiation. Please use an instantiation
-- template (VHO) to instantiate the IP within a design.
END spartan6;
|
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use ieee.numeric_std.all;
library work;
use work.zpu_config.all;
use work.zpupkg.all;
use work.zpuinopkg.all;
use work.zpuino_config.all;
use work.wishbonepkg.all;
entity zpuino_lsu is
port (
wb_clk_i: in std_logic;
wb_rst_i: in std_logic;
wb_ack_i: in std_logic;
wb_dat_i: in std_logic_vector(wordSize-1 downto 0);
wb_dat_o: out std_logic_vector(wordSize-1 downto 0);
wb_adr_o: out std_logic_vector(maxAddrBitIncIO downto 2);
wb_cyc_o: out std_logic;
wb_stb_o: out std_logic;
wb_sel_o: out std_logic_vector(3 downto 0);
wb_we_o: out std_logic;
-- Connection to cpu
req: in std_logic;
we: in std_logic;
busy: out std_logic;
data_read: out std_logic_vector(wordSize-1 downto 0);
data_write: in std_logic_vector(wordSize-1 downto 0);
data_sel: in std_logic_vector(3 downto 0);
address: in std_logic_vector(maxAddrBitIncIO downto 0)
);
end zpuino_lsu;
architecture behave of zpuino_lsu is
type lsu_state is (
lsu_idle,
lsu_read,
lsu_write
);
type regs is record
state: lsu_state;
addr: std_logic_vector(maxAddrBitIncIO downto 2);
sel: std_logic_vector(3 downto 0);
data: std_logic_vector(wordSize-1 downto 0);
end record;
signal r: regs;
begin
data_read <= wb_dat_i;
process(r,wb_clk_i, we, req, wb_ack_i, address, data_write, data_sel, wb_rst_i)
variable w: regs;
begin
w:=r;
wb_cyc_o <= '0';
wb_stb_o <= 'X';
wb_we_o <= 'X';
wb_adr_o <= r.addr;
wb_dat_o <= r.data;
wb_sel_o <= r.sel;
case r.state is
when lsu_idle =>
busy <= '0';
w.addr := address(maxAddrBitIncIO downto 2);
w.data := data_write;
w.sel := data_sel;
if req='1' then
if we='1' then
w.state := lsu_write;
busy <= address(maxAddrBitIncIO);
else
w.state := lsu_read;
busy <= '1';
end if;
end if;
when lsu_write =>
wb_cyc_o <= '1';
wb_stb_o <= '1';
wb_we_o <= '1';
if req='1' then
busy <= '1';
else
busy <= '0';
end if;
if wb_ack_i='1' then
w.state := lsu_idle;
if r.addr(maxAddrBitIncIO)='1' then
busy <= '0';
end if;
end if;
when lsu_read =>
wb_cyc_o <= '1';
wb_stb_o <= '1';
wb_we_o <= '0';
busy <= not wb_ack_i;
if wb_ack_i='1' then
w.state := lsu_idle;
end if;
when others =>
end case;
if wb_rst_i='1' then
w.state := lsu_idle;
wb_cyc_o <= '0';
end if;
if rising_edge(wb_clk_i) then
r <= w;
end if;
end process;
end behave;
|
-- Copyright 2017 Google Inc.
--
-- Licensed under the Apache License, Version 2.0 (the "License");
-- you may not use this file except in compliance with the License.
-- You may obtain a copy of the License at
--
-- http://www.apache.org/licenses/LICENSE-2.0
--
-- Unless required by applicable law or agreed to in writing, software
-- distributed under the License is distributed on an "AS IS" BASIS,
-- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied.
-- See the License for the specific language governing permissions and
-- limitations under the License.
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
entity standalone_programmer is
Port (
-- cartridge address
cart_nINFC,
cart_nINFD,
cart_ROMQA : out std_logic;
cart_A : out std_logic_vector (13 downto 0);
-- cartridge data
cart_D : inout std_logic_vector(7 downto 0);
-- cartridge clock and memory control
cart_PHI0,
cart_16MHZ,
cart_RnW,
cart_nOE,
cart_nOE2 : out std_logic;
-- avr SPI signals
avr_MOSI,
avr_SCK,
cpld_SS : in std_logic;
avr_MISO : out std_logic
--DEBUG avr_MISO2 : in std_logic
);
end standalone_programmer;
architecture Behavioural of standalone_programmer is
--DEBUG signal avr_MISO : std_logic; --DEBUG
--
signal CTL : std_logic_vector (6 downto 0);
signal A : std_logic_vector (15 downto 0);
signal D_from_SPI : std_logic_vector(7 downto 0);
signal D_from_cart : std_logic_vector (7 downto 0);
signal spi_bit_count : std_logic_vector (4 downto 0) := "00000";
signal memory_access : std_logic := '0';
signal read_nwrite : std_logic := '1';
begin
-- hackily using the AVR's SPI clock for both the PHI0 and 16MHZ lines.
cart_PHI0 <= avr_SCK;
cart_16MHZ <= avr_SCK;
-- always drive A, only drive D/nOE/nOE2 during memory access
cart_ROMQA <= A(14);
cart_A <= A(13 downto 0);
cart_RnW <= read_nwrite;
-- nINFC and nINFD for when we're accessing &FCxx or &FDxx
cart_nINFC <= '0' when (memory_access = '1' and A(15 downto 8) = x"FC" and avr_SCK = '1') else '1';
cart_nINFD <= '0' when (memory_access = '1' and A(15 downto 8) = x"FD" and avr_SCK = '1') else '1';
-- nOE for A(15:14) in "00", "01"
cart_nOE <= '0' when (memory_access = '1' and A(15) = '0' and avr_SCK = '1') else '1';
-- nOE2 for A(15:14) = "10"
cart_nOE2 <= '0' when (memory_access = '1' and A(15 downto 14) = "10" and avr_SCK = '1') else '1';
-- D driven on writes, tristated on reads
cart_D <= D_from_SPI when memory_access = '1' and read_nwrite = '0' else "ZZZZZZZZ";
-- AVR sends 0x80 for read, 0x00 for write
read_nwrite <= CTL(6);
process (avr_SCK, cpld_SS)
begin
-- SPI timing with AVR defaults: CPOL=0, CPHA=0
-- Sample on SCK rising edge, setup on SCK falling edge.
-- SS \_____________________________________________________________________________...
-- SCK ___/^^\__/^^\__/^^\__/^^\__/^^\__/^^\__/^^\__/^^\__/^^\__/^^\__/^^\__/^^\__/^^\__
-- MOSI x00000111111222222333333444444555555666666777777000000111111222222333333444444...
-- MISO x00000111111222222333333444444555555666666777777000000111111222222333333444444...
-- This means we get barely any time to think in between bytes -- just the high period of
-- SCK after the 8th bit. We need to set up MISO on the falling edge of avr_SCK.
if cpld_SS = '1' then
-- asynchronous reset (must not happen on an avr_SCK edge)
CTL <= "1111111";
spi_bit_count <= "00000";
A <= "0000000000000000";
D_from_SPI <= "00000000";
elsif rising_edge(avr_SCK) then
-- to read: clock in "1000000" & A15, A14-7, A6-0 & 0, 0x00, and the data byte will come out in the 4th byte
-- to write: clock in "0000000" & A15, A14-7 A6-0 & 0, D, and the byte will be written on the final clock.
-- increment the count each time
spi_bit_count <= std_logic_vector(unsigned(spi_bit_count) + 1);
-- clock in a bit, depending on spi_bit_count
if spi_bit_count(4 downto 3) = "00" then
-- reading CTL (outputting 1 on MISO) and A15
CTL <= CTL(5 downto 0) & A(15);
A(15) <= avr_MOSI;
elsif spi_bit_count(4 downto 3) = "01" or spi_bit_count(4 downto 3) = "10" then
-- reading A (outputting 0 on MISO)
if spi_bit_count /= "10111" then
A(14 downto 0) <= A(13 downto 0) & avr_MOSI;
end if;
else
-- reading or writing data; spi_bit_count(4 downto 3) = "11"
D_from_SPI <= D_from_SPI(6 downto 0) & avr_MOSI;
if spi_bit_count = "11111" then
-- we've just received the last bit of the data byte, which means we should
end if;
end if;
end if;
if cpld_SS = '1' then
avr_MISO <= '0';
D_from_cart <= "10101010";
memory_access <= '0';
elsif falling_edge(avr_SCK) then
-- We always update MISO on an avr_SCK falling edge.
-- memory_access is set to 1 on the falling edge when the avr is setting up
-- the final bit of the address, then back to 0 on the next falling edge.
-- This is so we generate a clean pulse on cart_nOE and cart_nOE2, by ANDing
-- with avr_SCK.
memory_access <= '0';
if spi_bit_count(4 downto 3) = "00" then
-- reading CTL, outputting 1
avr_MISO <= '1';
elsif spi_bit_count(4 downto 3) = "01" or spi_bit_count(4 downto 3) = "10" then
-- reading A, outputting 0
avr_MISO <= '0';
if spi_bit_count = "10110" and read_nwrite = '1' then
-- the AVR is setting up a 0 bit (it's finished sending us the address), so we set
-- memory_access = '1', and the access will occur once avr_SCK goes high again.
memory_access <= '1';
elsif spi_bit_count = "10111" and read_nwrite = '1' then
D_from_cart <= cart_D; -- actually perform the read
end if;
else
-- reading out data register (happens even when nothing is going on)
avr_MISO <= D_from_cart(7);
D_from_cart <= D_from_cart(6 downto 0) & '0';
if spi_bit_count = "11111" and read_nwrite = '0' then
-- we're about to receive the last data bit, and we're doing a write, so we need
-- to get ready to enable nOE etc.
memory_access <= '1';
end if;
end if;
end if;
end process;
end Behavioural;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc3096.vhd,v 1.2 2001-10-26 16:30:25 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c05s01b00x00p08n01i03096ent IS
END c05s01b00x00p08n01i03096ent;
ARCHITECTURE c05s01b00x00p08n01i03096arch OF c05s01b00x00p08n01i03096ent IS
attribute ill1 : real;
signal s1, s2 : integer;
attribute notdesig of s1 : signal is 10.0; -- Failure_here
BEGIN
TESTING: PROCESS
BEGIN
assert FALSE
report "***FAILED TEST: c05s01b00x00p08n01i03096 - The attribute designator does not denote an attribute."
severity ERROR;
wait;
END PROCESS TESTING;
END c05s01b00x00p08n01i03096arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc3096.vhd,v 1.2 2001-10-26 16:30:25 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c05s01b00x00p08n01i03096ent IS
END c05s01b00x00p08n01i03096ent;
ARCHITECTURE c05s01b00x00p08n01i03096arch OF c05s01b00x00p08n01i03096ent IS
attribute ill1 : real;
signal s1, s2 : integer;
attribute notdesig of s1 : signal is 10.0; -- Failure_here
BEGIN
TESTING: PROCESS
BEGIN
assert FALSE
report "***FAILED TEST: c05s01b00x00p08n01i03096 - The attribute designator does not denote an attribute."
severity ERROR;
wait;
END PROCESS TESTING;
END c05s01b00x00p08n01i03096arch;
|
-- Copyright (C) 2001 Bill Billowitch.
-- Some of the work to develop this test suite was done with Air Force
-- support. The Air Force and Bill Billowitch assume no
-- responsibilities for this software.
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-- ---------------------------------------------------------------------
--
-- $Id: tc3096.vhd,v 1.2 2001-10-26 16:30:25 paw Exp $
-- $Revision: 1.2 $
--
-- ---------------------------------------------------------------------
ENTITY c05s01b00x00p08n01i03096ent IS
END c05s01b00x00p08n01i03096ent;
ARCHITECTURE c05s01b00x00p08n01i03096arch OF c05s01b00x00p08n01i03096ent IS
attribute ill1 : real;
signal s1, s2 : integer;
attribute notdesig of s1 : signal is 10.0; -- Failure_here
BEGIN
TESTING: PROCESS
BEGIN
assert FALSE
report "***FAILED TEST: c05s01b00x00p08n01i03096 - The attribute designator does not denote an attribute."
severity ERROR;
wait;
END PROCESS TESTING;
END c05s01b00x00p08n01i03096arch;
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Lv7rnsj67AS0/ComsMGhguVo3c8TMcKklKU4801vz0gfx/gRPTuW7APbT44zc/U2qbH5z1hek2If
tpc6BCIz2g==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Sb9mzOXBobCk80jN84FBl5ezQD0w8RFLH9CICbR0PyC8mHSKFdLlb/KG7wUrXUTa0pityn0+TUpv
i8rLdMsEGFXy2Y4xVTGuPpk52qmmEhTRyeSNo8dl5QRWn4CvIPOV/iE5wwmUCRsGQHRelZeKHQHB
bFaKW0h67UzaH26R0wg=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
DzG+4N5k62uhllejAs+0B5kqdvM1ma88mssEdXmvabNoF74BMXI/lDX5At88chUl2hPs1VdOMdRD
lQiIHztKorVY5kRBguXcJi+AvTzwNFNmnTf/GJBhMMQ7QCsx45je/E1vQSeqLkJ8gMzhL3uF1CwK
XRwMIt3/0ShcUsxggzuREB16OKqEMCxlwQxpOsBaN5XzvnZ3HMq7uK9VINrF0MAjE+uzjXD4zLv3
wXih66pGVgtqXGX5qPO2qGa6fqTjBcQbFsIDqEk7MFEOGKj5MnZxwDBu5alcjC1nxg3pvgnlM/E5
j81tCF22f6ZkcGXyVltEV4oXz+Yr8HzUJc5iTA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
3C6B3YDjKFwdR0oGzDlQCbeGILjV8rtMJr+jo/UefKm+aIdphVIgzjkBNwUSWDInVmZoT3Xm+Fwv
3TjknPQj7KuRh6X1mdlMC9GYe9A+5lcbxtTakPRaHg727CaeCcyWGg3Fy36bktuPkhhm3I9dxNFK
18xwUR1Nom38as9NG8s=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
pioVJnB/KZrjrPX0I1YDWN6znsLTiUXKuRW9YP6gMFFYs4NM1ddEdEl10+LWXEzb/xndngz9dDih
wznzByV/7HrnPl8psHsdeGLcVsxwBYnPl8ytXDk8WXt9yop0J2Arm6MHXBt4ad7tE+j1QFR7Jnwm
kgSjelIlfxmRP7AxlbOh3HUhH5+tF0YZ15Xc5SLZWUkT9IZq5ezM27oo88BA5Hr/ClgcokLyHGUX
ZXLmDe1XR5NTP5KeM0a14E5lalXytNgFh6cpKjJvXqVxCftFs0SLKfQYmnHxEUzot72CYLitTV0B
8+D6mn0fD6pKarldpzWZHJQ9zHRIxAxTnz2HlQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 11680)
`protect data_block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==
`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Lv7rnsj67AS0/ComsMGhguVo3c8TMcKklKU4801vz0gfx/gRPTuW7APbT44zc/U2qbH5z1hek2If
tpc6BCIz2g==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Sb9mzOXBobCk80jN84FBl5ezQD0w8RFLH9CICbR0PyC8mHSKFdLlb/KG7wUrXUTa0pityn0+TUpv
i8rLdMsEGFXy2Y4xVTGuPpk52qmmEhTRyeSNo8dl5QRWn4CvIPOV/iE5wwmUCRsGQHRelZeKHQHB
bFaKW0h67UzaH26R0wg=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
DzG+4N5k62uhllejAs+0B5kqdvM1ma88mssEdXmvabNoF74BMXI/lDX5At88chUl2hPs1VdOMdRD
lQiIHztKorVY5kRBguXcJi+AvTzwNFNmnTf/GJBhMMQ7QCsx45je/E1vQSeqLkJ8gMzhL3uF1CwK
XRwMIt3/0ShcUsxggzuREB16OKqEMCxlwQxpOsBaN5XzvnZ3HMq7uK9VINrF0MAjE+uzjXD4zLv3
wXih66pGVgtqXGX5qPO2qGa6fqTjBcQbFsIDqEk7MFEOGKj5MnZxwDBu5alcjC1nxg3pvgnlM/E5
j81tCF22f6ZkcGXyVltEV4oXz+Yr8HzUJc5iTA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
3C6B3YDjKFwdR0oGzDlQCbeGILjV8rtMJr+jo/UefKm+aIdphVIgzjkBNwUSWDInVmZoT3Xm+Fwv
3TjknPQj7KuRh6X1mdlMC9GYe9A+5lcbxtTakPRaHg727CaeCcyWGg3Fy36bktuPkhhm3I9dxNFK
18xwUR1Nom38as9NG8s=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
pioVJnB/KZrjrPX0I1YDWN6znsLTiUXKuRW9YP6gMFFYs4NM1ddEdEl10+LWXEzb/xndngz9dDih
wznzByV/7HrnPl8psHsdeGLcVsxwBYnPl8ytXDk8WXt9yop0J2Arm6MHXBt4ad7tE+j1QFR7Jnwm
kgSjelIlfxmRP7AxlbOh3HUhH5+tF0YZ15Xc5SLZWUkT9IZq5ezM27oo88BA5Hr/ClgcokLyHGUX
ZXLmDe1XR5NTP5KeM0a14E5lalXytNgFh6cpKjJvXqVxCftFs0SLKfQYmnHxEUzot72CYLitTV0B
8+D6mn0fD6pKarldpzWZHJQ9zHRIxAxTnz2HlQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 11680)
`protect data_block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==
`protect end_protected
|
-- (C) 1992-2014 Altera Corporation. All rights reserved.
-- Your use of Altera Corporation's design tools, logic functions and other
-- software and tools, and its AMPP partner logic functions, and any output
-- files any of the foregoing (including device programming or simulation
-- files), and any associated documentation or information are expressly subject
-- to the terms and conditions of the Altera Program License Subscription
-- Agreement, Altera MegaCore Function License Agreement, or other applicable
-- license agreement, including, without limitation, that your use is for the
-- sole purpose of programming logic devices manufactured by Altera and sold by
-- Altera or its authorized distributors. Please refer to the applicable
-- agreement for further details.
LIBRARY ieee;
LIBRARY work;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_signed.all;
USE ieee.std_logic_arith.all;
--***************************************************
--*** ***
--*** FLOATING POINT CORE LIBRARY ***
--*** ***
--*** FP_CORDIC_START1.VHD ***
--*** ***
--*** Function: Table for Initial Value of X ***
--*** for SIN and COS CORDIC Core ***
--*** ***
--*** 22/12/09 ML ***
--*** ***
--*** (c) 2009 Altera Corporation ***
--*** ***
--*** Change History ***
--*** ***
--*** ***
--*** ***
--***************************************************
ENTITY fp_cordic_start1 IS
GENERIC (width : positive := 36);
PORT (
index : IN STD_LOGIC_VECTOR (4 DOWNTO 1);
value : OUT STD_LOGIC_VECTOR (width DOWNTO 1)
);
END fp_cordic_start1;
ARCHITECTURE rtl of fp_cordic_start1 IS
signal valuenode : STD_LOGIC_VECTOR (36 DOWNTO 1);
BEGIN
pva: PROCESS (index)
BEGIN
CASE index IS
WHEN "0000" => valuenode <= x"26DD3B6A1";
WHEN "0001" => valuenode <= x"36F656C5A";
WHEN "0010" => valuenode <= x"3D731DFFB";
WHEN "0011" => valuenode <= x"3F5743B24";
WHEN "0100" => valuenode <= x"3FD574860";
WHEN "0101" => valuenode <= x"3FF557499";
WHEN "0110" => valuenode <= x"3FFD5574A";
WHEN "0111" => valuenode <= x"3FFF55575";
WHEN "1000" => valuenode <= x"3FFFD5557";
WHEN "1001" => valuenode <= x"3FFFF5555";
WHEN "1010" => valuenode <= x"3FFFFD555";
WHEN "1011" => valuenode <= x"3FFFFF555";
WHEN "1101" => valuenode <= x"3FFFFFD55";
WHEN "1100" => valuenode <= x"3FFFFFF55";
WHEN "1111" => valuenode <= x"3FFFFFFD5";
WHEN "1110" => valuenode <= x"3FFFFFFF5";
WHEN others => valuenode <= x"000000000";
END CASE;
END PROCESS;
value <= valuenode (36 DOWNTO 37-width);
END rtl;
|
-- (C) 1992-2014 Altera Corporation. All rights reserved.
-- Your use of Altera Corporation's design tools, logic functions and other
-- software and tools, and its AMPP partner logic functions, and any output
-- files any of the foregoing (including device programming or simulation
-- files), and any associated documentation or information are expressly subject
-- to the terms and conditions of the Altera Program License Subscription
-- Agreement, Altera MegaCore Function License Agreement, or other applicable
-- license agreement, including, without limitation, that your use is for the
-- sole purpose of programming logic devices manufactured by Altera and sold by
-- Altera or its authorized distributors. Please refer to the applicable
-- agreement for further details.
LIBRARY ieee;
LIBRARY work;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_signed.all;
USE ieee.std_logic_arith.all;
--***************************************************
--*** ***
--*** FLOATING POINT CORE LIBRARY ***
--*** ***
--*** FP_CORDIC_START1.VHD ***
--*** ***
--*** Function: Table for Initial Value of X ***
--*** for SIN and COS CORDIC Core ***
--*** ***
--*** 22/12/09 ML ***
--*** ***
--*** (c) 2009 Altera Corporation ***
--*** ***
--*** Change History ***
--*** ***
--*** ***
--*** ***
--***************************************************
ENTITY fp_cordic_start1 IS
GENERIC (width : positive := 36);
PORT (
index : IN STD_LOGIC_VECTOR (4 DOWNTO 1);
value : OUT STD_LOGIC_VECTOR (width DOWNTO 1)
);
END fp_cordic_start1;
ARCHITECTURE rtl of fp_cordic_start1 IS
signal valuenode : STD_LOGIC_VECTOR (36 DOWNTO 1);
BEGIN
pva: PROCESS (index)
BEGIN
CASE index IS
WHEN "0000" => valuenode <= x"26DD3B6A1";
WHEN "0001" => valuenode <= x"36F656C5A";
WHEN "0010" => valuenode <= x"3D731DFFB";
WHEN "0011" => valuenode <= x"3F5743B24";
WHEN "0100" => valuenode <= x"3FD574860";
WHEN "0101" => valuenode <= x"3FF557499";
WHEN "0110" => valuenode <= x"3FFD5574A";
WHEN "0111" => valuenode <= x"3FFF55575";
WHEN "1000" => valuenode <= x"3FFFD5557";
WHEN "1001" => valuenode <= x"3FFFF5555";
WHEN "1010" => valuenode <= x"3FFFFD555";
WHEN "1011" => valuenode <= x"3FFFFF555";
WHEN "1101" => valuenode <= x"3FFFFFD55";
WHEN "1100" => valuenode <= x"3FFFFFF55";
WHEN "1111" => valuenode <= x"3FFFFFFD5";
WHEN "1110" => valuenode <= x"3FFFFFFF5";
WHEN others => valuenode <= x"000000000";
END CASE;
END PROCESS;
value <= valuenode (36 DOWNTO 37-width);
END rtl;
|
-- (C) 1992-2014 Altera Corporation. All rights reserved.
-- Your use of Altera Corporation's design tools, logic functions and other
-- software and tools, and its AMPP partner logic functions, and any output
-- files any of the foregoing (including device programming or simulation
-- files), and any associated documentation or information are expressly subject
-- to the terms and conditions of the Altera Program License Subscription
-- Agreement, Altera MegaCore Function License Agreement, or other applicable
-- license agreement, including, without limitation, that your use is for the
-- sole purpose of programming logic devices manufactured by Altera and sold by
-- Altera or its authorized distributors. Please refer to the applicable
-- agreement for further details.
LIBRARY ieee;
LIBRARY work;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_signed.all;
USE ieee.std_logic_arith.all;
--***************************************************
--*** ***
--*** FLOATING POINT CORE LIBRARY ***
--*** ***
--*** FP_CORDIC_START1.VHD ***
--*** ***
--*** Function: Table for Initial Value of X ***
--*** for SIN and COS CORDIC Core ***
--*** ***
--*** 22/12/09 ML ***
--*** ***
--*** (c) 2009 Altera Corporation ***
--*** ***
--*** Change History ***
--*** ***
--*** ***
--*** ***
--***************************************************
ENTITY fp_cordic_start1 IS
GENERIC (width : positive := 36);
PORT (
index : IN STD_LOGIC_VECTOR (4 DOWNTO 1);
value : OUT STD_LOGIC_VECTOR (width DOWNTO 1)
);
END fp_cordic_start1;
ARCHITECTURE rtl of fp_cordic_start1 IS
signal valuenode : STD_LOGIC_VECTOR (36 DOWNTO 1);
BEGIN
pva: PROCESS (index)
BEGIN
CASE index IS
WHEN "0000" => valuenode <= x"26DD3B6A1";
WHEN "0001" => valuenode <= x"36F656C5A";
WHEN "0010" => valuenode <= x"3D731DFFB";
WHEN "0011" => valuenode <= x"3F5743B24";
WHEN "0100" => valuenode <= x"3FD574860";
WHEN "0101" => valuenode <= x"3FF557499";
WHEN "0110" => valuenode <= x"3FFD5574A";
WHEN "0111" => valuenode <= x"3FFF55575";
WHEN "1000" => valuenode <= x"3FFFD5557";
WHEN "1001" => valuenode <= x"3FFFF5555";
WHEN "1010" => valuenode <= x"3FFFFD555";
WHEN "1011" => valuenode <= x"3FFFFF555";
WHEN "1101" => valuenode <= x"3FFFFFD55";
WHEN "1100" => valuenode <= x"3FFFFFF55";
WHEN "1111" => valuenode <= x"3FFFFFFD5";
WHEN "1110" => valuenode <= x"3FFFFFFF5";
WHEN others => valuenode <= x"000000000";
END CASE;
END PROCESS;
value <= valuenode (36 DOWNTO 37-width);
END rtl;
|
-- (C) 1992-2014 Altera Corporation. All rights reserved.
-- Your use of Altera Corporation's design tools, logic functions and other
-- software and tools, and its AMPP partner logic functions, and any output
-- files any of the foregoing (including device programming or simulation
-- files), and any associated documentation or information are expressly subject
-- to the terms and conditions of the Altera Program License Subscription
-- Agreement, Altera MegaCore Function License Agreement, or other applicable
-- license agreement, including, without limitation, that your use is for the
-- sole purpose of programming logic devices manufactured by Altera and sold by
-- Altera or its authorized distributors. Please refer to the applicable
-- agreement for further details.
LIBRARY ieee;
LIBRARY work;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_signed.all;
USE ieee.std_logic_arith.all;
--***************************************************
--*** ***
--*** FLOATING POINT CORE LIBRARY ***
--*** ***
--*** FP_CORDIC_START1.VHD ***
--*** ***
--*** Function: Table for Initial Value of X ***
--*** for SIN and COS CORDIC Core ***
--*** ***
--*** 22/12/09 ML ***
--*** ***
--*** (c) 2009 Altera Corporation ***
--*** ***
--*** Change History ***
--*** ***
--*** ***
--*** ***
--***************************************************
ENTITY fp_cordic_start1 IS
GENERIC (width : positive := 36);
PORT (
index : IN STD_LOGIC_VECTOR (4 DOWNTO 1);
value : OUT STD_LOGIC_VECTOR (width DOWNTO 1)
);
END fp_cordic_start1;
ARCHITECTURE rtl of fp_cordic_start1 IS
signal valuenode : STD_LOGIC_VECTOR (36 DOWNTO 1);
BEGIN
pva: PROCESS (index)
BEGIN
CASE index IS
WHEN "0000" => valuenode <= x"26DD3B6A1";
WHEN "0001" => valuenode <= x"36F656C5A";
WHEN "0010" => valuenode <= x"3D731DFFB";
WHEN "0011" => valuenode <= x"3F5743B24";
WHEN "0100" => valuenode <= x"3FD574860";
WHEN "0101" => valuenode <= x"3FF557499";
WHEN "0110" => valuenode <= x"3FFD5574A";
WHEN "0111" => valuenode <= x"3FFF55575";
WHEN "1000" => valuenode <= x"3FFFD5557";
WHEN "1001" => valuenode <= x"3FFFF5555";
WHEN "1010" => valuenode <= x"3FFFFD555";
WHEN "1011" => valuenode <= x"3FFFFF555";
WHEN "1101" => valuenode <= x"3FFFFFD55";
WHEN "1100" => valuenode <= x"3FFFFFF55";
WHEN "1111" => valuenode <= x"3FFFFFFD5";
WHEN "1110" => valuenode <= x"3FFFFFFF5";
WHEN others => valuenode <= x"000000000";
END CASE;
END PROCESS;
value <= valuenode (36 DOWNTO 37-width);
END rtl;
|
-- (C) 1992-2014 Altera Corporation. All rights reserved.
-- Your use of Altera Corporation's design tools, logic functions and other
-- software and tools, and its AMPP partner logic functions, and any output
-- files any of the foregoing (including device programming or simulation
-- files), and any associated documentation or information are expressly subject
-- to the terms and conditions of the Altera Program License Subscription
-- Agreement, Altera MegaCore Function License Agreement, or other applicable
-- license agreement, including, without limitation, that your use is for the
-- sole purpose of programming logic devices manufactured by Altera and sold by
-- Altera or its authorized distributors. Please refer to the applicable
-- agreement for further details.
LIBRARY ieee;
LIBRARY work;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_signed.all;
USE ieee.std_logic_arith.all;
--***************************************************
--*** ***
--*** FLOATING POINT CORE LIBRARY ***
--*** ***
--*** FP_CORDIC_START1.VHD ***
--*** ***
--*** Function: Table for Initial Value of X ***
--*** for SIN and COS CORDIC Core ***
--*** ***
--*** 22/12/09 ML ***
--*** ***
--*** (c) 2009 Altera Corporation ***
--*** ***
--*** Change History ***
--*** ***
--*** ***
--*** ***
--***************************************************
ENTITY fp_cordic_start1 IS
GENERIC (width : positive := 36);
PORT (
index : IN STD_LOGIC_VECTOR (4 DOWNTO 1);
value : OUT STD_LOGIC_VECTOR (width DOWNTO 1)
);
END fp_cordic_start1;
ARCHITECTURE rtl of fp_cordic_start1 IS
signal valuenode : STD_LOGIC_VECTOR (36 DOWNTO 1);
BEGIN
pva: PROCESS (index)
BEGIN
CASE index IS
WHEN "0000" => valuenode <= x"26DD3B6A1";
WHEN "0001" => valuenode <= x"36F656C5A";
WHEN "0010" => valuenode <= x"3D731DFFB";
WHEN "0011" => valuenode <= x"3F5743B24";
WHEN "0100" => valuenode <= x"3FD574860";
WHEN "0101" => valuenode <= x"3FF557499";
WHEN "0110" => valuenode <= x"3FFD5574A";
WHEN "0111" => valuenode <= x"3FFF55575";
WHEN "1000" => valuenode <= x"3FFFD5557";
WHEN "1001" => valuenode <= x"3FFFF5555";
WHEN "1010" => valuenode <= x"3FFFFD555";
WHEN "1011" => valuenode <= x"3FFFFF555";
WHEN "1101" => valuenode <= x"3FFFFFD55";
WHEN "1100" => valuenode <= x"3FFFFFF55";
WHEN "1111" => valuenode <= x"3FFFFFFD5";
WHEN "1110" => valuenode <= x"3FFFFFFF5";
WHEN others => valuenode <= x"000000000";
END CASE;
END PROCESS;
value <= valuenode (36 DOWNTO 37-width);
END rtl;
|
-- (C) 1992-2014 Altera Corporation. All rights reserved.
-- Your use of Altera Corporation's design tools, logic functions and other
-- software and tools, and its AMPP partner logic functions, and any output
-- files any of the foregoing (including device programming or simulation
-- files), and any associated documentation or information are expressly subject
-- to the terms and conditions of the Altera Program License Subscription
-- Agreement, Altera MegaCore Function License Agreement, or other applicable
-- license agreement, including, without limitation, that your use is for the
-- sole purpose of programming logic devices manufactured by Altera and sold by
-- Altera or its authorized distributors. Please refer to the applicable
-- agreement for further details.
LIBRARY ieee;
LIBRARY work;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_signed.all;
USE ieee.std_logic_arith.all;
--***************************************************
--*** ***
--*** FLOATING POINT CORE LIBRARY ***
--*** ***
--*** FP_CORDIC_START1.VHD ***
--*** ***
--*** Function: Table for Initial Value of X ***
--*** for SIN and COS CORDIC Core ***
--*** ***
--*** 22/12/09 ML ***
--*** ***
--*** (c) 2009 Altera Corporation ***
--*** ***
--*** Change History ***
--*** ***
--*** ***
--*** ***
--***************************************************
ENTITY fp_cordic_start1 IS
GENERIC (width : positive := 36);
PORT (
index : IN STD_LOGIC_VECTOR (4 DOWNTO 1);
value : OUT STD_LOGIC_VECTOR (width DOWNTO 1)
);
END fp_cordic_start1;
ARCHITECTURE rtl of fp_cordic_start1 IS
signal valuenode : STD_LOGIC_VECTOR (36 DOWNTO 1);
BEGIN
pva: PROCESS (index)
BEGIN
CASE index IS
WHEN "0000" => valuenode <= x"26DD3B6A1";
WHEN "0001" => valuenode <= x"36F656C5A";
WHEN "0010" => valuenode <= x"3D731DFFB";
WHEN "0011" => valuenode <= x"3F5743B24";
WHEN "0100" => valuenode <= x"3FD574860";
WHEN "0101" => valuenode <= x"3FF557499";
WHEN "0110" => valuenode <= x"3FFD5574A";
WHEN "0111" => valuenode <= x"3FFF55575";
WHEN "1000" => valuenode <= x"3FFFD5557";
WHEN "1001" => valuenode <= x"3FFFF5555";
WHEN "1010" => valuenode <= x"3FFFFD555";
WHEN "1011" => valuenode <= x"3FFFFF555";
WHEN "1101" => valuenode <= x"3FFFFFD55";
WHEN "1100" => valuenode <= x"3FFFFFF55";
WHEN "1111" => valuenode <= x"3FFFFFFD5";
WHEN "1110" => valuenode <= x"3FFFFFFF5";
WHEN others => valuenode <= x"000000000";
END CASE;
END PROCESS;
value <= valuenode (36 DOWNTO 37-width);
END rtl;
|
-- (C) 1992-2014 Altera Corporation. All rights reserved.
-- Your use of Altera Corporation's design tools, logic functions and other
-- software and tools, and its AMPP partner logic functions, and any output
-- files any of the foregoing (including device programming or simulation
-- files), and any associated documentation or information are expressly subject
-- to the terms and conditions of the Altera Program License Subscription
-- Agreement, Altera MegaCore Function License Agreement, or other applicable
-- license agreement, including, without limitation, that your use is for the
-- sole purpose of programming logic devices manufactured by Altera and sold by
-- Altera or its authorized distributors. Please refer to the applicable
-- agreement for further details.
LIBRARY ieee;
LIBRARY work;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_signed.all;
USE ieee.std_logic_arith.all;
--***************************************************
--*** ***
--*** FLOATING POINT CORE LIBRARY ***
--*** ***
--*** FP_CORDIC_START1.VHD ***
--*** ***
--*** Function: Table for Initial Value of X ***
--*** for SIN and COS CORDIC Core ***
--*** ***
--*** 22/12/09 ML ***
--*** ***
--*** (c) 2009 Altera Corporation ***
--*** ***
--*** Change History ***
--*** ***
--*** ***
--*** ***
--***************************************************
ENTITY fp_cordic_start1 IS
GENERIC (width : positive := 36);
PORT (
index : IN STD_LOGIC_VECTOR (4 DOWNTO 1);
value : OUT STD_LOGIC_VECTOR (width DOWNTO 1)
);
END fp_cordic_start1;
ARCHITECTURE rtl of fp_cordic_start1 IS
signal valuenode : STD_LOGIC_VECTOR (36 DOWNTO 1);
BEGIN
pva: PROCESS (index)
BEGIN
CASE index IS
WHEN "0000" => valuenode <= x"26DD3B6A1";
WHEN "0001" => valuenode <= x"36F656C5A";
WHEN "0010" => valuenode <= x"3D731DFFB";
WHEN "0011" => valuenode <= x"3F5743B24";
WHEN "0100" => valuenode <= x"3FD574860";
WHEN "0101" => valuenode <= x"3FF557499";
WHEN "0110" => valuenode <= x"3FFD5574A";
WHEN "0111" => valuenode <= x"3FFF55575";
WHEN "1000" => valuenode <= x"3FFFD5557";
WHEN "1001" => valuenode <= x"3FFFF5555";
WHEN "1010" => valuenode <= x"3FFFFD555";
WHEN "1011" => valuenode <= x"3FFFFF555";
WHEN "1101" => valuenode <= x"3FFFFFD55";
WHEN "1100" => valuenode <= x"3FFFFFF55";
WHEN "1111" => valuenode <= x"3FFFFFFD5";
WHEN "1110" => valuenode <= x"3FFFFFFF5";
WHEN others => valuenode <= x"000000000";
END CASE;
END PROCESS;
value <= valuenode (36 DOWNTO 37-width);
END rtl;
|
-- (C) 1992-2014 Altera Corporation. All rights reserved.
-- Your use of Altera Corporation's design tools, logic functions and other
-- software and tools, and its AMPP partner logic functions, and any output
-- files any of the foregoing (including device programming or simulation
-- files), and any associated documentation or information are expressly subject
-- to the terms and conditions of the Altera Program License Subscription
-- Agreement, Altera MegaCore Function License Agreement, or other applicable
-- license agreement, including, without limitation, that your use is for the
-- sole purpose of programming logic devices manufactured by Altera and sold by
-- Altera or its authorized distributors. Please refer to the applicable
-- agreement for further details.
LIBRARY ieee;
LIBRARY work;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_signed.all;
USE ieee.std_logic_arith.all;
--***************************************************
--*** ***
--*** FLOATING POINT CORE LIBRARY ***
--*** ***
--*** FP_CORDIC_START1.VHD ***
--*** ***
--*** Function: Table for Initial Value of X ***
--*** for SIN and COS CORDIC Core ***
--*** ***
--*** 22/12/09 ML ***
--*** ***
--*** (c) 2009 Altera Corporation ***
--*** ***
--*** Change History ***
--*** ***
--*** ***
--*** ***
--***************************************************
ENTITY fp_cordic_start1 IS
GENERIC (width : positive := 36);
PORT (
index : IN STD_LOGIC_VECTOR (4 DOWNTO 1);
value : OUT STD_LOGIC_VECTOR (width DOWNTO 1)
);
END fp_cordic_start1;
ARCHITECTURE rtl of fp_cordic_start1 IS
signal valuenode : STD_LOGIC_VECTOR (36 DOWNTO 1);
BEGIN
pva: PROCESS (index)
BEGIN
CASE index IS
WHEN "0000" => valuenode <= x"26DD3B6A1";
WHEN "0001" => valuenode <= x"36F656C5A";
WHEN "0010" => valuenode <= x"3D731DFFB";
WHEN "0011" => valuenode <= x"3F5743B24";
WHEN "0100" => valuenode <= x"3FD574860";
WHEN "0101" => valuenode <= x"3FF557499";
WHEN "0110" => valuenode <= x"3FFD5574A";
WHEN "0111" => valuenode <= x"3FFF55575";
WHEN "1000" => valuenode <= x"3FFFD5557";
WHEN "1001" => valuenode <= x"3FFFF5555";
WHEN "1010" => valuenode <= x"3FFFFD555";
WHEN "1011" => valuenode <= x"3FFFFF555";
WHEN "1101" => valuenode <= x"3FFFFFD55";
WHEN "1100" => valuenode <= x"3FFFFFF55";
WHEN "1111" => valuenode <= x"3FFFFFFD5";
WHEN "1110" => valuenode <= x"3FFFFFFF5";
WHEN others => valuenode <= x"000000000";
END CASE;
END PROCESS;
value <= valuenode (36 DOWNTO 37-width);
END rtl;
|
-- (C) 1992-2014 Altera Corporation. All rights reserved.
-- Your use of Altera Corporation's design tools, logic functions and other
-- software and tools, and its AMPP partner logic functions, and any output
-- files any of the foregoing (including device programming or simulation
-- files), and any associated documentation or information are expressly subject
-- to the terms and conditions of the Altera Program License Subscription
-- Agreement, Altera MegaCore Function License Agreement, or other applicable
-- license agreement, including, without limitation, that your use is for the
-- sole purpose of programming logic devices manufactured by Altera and sold by
-- Altera or its authorized distributors. Please refer to the applicable
-- agreement for further details.
LIBRARY ieee;
LIBRARY work;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_signed.all;
USE ieee.std_logic_arith.all;
--***************************************************
--*** ***
--*** FLOATING POINT CORE LIBRARY ***
--*** ***
--*** FP_CORDIC_START1.VHD ***
--*** ***
--*** Function: Table for Initial Value of X ***
--*** for SIN and COS CORDIC Core ***
--*** ***
--*** 22/12/09 ML ***
--*** ***
--*** (c) 2009 Altera Corporation ***
--*** ***
--*** Change History ***
--*** ***
--*** ***
--*** ***
--***************************************************
ENTITY fp_cordic_start1 IS
GENERIC (width : positive := 36);
PORT (
index : IN STD_LOGIC_VECTOR (4 DOWNTO 1);
value : OUT STD_LOGIC_VECTOR (width DOWNTO 1)
);
END fp_cordic_start1;
ARCHITECTURE rtl of fp_cordic_start1 IS
signal valuenode : STD_LOGIC_VECTOR (36 DOWNTO 1);
BEGIN
pva: PROCESS (index)
BEGIN
CASE index IS
WHEN "0000" => valuenode <= x"26DD3B6A1";
WHEN "0001" => valuenode <= x"36F656C5A";
WHEN "0010" => valuenode <= x"3D731DFFB";
WHEN "0011" => valuenode <= x"3F5743B24";
WHEN "0100" => valuenode <= x"3FD574860";
WHEN "0101" => valuenode <= x"3FF557499";
WHEN "0110" => valuenode <= x"3FFD5574A";
WHEN "0111" => valuenode <= x"3FFF55575";
WHEN "1000" => valuenode <= x"3FFFD5557";
WHEN "1001" => valuenode <= x"3FFFF5555";
WHEN "1010" => valuenode <= x"3FFFFD555";
WHEN "1011" => valuenode <= x"3FFFFF555";
WHEN "1101" => valuenode <= x"3FFFFFD55";
WHEN "1100" => valuenode <= x"3FFFFFF55";
WHEN "1111" => valuenode <= x"3FFFFFFD5";
WHEN "1110" => valuenode <= x"3FFFFFFF5";
WHEN others => valuenode <= x"000000000";
END CASE;
END PROCESS;
value <= valuenode (36 DOWNTO 37-width);
END rtl;
|
-- (C) 1992-2014 Altera Corporation. All rights reserved.
-- Your use of Altera Corporation's design tools, logic functions and other
-- software and tools, and its AMPP partner logic functions, and any output
-- files any of the foregoing (including device programming or simulation
-- files), and any associated documentation or information are expressly subject
-- to the terms and conditions of the Altera Program License Subscription
-- Agreement, Altera MegaCore Function License Agreement, or other applicable
-- license agreement, including, without limitation, that your use is for the
-- sole purpose of programming logic devices manufactured by Altera and sold by
-- Altera or its authorized distributors. Please refer to the applicable
-- agreement for further details.
LIBRARY ieee;
LIBRARY work;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_signed.all;
USE ieee.std_logic_arith.all;
--***************************************************
--*** ***
--*** FLOATING POINT CORE LIBRARY ***
--*** ***
--*** FP_CORDIC_START1.VHD ***
--*** ***
--*** Function: Table for Initial Value of X ***
--*** for SIN and COS CORDIC Core ***
--*** ***
--*** 22/12/09 ML ***
--*** ***
--*** (c) 2009 Altera Corporation ***
--*** ***
--*** Change History ***
--*** ***
--*** ***
--*** ***
--***************************************************
ENTITY fp_cordic_start1 IS
GENERIC (width : positive := 36);
PORT (
index : IN STD_LOGIC_VECTOR (4 DOWNTO 1);
value : OUT STD_LOGIC_VECTOR (width DOWNTO 1)
);
END fp_cordic_start1;
ARCHITECTURE rtl of fp_cordic_start1 IS
signal valuenode : STD_LOGIC_VECTOR (36 DOWNTO 1);
BEGIN
pva: PROCESS (index)
BEGIN
CASE index IS
WHEN "0000" => valuenode <= x"26DD3B6A1";
WHEN "0001" => valuenode <= x"36F656C5A";
WHEN "0010" => valuenode <= x"3D731DFFB";
WHEN "0011" => valuenode <= x"3F5743B24";
WHEN "0100" => valuenode <= x"3FD574860";
WHEN "0101" => valuenode <= x"3FF557499";
WHEN "0110" => valuenode <= x"3FFD5574A";
WHEN "0111" => valuenode <= x"3FFF55575";
WHEN "1000" => valuenode <= x"3FFFD5557";
WHEN "1001" => valuenode <= x"3FFFF5555";
WHEN "1010" => valuenode <= x"3FFFFD555";
WHEN "1011" => valuenode <= x"3FFFFF555";
WHEN "1101" => valuenode <= x"3FFFFFD55";
WHEN "1100" => valuenode <= x"3FFFFFF55";
WHEN "1111" => valuenode <= x"3FFFFFFD5";
WHEN "1110" => valuenode <= x"3FFFFFFF5";
WHEN others => valuenode <= x"000000000";
END CASE;
END PROCESS;
value <= valuenode (36 DOWNTO 37-width);
END rtl;
|
library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.STD_LOGIC_ARITH.all;
use IEEE.STD_LOGIC_UNSIGNED.all;
entity DPATH is
port(
EN: in std_logic;
--operation type
OT: in std_logic_vector(2 downto 0);
--operand
OP1: in std_logic_vector(7 downto 0);
RES: out std_logic_vector(7 downto 0);
--zero flag
ZF: out std_logic;
-- significant bit set flag
SBF: out std_logic
);
end DPATH;
architecture Beh of DPATH is
signal ACCUM: std_logic_vector(7 downto 0);
signal res_add: std_logic_vector(7 downto 0);
signal res_sub: std_logic_vector (7 downto 0);
signal t_zf, t_sbf: std_logic;
constant LOAD: std_logic_vector(2 downto 0) := "000";
constant ADD: std_logic_vector(2 downto 0) := "010";
constant SUB: std_logic_vector(2 downto 0) := "011";
Begin
res_add <= CONV_STD_LOGIC_VECTOR(CONV_INTEGER(ACCUM) + CONV_INTEGER(OP1), 8);
res_sub <= CONV_STD_LOGIC_VECTOR(CONV_INTEGER(ACCUM) - CONV_INTEGER(OP1), 8);
REGA: process (EN, OT, OP1, res_add, res_sub)
begin
if rising_edge(EN) then
case OT is
when LOAD => ACCUM <= OP1;
when ADD => ACCUM <= res_add;
when SUB => ACCUM <= res_sub;
when others => null;
end case;
end if;
end process;
FLAGS: process(ACCUM)
begin
if ACCUM = (ACCUM'range => '0') then
t_zf <= '1';
else
t_zf <= '0';
end if;
if ACCUM(7) = '1' then
t_sbf <= '1';
else
t_sbf <= '0';
end if;
end process;
RES <= ACCUM;
ZF <= t_zf;
SBF <= t_sbf;
End Beh; |
------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2014, Aeroflex Gaisler
-- Copyright (C) 2015, Cobham Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
use std.textio.all;
library ieee;
use ieee.std_logic_1164.all;
library grlib;
use grlib.stdlib.all;
use grlib.stdio.all;
entity ddr3ctrl1 is
port (
pll_ref_clk : in std_logic;
global_reset_n : in std_logic;
soft_reset_n : in std_logic;
afi_clk : out std_logic;
afi_half_clk : out std_logic;
afi_reset_n : out std_logic;
afi_reset_export_n : out std_logic;
mem_a : out std_logic_vector(13 downto 0);
mem_ba : out std_logic_vector(2 downto 0);
mem_ck : out std_logic_vector(0 downto 0);
mem_ck_n : out std_logic_vector(0 downto 0);
mem_cke : out std_logic_vector(0 downto 0);
mem_cs_n : out std_logic_vector(0 downto 0);
mem_dm : out std_logic_vector(3 downto 0);
mem_ras_n : out std_logic_vector(0 downto 0);
mem_cas_n : out std_logic_vector(0 downto 0);
mem_we_n : out std_logic_vector(0 downto 0);
mem_reset_n : out std_logic;
mem_dq : inout std_logic_vector(31 downto 0);
mem_dqs : inout std_logic_vector(3 downto 0);
mem_dqs_n : inout std_logic_vector(3 downto 0);
mem_odt : out std_logic_vector(0 downto 0);
avl_ready : out std_logic;
avl_burstbegin : in std_logic;
avl_addr : in std_logic_vector(24 downto 0);
avl_rdata_valid : out std_logic;
avl_rdata : out std_logic_vector(127 downto 0);
avl_wdata : in std_logic_vector(127 downto 0);
avl_be : in std_logic_vector(15 downto 0);
avl_read_req : in std_logic;
avl_write_req : in std_logic;
avl_size : in std_logic_vector(2 downto 0);
local_init_done : out std_logic;
local_cal_success : out std_logic;
local_cal_fail : out std_logic;
oct_rzqin : in std_logic;
pll_mem_clk : out std_logic;
pll_write_clk : out std_logic;
pll_write_clk_pre_phy_clk : out std_logic;
pll_addr_cmd_clk : out std_logic;
pll_locked : out std_logic;
pll_avl_clk : out std_logic;
pll_config_clk : out std_logic;
pll_mem_phy_clk : out std_logic;
afi_phy_clk : out std_logic;
pll_avl_phy_clk : out std_logic
);
end;
architecture sim of ddr3ctrl1 is
signal lafi_clk, lafi_rst_n: std_ulogic;
signal lafi_half_clk: std_ulogic;
begin
afi_clk <= lafi_clk;
afi_half_clk <= lafi_half_clk;
afi_reset_n <= lafi_rst_n;
mem_a <= (others => '0');
mem_ba <= (others => '0');
mem_ck <= (others => '0');
mem_ck_n <= (others => '1');
mem_cke <= (others => '0');
mem_cs_n <= (others => '1');
mem_dm <= (others => '0');
mem_ras_n <= (others => '1');
mem_cas_n <= (others => '1');
mem_we_n <= (others => '1');
mem_reset_n <= '0';
mem_dq <= (others => 'Z');
mem_dqs <= (others => 'Z');
mem_dqs_n <= (others => 'Z');
mem_odt <= (others => '0');
avl_ready <= '1';
local_init_done <= '1';
local_cal_success <= '1';
local_cal_fail <= '0';
pll_mem_clk <= '0';
pll_write_clk <= '0';
pll_write_clk_pre_phy_clk <= '0';
pll_addr_cmd_clk <= '0';
pll_locked <= '1';
pll_avl_clk <= '0';
pll_config_clk <= '0';
pll_mem_phy_clk <= '0';
afi_phy_clk <= '0';
pll_avl_phy_clk <= '0';
clkproc: process
begin
lafi_clk <= '0';
lafi_half_clk <= '0';
loop
wait for 3.3 ns;
lafi_clk <= not lafi_clk;
if lafi_clk='0' then
lafi_half_clk <= not lafi_half_clk;
end if;
end loop;
end process;
rstproc: process
begin
lafi_rst_n <= '0';
wait for 10 ns;
loop
if global_reset_n='0' then
lafi_rst_n <= '0';
wait until global_reset_n/='0';
wait until rising_edge(lafi_clk);
end if;
lafi_rst_n <= '1';
wait until global_reset_n='0';
end loop;
end process;
avlproc: process
subtype BYTE is std_logic_vector(7 downto 0);
type MEM is array(0 to ((2**20)-1)) of BYTE;
variable MEMA: MEM;
procedure load_srec is
file TCF : text open read_mode is "ram.srec";
variable L1: line;
variable CH: character;
variable ai: integer;
variable rectype: std_logic_vector(3 downto 0);
variable recaddr: std_logic_vector(31 downto 0);
variable reclen: std_logic_vector(7 downto 0);
variable recdata: std_logic_vector(0 to 16*8-1);
variable len: integer;
begin
L1:= new string'(""); --'
while not endfile(TCF) loop
readline(TCF,L1);
if (L1'length /= 0) then --'
while (not (L1'length=0)) and (L1(L1'left) = ' ') loop
std.textio.read(L1,CH);
end loop;
if L1'length > 0 then --'
read(L1, ch);
if (ch = 'S') or (ch = 's') then
hread(L1, rectype);
hread(L1, reclen);
len := conv_integer(reclen)-1;
recaddr := (others => '0');
case rectype is
when "0001" =>
hread(L1, recaddr(15 downto 0));
len := len-2;
when "0010" =>
hread(L1, recaddr(23 downto 0));
len := len-3;
when "0011" =>
hread(L1, recaddr);
len := len-4;
when others => next;
end case;
hread(L1, recdata(0 to 8*len-1));
recaddr(31 downto 20) := (others => '0');
ai := conv_integer(recaddr);
-- print("Setting " & tost(len) & "bytes at " & tost(recaddr));
for i in 0 to len-1 loop
MEMA(ai+i) := recdata((i*8) to (i*8+7));
end loop;
end if;
end if;
end if;
end loop;
end load_srec;
constant avldbits: integer := 128;
variable outqueue: std_logic_vector(0 to 4*avldbits-1) := (others => 'X');
variable outqueue_valid: std_logic_vector(0 to 3) := (others => '0');
variable ai,p: integer;
variable wbleft: integer := 0;
begin
load_srec;
loop
wait until rising_edge(lafi_clk);
avl_rdata_valid <= outqueue_valid(0);
avl_rdata <= outqueue(0 to avldbits-1);
outqueue(0 to 3*avldbits-1) := outqueue(avldbits to 4*avldbits-1);
outqueue(3*avldbits to 4*avldbits-1) := (others => 'X');
outqueue_valid := outqueue_valid(1 to 3) & '0';
if avl_burstbegin='1' then wbleft:=0; end if;
if lafi_rst_n='0' then
outqueue_valid := (others => '0');
elsif avl_read_req='1' then
ai := conv_integer(avl_addr(16 downto 0));
p := 0;
while outqueue_valid(p)='1' loop p:=p+1; end loop;
for x in 0 to conv_integer(avl_size)-1 loop
for y in 0 to avldbits/8-1 loop
outqueue((p+x)*avldbits+y*8 to (p+x)*avldbits+y*8+7) := MEMA((ai+x)*avldbits/8+y);
end loop;
outqueue_valid(p+x) := '1';
end loop;
elsif avl_write_req='1' then
if wbleft=0 then
wbleft := conv_integer(avl_size);
ai := conv_integer(avl_addr(16 downto 0));
end if;
for y in 0 to avldbits/8-1 loop
if avl_be(avldbits/8-1-y)='1' then
MEMA(ai*avldbits/8+y) := avl_wdata(avldbits-8*y-1 downto avldbits-8*y-8);
end if;
end loop;
wbleft := wbleft-1;
ai := ai+1;
end if;
end loop;
end process;
end;
library ieee;
use ieee.std_logic_1164.all;
entity lpddr2ctrl1 is
port (
pll_ref_clk : in std_logic;
global_reset_n : in std_logic;
soft_reset_n : in std_logic;
afi_clk : out std_logic;
afi_half_clk : out std_logic;
afi_reset_n : out std_logic;
afi_reset_export_n : out std_logic;
mem_ca : out std_logic_vector(9 downto 0);
mem_ck : out std_logic_vector(0 downto 0);
mem_ck_n : out std_logic_vector(0 downto 0);
mem_cke : out std_logic_vector(0 downto 0);
mem_cs_n : out std_logic_vector(0 downto 0);
mem_dm : out std_logic_vector(1 downto 0);
mem_dq : inout std_logic_vector(15 downto 0);
mem_dqs : inout std_logic_vector(1 downto 0);
mem_dqs_n : inout std_logic_vector(1 downto 0);
avl_ready : out std_logic;
avl_burstbegin : in std_logic;
avl_addr : in std_logic_vector(24 downto 0);
avl_rdata_valid : out std_logic;
avl_rdata : out std_logic_vector(63 downto 0);
avl_wdata : in std_logic_vector(63 downto 0);
avl_be : in std_logic_vector(7 downto 0);
avl_read_req : in std_logic;
avl_write_req : in std_logic;
avl_size : in std_logic_vector(2 downto 0);
local_init_done : out std_logic;
local_cal_success : out std_logic;
local_cal_fail : out std_logic;
oct_rzqin : in std_logic;
pll_mem_clk : out std_logic;
pll_write_clk : out std_logic;
pll_write_clk_pre_phy_clk : out std_logic;
pll_addr_cmd_clk : out std_logic;
pll_locked : out std_logic;
pll_avl_clk : out std_logic;
pll_config_clk : out std_logic;
pll_mem_phy_clk : out std_logic;
afi_phy_clk : out std_logic;
pll_avl_phy_clk : out std_logic
);
end;
architecture sim of lpddr2ctrl1 is
signal lafi_clk: std_ulogic;
begin
afi_clk <= lafi_clk;
afi_reset_n <= '0';
afi_reset_export_n <= '0';
mem_ca <= (others => '0');
mem_ck <= (others => '0');
mem_ck_n <= (others => '1');
mem_cke <= (others => '0');
mem_cs_n <= (others => '1');
mem_dm <= (others => '0');
mem_dq <= (others => 'Z');
mem_dqs <= (others => 'Z');
mem_dqs_n <= (others => 'Z');
avl_ready <= '1';
avl_rdata_valid <= '1';
avl_rdata <= (others => '0');
local_init_done <= '1';
local_cal_success <= '1';
local_cal_fail <= '0';
pll_mem_clk <= '0';
pll_write_clk <= '0';
pll_write_clk_pre_phy_clk <= '0';
pll_addr_cmd_clk <= '0';
pll_locked <= '1';
pll_avl_clk <= '0';
pll_config_clk <= '0';
pll_mem_phy_clk <= '0';
afi_phy_clk <= '0';
pll_avl_phy_clk <= '0';
clkproc: process
variable vclk,vhclk: std_logic := '0';
begin
lafi_clk <= vclk;
afi_half_clk <= vhclk;
wait for 4 ns;
vclk := not vclk;
if vclk='0' then vhclk:=not vhclk; end if;
end process;
rstproc: process
begin
afi_reset_n <= '0';
for x in 1 to 10 loop
wait until rising_edge(lafi_clk);
end loop;
afi_reset_n <= '1';
wait;
end process;
end;
|
------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2014, Aeroflex Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-----------------------------------------------------------------------------
-- Entity: mmu_cache
-- File: mmu_cache.vhd
-- Author: Jiri Gaisler
-- Description: Cache controllers and AHB interface
------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library grlib;
use grlib.amba.all;
library techmap;
use techmap.gencomp.all;
library gaisler;
use gaisler.libiu.all;
use gaisler.libcache.all;
use gaisler.libleon3.all;
use gaisler.mmuconfig.all;
use gaisler.mmuiface.all;
use gaisler.libmmu.all;
entity mmu_cache is
generic (
hindex : integer := 0;
memtech : integer range 0 to NTECH := 0;
dsu : integer range 0 to 1 := 0;
icen : integer range 0 to 1 := 0;
irepl : integer range 0 to 3 := 0;
isets : integer range 1 to 4 := 1;
ilinesize : integer range 4 to 8 := 4;
isetsize : integer range 1 to 256 := 1;
isetlock : integer range 0 to 1 := 0;
dcen : integer range 0 to 1 := 0;
drepl : integer range 0 to 3 := 0;
dsets : integer range 1 to 4 := 1;
dlinesize : integer range 4 to 8 := 4;
dsetsize : integer range 1 to 256 := 1;
dsetlock : integer range 0 to 1 := 0;
dsnoop : integer range 0 to 6 := 0;
ilram : integer range 0 to 1 := 0;
ilramsize : integer range 1 to 512 := 1;
ilramstart : integer range 0 to 255 := 16#8e#;
dlram : integer range 0 to 1 := 0;
dlramsize : integer range 1 to 512 := 1;
dlramstart : integer range 0 to 255 := 16#8f#;
itlbnum : integer range 2 to 64 := 8;
dtlbnum : integer range 2 to 64 := 8;
tlb_type : integer range 0 to 3 := 1;
tlb_rep : integer range 0 to 1 := 0;
cached : integer := 0;
clk2x : integer := 0;
scantest : integer := 0;
mmupgsz : integer range 0 to 5 := 0;
smp : integer := 0;
mmuen : integer range 0 to 1 := 0
);
port (
rst : in std_ulogic;
clk : in std_ulogic;
ici : in icache_in_type;
ico : out icache_out_type;
dci : in dcache_in_type;
dco : out dcache_out_type;
ahbi : in ahb_mst_in_type;
ahbo : out ahb_mst_out_type;
ahbsi : in ahb_slv_in_type;
ahbso : in ahb_slv_out_vector;
crami : out cram_in_type;
cramo : in cram_out_type;
fpuholdn : in std_ulogic;
hclk, sclk : in std_ulogic;
hclken : in std_ulogic
);
end;
architecture rtl of mmu_cache is
signal icol : icache_out_type;
signal dcol : dcache_out_type;
signal mcii : memory_ic_in_type;
signal mcio : memory_ic_out_type;
signal mcdi : memory_dc_in_type;
signal mcdo : memory_dc_out_type;
signal mcmmi : memory_mm_in_type;
signal mcmmo : memory_mm_out_type;
signal mmudci : mmudc_in_type;
signal mmudco : mmudc_out_type;
signal mmuici : mmuic_in_type;
signal mmuico : mmuic_out_type;
signal ahbsi2 : ahb_slv_in_type;
signal ahbi2 : ahb_mst_in_type;
signal ahbo2 : ahb_mst_out_type;
signal gndv: std_logic_vector(1 downto 0);
begin
gndv <= (others => '0');
icache0 : mmu_icache
generic map (icen, irepl, isets, ilinesize, isetsize, isetlock, ilram,
ilramsize, ilramstart,
mmuen)
port map (rst, clk, ici, icol, dci, dcol, mcii, mcio,
crami.icramin, cramo.icramo, fpuholdn, mmudci, mmuici, mmuico);
dcache0 : mmu_dcache
generic map (dsu, dcen, drepl, dsets, dlinesize, dsetsize, dsetlock, dsnoop,
dlram, dlramsize, dlramstart, ilram, ilramstart,
itlbnum, dtlbnum, tlb_type,
memtech, cached, mmupgsz, smp, mmuen)
port map (rst, clk, dci, dcol, icol, mcdi, mcdo, ahbsi2,
crami.dcramin, cramo.dcramo, fpuholdn, mmudci, mmudco, sclk, ahbso);
-- AMBA AHB interface
a0 : mmu_acache
generic map (hindex, ilinesize, cached, clk2x, scantest
)
port map (rst, sclk, mcii, mcio, mcdi, mcdo, mcmmi, mcmmo, ahbi2, ahbo2, ahbso, hclken);
-- MMU
mmugen : if mmuen = 1 generate
m0 : mmu
generic map (memtech, itlbnum, dtlbnum, tlb_type, tlb_rep, mmupgsz, 1)
port map (rst, clk, mmudci, mmudco, mmuici, mmuico, mcmmo, mcmmi,
gndv(0), gndv(1 downto 0), open);
end generate;
nommu : if mmuen = 0 generate
mcmmi <= mci_zero; mmudco <= mmudco_zero; mmuico <= mmuico_zero;
end generate;
ico <= icol;
dco <= dcol;
clk2xgen: if clk2x /= 0 generate
sync0 : clk2xsync generic map (hindex, clk2x)
port map (rst, hclk, clk, ahbi, ahbi2, ahbo2, ahbo, ahbsi, ahbsi2,
mcii, mcdi, mcdo, mcmmi.req, mcmmo.grant, hclken);
end generate;
noclk2x : if clk2x = 0 generate
ahbsi2 <= ahbsi;
ahbi2 <= ahbi;
ahbo <= ahbo2;
end generate;
end;
|
----------------------------------------
-- Datapath : IITB-RISC
-- Author : Titto Thomas, Sainath, Anakha
-- Date : 20/3/2014
----------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity Datapath is
port (
clock, reset : in std_logic; -- clock and reset signals
ExDData, ExDAddress : in std_logic_vector(15 downto 0); -- External data and address for programing
mode, ExDWrite : in std_logic; -- Program / Execution mode
RF_Write : in std_logic; -- Reg File write enable
MemRead, MemWrite : in std_logic; -- Read / Write from / to the data memory
OpSel, ALUc, ALUz, Cen, Zen,ALUOp : in std_logic; -- ALU & Flag block signals
M1_Sel, M2_Sel, M5_Sel, M3_Sel : in std_logic; -- Mux select lines
M4_Sel, M6_Sel, M7_Sel, M8_Sel, M9_Sel : in std_logic_vector(1 downto 0); -- Mux select lines
Instruction : out std_logic_vector(15 downto 0); -- Instruction to the CP
ExIWrite : in std_logic; -- Write to Instruction Mem
ExIData, ExIAddress : in std_logic_vector(15 downto 0) -- External instruction and address for programing
);
end Datapath;
architecture behave of Datapath is
--==================================== Components ====================================================--
component alu16 is -- ALU block
port (
operand1 : in std_logic_vector(15 downto 0); -- 16 std_logic input1
operand2 : in std_logic_vector(15 downto 0); -- 16 std_logic input2
op_code : in std_logic; -- 1 std_logic opcode
result : out std_logic_vector(15 downto 0); -- 16 std_logic ALU result
carry : out std_logic; -- carry flag
zero : out std_logic; -- zero flag
alu_equ : out std_logic -- comparator output
);
end component;
component incr is --increa
port (
input : in std_logic_vector(15 downto 0); -- 16 std_logic input
output : out std_logic_vector(15 downto 0) -- 16 std_logic output
);
end component;
component FlagBlock is -- FR block
port (
clock : in std_logic; -- clock signal
reset : in std_logic; -- reset signal
ALUc : in std_logic; -- conditional carry flag change
ALUz : in std_logic; -- conditional zero flag change
Cen : in std_logic; -- enable carry flag change
Zen : in std_logic; -- enable zero flag change
ALUop : in std_logic; -- unconditional ALU operation
ALUcout : in std_logic; -- the carry out from ALU
ALUzout : in std_logic; -- the zero out from ALU
ALUvalid : out std_logic; -- whether the ALU output is valid or not
FR : out std_logic_vector(1 downto 0) -- Flag register
);
end component;
component Memory is -- Memory block
port (
clock : in std_logic; -- clock
write : in std_logic; -- write to the memory
read : in std_logic; -- read from the memory
address : in std_logic_vector(15 downto 0); -- address of the memory being read
data_in : in std_logic_vector(15 downto 0); -- data input
data_out : out std_logic_vector(15 downto 0) -- data output
);
end component;
component mux2to1bit is -- 2:1 Mux (1 bit) block
port (
input0 : in std_logic;
input1 : in std_logic;
output : out std_logic;
sel : in std_logic
);
end component;
component mux2to1 is -- 2:1 Mux block
generic (
nbits : integer
);
port (
input0 : in std_logic_vector(nbits-1 downto 0);
input1 : in std_logic_vector(nbits-1 downto 0);
output : out std_logic_vector(nbits-1 downto 0);
sel : in std_logic
);
end component;
component mux4to1 is -- 4:1 Mux block
generic (
nbits : integer
);
port (
input0, input1, input2, input3: in std_logic_vector(nbits-1 downto 0);
output : out std_logic_vector(nbits-1 downto 0);
sel0, sel1 : in std_logic
);
end component;
component mux8to1 is -- 8:1 Mux block
generic (
nbits : integer
);
port (
input0, input1, input2, input3, input4, input5, input6, input7 : in std_logic_vector(nbits-1 downto 0);
output : out std_logic_vector(nbits-1 downto 0);
sel0, sel1, sel2 : in std_logic
);
end component;
component reg is -- Register
generic (
nbits : integer
);
port (
reg_in : in std_logic_vector(nbits-1 downto 0); -- register input
reg_out : out std_logic_vector(nbits-1 downto 0); -- register output
clock : in std_logic; -- clock signal
write : in std_logic; -- write enable signal
reset : in std_logic -- reset signal
);
end component;
component regfile is -- Register File block
port (
clock : in std_logic;
reset : in std_logic;
InA : in std_logic_vector(2 downto 0); --address for selecting A
InB : in std_logic_vector(2 downto 0); --address for selecting B
dataA : out std_logic_vector(15 downto 0); --read the data into reg A
dataB : out std_logic_vector(15 downto 0);--read the data into reg B
dataIn : in std_logic_vector(15 downto 0);---data to be written into the register
WritEn : in std_logic; ---enable for writing
WriteAdr : in std_logic_vector(2 downto 0) --to select the destination register
);
end component;
component SE is -- Sign Extender block
generic (
initial : integer; -- number of input std_logics
final : integer -- number of output std_logics
);
port (
data_in : in std_logic_vector(initial-1 downto 0); -- data input
data_out : out std_logic_vector(final-1 downto 0) -- data output
);
end component;
component CondBlock is
port (
OpCode : in std_logic_vector(5 downto 0); -- Opcode (0-2 and 12-15) bits
ALU_val : in std_logic; -- valid signal from ALU
Curr_RFWrite : in std_logic; -- Current value of RF write
Nxt_RFWrite : out std_logic -- Next value for RF write
);
end component;
component PCImmAdd is
port (
input1 : in std_logic_vector(15 downto 0); -- 16 std_logic inputs
input2 : in std_logic_vector(15 downto 0);
output : out std_logic_vector(15 downto 0) -- 16 std_logic output
);
end component;
component FrwdBlock is
port (
clock, reset : in std_logic;
iteration : in std_logic_vector(3 downto 0);
MA_M4_Sel, WB_M4_Sel : in std_logic_vector(1 downto 0); -- M4 sel lines
MA_RFWrite, WB_RFWrite : in std_logic; -- RF write
OpCode : in std_logic_vector(5 downto 0); -- Opcode (12-15 & 0-1)
Curr_M7_Sel, Curr_M8_Sel : in std_logic_vector(1 downto 0); -- Current Mux select lines
MA_Ir911, WB_Ir911, Ir35, Ir68, Ir911 : in std_logic_vector(2 downto 0); -- Source and destination registers
MA_ALUout, MA_MemOut, WB_ALUout, WB_MemOut : in std_logic_vector(15 downto 0); -- ALUout and Data memory out
M7_In, M8_In : out std_logic_vector(15 downto 0); -- Inputs for M7 and M8
Nxt_M7_Sel, Nxt_M8_Sel : out std_logic_vector(1 downto 0) -- Updated Mux select lines
);
end component;
component LmSmBlock is
port (
clock, reset : in std_logic;
Ir0_8 : in std_logic_vector(8 downto 0);
Ir12_15 : in std_logic_vector(3 downto 0);
M1_Sel, M2_Sel, M3_Sel : in std_logic;
M4_Sel, M9_Sel, M7_Sel, M8_Sel : in std_logic_vector(1 downto 0);
PC_en, IF_en, MemRead, MemWrite, RF_Write : in std_logic;
M1_Sel_ls, M2_Sel_ls, M3_Sel_ls : out std_logic;
M4_Sel_ls, M9_Sel_ls, M7_Sel_ls, M8_Sel_ls : out std_logic_vector(1 downto 0);
PC_en_ls, IF_en_ls, MemRead_ls, MemWrite_ls, RF_Write_ls : out std_logic;
LM_reg, SM_reg : out std_logic_vector(2 downto 0);
iteration : out integer
);
end component;
--==================================== Signals ====================================================--
signal MA_in, MA_out : std_logic_vector(70 downto 0); -- Memory Access pipeline register
signal EX_in, EX_out : std_logic_vector(90 downto 0); -- Execute pipeline register
signal RR_in, RR_out : std_logic_vector(109 downto 0); -- Register Read pipeline register
signal DC_in, DC_out : std_logic_vector(64 downto 0); -- Decode pipeline register
signal IF_in, IF_out : std_logic_vector(31 downto 0); -- Fetch pipeline register
signal ZPad : std_logic_vector(15 downto 0); -- Zero padded output of WB(63-55)
signal M3_out : std_logic_vector(2 downto 0);
signal M4_out, incr_out: std_logic_vector(15 downto 0);
signal WB_PC, WB_ALUout, WB_MemOut : std_logic_vector(15 downto 0);
signal WB_M4_Sel : std_logic_vector(1 downto 0);
signal WB_M3_Sel : std_logic;
signal WB_LM_DestAdr, WB_DestAdr : std_logic_vector(2 downto 0);
signal WB_RFWrite : std_logic;
signal M9_out: std_logic_vector(15 downto 0);
signal MA_ALUout, MA_PC, MA_A, MA_B: std_logic_vector(15 downto 0);
signal DMem_Write, DMem_Read : std_logic;
signal MA_M9_Sel : std_logic_vector(1 downto 0);
signal MuxExDA_out, MuxExDD_out : std_logic_vector(15 downto 0); -- Data Memory input Muxes
signal MuxExDW_out : std_logic;
signal DMemory_out, MA_Memout : std_logic_vector(15 downto 0); -- Data Memory output
signal PC_en : std_logic; -- Enable PC updation
signal PC_out, PC_incr_out : std_logic_vector(15 downto 0); -- PC output
signal IF_en : std_logic; -- Enable IF updation
signal IF_PC : std_logic_vector(15 downto 0); -- IF stage PC
signal MuxExIW_out,IMem_Read : std_logic; -- Instruction Memory write
signal MuxExID_out, MuxExIA_out : std_logic_vector(15 downto 0); -- Instruction Memory input Muxes
signal IMemory_out : std_logic_vector(15 downto 0); -- Instruction Memory output
signal Ir12_15 : std_logic_vector(3 downto 0); -- Instruction (12 - 15) bits
signal Ir9_11 : std_logic_vector(2 downto 0); -- Instruction (9 - 11) bits
signal Ir0_8 : std_logic_vector(8 downto 0); -- Instruction (0 - 8) bits
signal DC_en : std_logic; -- Enable DC updation
signal RR_en : std_logic; -- Enable RR updation
signal RRead_SrcB1, RRead_SrcB2, RRead_SrcA1, RRead_SrcA2 : std_logic_vector(2 downto 0); -- Register Source Mux inputs
signal M1_out, M2_out : std_logic_vector(2 downto 0); -- Register Source Mux outputs
signal RR_M1_Sel, RR_M2_Sel, RR_M5_Sel : std_logic; -- Register Source Mux select lines
signal RR_PC, RR_SE6_out, RR_SE9_out, M5_out : std_logic_vector(15 downto 0); -- Sign extender outputs
signal RFoutA, RFoutB : std_logic_vector(15 downto 0); -- Register file outputs
signal JB_addr : std_logic_vector(15 downto 0); -- Jump / Branch address
signal LM_Slct : std_logic_vector(2 downto 0); -- LM select from LM/SM block
signal EX_en : std_logic; -- Enable EX updation
signal EX_B , M7_out : std_logic_vector(15 downto 0); -- M7 inputs and output
signal EX_SE6_out, EX_A, M8_out : std_logic_vector(15 downto 0); -- M8 inputs and output
signal EX_PC, PCImm, M6_out : std_logic_vector(15 downto 0); -- M6 inputs and output
signal EX_M6_Sel, EX_M7_Sel, EX_M8_Sel : std_logic_vector(1 downto 0); -- Mux select lines
signal EX_OpCode : std_logic_vector(5 downto 0); -- Opcode (0-1, 12-15) bits
signal EX_ALU_val, Curr_RFWrite, Nxt_RFWrite : std_logic; -- Enable EX updation
signal EX_OpSel, cout, zout, ALUeq : std_logic; -- ALU signals
signal EX_ALUout : std_logic_vector(15 downto 0); -- ALU output
signal EX_ALUc, EX_ALUz, EX_Cen, EX_Zen, EX_ALUop, ALU_val : std_logic; -- ALU signals
signal FR_out : std_logic_vector(1 downto 0); -- Flag Register
signal MA_en : std_logic; -- Enable MA updation
signal MA_Ir911, WB_Ir911, EX_Ir35, EX_Ir68, EX_Ir911 : std_logic_vector(2 downto 0); -- Forwarding block input
signal M7_In, M8_In : std_logic_vector(15 downto 0); -- Mux Inputs
signal Nxt_M7_Sel, Nxt_M8_Sel, MA_M4_Sel : std_logic_vector(1 downto 0); -- Mux Select lines
signal MA_RFWrite : std_logic;
signal M1_Sel_ls, M2_Sel_ls, M3_Sel_ls : std_logic;
signal M4_Sel_ls, M9_Sel_ls, M7_Sel_ls, M8_Sel_ls : std_logic_vector(1 downto 0);
signal PC_en_ls, IF_en_ls, MemRead_ls, MemWrite_ls, RF_Write_ls : std_logic;
signal LM_reg, SM_reg : std_logic_vector(2 downto 0);
signal iteration : integer range 0 to 8:= 0;
signal EX_ite : std_logic_vector(3 downto 0);
--================================================================================================--
begin -- behave
--==================================== Write back ====================================================--
ZPad <= MA_out(31 downto 23) & b"0000000";
M3 : mux2to1 generic map (3) port map (WB_DestAdr, WB_LM_DestAdr, M3_out, WB_M3_Sel);
M4 : mux4to1 generic map (16) port map (WB_MemOut, WB_ALUout, incr_out, ZPad, M4_out, WB_M4_Sel(0), WB_M4_Sel(1));
wbinc: incr port map(WB_PC, incr_out);
WB_PC <= MA_out(22 downto 7);
WB_M4_Sel <= MA_out(33 downto 32);
WB_ALUout <= MA_out(54 downto 39);
WB_MemOut <= MA_out(70 downto 55);
WB_M3_Sel <= MA_out(0);
WB_LM_DestAdr <= MA_out(6 downto 4);
WB_DestAdr <= MA_out(3 downto 1);
WB_RFWrite <= MA_out(34);
WB_Ir911 <= MA_out(3 downto 1);
--================================= Memory Access ====================================================--
reg_MA : reg generic map (71) port map (MA_in, MA_out, clock, MA_en, reset);
M9 : mux4to1 generic map (16) port map (MA_A, MA_B, MA_PC, X"0000", M9_out, MA_M9_Sel(0), MA_M9_Sel(1));
DataMem : Memory port map (clock, MuxExDW_out, DMem_Read, MuxExDA_out, MuxExDD_out, DMemory_out);
MuxExDA : mux2to1 generic map (16) port map (MA_ALUout, ExDAddress, MuxExDA_out, mode);
MuxExDD : mux2to1 generic map (16) port map (M9_out, ExDData, MuxExDD_out, mode);
MuxExDW : mux2to1bit port map (DMem_Write, ExDWrite, MuxExDW_out, mode);
MA_ALUout <= EX_out(90 downto 75);
DMem_Write <= EX_out(70);
DMem_Read <= EX_out(69);
MA_M9_Sel <= EX_out(68 downto 67);
MA_PC <= EX_out(22 downto 7);
MA_B <= EX_out(66 downto 51);
MA_A <= EX_out(50 downto 35);
MA_M4_Sel <= EX_out(33 downto 32);
MA_RFWrite <= EX_out(34);
MA_Memout <= DMemory_out;
MA_en <= '1'; -- Will be modified by LM/SM block
MA_Ir911 <= EX_out(3 downto 1);
MA_in(0) <= EX_out(0); -- M3_Sel
MA_in(3 downto 1) <= EX_out(3 downto 1); -- 9-11
MA_in(6 downto 4) <= EX_out(6 downto 4); -- LM_Sel
MA_in(22 downto 7) <= MA_PC; -- PC
MA_in(31 downto 23) <= EX_out(31 downto 23); -- 0-8
MA_in(33 downto 32) <= EX_out(33 downto 32); -- M4_Sel
MA_in(34) <= EX_out(34); -- RF_Write
MA_in(38 downto 35) <= EX_out(74 downto 71); -- OpCode
MA_in(54 downto 39) <= EX_out(90 downto 75); -- ALUout
MA_in(70 downto 55) <= DMemory_out; -- Data Memory Out
--======================================= Execution =================================================--
reg_EX : reg generic map (91) port map (EX_in, EX_out, clock, EX_en, reset);
M7 : mux4to1 generic map (16) port map (x"0000", x"0001", EX_B , M7_In, M7_out, Nxt_M7_Sel(0), Nxt_M7_Sel(1));
M8 : mux4to1 generic map (16) port map (EX_SE6_out, EX_A, M8_In, MA_ALUout, M8_out, Nxt_M8_Sel(0), Nxt_M8_Sel(1));
M6 : mux4to1 generic map (16) port map (PC_incr_out, DMemory_out, PCImm, x"0000", M6_out, EX_M6_Sel(0), EX_M6_Sel(1));
ConcBlock : CondBlock port map ( EX_OpCode, EX_ALU_val, Curr_RFWrite, Nxt_RFWrite);
EX_SE6 : SE generic map (6, 16) port map (RR_out(28 downto 23), EX_SE6_out);
-------------------------- ALU ----------------------------------------------------------------------------
ALU : alu16 port map (M7_out, M8_out, EX_OpSel, EX_ALUout, cout, zout, ALUeq);
-------------------------- FlagBlock ----------------------------------------------------------------------
FR : FlagBlock port map (clock, reset, EX_ALUc, EX_ALUz, EX_Cen, EX_Zen, EX_ALUop, cout, zout, EX_ALU_val, FR_out);
-------------------------- Forwarding Block ----------------------------------------------------------------------------
FB : FrwdBlock port map (clock, reset, EX_ite, MA_M4_Sel, WB_M4_Sel, MA_RFWrite, WB_RFWrite, EX_OpCode, EX_M7_Sel, EX_M8_Sel, MA_Ir911, WB_Ir911, EX_Ir35, EX_Ir68, EX_Ir911, MA_ALUout, MA_MemOut, WB_ALUout, WB_MemOut, M7_In, M8_In, Nxt_M7_Sel, Nxt_M8_Sel );
EX_B <= RR_out(66 downto 51);
EX_A <= RR_out(50 downto 35);
EX_M7_Sel <= RR_out(72 downto 71);
EX_M8_Sel <= RR_out(74 downto 73);
EX_M6_Sel <= RR_out(97 downto 96);
PCImm <= RR_out(95 downto 80);
EX_PC <= RR_out(22 downto 7);
Curr_RFWrite <= RR_out(34);
EX_OpSel <= RR_out(75);
EX_ALUop <= RR_out(105);
EX_ALUc <= RR_out(76);
EX_ALUz <= RR_out(77);
EX_Cen <= RR_out(78);
EX_Zen <= RR_out(79);
EX_Ir35 <= RR_out(28 downto 26);
EX_Ir68 <= RR_out(31 downto 29);
EX_Ir911<= RR_out(3 downto 1);
EX_OpCode <= RR_out(104 downto 101) & RR_out(24 downto 23);
EX_en <= '1'; -- Will be modified by LM/SM block
EX_in(0) <= RR_out(0); -- M3_Sel
EX_in(3 downto 1) <= RR_out(3 downto 1); -- 9-11
EX_in(6 downto 4) <= RR_out(6 downto 4); -- LM_Sel
EX_in(22 downto 7) <= RR_out(22 downto 7); -- PC
EX_in(31 downto 23) <= RR_out(31 downto 23); -- 0-8
EX_in(33 downto 32) <= RR_out(33 downto 32); -- M4_Sel
EX_in(34) <= Nxt_RFWrite; -- RF_Write
EX_in(50 downto 35) <= EX_A; -- RF file out A
EX_in(66 downto 51) <= EX_B; -- RF file out B
EX_in(68 downto 67) <= RR_out(68 downto 67); -- M9_Sel
EX_in(69) <= RR_out(69); -- MemRead
EX_in(70) <= RR_out(70); -- MemWrite
EX_in(74 downto 71) <= RR_out(104 downto 101); -- OpCode
EX_in(90 downto 75) <= EX_ALUout; -- ALUout
EX_ite <= RR_out(109 downto 106);
--======================================== Reg Read =================================================--
reg_RR : reg generic map (110) port map (RR_in, RR_out, clock, RR_en, reset);
M1 : mux2to1 generic map (3) port map (RRead_SrcB1, RRead_SrcB2, M1_out, RR_M1_Sel);
M2 : mux2to1 generic map (3) port map (RRead_SrcA1, RRead_SrcA2, M2_out, RR_M2_Sel);
RRead_SrcB1 <= DC_out(12 downto 10);
RRead_SrcB2 <= DC_out(60 downto 58); --from SM block
RR_M1_Sel <= DC_out(31);
RRead_SrcA1 <= DC_out(9 downto 7);
RRead_SrcA2 <= DC_out(3 downto 1);
RR_M2_Sel <= DC_out(32);
RR_PC <= DC_out(53 downto 38);
RR_SE6 : SE generic map (6, 16) port map (DC_out(9 downto 4), RR_SE6_out);
RR_SE9 : SE generic map (9, 16) port map (DC_out(12 downto 4), RR_SE9_out);
M5 : mux2to1 generic map (16) port map ( RR_SE6_out, RR_SE9_out, M5_out, RR_M5_Sel);
RR_M5_Sel <= DC_out(33);
RF : regfile port map (clock, reset, M2_out, M1_out, RFoutA, RFoutB, M4_out, WB_RFWrite, M3_out);
PCImmAdder : PCImmAdd port map(M5_out, RR_PC, JB_addr);
RR_en <= '1'; -- Will be modified by LM/SM block
RR_in(0) <= DC_out(0); -- M3 Sel
RR_in(3 downto 1) <= DC_out(3 downto 1); -- 9-11
RR_in(6 downto 4) <= LM_Slct; -- LM Sel
RR_in(22 downto 7) <= DC_out(53 downto 38); -- PC
RR_in(31 downto 23) <= DC_out(12 downto 4); -- 0-8
RR_in(33 downto 32) <= DC_out(14 downto 13); -- M4_Sel
RR_in(34) <= DC_out(15); -- RF_Write
RR_in(50 downto 35) <= RFoutA; -- RF file out A
RR_in(66 downto 51) <= RFoutB; -- RF file out B
RR_in(68 downto 67) <= DC_out(17 downto 16); -- M9_Sel
RR_in(69) <= DC_out(18); -- MemRead
RR_in(70) <= DC_out(19); -- MemWrite
RR_in(72 downto 71) <= DC_out(21 downto 20); -- M7_Sel
RR_in(74 downto 73) <= DC_out(23 downto 22); -- M8_Sel
RR_in(75) <= DC_out(24); -- ALU signals
RR_in(76) <= DC_out(25);
RR_in(77) <= DC_out(26);
RR_in(78) <= DC_out(27);
RR_in(79) <= DC_out(28);
RR_in(95 downto 80) <= JB_addr; -- PC+Imm
RR_in(97 downto 96) <= DC_out(30 downto 29); -- M6_Sel
RR_in(98) <= DC_out(31); -- M1, M2, M5 Sel
RR_in(99) <= DC_out(32);
RR_in(100) <= DC_out(33);
RR_in(104 downto 101) <= DC_out(37 downto 34); -- OpCode
RR_in (105) <= DC_out(54); --ALUOp
RR_in (109 downto 106) <= DC_out(64 downto 61);
LM_Slct <= DC_out(57 downto 55); -- From the LM Block
--=========================================== Decode ====================================================--
reg_DC : reg generic map (65) port map (DC_in, DC_out, clock, DC_en, reset);
DC_in(0) <= M3_Sel_ls;
DC_in(3 downto 1) <= Ir9_11;
DC_in(12 downto 4) <= Ir0_8;
DC_in(14 downto 13) <= M4_Sel_ls;
DC_in(15) <= RF_Write_ls;
DC_in(17 downto 16) <= M9_Sel_ls;
DC_in(18) <= MemRead_ls;
DC_in(19) <= MemWrite_ls;
DC_in(21 downto 20) <= M7_Sel_ls;
DC_in(23 downto 22) <= M8_Sel_ls;
DC_in(24) <= OpSel;
DC_in(25) <= ALUc;
DC_in(26) <= ALUz;
DC_in(27) <= Cen;
DC_in(28) <= Zen;
DC_in(30 downto 29) <= M6_Sel;
DC_in(31) <= M1_Sel_ls;
DC_in(32) <= M2_Sel_ls;
DC_in(33) <= M5_Sel;
DC_in(37 downto 34) <= Ir12_15;
DC_in(53 downto 38) <= IF_PC;
DC_in(54) <= ALUOp;
DC_in(57 downto 55) <= LM_reg;
DC_in(60 downto 58) <= SM_reg;
DC_in(64 downto 61) <= std_logic_vector(to_unsigned(iteration,4));
LM_SM : LmSmBlock port map ( clock, reset, Ir0_8, Ir12_15, M1_Sel, M2_Sel, M3_Sel, M4_Sel, M9_Sel, M7_Sel, M8_Sel, '1', '1', MemRead, MemWrite, RF_Write, M1_Sel_ls, M2_Sel_ls, M3_Sel_ls, M4_Sel_ls, M9_Sel_ls, M7_Sel_ls, M8_Sel_ls, PC_en_ls, IF_en_ls, MemRead_ls, MemWrite_ls, RF_Write_ls, LM_reg, SM_reg, iteration);
DC_en <= '1'; -- Will be modified by LM/SM block
--========================================= Fetch ===================================================--
IF_PC <= IF_out(31 downto 16); -- signals going to the next stage
Ir12_15 <= IF_out(15 downto 12);
Ir9_11 <= IF_out(11 downto 9);
Ir0_8 <= IF_out(8 downto 0);
Instruction <= IF_out(15 downto 0); --Instruction passed to the control path
IMem : Memory port map (clock, MuxExIW_out, IMem_Read, MuxExIA_out, MuxExID_out, IMemory_out);
MuxExIA : mux2to1 generic map (16) port map (PC_out, ExIAddress, MuxExIA_out, mode);
MuxExID : mux2to1 generic map (16) port map (X"0000", ExIData, MuxExID_out, mode);
MuxExIW : mux2to1bit port map ('0', ExIWrite, MuxExIW_out, mode);
reg_IF : reg generic map (32) port map (IF_in, IF_out, clock, IF_en, reset);
IF_in(15 downto 0) <= IMemory_out;
IF_in(31 downto 16)<= PC_out;
reg_PC : reg generic map (16) port map (M6_out, PC_out, clock, PC_en, reset);
Finc: incr port map(PC_out, PC_incr_out);
PC_en <= PC_en_ls; -- modified by LM/SM block
IF_en <= IF_en_ls; -- modified by LM/SM block
IMem_Read <= '1'; -- Always read from Instruction Memory
--===========================================================================================================--
end behave;
|
-- ======================================================================
-- DES encryption/decryption
-- package file with functions
-- Copyright (C) 2007 Torsten Meissner
-------------------------------------------------------------------------
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA
-- ======================================================================
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
package des_pkg is
component des is
generic (
design_type : string := "ITER"
);
port (
reset_i : in std_logic; -- async reset
clk_i : in std_logic; -- clock
mode_i : in std_logic; -- des-modus: 0 = encrypt, 1 = decrypt
key_i : in std_logic_vector(0 to 63); -- key input
data_i : in std_logic_vector(0 to 63); -- data input
valid_i : in std_logic; -- input key/data valid
accept_o : out std_logic; -- input accept
data_o : out std_logic_vector(0 to 63); -- data output
valid_o : out std_logic; -- output data valid flag
accept_i : in std_logic -- output accept
);
end component des;
type ip_matrix is array (0 to 63) of natural range 0 to 63;
constant ip_table : ip_matrix := (57, 49, 41, 33, 25, 17, 9, 1,
59, 51, 43, 35, 27, 19, 11, 3,
61, 53, 45, 37, 29, 21, 13, 5,
63, 55, 47, 39, 31, 23, 15, 7,
56, 48, 40, 32, 24, 16, 8, 0,
58, 50, 42, 34, 26, 18, 10, 2,
60, 52, 44, 36, 28, 20, 12, 4,
62, 54, 46, 38, 30, 22, 14, 6);
constant ipn_table : ip_matrix := (39, 7, 47, 15, 55, 23, 63, 31,
38, 6, 46, 14, 54, 22, 62, 30,
37, 5, 45, 13, 53, 21, 61, 29,
36, 4, 44, 12, 52, 20, 60, 28,
35, 3, 43, 11, 51, 19, 59, 27,
34, 2, 42, 10, 50, 18, 58, 26,
33, 1, 41, 9, 49, 17, 57, 25,
32, 0, 40, 8, 48, 16, 56, 24);
type e_matrix is array (0 to 47) of natural range 0 to 31;
constant e_table : e_matrix := (31, 0, 1, 2, 3, 4,
3, 4, 5, 6, 7, 8,
7, 8, 9, 10, 11, 12,
11, 12, 13, 14, 15, 16,
15, 16, 17, 18, 19, 20,
19, 20, 21, 22, 23, 24,
23, 24, 25, 26, 27, 28,
27, 28, 29, 30, 31, 0);
type s_matrix is array (0 to 3, 0 to 15) of integer range 0 to 15;
constant s1_table : s_matrix := (0 => (14, 4, 13, 1, 2, 15, 11, 8, 3, 10, 6, 12, 5, 9, 0, 7),
1 => ( 0, 15, 7, 4, 14, 2, 13, 1, 10, 6, 12, 11, 9, 5, 3, 8),
2 => ( 4, 1, 14, 8, 13, 6, 2, 11, 15, 12, 9, 7, 3, 10, 5, 0),
3 => (15, 12, 8, 2, 4, 9, 1, 7, 5, 11, 3, 14, 10, 0, 6, 13));
constant s2_table : s_matrix := (0 => (15, 1, 8, 14, 6, 11, 3, 4, 9, 7, 2, 13, 12, 0, 5, 10),
1 => ( 3, 13, 4, 7, 15, 2, 8, 14, 12, 0, 1, 10, 6, 9, 11, 5),
2 => ( 0, 14, 7, 11, 10, 4, 13, 1, 5, 8, 12, 6, 9, 3, 2, 15),
3 => (13, 8, 10, 1, 3, 15, 4, 2, 11, 6, 7, 12, 0, 5, 14, 9));
constant s3_table : s_matrix := (0 => (10, 0, 9, 14, 6, 3, 15, 5, 1, 13, 12, 7, 11, 4, 2, 8),
1 => (13, 7, 0, 9, 3, 4, 6, 10, 2, 8, 5, 14, 12, 11, 15, 1),
2 => (13, 6, 4, 9, 8, 15, 3, 0, 11, 1, 2, 12, 5, 10, 14, 7),
3 => ( 1, 10, 13, 0, 6, 9, 8, 7, 4, 15, 14, 3, 11, 5, 2, 12));
constant s4_table : s_matrix := (0 => ( 7, 13, 14, 3, 0, 6, 9, 10, 1, 2, 8, 5, 11, 12, 4, 15),
1 => (13, 8, 11, 5, 6, 15, 0, 3, 4, 7, 2, 12, 1, 10, 14, 9),
2 => (10, 6, 9, 0, 12, 11, 7, 13, 15, 1, 3, 14, 5, 2, 8, 4),
3 => ( 3, 15, 0, 6, 10, 1, 13, 8, 9, 4, 5, 11, 12, 7, 2, 14));
constant s5_table : s_matrix := (0 => ( 2, 12, 4, 1, 7, 10, 11, 6, 8, 5, 3, 15, 13, 0, 14, 9),
1 => (14, 11, 2, 12, 4, 7, 13, 1, 5, 0, 15, 10, 3, 9, 8, 6),
2 => ( 4, 2, 1, 11, 10, 13, 7, 8, 15, 9, 12, 5, 6, 3, 0, 14),
3 => (11, 8, 12, 7, 1, 14, 2, 13, 6, 15, 0, 9, 10, 4, 5, 3));
constant s6_table : s_matrix := (0 => (12, 1, 10, 15, 9, 2, 6, 8, 0, 13, 3, 4, 14, 7, 5, 11),
1 => (10, 15, 4, 2, 7, 12, 9, 5, 6, 1, 13, 14, 0, 11, 3, 8),
2 => ( 9, 14, 15, 5, 2, 8, 12, 3, 7, 0, 4, 10, 1, 13, 11, 6),
3 => ( 4, 3, 2, 12, 9, 5, 15, 10, 11, 14, 1, 7, 6, 0, 8, 13));
constant s7_table : s_matrix := (0 => ( 4, 11, 2, 14, 15, 0, 8, 13, 3, 12, 9, 7, 5, 10, 6, 1),
1 => (13, 0, 11, 7, 4, 9, 1, 10, 14, 3, 5, 12, 2, 15, 8, 6),
2 => ( 1, 4, 11, 13, 12, 3, 7, 14, 10, 15, 6, 8, 0, 5, 9, 2),
3 => ( 6, 11, 13, 8, 1, 4, 10, 7, 9, 5, 0, 15, 14, 2, 3, 12));
constant s8_table : s_matrix := (0 => (13, 2, 8, 4, 6, 15, 11, 1, 10, 9, 3, 14, 5, 0, 12, 7),
1 => ( 1, 15, 13, 8, 10, 3, 7, 4, 12, 5, 6, 11, 0, 14, 9, 2),
2 => ( 7, 11, 4, 1, 9, 12, 14, 2, 0, 6, 10, 13, 15, 3, 5, 8),
3 => ( 2, 1, 14, 7, 4, 10, 8, 13, 15, 12, 9, 0, 3, 5, 6, 11));
type pc_matrix is array (0 to 27) of natural range 0 to 63;
constant pc1c_table : pc_matrix := (56, 48, 40, 32, 24, 16, 8,
0, 57, 49, 41, 33, 25, 17,
9, 1, 58, 50, 42, 34, 26,
18, 10, 2, 59, 51, 43, 35);
constant pc1d_table : pc_matrix := (62, 54, 46, 38, 30, 22, 14,
6, 61, 53, 45, 37, 29, 21,
13, 5, 60, 52, 44, 36, 28,
20, 12, 4, 27, 19, 11, 3);
type p_matrix is array (0 to 31) of natural range 0 to 31;
constant p_table : p_matrix := (15, 6, 19, 20,
28, 11, 27, 16,
0, 14, 22, 25,
4, 17, 30, 9,
1, 7, 23, 13,
31, 26, 2, 8,
18, 12, 29, 5,
21, 10, 3, 24);
type pc2_matrix is array (0 to 47) of natural range 0 to 63;
constant pc2_table : pc2_matrix := (13, 16, 10, 23, 0, 4,
2, 27, 14, 5, 20, 9,
22, 18, 11, 3, 25, 7,
15, 6, 26, 19, 12, 1,
40, 51, 30, 36, 46, 54,
29, 39, 50, 44, 32, 47,
43, 48, 38, 55, 33, 52,
45, 41, 49, 35, 28, 31);
function ip ( input_vector : std_logic_vector(0 to 63) ) return std_logic_vector;
function ipn ( input_vector : std_logic_vector(0 to 63) ) return std_logic_vector;
function e (input_vector : std_logic_vector(0 to 31) ) return std_logic_vector;
function p (input_vector : std_logic_vector(0 to 31) ) return std_logic_vector;
function s (input_vector : std_logic_vector(0 to 5); s_table : s_matrix ) return std_logic_vector;
function f (input_r : std_logic_vector(0 to 31); input_key : std_logic_vector(0 to 47) ) return std_logic_vector;
function pc1_c ( input_vector : std_logic_vector(0 to 63) ) return std_logic_vector;
function pc1_d ( input_vector : std_logic_vector(0 to 63) ) return std_logic_vector;
function pc2 ( input_vector : std_logic_vector(0 to 55) ) return std_logic_vector;
end package des_pkg;
package body des_pkg is
function ip ( input_vector : std_logic_vector(0 to 63) ) return std_logic_vector is
variable result : std_logic_vector(0 to 63);
begin
for index IN 0 to 63 loop
result( index ) := input_vector( ip_table( index ) );
end loop;
return result;
end function ip;
function ipn ( input_vector : std_logic_vector(0 to 63) ) return std_logic_vector is
variable result : std_logic_vector(0 to 63);
begin
for index IN 0 to 63 loop
result( index ) := input_vector( ipn_table( index ) );
end loop;
return result;
end function ipn;
function e (input_vector : std_logic_vector(0 to 31) ) return std_logic_vector is
variable result : std_logic_vector(0 to 47);
begin
for index IN 0 to 47 loop
result( index ) := input_vector( e_table( index ) );
end loop;
return result;
end function e;
function s ( input_vector : std_logic_vector(0 to 5); s_table : s_matrix ) return std_logic_vector is
variable int : std_logic_vector(0 to 1);
variable i : integer range 0 to 3;
variable j : integer range 0 to 15;
variable result : std_logic_vector(0 to 3);
begin
int := input_vector( 0 ) & input_vector( 5 );
i := to_integer( unsigned( int ) );
j := to_integer( unsigned( input_vector( 1 to 4) ) );
result := std_logic_vector( to_unsigned( s_table( i, j ), 4 ) );
return result;
end function s;
function p (input_vector : std_logic_vector(0 to 31) ) return std_logic_vector is
variable result : std_logic_vector(0 to 31);
begin
for index IN 0 to 31 loop
result( index ) := input_vector( p_table( index ) );
end loop;
return result;
end function p;
function f (input_r : std_logic_vector(0 to 31); input_key : std_logic_vector(0 to 47) ) return std_logic_vector is
variable intern : std_logic_vector(0 to 47);
variable result : std_logic_vector(0 to 31);
begin
intern := e( input_r ) xor input_key;
result := p( s( intern(0 to 5), s1_table ) & s( intern(6 to 11), s2_table ) & s( intern(12 to 17), s3_table ) &
s( intern(18 to 23), s4_table ) & s( intern(24 to 29), s5_table ) & s( intern(30 to 35), s6_table ) &
s( intern(36 to 41), s7_table ) & s( intern(42 to 47), s8_table ) );
return result;
end function f;
function pc1_c ( input_vector : std_logic_vector(0 to 63) ) return std_logic_vector is
variable result : std_logic_vector(0 to 27);
begin
for index IN 0 to 27 loop
result( index ) := input_vector( pc1c_table( index ) );
end loop;
return result;
end function pc1_c;
function pc1_d ( input_vector : std_logic_vector(0 to 63) ) return std_logic_vector is
variable result : std_logic_vector(0 to 27);
begin
for index IN 0 to 27 loop
result( index ) := input_vector( pc1d_table( index ) );
end loop;
return result;
end function pc1_d;
function pc2 ( input_vector : std_logic_vector(0 to 55) ) return std_logic_vector is
variable result : std_logic_vector(0 to 47);
begin
for index IN 0 to 47 loop
result( index ) := input_vector( pc2_table( index ) );
end loop;
return result;
end function pc2;
end package body des_pkg;
|
-- a, b, c and d are the inputs
-- sel is the input selection
-- z is the output
ENTITY mux4x1 IS
PORT (a: IN std_logic;
b: IN std_logic;
c: IN std_logic;
d: IN std_logic;
z: OUT std_logic;
sel: IN std_logic_vector(1 DOWNTO 0));
END mux4x1;
ARCHITECTURE rtl of mux4x1 IS
BEGIN
process(a,b,c,d,sel) begin
case sel is
when "00" => z <= a;
when "01" => z <= b;
when "10" => z <= c;
when "11" => z <= d;
end case;
end process;
END rtl;
|
----------------------------------------------------------------------------------
-- Clarkson University
-- EE466/566 Computer Architecture Fall 2016
-- Project Name: Project1, 4-Bit ALU Design
--
-- Student Name : Zhiliu Yang
-- Student ID : 0754659
-- Major : Electrical and Computer Engineering
-- Email : [email protected]
-- Instructor Name: Dr. Chen Liu
-- Date : 09-25-2016
--
-- Create Date: 09/25/2016 04:10:39 PM
-- Design Name:
-- Module Name: LE - LE_Func
-- Target Devices:
-- Tool Versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity LE is
Port (
P3 : in STD_LOGIC;
P2 : in STD_LOGIC;
P1 : in STD_LOGIC;
P0 : in STD_LOGIC;
A : in STD_LOGIC;
B : in STD_LOGIC;
X : out STD_LOGIC);
end LE;
architecture LE_Func of LE is
signal Temp1 : STD_LOGIC;
signal Temp2 : STD_LOGIC;
signal Temp3 : STD_LOGIC;
signal Temp4 : STD_LOGIC;
signal Temp5 : STD_LOGIC;
begin
Temp1 <= P3 and (not A) and (not B);
Temp2 <= P0 and A;
Temp3 <= P1 and A;
Temp4 <= (not P3) and A and B;
Temp5 <= (not P1) and P0 and B;
X <= (((Temp1 or Temp2) or Temp3) or Temp4) or Temp5;
end LE_Func;
|
----------------------------------------------------------------------------------
-- Clarkson University
-- EE466/566 Computer Architecture Fall 2016
-- Project Name: Project1, 4-Bit ALU Design
--
-- Student Name : Zhiliu Yang
-- Student ID : 0754659
-- Major : Electrical and Computer Engineering
-- Email : [email protected]
-- Instructor Name: Dr. Chen Liu
-- Date : 09-25-2016
--
-- Create Date: 09/25/2016 04:10:39 PM
-- Design Name:
-- Module Name: LE - LE_Func
-- Target Devices:
-- Tool Versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity LE is
Port (
P3 : in STD_LOGIC;
P2 : in STD_LOGIC;
P1 : in STD_LOGIC;
P0 : in STD_LOGIC;
A : in STD_LOGIC;
B : in STD_LOGIC;
X : out STD_LOGIC);
end LE;
architecture LE_Func of LE is
signal Temp1 : STD_LOGIC;
signal Temp2 : STD_LOGIC;
signal Temp3 : STD_LOGIC;
signal Temp4 : STD_LOGIC;
signal Temp5 : STD_LOGIC;
begin
Temp1 <= P3 and (not A) and (not B);
Temp2 <= P0 and A;
Temp3 <= P1 and A;
Temp4 <= (not P3) and A and B;
Temp5 <= (not P1) and P0 and B;
X <= (((Temp1 or Temp2) or Temp3) or Temp4) or Temp5;
end LE_Func;
|
-----------------------------------------------------------------------------
-- LEON3 Demonstration design test bench configuration
-- Copyright (C) 2009 Aeroflex Gaisler
------------------------------------------------------------------------------
library techmap;
use techmap.gencomp.all;
package config is
-- Technology and synthesis options
constant CFG_FABTECH : integer := artix7;
constant CFG_MEMTECH : integer := artix7;
constant CFG_PADTECH : integer := artix7;
constant CFG_NOASYNC : integer := 0;
constant CFG_SCAN : integer := 0;
-- Clock generator
constant CFG_CLKTECH : integer := virtex;
constant CFG_CLKMUL : integer := (1);
constant CFG_CLKDIV : integer := (10);
constant CFG_OCLKDIV : integer := 1;
constant CFG_OCLKBDIV : integer := 0;
constant CFG_OCLKCDIV : integer := 0;
constant CFG_PCIDLL : integer := 0;
constant CFG_PCISYSCLK: integer := 0;
constant CFG_CLK_NOFB : integer := 0;
-- LEON3 processor core
constant CFG_LEON3 : integer := 1;
constant CFG_NCPU : integer := (1);
constant CFG_NWIN : integer := (8);
constant CFG_V8 : integer := 0 + 4*0;
constant CFG_MAC : integer := 0;
constant CFG_BP : integer := 0;
constant CFG_SVT : integer := 0;
constant CFG_RSTADDR : integer := 16#00000#;
constant CFG_LDDEL : integer := (1);
constant CFG_NOTAG : integer := 0;
constant CFG_NWP : integer := (0);
constant CFG_PWD : integer := 0*2;
constant CFG_FPU : integer := 0 + 16*0 + 32*0;
constant CFG_GRFPUSH : integer := 0;
constant CFG_ICEN : integer := 1;
constant CFG_ISETS : integer := 1;
constant CFG_ISETSZ : integer := 4;
constant CFG_ILINE : integer := 8;
constant CFG_IREPL : integer := 0;
constant CFG_ILOCK : integer := 0;
constant CFG_ILRAMEN : integer := 0;
constant CFG_ILRAMADDR: integer := 16#8E#;
constant CFG_ILRAMSZ : integer := 1;
constant CFG_DCEN : integer := 1;
constant CFG_DSETS : integer := 1;
constant CFG_DSETSZ : integer := 4;
constant CFG_DLINE : integer := 8;
constant CFG_DREPL : integer := 0;
constant CFG_DLOCK : integer := 0;
constant CFG_DSNOOP : integer := 0 + 0 + 4*0;
constant CFG_DFIXED : integer := 16#0#;
constant CFG_DLRAMEN : integer := 0;
constant CFG_DLRAMADDR: integer := 16#8F#;
constant CFG_DLRAMSZ : integer := 1;
constant CFG_MMUEN : integer := 1;
constant CFG_ITLBNUM : integer := 8;
constant CFG_DTLBNUM : integer := 8;
constant CFG_TLB_TYPE : integer := 0 + 1*2;
constant CFG_TLB_REP : integer := 1;
constant CFG_MMU_PAGE : integer := 0;
constant CFG_DSU : integer := 0;
constant CFG_ITBSZ : integer := 0;
constant CFG_ATBSZ : integer := 0;
constant CFG_LEON3FT_EN : integer := 0;
constant CFG_IUFT_EN : integer := 0;
constant CFG_FPUFT_EN : integer := 0;
constant CFG_RF_ERRINJ : integer := 0;
constant CFG_CACHE_FT_EN : integer := 0;
constant CFG_CACHE_ERRINJ : integer := 0;
constant CFG_LEON3_NETLIST: integer := 0;
constant CFG_DISAS : integer := 0 + 0;
constant CFG_PCLOW : integer := 2;
-- AMBA settings
constant CFG_DEFMST : integer := (0);
constant CFG_RROBIN : integer := 1;
constant CFG_SPLIT : integer := 0;
constant CFG_FPNPEN : integer := 0;
constant CFG_AHBIO : integer := 16#FFF#;
constant CFG_APBADDR : integer := 16#800#;
constant CFG_AHB_MON : integer := 0;
constant CFG_AHB_MONERR : integer := 0;
constant CFG_AHB_MONWAR : integer := 0;
constant CFG_AHB_DTRACE : integer := 0;
-- DSU UART
constant CFG_AHB_UART : integer := 1;
-- JTAG based DSU interface
constant CFG_AHB_JTAG : integer := 0;
-- Ethernet DSU
constant CFG_DSU_ETH : integer := 0 + 0 + 0;
constant CFG_ETH_BUF : integer := 1;
constant CFG_ETH_IPM : integer := 16#C0A8#;
constant CFG_ETH_IPL : integer := 16#0033#;
constant CFG_ETH_ENM : integer := 16#020000#;
constant CFG_ETH_ENL : integer := 16#000009#;
-- PROM/SRAM controller
constant CFG_SRCTRL : integer := 0;
constant CFG_SRCTRL_PROMWS : integer := 0;
constant CFG_SRCTRL_RAMWS : integer := 0;
constant CFG_SRCTRL_IOWS : integer := 0;
constant CFG_SRCTRL_RMW : integer := 0;
constant CFG_SRCTRL_8BIT : integer := 0;
constant CFG_SRCTRL_SRBANKS : integer := 1;
constant CFG_SRCTRL_BANKSZ : integer := 0;
constant CFG_SRCTRL_ROMASEL : integer := 0;
-- LEON2 memory controller
constant CFG_MCTRL_LEON2 : integer := 1;
constant CFG_MCTRL_RAM8BIT : integer := 0;
constant CFG_MCTRL_RAM16BIT : integer := 0;
constant CFG_MCTRL_5CS : integer := 0;
constant CFG_MCTRL_SDEN : integer := 1;
constant CFG_MCTRL_SEPBUS : integer := 0;
constant CFG_MCTRL_INVCLK : integer := 0;
constant CFG_MCTRL_SD64 : integer := 0;
constant CFG_MCTRL_PAGE : integer := 1 + 0;
-- SDRAM controller
constant CFG_SDCTRL : integer := 0;
constant CFG_SDCTRL_INVCLK : integer := 0;
constant CFG_SDCTRL_SD64 : integer := 0;
constant CFG_SDCTRL_PAGE : integer := 0 + 0;
-- AHB ROM
constant CFG_AHBROMEN : integer := 0;
constant CFG_AHBROPIP : integer := 0;
constant CFG_AHBRODDR : integer := 16#000#;
constant CFG_ROMADDR : integer := 16#000#;
constant CFG_ROMMASK : integer := 16#E00# + 16#000#;
-- AHB RAM
constant CFG_AHBRAMEN : integer := 0;
constant CFG_AHBRSZ : integer := 1;
constant CFG_AHBRADDR : integer := 16#A00#;
constant CFG_AHBRPIPE : integer := 0;
-- Gaisler Ethernet core
constant CFG_GRETH : integer := 0;
constant CFG_GRETH1G : integer := 0;
constant CFG_ETH_FIFO : integer := 8;
-- CAN 2.0 interface
constant CFG_CAN : integer := 0;
constant CFG_CANIO : integer := 16#0#;
constant CFG_CANIRQ : integer := 0;
constant CFG_CANLOOP : integer := 0;
constant CFG_CAN_SYNCRST : integer := 0;
constant CFG_CANFT : integer := 0;
-- PCI interface
constant CFG_PCI : integer := 0;
constant CFG_PCIVID : integer := 16#0#;
constant CFG_PCIDID : integer := 16#0#;
constant CFG_PCIDEPTH : integer := 8;
constant CFG_PCI_MTF : integer := 1;
-- PCI arbiter
constant CFG_PCI_ARB : integer := 0;
constant CFG_PCI_ARBAPB : integer := 0;
constant CFG_PCI_ARB_NGNT : integer := 4;
-- PCI trace buffer
constant CFG_PCITBUFEN: integer := 0;
constant CFG_PCITBUF : integer := 256;
-- Spacewire interface
constant CFG_SPW_EN : integer := 0;
constant CFG_SPW_NUM : integer := 1;
constant CFG_SPW_AHBFIFO : integer := 4;
constant CFG_SPW_RXFIFO : integer := 16;
constant CFG_SPW_RMAP : integer := 0;
constant CFG_SPW_RMAPBUF : integer := 4;
constant CFG_SPW_RMAPCRC : integer := 0;
constant CFG_SPW_NETLIST : integer := 0;
constant CFG_SPW_FT : integer := 0;
constant CFG_SPW_GRSPW : integer := 2;
constant CFG_SPW_RXUNAL : integer := 0;
constant CFG_SPW_DMACHAN : integer := 1;
constant CFG_SPW_PORTS : integer := 1;
constant CFG_SPW_INPUT : integer := 2;
constant CFG_SPW_OUTPUT : integer := 0;
constant CFG_SPW_RTSAME : integer := 0;
-- UART 1
constant CFG_UART1_ENABLE : integer := 1;
constant CFG_UART1_FIFO : integer := 4;
-- UART 2
constant CFG_UART2_ENABLE : integer := 0;
constant CFG_UART2_FIFO : integer := 1;
-- LEON3 interrupt controller
constant CFG_IRQ3_ENABLE : integer := 1;
constant CFG_IRQ3_NSEC : integer := 0;
-- Modular timer
constant CFG_GPT_ENABLE : integer := 1;
constant CFG_GPT_NTIM : integer := (2);
constant CFG_GPT_SW : integer := (8);
constant CFG_GPT_TW : integer := (32);
constant CFG_GPT_IRQ : integer := (8);
constant CFG_GPT_SEPIRQ : integer := 1;
constant CFG_GPT_WDOGEN : integer := 1;
constant CFG_GPT_WDOG : integer := 16#FFFF#;
-- GPIO port
constant CFG_GRGPIO_ENABLE : integer := 1;
constant CFG_GRGPIO_IMASK : integer := 16#0000#;
constant CFG_GRGPIO_WIDTH : integer := (8);
-- GRLIB debugging
constant CFG_DUART : integer := 0;
end;
|
-- -------------------------------------------------------------
--
-- Generated Architecture Declaration for rtl of inst_t_e
--
-- Generated
-- by: wig
-- on: Tue Nov 21 13:29:42 2006
-- cmd: /cygdrive/h/work/eclipse/MIX/mix_0.pl ../macro.xls
--
-- !!! Do not edit this file! Autogenerated by MIX !!!
-- $Author: wig $
-- $Id: inst_t_e-rtl-a.vhd,v 1.5 2006/11/22 10:40:10 wig Exp $
-- $Date: 2006/11/22 10:40:10 $
-- $Log: inst_t_e-rtl-a.vhd,v $
-- Revision 1.5 2006/11/22 10:40:10 wig
-- Detect missing directories and flag that as error.
--
--
-- Based on Mix Architecture Template built into RCSfile: MixWriter.pm,v
-- Id: MixWriter.pm,v 1.99 2006/11/02 15:37:48 wig Exp
--
-- Generator: mix_0.pl Revision: 1.47 , [email protected]
-- (C) 2003,2005 Micronas GmbH
--
-- --------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
-- No project specific VHDL libraries/arch
--
--
-- Start of Generated Architecture rtl of inst_t_e
--
architecture rtl of inst_t_e is
--
-- Generated Constant Declarations
--
--
-- Generated Components
--
component inst_a_e
-- No Generated Generics
port (
-- Generated Port for Entity inst_a_e
gensig_1 : out std_ulogic_vector(7 downto 0); -- Generated signals, connecting a to b
gensig_10 : in std_ulogic_vector(7 downto 0); -- Generated signals, connecting b to a
gensig_2 : out std_ulogic_vector(7 downto 0); -- Generated signals, connecting a to b
gensig_3 : out std_ulogic_vector(7 downto 0); -- Generated signals, connecting a to b
gensig_4 : out std_ulogic_vector(7 downto 0); -- Generated signals, connecting a to b
gensig_5 : out std_ulogic_vector(7 downto 0); -- Generated signals, connecting a to b
gensig_6 : in std_ulogic_vector(7 downto 0); -- Generated signals, connecting b to a
gensig_7 : in std_ulogic_vector(7 downto 0); -- Generated signals, connecting b to a
gensig_8 : in std_ulogic_vector(7 downto 0); -- Generated signals, connecting b to a
gensig_9 : in std_ulogic_vector(7 downto 0); -- Generated signals, connecting b to a
port_mac_b : in std_ulogic_vector(3 downto 0) -- Macro test 0 k1_k2
-- End of Generated Port for Entity inst_a_e
);
end component;
-- ---------
component inst_b_e
-- No Generated Generics
port (
-- Generated Port for Entity inst_b_e
gensig_1 : in std_ulogic_vector(7 downto 0); -- Generated signals, connecting a to b
gensig_10 : out std_ulogic_vector(7 downto 0); -- Generated signals, connecting b to a
gensig_2 : in std_ulogic_vector(7 downto 0); -- Generated signals, connecting a to b
gensig_3 : in std_ulogic_vector(7 downto 0); -- Generated signals, connecting a to b
gensig_4 : in std_ulogic_vector(7 downto 0); -- Generated signals, connecting a to b
gensig_5 : in std_ulogic_vector(7 downto 0); -- Generated signals, connecting a to b
gensig_6 : out std_ulogic_vector(7 downto 0); -- Generated signals, connecting b to a
gensig_7 : out std_ulogic_vector(7 downto 0); -- Generated signals, connecting b to a
gensig_8 : out std_ulogic_vector(7 downto 0); -- Generated signals, connecting b to a
gensig_9 : out std_ulogic_vector(7 downto 0) -- Generated signals, connecting b to a
-- End of Generated Port for Entity inst_b_e
);
end component;
-- ---------
component inst_k1_k2_e
-- No Generated Generics
port (
-- Generated Port for Entity inst_k1_k2_e
port1 : in std_ulogic_vector(3 downto 0); -- Macro test 0 k1_k2
port2 : in std_ulogic_vector(3 downto 0); -- Macro test 0 k1_k2
port3 : in std_ulogic_vector(3 downto 0); -- Macro test 0 k1_k2
port_mac : out std_ulogic; -- Macro test 0 k1_k2 __I_AUTO_REDUCED_BUS2SIGNAL
port_mac_c : out std_ulogic_vector(6 downto 0) -- Macro test 0 k1_k2
-- End of Generated Port for Entity inst_k1_k2_e
);
end component;
-- ---------
component inst_k1_k4_e
-- No Generated Generics
port (
-- Generated Port for Entity inst_k1_k4_e
port1 : in std_ulogic_vector(3 downto 0); -- Macro test 1 k1_k4
port2 : in std_ulogic_vector(3 downto 0); -- Macro test 1 k1_k4
port3 : in std_ulogic_vector(3 downto 0); -- Macro test 1 k1_k4
port_mac : out std_ulogic; -- Macro test 1 k1_k4 __I_AUTO_REDUCED_BUS2SIGNAL
port_mac_c : out std_ulogic_vector(6 downto 0) -- Macro test 1 k1_k4
-- End of Generated Port for Entity inst_k1_k4_e
);
end component;
-- ---------
component inst_k3_k2_e
-- No Generated Generics
port (
-- Generated Port for Entity inst_k3_k2_e
port1 : in std_ulogic_vector(3 downto 0); -- Macro test 2 k3_k2
port2 : in std_ulogic_vector(3 downto 0); -- Macro test 2 k3_k2
port3 : in std_ulogic_vector(3 downto 0); -- Macro test 2 k3_k2
port_mac : out std_ulogic; -- Macro test 2 k3_k2 __I_AUTO_REDUCED_BUS2SIGNAL
port_mac_c : out std_ulogic_vector(6 downto 0) -- Macro test 2 k3_k2
-- End of Generated Port for Entity inst_k3_k2_e
);
end component;
-- ---------
component inst_k3_k4_e
-- No Generated Generics
port (
-- Generated Port for Entity inst_k3_k4_e
port1 : in std_ulogic_vector(3 downto 0); -- Macro test 3 k3_k4
port2 : in std_ulogic_vector(3 downto 0); -- Macro test 3 k3_k4
port3 : in std_ulogic_vector(3 downto 0); -- Macro test 3 k3_k4
port_mac : out std_ulogic; -- Macro test 3 k3_k4 __I_AUTO_REDUCED_BUS2SIGNAL
port_mac_c : out std_ulogic_vector(6 downto 0) -- Macro test 3 k3_k4
-- End of Generated Port for Entity inst_k3_k4_e
);
end component;
-- ---------
component inst_ok_1_e
-- No Generated Generics
-- No Generated Port
end component;
-- ---------
component inst_ok_10_e
-- No Generated Generics
-- No Generated Port
end component;
-- ---------
component inst_ok_2_e
-- No Generated Generics
-- No Generated Port
end component;
-- ---------
component inst_ok_3_e
-- No Generated Generics
-- No Generated Port
end component;
-- ---------
component inst_ok_4_e
-- No Generated Generics
-- No Generated Port
end component;
-- ---------
component inst_ok_5_e
-- No Generated Generics
-- No Generated Port
end component;
-- ---------
component inst_ok_6_e
-- No Generated Generics
-- No Generated Port
end component;
-- ---------
component inst_ok_7_e
-- No Generated Generics
-- No Generated Port
end component;
-- ---------
component inst_ok_8_e
-- No Generated Generics
-- No Generated Port
end component;
-- ---------
component inst_ok_9_e
-- No Generated Generics
-- No Generated Port
end component;
-- ---------
component inst_shadow_1_e
-- No Generated Generics
-- No Generated Port
end component;
-- ---------
component inst_shadow_10_e
-- No Generated Generics
-- No Generated Port
end component;
-- ---------
component inst_shadow_2_e
-- No Generated Generics
-- No Generated Port
end component;
-- ---------
component inst_shadow_3_e
-- No Generated Generics
-- No Generated Port
end component;
-- ---------
component inst_shadow_4_e
-- No Generated Generics
-- No Generated Port
end component;
-- ---------
component inst_shadow_5_e
-- No Generated Generics
-- No Generated Port
end component;
-- ---------
component inst_shadow_6_e
-- No Generated Generics
-- No Generated Port
end component;
-- ---------
component inst_shadow_7_e
-- No Generated Generics
-- No Generated Port
end component;
-- ---------
component inst_shadow_8_e
-- No Generated Generics
-- No Generated Port
end component;
-- ---------
component inst_shadow_9_e
-- No Generated Generics
-- No Generated Port
end component;
-- ---------
component inst_shadow_a_e
-- No Generated Generics
-- No Generated Port
end component;
-- ---------
component inst_shadow_b_e
-- No Generated Generics
-- No Generated Port
end component;
-- ---------
component inst_shadow_k1_k2_e
-- No Generated Generics
-- No Generated Port
end component;
-- ---------
component inst_shadow_k1_k4_e
-- No Generated Generics
-- No Generated Port
end component;
-- ---------
component inst_shadow_k3_k2_e
-- No Generated Generics
-- No Generated Port
end component;
-- ---------
component inst_shadow_k3_k4_e
-- No Generated Generics
-- No Generated Port
end component;
-- ---------
component inst_shadow_ok_1_e
-- No Generated Generics
-- No Generated Port
end component;
-- ---------
component inst_shadow_ok_10_e
-- No Generated Generics
-- No Generated Port
end component;
-- ---------
component inst_shadow_ok_2_e
-- No Generated Generics
-- No Generated Port
end component;
-- ---------
component inst_shadow_ok_3_e
-- No Generated Generics
-- No Generated Port
end component;
-- ---------
component inst_shadow_ok_4_e
-- No Generated Generics
-- No Generated Port
end component;
-- ---------
component inst_shadow_ok_5_e
-- No Generated Generics
-- No Generated Port
end component;
-- ---------
component inst_shadow_ok_6_e
-- No Generated Generics
-- No Generated Port
end component;
-- ---------
component inst_shadow_ok_7_e
-- No Generated Generics
-- No Generated Port
end component;
-- ---------
component inst_shadow_ok_8_e
-- No Generated Generics
-- No Generated Port
end component;
-- ---------
component inst_shadow_ok_9_e
-- No Generated Generics
-- No Generated Port
end component;
-- ---------
component inst_shadow_t_e
-- No Generated Generics
-- No Generated Port
end component;
-- ---------
--
-- Generated Signal List
--
signal gensig_1 : std_ulogic_vector(7 downto 0);
signal gensig_10 : std_ulogic_vector(7 downto 0);
signal gensig_2 : std_ulogic_vector(7 downto 0);
signal gensig_3 : std_ulogic_vector(7 downto 0);
signal gensig_4 : std_ulogic_vector(7 downto 0);
signal gensig_5 : std_ulogic_vector(7 downto 0);
signal gensig_6 : std_ulogic_vector(7 downto 0);
signal gensig_7 : std_ulogic_vector(7 downto 0);
signal gensig_8 : std_ulogic_vector(7 downto 0);
signal gensig_9 : std_ulogic_vector(7 downto 0);
signal macro_sigc : std_ulogic_vector(3 downto 0);
--
-- End of Generated Signal List
--
begin
--
-- Generated Concurrent Statements
--
--
-- Generated Signal Assignments
--
--
-- Generated Instances and Port Mappings
--
-- Generated Instance Port Map for inst_a
inst_a: inst_a_e
port map (
gensig_1 => gensig_1, -- Generated signals, connecting a to b
gensig_10 => gensig_10, -- Generated signals, connecting b to a
gensig_2 => gensig_2, -- Generated signals, connecting a to b
gensig_3 => gensig_3, -- Generated signals, connecting a to b
gensig_4 => gensig_4, -- Generated signals, connecting a to b
gensig_5 => gensig_5, -- Generated signals, connecting a to b
gensig_6 => gensig_6, -- Generated signals, connecting b to a
gensig_7 => gensig_7, -- Generated signals, connecting b to a
gensig_8 => gensig_8, -- Generated signals, connecting b to a
gensig_9 => gensig_9, -- Generated signals, connecting b to a
port_mac_b => macro_sigc -- Macro test 0 k1_k2Macro test 1 k1_k4Macro test 2 k3_k2Macro test 3 k3_k4
);
-- End of Generated Instance Port Map for inst_a
-- Generated Instance Port Map for inst_b
inst_b: inst_b_e
port map (
gensig_1 => gensig_1, -- Generated signals, connecting a to b
gensig_10 => gensig_10, -- Generated signals, connecting b to a
gensig_2 => gensig_2, -- Generated signals, connecting a to b
gensig_3 => gensig_3, -- Generated signals, connecting a to b
gensig_4 => gensig_4, -- Generated signals, connecting a to b
gensig_5 => gensig_5, -- Generated signals, connecting a to b
gensig_6 => gensig_6, -- Generated signals, connecting b to a
gensig_7 => gensig_7, -- Generated signals, connecting b to a
gensig_8 => gensig_8, -- Generated signals, connecting b to a
gensig_9 => gensig_9 -- Generated signals, connecting b to a
);
-- End of Generated Instance Port Map for inst_b
-- Generated Instance Port Map for inst_k1_k2
inst_k1_k2: inst_k1_k2_e
port map (
port1 => macro_sig1_k1_k2, -- Macro test 0 k1_k2
port2 => macro_sig2_k1_k2, -- Macro test 0 k1_k2
port3 => macro_sign_0, -- Macro test 0 k1_k2
port_mac => macro_sigc(0), -- Macro test 0 k1_k2Macro test 1 k1_k4Macro test 2 k3_k2Macro test 3 k3_k4
port_mac_c => macro_sig4_k1_k2 -- Macro test 0 k1_k2
);
-- End of Generated Instance Port Map for inst_k1_k2
-- Generated Instance Port Map for inst_k1_k4
inst_k1_k4: inst_k1_k4_e
port map (
port1 => macro_sig1_k1_k4, -- Macro test 1 k1_k4
port2 => macro_sig2_k1_k4, -- Macro test 1 k1_k4
port3 => macro_sign_1, -- Macro test 1 k1_k4
port_mac => macro_sigc(1), -- Macro test 0 k1_k2Macro test 1 k1_k4Macro test 2 k3_k2Macro test 3 k3_k4
port_mac_c => macro_sig4_k1_k4 -- Macro test 1 k1_k4
);
-- End of Generated Instance Port Map for inst_k1_k4
-- Generated Instance Port Map for inst_k3_k2
inst_k3_k2: inst_k3_k2_e
port map (
port1 => macro_sig1_k3_k2, -- Macro test 2 k3_k2
port2 => macro_sig2_k3_k2, -- Macro test 2 k3_k2
port3 => macro_sign_2, -- Macro test 2 k3_k2
port_mac => macro_sigc(2), -- Macro test 0 k1_k2Macro test 1 k1_k4Macro test 2 k3_k2Macro test 3 k3_k4
port_mac_c => macro_sig4_k3_k2 -- Macro test 2 k3_k2
);
-- End of Generated Instance Port Map for inst_k3_k2
-- Generated Instance Port Map for inst_k3_k4
inst_k3_k4: inst_k3_k4_e
port map (
port1 => macro_sig1_k3_k4, -- Macro test 3 k3_k4
port2 => macro_sig2_k3_k4, -- Macro test 3 k3_k4
port3 => macro_sign_3, -- Macro test 3 k3_k4
port_mac => macro_sigc(3), -- Macro test 0 k1_k2Macro test 1 k1_k4Macro test 2 k3_k2Macro test 3 k3_k4
port_mac_c => macro_sig4_k3_k4 -- Macro test 3 k3_k4
);
-- End of Generated Instance Port Map for inst_k3_k4
-- Generated Instance Port Map for inst_ok_1
inst_ok_1: inst_ok_1_e
;
-- End of Generated Instance Port Map for inst_ok_1
-- Generated Instance Port Map for inst_ok_10
inst_ok_10: inst_ok_10_e
;
-- End of Generated Instance Port Map for inst_ok_10
-- Generated Instance Port Map for inst_ok_2
inst_ok_2: inst_ok_2_e
;
-- End of Generated Instance Port Map for inst_ok_2
-- Generated Instance Port Map for inst_ok_3
inst_ok_3: inst_ok_3_e
;
-- End of Generated Instance Port Map for inst_ok_3
-- Generated Instance Port Map for inst_ok_4
inst_ok_4: inst_ok_4_e
;
-- End of Generated Instance Port Map for inst_ok_4
-- Generated Instance Port Map for inst_ok_5
inst_ok_5: inst_ok_5_e
;
-- End of Generated Instance Port Map for inst_ok_5
-- Generated Instance Port Map for inst_ok_6
inst_ok_6: inst_ok_6_e
;
-- End of Generated Instance Port Map for inst_ok_6
-- Generated Instance Port Map for inst_ok_7
inst_ok_7: inst_ok_7_e
;
-- End of Generated Instance Port Map for inst_ok_7
-- Generated Instance Port Map for inst_ok_8
inst_ok_8: inst_ok_8_e
;
-- End of Generated Instance Port Map for inst_ok_8
-- Generated Instance Port Map for inst_ok_9
inst_ok_9: inst_ok_9_e
;
-- End of Generated Instance Port Map for inst_ok_9
-- Generated Instance Port Map for inst_shadow_1
inst_shadow_1: inst_shadow_1_e
;
-- End of Generated Instance Port Map for inst_shadow_1
-- Generated Instance Port Map for inst_shadow_10
inst_shadow_10: inst_shadow_10_e
;
-- End of Generated Instance Port Map for inst_shadow_10
-- Generated Instance Port Map for inst_shadow_2
inst_shadow_2: inst_shadow_2_e
;
-- End of Generated Instance Port Map for inst_shadow_2
-- Generated Instance Port Map for inst_shadow_3
inst_shadow_3: inst_shadow_3_e
;
-- End of Generated Instance Port Map for inst_shadow_3
-- Generated Instance Port Map for inst_shadow_4
inst_shadow_4: inst_shadow_4_e
;
-- End of Generated Instance Port Map for inst_shadow_4
-- Generated Instance Port Map for inst_shadow_5
inst_shadow_5: inst_shadow_5_e
;
-- End of Generated Instance Port Map for inst_shadow_5
-- Generated Instance Port Map for inst_shadow_6
inst_shadow_6: inst_shadow_6_e
;
-- End of Generated Instance Port Map for inst_shadow_6
-- Generated Instance Port Map for inst_shadow_7
inst_shadow_7: inst_shadow_7_e
;
-- End of Generated Instance Port Map for inst_shadow_7
-- Generated Instance Port Map for inst_shadow_8
inst_shadow_8: inst_shadow_8_e
;
-- End of Generated Instance Port Map for inst_shadow_8
-- Generated Instance Port Map for inst_shadow_9
inst_shadow_9: inst_shadow_9_e
;
-- End of Generated Instance Port Map for inst_shadow_9
-- Generated Instance Port Map for inst_shadow_a
inst_shadow_a: inst_shadow_a_e
;
-- End of Generated Instance Port Map for inst_shadow_a
-- Generated Instance Port Map for inst_shadow_b
inst_shadow_b: inst_shadow_b_e
;
-- End of Generated Instance Port Map for inst_shadow_b
-- Generated Instance Port Map for inst_shadow_k1_k2
inst_shadow_k1_k2: inst_shadow_k1_k2_e
;
-- End of Generated Instance Port Map for inst_shadow_k1_k2
-- Generated Instance Port Map for inst_shadow_k1_k4
inst_shadow_k1_k4: inst_shadow_k1_k4_e
;
-- End of Generated Instance Port Map for inst_shadow_k1_k4
-- Generated Instance Port Map for inst_shadow_k3_k2
inst_shadow_k3_k2: inst_shadow_k3_k2_e
;
-- End of Generated Instance Port Map for inst_shadow_k3_k2
-- Generated Instance Port Map for inst_shadow_k3_k4
inst_shadow_k3_k4: inst_shadow_k3_k4_e
;
-- End of Generated Instance Port Map for inst_shadow_k3_k4
-- Generated Instance Port Map for inst_shadow_ok_1
inst_shadow_ok_1: inst_shadow_ok_1_e
;
-- End of Generated Instance Port Map for inst_shadow_ok_1
-- Generated Instance Port Map for inst_shadow_ok_10
inst_shadow_ok_10: inst_shadow_ok_10_e
;
-- End of Generated Instance Port Map for inst_shadow_ok_10
-- Generated Instance Port Map for inst_shadow_ok_2
inst_shadow_ok_2: inst_shadow_ok_2_e
;
-- End of Generated Instance Port Map for inst_shadow_ok_2
-- Generated Instance Port Map for inst_shadow_ok_3
inst_shadow_ok_3: inst_shadow_ok_3_e
;
-- End of Generated Instance Port Map for inst_shadow_ok_3
-- Generated Instance Port Map for inst_shadow_ok_4
inst_shadow_ok_4: inst_shadow_ok_4_e
;
-- End of Generated Instance Port Map for inst_shadow_ok_4
-- Generated Instance Port Map for inst_shadow_ok_5
inst_shadow_ok_5: inst_shadow_ok_5_e
;
-- End of Generated Instance Port Map for inst_shadow_ok_5
-- Generated Instance Port Map for inst_shadow_ok_6
inst_shadow_ok_6: inst_shadow_ok_6_e
;
-- End of Generated Instance Port Map for inst_shadow_ok_6
-- Generated Instance Port Map for inst_shadow_ok_7
inst_shadow_ok_7: inst_shadow_ok_7_e
;
-- End of Generated Instance Port Map for inst_shadow_ok_7
-- Generated Instance Port Map for inst_shadow_ok_8
inst_shadow_ok_8: inst_shadow_ok_8_e
;
-- End of Generated Instance Port Map for inst_shadow_ok_8
-- Generated Instance Port Map for inst_shadow_ok_9
inst_shadow_ok_9: inst_shadow_ok_9_e
;
-- End of Generated Instance Port Map for inst_shadow_ok_9
-- Generated Instance Port Map for inst_shadow_t
inst_shadow_t: inst_shadow_t_e
;
-- End of Generated Instance Port Map for inst_shadow_t
end rtl;
--
--!End of Architecture/s
-- --------------------------------------------------------------
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Y9pkvKF9eoUdurAnutnB2zIF3n/pS8LoMT3KeBPWD4nD8Nf1eJRzN2FEfzplHcu2o5c74qL2ta+E
5RTWDlomow==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
b3230NJY0vZN2otutChulInJIJ+hTAznzn1ebAq98iu1EbD1QBhNRAWeBalpJnF9A9kJnsAVkHh+
CZl4UtqX10xhT4xIouDeH/4LowKYGuzwa8j8IAWcfCVnXyEvuWuAXXvDhmJ4eBgr39hgwfVY5maO
xvXGoo5FRl1mOWIWoDY=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
f5TglSzKWtKj0WEBPDKaizBbIhJWui/cQg5MfRyBKVfheFHoo++TSik5IlLm/ChzvM1CXu/Bx+Qu
LtBhTMyWTMdfQqTMw3h1W2y/6p3KjAIICQ/cArdoaeOd81NEZjjf26NfNMvPCV1DYnl+7GbZe+o/
bTwTDQPPPTDqocqSt/g94f95+adm5sC6ncXN0zJNjirbapUN5URuxHFqCT5b0bWakm7IxHcY43pU
bs/rOHIuUZgQ1v0U9GBrB0z2RaJKkSKDBAVWskPCjuWSZLJm2jS/tBKsvKngmFHp0uckmT4OYYvn
pPakppjxoUMA+R5u19qsLBIaTUWMg7NOKcyvlw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
pV/eZdrm1VpD6XzHm8omTTfw8SzUQz4+mxkq8eSgJJUV3Bj/W+WqFGB3GD8yeR7c0dBmjTI5eIHc
/E6QktRw02jfo5gY2zi7f2RqgcnmQgOVQd29pVXEanBCxANXYeJ3WLPrh5cUXB+Z3gbQoK+5uc5I
ldlgkPYnn3mk0pNqYDw=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
EMcl9urbnpm8D7+Bl5MgOz7yl9i0HmDEBQJ2+Ko381UWQj6qeEDTi1Q31LGeuFXWV6u/GEZ49F7A
erpsDTRUx+3mdUWG7RjpCkmMxDcQpDf79bTrUiJSbXxqBlXHz8lYMt+eEP0rMuZmHkGpEDGi++hZ
9v1fsYcLmevHPU4/J0WXagND0+SE7nvQYVLA7QBP4R8mDwExkeDLNxhwCFJgZa2GR9Zkfn0UivUg
ndTKLpuXjdBUiPcKAU+a1jT4vMlygP0wer+qjvGNb+2QAzpCMxRAfisOiwO4A6lXRLhLfPHPi9Ui
s4PbqwX7B0NL9QkymQb6dqZWsjtVnkoIHISxRg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5760)
`protect data_block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`protect end_protected
|
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2013"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Y9pkvKF9eoUdurAnutnB2zIF3n/pS8LoMT3KeBPWD4nD8Nf1eJRzN2FEfzplHcu2o5c74qL2ta+E
5RTWDlomow==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
b3230NJY0vZN2otutChulInJIJ+hTAznzn1ebAq98iu1EbD1QBhNRAWeBalpJnF9A9kJnsAVkHh+
CZl4UtqX10xhT4xIouDeH/4LowKYGuzwa8j8IAWcfCVnXyEvuWuAXXvDhmJ4eBgr39hgwfVY5maO
xvXGoo5FRl1mOWIWoDY=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2013_09", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
f5TglSzKWtKj0WEBPDKaizBbIhJWui/cQg5MfRyBKVfheFHoo++TSik5IlLm/ChzvM1CXu/Bx+Qu
LtBhTMyWTMdfQqTMw3h1W2y/6p3KjAIICQ/cArdoaeOd81NEZjjf26NfNMvPCV1DYnl+7GbZe+o/
bTwTDQPPPTDqocqSt/g94f95+adm5sC6ncXN0zJNjirbapUN5URuxHFqCT5b0bWakm7IxHcY43pU
bs/rOHIuUZgQ1v0U9GBrB0z2RaJKkSKDBAVWskPCjuWSZLJm2jS/tBKsvKngmFHp0uckmT4OYYvn
pPakppjxoUMA+R5u19qsLBIaTUWMg7NOKcyvlw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
pV/eZdrm1VpD6XzHm8omTTfw8SzUQz4+mxkq8eSgJJUV3Bj/W+WqFGB3GD8yeR7c0dBmjTI5eIHc
/E6QktRw02jfo5gY2zi7f2RqgcnmQgOVQd29pVXEanBCxANXYeJ3WLPrh5cUXB+Z3gbQoK+5uc5I
ldlgkPYnn3mk0pNqYDw=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
EMcl9urbnpm8D7+Bl5MgOz7yl9i0HmDEBQJ2+Ko381UWQj6qeEDTi1Q31LGeuFXWV6u/GEZ49F7A
erpsDTRUx+3mdUWG7RjpCkmMxDcQpDf79bTrUiJSbXxqBlXHz8lYMt+eEP0rMuZmHkGpEDGi++hZ
9v1fsYcLmevHPU4/J0WXagND0+SE7nvQYVLA7QBP4R8mDwExkeDLNxhwCFJgZa2GR9Zkfn0UivUg
ndTKLpuXjdBUiPcKAU+a1jT4vMlygP0wer+qjvGNb+2QAzpCMxRAfisOiwO4A6lXRLhLfPHPi9Ui
s4PbqwX7B0NL9QkymQb6dqZWsjtVnkoIHISxRg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5760)
`protect data_block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`protect end_protected
|
------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003, Gaisler Research
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-----------------------------------------------------------------------------
-- Entity: syncram_2p
-- File: syncram_2p.vhd
-- Author: Jiri Gaisler - Gaisler Research
-- Description: syncronous 2-port ram with tech selection
------------------------------------------------------------------------------
library ieee;
library techmap;
use ieee.std_logic_1164.all;
use techmap.gencomp.all;
use work.allmem.all;
entity syncram_2p is
generic (tech : integer := 0; abits : integer := 6; dbits : integer := 8;
sepclk : integer := 0; wrfst : integer := 0);
port (
rclk : in std_ulogic;
renable : in std_ulogic;
raddress : in std_logic_vector((abits -1) downto 0);
dataout : out std_logic_vector((dbits -1) downto 0);
wclk : in std_ulogic;
write : in std_ulogic;
waddress : in std_logic_vector((abits -1) downto 0);
datain : in std_logic_vector((dbits -1) downto 0);
testin : in std_logic_vector(3 downto 0) := "0000");
end;
architecture rtl of syncram_2p is
signal vcc, gnd : std_ulogic;
signal vgnd : std_logic_vector(dbits-1 downto 0);
signal diagin : std_logic_vector(3 downto 0);
begin
vcc <= '1'; gnd <= '0'; vgnd <= (others => '0');
diagin <= (others => '0');
inf : if tech = inferred generate
x0 : generic_syncram_2p generic map (abits, dbits, sepclk)
port map (rclk, wclk, raddress, waddress, datain, write, dataout);
end generate;
xcv : if tech = virtex generate
x0 : virtex_syncram_dp generic map (abits, dbits)
port map (wclk, waddress, datain, open, write, write,
rclk, raddress, vgnd, dataout, renable, gnd);
end generate;
xc2v : if (tech = virtex2) or (tech = spartan3) or (tech =virtex4)
or (tech = spartan3e) or (tech = virtex5)
generate
x0 : virtex2_syncram_2p generic map (abits, dbits, sepclk, wrfst)
port map (rclk, renable, raddress, dataout, wclk,
write, waddress, datain);
end generate;
vir : if tech = memvirage generate
d39 : if dbits = 39 generate
x0 : virage_syncram_2p generic map (abits, dbits, sepclk)
port map (rclk, renable, raddress, dataout,
wclk, write, waddress, datain);
end generate;
d32 : if dbits <= 32 generate
x0 : virage_syncram_dp generic map (abits, dbits)
port map (wclk, waddress, datain, open, write, write,
rclk, raddress, vgnd, dataout, renable, gnd);
end generate;
end generate;
atrh : if tech = atc18rha generate
x0 : atc18rha_syncram_2p generic map (abits, dbits, sepclk)
port map (rclk, renable, raddress, dataout,
wclk, write, waddress, datain, testin);
end generate;
axc : if tech = axcel generate
x0 : axcel_syncram_2p generic map (abits, dbits)
port map (rclk, renable, raddress, dataout,
wclk, waddress, datain, write);
end generate;
proa : if tech = proasic generate
x0 : proasic_syncram_2p generic map (abits, dbits)
port map (rclk, renable, raddress, dataout,
wclk, waddress, datain, write);
end generate;
proa3 : if tech = apa3 generate
x0 : proasic3_syncram_2p generic map (abits, dbits)
port map (rclk, renable, raddress, dataout,
wclk, waddress, datain, write);
end generate;
ihp : if tech = ihp25 generate
x0 : generic_syncram_2p generic map (abits, dbits, sepclk)
port map (rclk, wclk, raddress, waddress, datain, write, dataout);
end generate;
-- NOTE: port 1 on altsyncram must be a read port due to Cyclone II M4K write issue
alt : if (tech = altera) or (tech = stratix1) or (tech = stratix2) or
(tech = stratix3) or (tech = cyclone3) generate
x0 : altera_syncram_dp generic map (abits, dbits)
port map (rclk, raddress, vgnd, dataout, renable, gnd,
wclk, waddress, datain, open, write, write);
end generate;
rh_lib18t0 : if tech = rhlib18t generate
x0 : rh_lib18t_syncram_2p generic map (abits, dbits)
port map (rclk, renable, raddress, dataout, write, waddress, datain, diagin);
end generate;
lat : if tech = lattice generate
x0 : ec_syncram_dp generic map (abits, dbits)
port map (wclk, waddress, datain, open, write, write,
rclk, raddress, vgnd, dataout, renable, gnd);
end generate;
ut025 : if tech = ut25 generate
x0 : ut025crh_syncram_2p generic map (abits, dbits)
port map (rclk, renable, raddress, dataout,
wclk, waddress, datain, write);
end generate;
arti : if tech = memartisan generate
x0 : artisan_syncram_2p generic map (abits, dbits)
port map (rclk, renable, raddress, dataout,
wclk, write, waddress, datain);
end generate;
cust1 : if tech = custom1 generate
x0 : custom1_syncram_2p generic map (abits, dbits)
port map (rclk, renable, raddress, dataout,
wclk, write, waddress, datain);
end generate;
ecl : if tech = eclipse generate
x0 : eclipse_syncram_2p generic map (abits, dbits)
port map (rclk, renable, raddress, dataout,
wclk, waddress, datain, write);
end generate;
vir90 : if tech = memvirage90 generate
x0 : virage90_syncram_dp generic map (abits, dbits)
port map (wclk, waddress, datain, open, write, write,
rclk, raddress, vgnd, dataout, renable, gnd);
end generate;
nex : if tech = easic90 generate
x0 : nextreme_syncram_2p generic map (abits, dbits)
port map (rclk, renable, raddress, dataout,
wclk, write, waddress, datain);
end generate;
-- pragma translate_off
noram : if has_2pram(tech) = 0 generate
x : process
begin
assert false report "synram_2p: technology " & tech_table(tech) &
" not supported"
severity failure;
wait;
end process;
end generate;
-- pragma translate_on
end;
|
------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003, Gaisler Research
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-----------------------------------------------------------------------------
-- Entity: syncram_2p
-- File: syncram_2p.vhd
-- Author: Jiri Gaisler - Gaisler Research
-- Description: syncronous 2-port ram with tech selection
------------------------------------------------------------------------------
library ieee;
library techmap;
use ieee.std_logic_1164.all;
use techmap.gencomp.all;
use work.allmem.all;
entity syncram_2p is
generic (tech : integer := 0; abits : integer := 6; dbits : integer := 8;
sepclk : integer := 0; wrfst : integer := 0);
port (
rclk : in std_ulogic;
renable : in std_ulogic;
raddress : in std_logic_vector((abits -1) downto 0);
dataout : out std_logic_vector((dbits -1) downto 0);
wclk : in std_ulogic;
write : in std_ulogic;
waddress : in std_logic_vector((abits -1) downto 0);
datain : in std_logic_vector((dbits -1) downto 0);
testin : in std_logic_vector(3 downto 0) := "0000");
end;
architecture rtl of syncram_2p is
signal vcc, gnd : std_ulogic;
signal vgnd : std_logic_vector(dbits-1 downto 0);
signal diagin : std_logic_vector(3 downto 0);
begin
vcc <= '1'; gnd <= '0'; vgnd <= (others => '0');
diagin <= (others => '0');
inf : if tech = inferred generate
x0 : generic_syncram_2p generic map (abits, dbits, sepclk)
port map (rclk, wclk, raddress, waddress, datain, write, dataout);
end generate;
xcv : if tech = virtex generate
x0 : virtex_syncram_dp generic map (abits, dbits)
port map (wclk, waddress, datain, open, write, write,
rclk, raddress, vgnd, dataout, renable, gnd);
end generate;
xc2v : if (tech = virtex2) or (tech = spartan3) or (tech =virtex4)
or (tech = spartan3e) or (tech = virtex5)
generate
x0 : virtex2_syncram_2p generic map (abits, dbits, sepclk, wrfst)
port map (rclk, renable, raddress, dataout, wclk,
write, waddress, datain);
end generate;
vir : if tech = memvirage generate
d39 : if dbits = 39 generate
x0 : virage_syncram_2p generic map (abits, dbits, sepclk)
port map (rclk, renable, raddress, dataout,
wclk, write, waddress, datain);
end generate;
d32 : if dbits <= 32 generate
x0 : virage_syncram_dp generic map (abits, dbits)
port map (wclk, waddress, datain, open, write, write,
rclk, raddress, vgnd, dataout, renable, gnd);
end generate;
end generate;
atrh : if tech = atc18rha generate
x0 : atc18rha_syncram_2p generic map (abits, dbits, sepclk)
port map (rclk, renable, raddress, dataout,
wclk, write, waddress, datain, testin);
end generate;
axc : if tech = axcel generate
x0 : axcel_syncram_2p generic map (abits, dbits)
port map (rclk, renable, raddress, dataout,
wclk, waddress, datain, write);
end generate;
proa : if tech = proasic generate
x0 : proasic_syncram_2p generic map (abits, dbits)
port map (rclk, renable, raddress, dataout,
wclk, waddress, datain, write);
end generate;
proa3 : if tech = apa3 generate
x0 : proasic3_syncram_2p generic map (abits, dbits)
port map (rclk, renable, raddress, dataout,
wclk, waddress, datain, write);
end generate;
ihp : if tech = ihp25 generate
x0 : generic_syncram_2p generic map (abits, dbits, sepclk)
port map (rclk, wclk, raddress, waddress, datain, write, dataout);
end generate;
-- NOTE: port 1 on altsyncram must be a read port due to Cyclone II M4K write issue
alt : if (tech = altera) or (tech = stratix1) or (tech = stratix2) or
(tech = stratix3) or (tech = cyclone3) generate
x0 : altera_syncram_dp generic map (abits, dbits)
port map (rclk, raddress, vgnd, dataout, renable, gnd,
wclk, waddress, datain, open, write, write);
end generate;
rh_lib18t0 : if tech = rhlib18t generate
x0 : rh_lib18t_syncram_2p generic map (abits, dbits)
port map (rclk, renable, raddress, dataout, write, waddress, datain, diagin);
end generate;
lat : if tech = lattice generate
x0 : ec_syncram_dp generic map (abits, dbits)
port map (wclk, waddress, datain, open, write, write,
rclk, raddress, vgnd, dataout, renable, gnd);
end generate;
ut025 : if tech = ut25 generate
x0 : ut025crh_syncram_2p generic map (abits, dbits)
port map (rclk, renable, raddress, dataout,
wclk, waddress, datain, write);
end generate;
arti : if tech = memartisan generate
x0 : artisan_syncram_2p generic map (abits, dbits)
port map (rclk, renable, raddress, dataout,
wclk, write, waddress, datain);
end generate;
cust1 : if tech = custom1 generate
x0 : custom1_syncram_2p generic map (abits, dbits)
port map (rclk, renable, raddress, dataout,
wclk, write, waddress, datain);
end generate;
ecl : if tech = eclipse generate
x0 : eclipse_syncram_2p generic map (abits, dbits)
port map (rclk, renable, raddress, dataout,
wclk, waddress, datain, write);
end generate;
vir90 : if tech = memvirage90 generate
x0 : virage90_syncram_dp generic map (abits, dbits)
port map (wclk, waddress, datain, open, write, write,
rclk, raddress, vgnd, dataout, renable, gnd);
end generate;
nex : if tech = easic90 generate
x0 : nextreme_syncram_2p generic map (abits, dbits)
port map (rclk, renable, raddress, dataout,
wclk, write, waddress, datain);
end generate;
-- pragma translate_off
noram : if has_2pram(tech) = 0 generate
x : process
begin
assert false report "synram_2p: technology " & tech_table(tech) &
" not supported"
severity failure;
wait;
end process;
end generate;
-- pragma translate_on
end;
|
------------------------------
library ieee;
use ieee.std_logic_1164.all;
------------------------------
entity multiplexer_4x8 is
generic (
N: natural := 8; -- bits in in/out signals
M: natural := 2); -- bits in select
port (
mux_inp0: in std_logic_vector(N-1 downto 0);
mux_inp1: in std_logic_vector(N-1 downto 0);
mux_inp2: in std_logic_vector(N-1 downto 0);
mux_inp3: in std_logic_vector(N-1 downto 0);
selec: in std_logic_vector(M-1 downto 0);
mux_outp: out std_logic_vector(N-1 downto 0);
);
end entity;
------------------------------
architecture circuit of multiplexer_4x8 is
--signals and declarations
begin
mux_outp <= mux_inp0 when selec = "00" else
mux_inp1 when selec = "01" else
mux_inp2 when selec = "10" else
mux_inp3
end architecture;
------------------------------
|
-- Copyright 1986-2014 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2014.1 (lin64) Build 881834 Fri Apr 4 14:00:25 MDT 2014
-- Date : Mon May 26 11:10:36 2014
-- Host : macbook running 64-bit Arch Linux
-- Command : write_vhdl -force -mode funcsim
-- /home/keith/Documents/VHDL-lib/top/stereo_radio/ip/multi_QI/multi_QI_funcsim.vhdl
-- Design : multi_QI
-- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or
-- synthesized. This netlist cannot be used for SDF annotated simulation.
-- Device : xc7z020clg484-1
-- --------------------------------------------------------------------------------
`protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
AQtwTyGLz0NMO7LyR9Lhuv2cA/4y5ZLMBit+QBleYFW8IhTeXqKPD4aSeseNMhUuoCyqQPHKXbmX
LeVqKxvarw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
hGVhv3AqeDsw7H+uancFjD279XefBZ3mwEBxW5pFk8a3sVNt7IAIfyXMtmp6XBWsae0N+Ci3/npB
3SasZ2GaBZBVMxZwKr7R+ZnX6uwtyrN2AJndaqNaMftiUp9xtV76bCQ9uH42U+M2x7hR4dtD0fvB
LYvzs92V+0bNZbbueyA=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Rwsa6WOnTwbkSOakIUUGDzVbehno+eVI6KtkIdY5kK8lPoN8q0Kbk8vzYaFYPqtx24HeGf2fCrmL
UEBJpMMEdeDUWeTdVGVDGgJQqfSETdgcbKy251IhCrCQqWqIbqijbXpSb31jgoi6iOsGmyPpR2m6
gAug5BKSALEa3o/asLI95p58SZhkaUpFyJnRspVoLL7h+r+QTO86y/MjL1M2HHbiMVbK85YFLHSo
hReZLGxbL6QQS1znPiQyyVy1PkLupBaKBDXojs4pIX8/CiwzGsFTCtFrmYLQ0UqfaMo1P+9NS07F
kOR3KwphHArLEZjIth7K0OygkOWzpexPymT/LQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
GFpv4P68gj6yK06WrGFskDzgRibsxHI5jWrB5NNgR5jAhsQi6zUtxk9D39KKYeNXJovsaANReMqt
hhf/9kQFTUB17gOOYbYVuZ5Jw0U+jkdJ3RB0GtDnyrRDOZ5DC6YyDUkB2r6PLs+CT20zanhxcEtl
sQKOEnL6phaWOedi7es=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
c6+3pMI4bZ2mi2A6Ycj7+UeOiarlb+GAsf/fjV00iWC1qCUggxIKRxP+eJ3z6XT4BZPrG1RsEhpx
pNg3X+Fuqp0RwnM/yLWB2Ltk447QmP19vCUIvCHgqjPtI7kt0WbjsDqel6aoZNnpmEL/7gd6/3NS
nhA3XQ5QMumSsq/7bmoNg9hBobg7U7jlCr+9ZUf82X7MkdUEYGN/bzCmelYTt68FJ8ZlCW3h4ve+
YiX/yE5WOCAsimsuL0TKSZhntBGdjxuGpkF0yYXDh6gl9KfRWWkqdZXIh2qUMADKH/9YGGslBS9G
GFME+3dogZLUU37G226tsYdPFlDiwh9fU/p8oQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-PREC-RSA", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
J9Rd42FH/yXYoYEvnVQl1nTKWSKzwJ28M+U++21/hPoqr5tzIvcu3AW22wcdonkxJF0xe8zPCKfrwJrs7a+fU2CsN+fMr5poRLD8haIbukvrxOYy0Aez967DWlhwizf90Gb4loJDvpndA6NtJxeaaJcWfQOk75vQ9WdPddom+H2tLrpBl4eVOs8Rja73ZjKzRzi3RV9h0tzMA+vmo7nVJLHTnle9tt4W64Hqca9aJaiLi48Jwc7/1QVR2B0PqvD3rcQozii4vCGc73LKWwViFqHV2c9isXZwd99wQT0aem/k6yTjM90BtqsVsM//4H7bGexj367IKdxc5NQbPwB3Bw==
`protect key_keyowner = "Synplicity", key_keyname= "SYNP05_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 64, bytes = 128)
`protect key_block
x5QwYg7GufTCD6WwdTv6AHnnttWR89jQo4ox2jo+S+fBxVcEMucyqYEAAIjce4BDRmP5sla7+W39HJqDqVJ1RC5NHUpqE5sbAaKU7SbT/fgx6hcY7U+Xq4Y2pHO95PcR4WfF0Rpg1dBR0Z09m0pq2Ven4HRu1MqDP9DBbITCIVeP0BONwMdzrMp3O0TvZnnfPDF89rRJheJUe0AxbjDIp8iXUyG69oF0pl1ZLS9eOfwP3HUQVP8Kdcib/0+s/fRzjVqvcnbjF6g/2w/odeds9fFsX8KihBM+gRgyvVfRnSG4M1CrsFwGveP2UoLnE2bXgPyXy6OB8Bco8Xr8uC5zOw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 64, bytes = 571776)
`protect data_block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`protect end_protected
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity \multi_QImult_gen_v12_0__parameterized0\ is
port (
CLK : in STD_LOGIC;
A : in STD_LOGIC_VECTOR ( 15 downto 0 );
B : in STD_LOGIC_VECTOR ( 15 downto 0 );
CE : in STD_LOGIC;
SCLR : in STD_LOGIC;
ZERO_DETECT : out STD_LOGIC_VECTOR ( 1 downto 0 );
P : out STD_LOGIC_VECTOR ( 31 downto 0 );
PCASC : out STD_LOGIC_VECTOR ( 47 downto 0 )
);
attribute ORIG_REF_NAME : string;
attribute ORIG_REF_NAME of \multi_QImult_gen_v12_0__parameterized0\ : entity is "mult_gen_v12_0";
attribute C_VERBOSITY : integer;
attribute C_VERBOSITY of \multi_QImult_gen_v12_0__parameterized0\ : entity is 0;
attribute C_MODEL_TYPE : integer;
attribute C_MODEL_TYPE of \multi_QImult_gen_v12_0__parameterized0\ : entity is 0;
attribute C_OPTIMIZE_GOAL : integer;
attribute C_OPTIMIZE_GOAL of \multi_QImult_gen_v12_0__parameterized0\ : entity is 1;
attribute C_XDEVICEFAMILY : string;
attribute C_XDEVICEFAMILY of \multi_QImult_gen_v12_0__parameterized0\ : entity is "zynq";
attribute C_HAS_CE : integer;
attribute C_HAS_CE of \multi_QImult_gen_v12_0__parameterized0\ : entity is 0;
attribute C_HAS_SCLR : integer;
attribute C_HAS_SCLR of \multi_QImult_gen_v12_0__parameterized0\ : entity is 0;
attribute C_LATENCY : integer;
attribute C_LATENCY of \multi_QImult_gen_v12_0__parameterized0\ : entity is 7;
attribute C_A_WIDTH : integer;
attribute C_A_WIDTH of \multi_QImult_gen_v12_0__parameterized0\ : entity is 16;
attribute C_A_TYPE : integer;
attribute C_A_TYPE of \multi_QImult_gen_v12_0__parameterized0\ : entity is 0;
attribute C_B_WIDTH : integer;
attribute C_B_WIDTH of \multi_QImult_gen_v12_0__parameterized0\ : entity is 16;
attribute C_B_TYPE : integer;
attribute C_B_TYPE of \multi_QImult_gen_v12_0__parameterized0\ : entity is 0;
attribute C_OUT_HIGH : integer;
attribute C_OUT_HIGH of \multi_QImult_gen_v12_0__parameterized0\ : entity is 31;
attribute C_OUT_LOW : integer;
attribute C_OUT_LOW of \multi_QImult_gen_v12_0__parameterized0\ : entity is 0;
attribute C_MULT_TYPE : integer;
attribute C_MULT_TYPE of \multi_QImult_gen_v12_0__parameterized0\ : entity is 0;
attribute C_CE_OVERRIDES_SCLR : integer;
attribute C_CE_OVERRIDES_SCLR of \multi_QImult_gen_v12_0__parameterized0\ : entity is 0;
attribute C_CCM_IMP : integer;
attribute C_CCM_IMP of \multi_QImult_gen_v12_0__parameterized0\ : entity is 0;
attribute C_B_VALUE : string;
attribute C_B_VALUE of \multi_QImult_gen_v12_0__parameterized0\ : entity is "10000001";
attribute C_HAS_ZERO_DETECT : integer;
attribute C_HAS_ZERO_DETECT of \multi_QImult_gen_v12_0__parameterized0\ : entity is 0;
attribute C_ROUND_OUTPUT : integer;
attribute C_ROUND_OUTPUT of \multi_QImult_gen_v12_0__parameterized0\ : entity is 0;
attribute C_ROUND_PT : integer;
attribute C_ROUND_PT of \multi_QImult_gen_v12_0__parameterized0\ : entity is 0;
attribute downgradeipidentifiedwarnings : string;
attribute downgradeipidentifiedwarnings of \multi_QImult_gen_v12_0__parameterized0\ : entity is "yes";
end \multi_QImult_gen_v12_0__parameterized0\;
architecture STRUCTURE of \multi_QImult_gen_v12_0__parameterized0\ is
attribute C_A_TYPE of i_mult : label is 0;
attribute C_A_WIDTH of i_mult : label is 16;
attribute C_B_TYPE of i_mult : label is 0;
attribute C_B_VALUE of i_mult : label is "10000001";
attribute C_B_WIDTH of i_mult : label is 16;
attribute C_CCM_IMP of i_mult : label is 0;
attribute C_CE_OVERRIDES_SCLR of i_mult : label is 0;
attribute C_HAS_CE of i_mult : label is 0;
attribute C_HAS_SCLR of i_mult : label is 0;
attribute C_HAS_ZERO_DETECT of i_mult : label is 0;
attribute C_LATENCY of i_mult : label is 7;
attribute C_MODEL_TYPE of i_mult : label is 0;
attribute C_MULT_TYPE of i_mult : label is 0;
attribute C_OUT_HIGH of i_mult : label is 31;
attribute C_OUT_LOW of i_mult : label is 0;
attribute C_ROUND_OUTPUT of i_mult : label is 0;
attribute C_ROUND_PT of i_mult : label is 0;
attribute C_VERBOSITY of i_mult : label is 0;
attribute C_XDEVICEFAMILY of i_mult : label is "zynq";
attribute c_optimize_goal of i_mult : label is 1;
attribute downgradeipidentifiedwarnings of i_mult : label is "yes";
attribute secure_extras : string;
attribute secure_extras of i_mult : label is "A";
begin
i_mult: entity work.\multi_QImult_gen_v12_0_viv__parameterized0\
port map (
A(15 downto 0) => A(15 downto 0),
B(15 downto 0) => B(15 downto 0),
CE => CE,
CLK => CLK,
P(31 downto 0) => P(31 downto 0),
PCASC(47 downto 0) => PCASC(47 downto 0),
SCLR => SCLR,
ZERO_DETECT(1 downto 0) => ZERO_DETECT(1 downto 0)
);
end STRUCTURE;
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity multi_QI is
port (
CLK : in STD_LOGIC;
A : in STD_LOGIC_VECTOR ( 15 downto 0 );
B : in STD_LOGIC_VECTOR ( 15 downto 0 );
P : out STD_LOGIC_VECTOR ( 31 downto 0 )
);
attribute NotValidForBitStream : boolean;
attribute NotValidForBitStream of multi_QI : entity is true;
attribute downgradeipidentifiedwarnings : string;
attribute downgradeipidentifiedwarnings of multi_QI : entity is "yes";
attribute x_core_info : string;
attribute x_core_info of multi_QI : entity is "mult_gen_v12_0,Vivado 2014.1";
attribute CHECK_LICENSE_TYPE : string;
attribute CHECK_LICENSE_TYPE of multi_QI : entity is "multi_QI,mult_gen_v12_0,{}";
attribute core_generation_info : string;
attribute core_generation_info of multi_QI : entity is "multi_QI,mult_gen_v12_0,{x_ipProduct=Vivado 2014.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=mult_gen,x_ipVersion=12.0,x_ipCoreRevision=4,x_ipLanguage=VHDL,C_VERBOSITY=0,C_MODEL_TYPE=0,C_OPTIMIZE_GOAL=1,C_XDEVICEFAMILY=zynq,C_HAS_CE=0,C_HAS_SCLR=0,C_LATENCY=7,C_A_WIDTH=16,C_A_TYPE=0,C_B_WIDTH=16,C_B_TYPE=0,C_OUT_HIGH=31,C_OUT_LOW=0,C_MULT_TYPE=0,C_CE_OVERRIDES_SCLR=0,C_CCM_IMP=0,C_B_VALUE=10000001,C_HAS_ZERO_DETECT=0,C_ROUND_OUTPUT=0,C_ROUND_PT=0}";
end multi_QI;
architecture STRUCTURE of multi_QI is
signal NLW_U0_PCASC_UNCONNECTED : STD_LOGIC_VECTOR ( 47 downto 0 );
signal NLW_U0_ZERO_DETECT_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 );
attribute C_A_TYPE : integer;
attribute C_A_TYPE of U0 : label is 0;
attribute C_A_WIDTH : integer;
attribute C_A_WIDTH of U0 : label is 16;
attribute C_B_TYPE : integer;
attribute C_B_TYPE of U0 : label is 0;
attribute C_B_VALUE : string;
attribute C_B_VALUE of U0 : label is "10000001";
attribute C_B_WIDTH : integer;
attribute C_B_WIDTH of U0 : label is 16;
attribute C_CCM_IMP : integer;
attribute C_CCM_IMP of U0 : label is 0;
attribute C_CE_OVERRIDES_SCLR : integer;
attribute C_CE_OVERRIDES_SCLR of U0 : label is 0;
attribute C_HAS_CE : integer;
attribute C_HAS_CE of U0 : label is 0;
attribute C_HAS_SCLR : integer;
attribute C_HAS_SCLR of U0 : label is 0;
attribute C_HAS_ZERO_DETECT : integer;
attribute C_HAS_ZERO_DETECT of U0 : label is 0;
attribute C_LATENCY : integer;
attribute C_LATENCY of U0 : label is 7;
attribute C_MODEL_TYPE : integer;
attribute C_MODEL_TYPE of U0 : label is 0;
attribute C_MULT_TYPE : integer;
attribute C_MULT_TYPE of U0 : label is 0;
attribute C_OUT_HIGH : integer;
attribute C_OUT_HIGH of U0 : label is 31;
attribute C_OUT_LOW : integer;
attribute C_OUT_LOW of U0 : label is 0;
attribute C_ROUND_OUTPUT : integer;
attribute C_ROUND_OUTPUT of U0 : label is 0;
attribute C_ROUND_PT : integer;
attribute C_ROUND_PT of U0 : label is 0;
attribute C_VERBOSITY : integer;
attribute C_VERBOSITY of U0 : label is 0;
attribute C_XDEVICEFAMILY : string;
attribute C_XDEVICEFAMILY of U0 : label is "zynq";
attribute DONT_TOUCH : boolean;
attribute DONT_TOUCH of U0 : label is std.standard.true;
attribute c_optimize_goal : integer;
attribute c_optimize_goal of U0 : label is 1;
attribute downgradeipidentifiedwarnings of U0 : label is "yes";
begin
U0: entity work.\multi_QImult_gen_v12_0__parameterized0\
port map (
A(15 downto 0) => A(15 downto 0),
B(15 downto 0) => B(15 downto 0),
CE => '1',
CLK => CLK,
P(31 downto 0) => P(31 downto 0),
PCASC(47 downto 0) => NLW_U0_PCASC_UNCONNECTED(47 downto 0),
SCLR => '0',
ZERO_DETECT(1 downto 0) => NLW_U0_ZERO_DETECT_UNCONNECTED(1 downto 0)
);
end STRUCTURE;
|
--------------------------------------------------------------------------------
--
-- FIFO Generator v8.4 Core - Top-level core wrapper
--
--------------------------------------------------------------------------------
--
-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--------------------------------------------------------------------------------
--
-- Filename: fifo_138x16_shift_top_wrapper.vhd
--
-- Description:
-- This file is needed for core instantiation in production testbench
--
--------------------------------------------------------------------------------
-- Library Declarations
--------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
--------------------------------------------------------------------------------
-- Entity Declaration
--------------------------------------------------------------------------------
entity fifo_138x16_shift_top_wrapper is
PORT (
CLK : IN STD_LOGIC;
BACKUP : IN STD_LOGIC;
BACKUP_MARKER : IN STD_LOGIC;
DIN : IN STD_LOGIC_VECTOR(138-1 downto 0);
PROG_EMPTY_THRESH : IN STD_LOGIC_VECTOR(4-1 downto 0);
PROG_EMPTY_THRESH_ASSERT : IN STD_LOGIC_VECTOR(4-1 downto 0);
PROG_EMPTY_THRESH_NEGATE : IN STD_LOGIC_VECTOR(4-1 downto 0);
PROG_FULL_THRESH : IN STD_LOGIC_VECTOR(4-1 downto 0);
PROG_FULL_THRESH_ASSERT : IN STD_LOGIC_VECTOR(4-1 downto 0);
PROG_FULL_THRESH_NEGATE : IN STD_LOGIC_VECTOR(4-1 downto 0);
RD_CLK : IN STD_LOGIC;
RD_EN : IN STD_LOGIC;
RD_RST : IN STD_LOGIC;
RST : IN STD_LOGIC;
SRST : IN STD_LOGIC;
WR_CLK : IN STD_LOGIC;
WR_EN : IN STD_LOGIC;
WR_RST : IN STD_LOGIC;
INJECTDBITERR : IN STD_LOGIC;
INJECTSBITERR : IN STD_LOGIC;
ALMOST_EMPTY : OUT STD_LOGIC;
ALMOST_FULL : OUT STD_LOGIC;
DATA_COUNT : OUT STD_LOGIC_VECTOR(4-1 downto 0);
DOUT : OUT STD_LOGIC_VECTOR(138-1 downto 0);
EMPTY : OUT STD_LOGIC;
FULL : OUT STD_LOGIC;
OVERFLOW : OUT STD_LOGIC;
PROG_EMPTY : OUT STD_LOGIC;
PROG_FULL : OUT STD_LOGIC;
VALID : OUT STD_LOGIC;
RD_DATA_COUNT : OUT STD_LOGIC_VECTOR(4-1 downto 0);
UNDERFLOW : OUT STD_LOGIC;
WR_ACK : OUT STD_LOGIC;
WR_DATA_COUNT : OUT STD_LOGIC_VECTOR(4-1 downto 0);
SBITERR : OUT STD_LOGIC;
DBITERR : OUT STD_LOGIC;
-- AXI Global Signal
M_ACLK : IN std_logic;
S_ACLK : IN std_logic;
S_ARESETN : IN std_logic;
M_ACLK_EN : IN std_logic;
S_ACLK_EN : IN std_logic;
-- AXI Full/Lite Slave Write Channel (write side)
S_AXI_AWID : IN std_logic_vector(4-1 DOWNTO 0);
S_AXI_AWADDR : IN std_logic_vector(32-1 DOWNTO 0);
S_AXI_AWLEN : IN std_logic_vector(8-1 DOWNTO 0);
S_AXI_AWSIZE : IN std_logic_vector(3-1 DOWNTO 0);
S_AXI_AWBURST : IN std_logic_vector(2-1 DOWNTO 0);
S_AXI_AWLOCK : IN std_logic_vector(2-1 DOWNTO 0);
S_AXI_AWCACHE : IN std_logic_vector(4-1 DOWNTO 0);
S_AXI_AWPROT : IN std_logic_vector(3-1 DOWNTO 0);
S_AXI_AWQOS : IN std_logic_vector(4-1 DOWNTO 0);
S_AXI_AWREGION : IN std_logic_vector(4-1 DOWNTO 0);
S_AXI_AWUSER : IN std_logic_vector(1-1 DOWNTO 0);
S_AXI_AWVALID : IN std_logic;
S_AXI_AWREADY : OUT std_logic;
S_AXI_WID : IN std_logic_vector(4-1 DOWNTO 0);
S_AXI_WDATA : IN std_logic_vector(64-1 DOWNTO 0);
S_AXI_WSTRB : IN std_logic_vector(8-1 DOWNTO 0);
S_AXI_WLAST : IN std_logic;
S_AXI_WUSER : IN std_logic_vector(1-1 DOWNTO 0);
S_AXI_WVALID : IN std_logic;
S_AXI_WREADY : OUT std_logic;
S_AXI_BID : OUT std_logic_vector(4-1 DOWNTO 0);
S_AXI_BRESP : OUT std_logic_vector(2-1 DOWNTO 0);
S_AXI_BUSER : OUT std_logic_vector(1-1 DOWNTO 0);
S_AXI_BVALID : OUT std_logic;
S_AXI_BREADY : IN std_logic;
-- AXI Full/Lite Master Write Channel (Read side)
M_AXI_AWID : OUT std_logic_vector(4-1 DOWNTO 0);
M_AXI_AWADDR : OUT std_logic_vector(32-1 DOWNTO 0);
M_AXI_AWLEN : OUT std_logic_vector(8-1 DOWNTO 0);
M_AXI_AWSIZE : OUT std_logic_vector(3-1 DOWNTO 0);
M_AXI_AWBURST : OUT std_logic_vector(2-1 DOWNTO 0);
M_AXI_AWLOCK : OUT std_logic_vector(2-1 DOWNTO 0);
M_AXI_AWCACHE : OUT std_logic_vector(4-1 DOWNTO 0);
M_AXI_AWPROT : OUT std_logic_vector(3-1 DOWNTO 0);
M_AXI_AWQOS : OUT std_logic_vector(4-1 DOWNTO 0);
M_AXI_AWREGION : OUT std_logic_vector(4-1 DOWNTO 0);
M_AXI_AWUSER : OUT std_logic_vector(1-1 DOWNTO 0);
M_AXI_AWVALID : OUT std_logic;
M_AXI_AWREADY : IN std_logic;
M_AXI_WID : OUT std_logic_vector(4-1 DOWNTO 0);
M_AXI_WDATA : OUT std_logic_vector(64-1 DOWNTO 0);
M_AXI_WSTRB : OUT std_logic_vector(8-1 DOWNTO 0);
M_AXI_WLAST : OUT std_logic;
M_AXI_WUSER : OUT std_logic_vector(1-1 DOWNTO 0);
M_AXI_WVALID : OUT std_logic;
M_AXI_WREADY : IN std_logic;
M_AXI_BID : IN std_logic_vector(4-1 DOWNTO 0);
M_AXI_BRESP : IN std_logic_vector(2-1 DOWNTO 0);
M_AXI_BUSER : IN std_logic_vector(1-1 DOWNTO 0);
M_AXI_BVALID : IN std_logic;
M_AXI_BREADY : OUT std_logic;
-- AXI Full/Lite Slave Read Channel (Write side)
S_AXI_ARID : IN std_logic_vector(4-1 DOWNTO 0);
S_AXI_ARADDR : IN std_logic_vector(32-1 DOWNTO 0);
S_AXI_ARLEN : IN std_logic_vector(8-1 DOWNTO 0);
S_AXI_ARSIZE : IN std_logic_vector(3-1 DOWNTO 0);
S_AXI_ARBURST : IN std_logic_vector(2-1 DOWNTO 0);
S_AXI_ARLOCK : IN std_logic_vector(2-1 DOWNTO 0);
S_AXI_ARCACHE : IN std_logic_vector(4-1 DOWNTO 0);
S_AXI_ARPROT : IN std_logic_vector(3-1 DOWNTO 0);
S_AXI_ARQOS : IN std_logic_vector(4-1 DOWNTO 0);
S_AXI_ARREGION : IN std_logic_vector(4-1 DOWNTO 0);
S_AXI_ARUSER : IN std_logic_vector(1-1 DOWNTO 0);
S_AXI_ARVALID : IN std_logic;
S_AXI_ARREADY : OUT std_logic;
S_AXI_RID : OUT std_logic_vector(4-1 DOWNTO 0);
S_AXI_RDATA : OUT std_logic_vector(64-1 DOWNTO 0);
S_AXI_RRESP : OUT std_logic_vector(2-1 DOWNTO 0);
S_AXI_RLAST : OUT std_logic;
S_AXI_RUSER : OUT std_logic_vector(1-1 DOWNTO 0);
S_AXI_RVALID : OUT std_logic;
S_AXI_RREADY : IN std_logic;
-- AXI Full/Lite Master Read Channel (Read side)
M_AXI_ARID : OUT std_logic_vector(4-1 DOWNTO 0);
M_AXI_ARADDR : OUT std_logic_vector(32-1 DOWNTO 0);
M_AXI_ARLEN : OUT std_logic_vector(8-1 DOWNTO 0);
M_AXI_ARSIZE : OUT std_logic_vector(3-1 DOWNTO 0);
M_AXI_ARBURST : OUT std_logic_vector(2-1 DOWNTO 0);
M_AXI_ARLOCK : OUT std_logic_vector(2-1 DOWNTO 0);
M_AXI_ARCACHE : OUT std_logic_vector(4-1 DOWNTO 0);
M_AXI_ARPROT : OUT std_logic_vector(3-1 DOWNTO 0);
M_AXI_ARQOS : OUT std_logic_vector(4-1 DOWNTO 0);
M_AXI_ARREGION : OUT std_logic_vector(4-1 DOWNTO 0);
M_AXI_ARUSER : OUT std_logic_vector(1-1 DOWNTO 0);
M_AXI_ARVALID : OUT std_logic;
M_AXI_ARREADY : IN std_logic;
M_AXI_RID : IN std_logic_vector(4-1 DOWNTO 0);
M_AXI_RDATA : IN std_logic_vector(64-1 DOWNTO 0);
M_AXI_RRESP : IN std_logic_vector(2-1 DOWNTO 0);
M_AXI_RLAST : IN std_logic;
M_AXI_RUSER : IN std_logic_vector(1-1 DOWNTO 0);
M_AXI_RVALID : IN std_logic;
M_AXI_RREADY : OUT std_logic;
-- AXI Streaming Slave Signals (Write side)
S_AXIS_TVALID : IN std_logic;
S_AXIS_TREADY : OUT std_logic;
S_AXIS_TDATA : IN std_logic_vector(64-1 DOWNTO 0);
S_AXIS_TSTRB : IN std_logic_vector(4-1 DOWNTO 0);
S_AXIS_TKEEP : IN std_logic_vector(4-1 DOWNTO 0);
S_AXIS_TLAST : IN std_logic;
S_AXIS_TID : IN std_logic_vector(8-1 DOWNTO 0);
S_AXIS_TDEST : IN std_logic_vector(4-1 DOWNTO 0);
S_AXIS_TUSER : IN std_logic_vector(4-1 DOWNTO 0);
-- AXI Streaming Master Signals (Read side)
M_AXIS_TVALID : OUT std_logic;
M_AXIS_TREADY : IN std_logic;
M_AXIS_TDATA : OUT std_logic_vector(64-1 DOWNTO 0);
M_AXIS_TSTRB : OUT std_logic_vector(4-1 DOWNTO 0);
M_AXIS_TKEEP : OUT std_logic_vector(4-1 DOWNTO 0);
M_AXIS_TLAST : OUT std_logic;
M_AXIS_TID : OUT std_logic_vector(8-1 DOWNTO 0);
M_AXIS_TDEST : OUT std_logic_vector(4-1 DOWNTO 0);
M_AXIS_TUSER : OUT std_logic_vector(4-1 DOWNTO 0);
-- AXI Full/Lite Write Address Channel Signals
AXI_AW_INJECTSBITERR : IN std_logic;
AXI_AW_INJECTDBITERR : IN std_logic;
AXI_AW_PROG_FULL_THRESH : IN std_logic_vector(4-1 DOWNTO 0);
AXI_AW_PROG_EMPTY_THRESH : IN std_logic_vector(4-1 DOWNTO 0);
AXI_AW_DATA_COUNT : OUT std_logic_vector(4 DOWNTO 0);
AXI_AW_WR_DATA_COUNT : OUT std_logic_vector(4 DOWNTO 0);
AXI_AW_RD_DATA_COUNT : OUT std_logic_vector(4 DOWNTO 0);
AXI_AW_SBITERR : OUT std_logic;
AXI_AW_DBITERR : OUT std_logic;
AXI_AW_OVERFLOW : OUT std_logic;
AXI_AW_UNDERFLOW : OUT std_logic;
-- AXI Full/Lite Write Data Channel Signals
AXI_W_INJECTSBITERR : IN std_logic;
AXI_W_INJECTDBITERR : IN std_logic;
AXI_W_PROG_FULL_THRESH : IN std_logic_vector(10-1 DOWNTO 0);
AXI_W_PROG_EMPTY_THRESH : IN std_logic_vector(10-1 DOWNTO 0);
AXI_W_DATA_COUNT : OUT std_logic_vector(10 DOWNTO 0);
AXI_W_WR_DATA_COUNT : OUT std_logic_vector(10 DOWNTO 0);
AXI_W_RD_DATA_COUNT : OUT std_logic_vector(10 DOWNTO 0);
AXI_W_SBITERR : OUT std_logic;
AXI_W_DBITERR : OUT std_logic;
AXI_W_OVERFLOW : OUT std_logic;
AXI_W_UNDERFLOW : OUT std_logic;
-- AXI Full/Lite Write Response Channel Signals
AXI_B_INJECTSBITERR : IN std_logic;
AXI_B_INJECTDBITERR : IN std_logic;
AXI_B_PROG_FULL_THRESH : IN std_logic_vector(4-1 DOWNTO 0);
AXI_B_PROG_EMPTY_THRESH : IN std_logic_vector(4-1 DOWNTO 0);
AXI_B_DATA_COUNT : OUT std_logic_vector(4 DOWNTO 0);
AXI_B_WR_DATA_COUNT : OUT std_logic_vector(4 DOWNTO 0);
AXI_B_RD_DATA_COUNT : OUT std_logic_vector(4 DOWNTO 0);
AXI_B_SBITERR : OUT std_logic;
AXI_B_DBITERR : OUT std_logic;
AXI_B_OVERFLOW : OUT std_logic;
AXI_B_UNDERFLOW : OUT std_logic;
-- AXI Full/Lite Read Address Channel Signals
AXI_AR_INJECTSBITERR : IN std_logic;
AXI_AR_INJECTDBITERR : IN std_logic;
AXI_AR_PROG_FULL_THRESH : IN std_logic_vector(4-1 DOWNTO 0);
AXI_AR_PROG_EMPTY_THRESH : IN std_logic_vector(4-1 DOWNTO 0);
AXI_AR_DATA_COUNT : OUT std_logic_vector(4 DOWNTO 0);
AXI_AR_WR_DATA_COUNT : OUT std_logic_vector(4 DOWNTO 0);
AXI_AR_RD_DATA_COUNT : OUT std_logic_vector(4 DOWNTO 0);
AXI_AR_SBITERR : OUT std_logic;
AXI_AR_DBITERR : OUT std_logic;
AXI_AR_OVERFLOW : OUT std_logic;
AXI_AR_UNDERFLOW : OUT std_logic;
-- AXI Full/Lite Read Data Channel Signals
AXI_R_INJECTSBITERR : IN std_logic;
AXI_R_INJECTDBITERR : IN std_logic;
AXI_R_PROG_FULL_THRESH : IN std_logic_vector(10-1 DOWNTO 0);
AXI_R_PROG_EMPTY_THRESH : IN std_logic_vector(10-1 DOWNTO 0);
AXI_R_DATA_COUNT : OUT std_logic_vector(10 DOWNTO 0);
AXI_R_WR_DATA_COUNT : OUT std_logic_vector(10 DOWNTO 0);
AXI_R_RD_DATA_COUNT : OUT std_logic_vector(10 DOWNTO 0);
AXI_R_SBITERR : OUT std_logic;
AXI_R_DBITERR : OUT std_logic;
AXI_R_OVERFLOW : OUT std_logic;
AXI_R_UNDERFLOW : OUT std_logic;
-- AXI Streaming FIFO Related Signals
AXIS_INJECTSBITERR : IN std_logic;
AXIS_INJECTDBITERR : IN std_logic;
AXIS_PROG_FULL_THRESH : IN std_logic_vector(10-1 DOWNTO 0);
AXIS_PROG_EMPTY_THRESH : IN std_logic_vector(10-1 DOWNTO 0);
AXIS_DATA_COUNT : OUT std_logic_vector(10 DOWNTO 0);
AXIS_WR_DATA_COUNT : OUT std_logic_vector(10 DOWNTO 0);
AXIS_RD_DATA_COUNT : OUT std_logic_vector(10 DOWNTO 0);
AXIS_SBITERR : OUT std_logic;
AXIS_DBITERR : OUT std_logic;
AXIS_OVERFLOW : OUT std_logic;
AXIS_UNDERFLOW : OUT std_logic);
end fifo_138x16_shift_top_wrapper;
architecture xilinx of fifo_138x16_shift_top_wrapper is
SIGNAL clk_i : std_logic;
component fifo_138x16_shift_top is
PORT (
CLK : IN std_logic;
SRST : IN std_logic;
WR_EN : IN std_logic;
RD_EN : IN std_logic;
DIN : IN std_logic_vector(138-1 DOWNTO 0);
DOUT : OUT std_logic_vector(138-1 DOWNTO 0);
FULL : OUT std_logic;
EMPTY : OUT std_logic);
end component;
begin
clk_i <= CLK;
fg1 : fifo_138x16_shift_top
PORT MAP (
CLK => clk_i,
SRST => srst,
WR_EN => wr_en,
RD_EN => rd_en,
DIN => din,
DOUT => dout,
FULL => full,
EMPTY => empty);
end xilinx;
|
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved.
-- --------------------------------------------------------------------------------
-- Tool Version: Vivado v.2016.4 (win64) Build 1733598 Wed Dec 14 22:35:39 MST 2016
-- Date : Thu May 25 21:06:44 2017
-- Host : GILAMONSTER running 64-bit major release (build 9200)
-- Command : write_vhdl -force -mode synth_stub
-- C:/ZyboIP/examples/zed_dual_camera_test/zed_dual_camera_test.srcs/sources_1/bd/system/ip/system_ov7670_vga_0_0/system_ov7670_vga_0_0_stub.vhdl
-- Design : system_ov7670_vga_0_0
-- Purpose : Stub declaration of top-level module interface
-- Device : xc7z020clg484-1
-- --------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity system_ov7670_vga_0_0 is
Port (
clk_x2 : in STD_LOGIC;
active : in STD_LOGIC;
data : in STD_LOGIC_VECTOR ( 7 downto 0 );
rgb : out STD_LOGIC_VECTOR ( 15 downto 0 )
);
end system_ov7670_vga_0_0;
architecture stub of system_ov7670_vga_0_0 is
attribute syn_black_box : boolean;
attribute black_box_pad_pin : string;
attribute syn_black_box of stub : architecture is true;
attribute black_box_pad_pin of stub : architecture is "clk_x2,active,data[7:0],rgb[15:0]";
attribute x_core_info : string;
attribute x_core_info of stub : architecture is "ov7670_vga,Vivado 2016.4";
begin
end;
|
entity attr4 is
end entity;
architecture test of attr4 is
begin
process is
variable b : boolean;
begin
assert boolean'pos(false) = 0;
assert boolean'pos(true) = 1;
b := true;
wait for 1 ns;
assert boolean'pos(b) = 1;
assert boolean'val(0) = false;
assert bit'val(1) = '1';
wait;
end process;
end architecture;
|
entity attr4 is
end entity;
architecture test of attr4 is
begin
process is
variable b : boolean;
begin
assert boolean'pos(false) = 0;
assert boolean'pos(true) = 1;
b := true;
wait for 1 ns;
assert boolean'pos(b) = 1;
assert boolean'val(0) = false;
assert bit'val(1) = '1';
wait;
end process;
end architecture;
|
entity attr4 is
end entity;
architecture test of attr4 is
begin
process is
variable b : boolean;
begin
assert boolean'pos(false) = 0;
assert boolean'pos(true) = 1;
b := true;
wait for 1 ns;
assert boolean'pos(b) = 1;
assert boolean'val(0) = false;
assert bit'val(1) = '1';
wait;
end process;
end architecture;
|
entity attr4 is
end entity;
architecture test of attr4 is
begin
process is
variable b : boolean;
begin
assert boolean'pos(false) = 0;
assert boolean'pos(true) = 1;
b := true;
wait for 1 ns;
assert boolean'pos(b) = 1;
assert boolean'val(0) = false;
assert bit'val(1) = '1';
wait;
end process;
end architecture;
|
entity attr4 is
end entity;
architecture test of attr4 is
begin
process is
variable b : boolean;
begin
assert boolean'pos(false) = 0;
assert boolean'pos(true) = 1;
b := true;
wait for 1 ns;
assert boolean'pos(b) = 1;
assert boolean'val(0) = false;
assert bit'val(1) = '1';
wait;
end process;
end architecture;
|
----------------------------------------------------------------------------------
-- Company:
-- Engineer: Tolga Sel
--
-- Create Date: 17:13:00 11/14/2015
-- Design Name:
-- Module Name: /home/superus/vhdl_system_design/workspace/idea_rcs1/idea_rcs1/tb_mulitplexer.vhd
-- Project Name: idea_rcs1
-- Target Device:
-- Tool versions:
-- Description:
--
-- VHDL Test Bench Created by ISE for module: multiplexer
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
-- Notes:
-- This testbench has been automatically generated using types std_logic and
-- std_logic_vector for the ports of the unit under test. Xilinx recommends
-- that these types always be used for the top-level I/O of a design in order
-- to guarantee that the testbench will bind correctly to the post-implementation
-- simulation model.
--------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--USE ieee.numeric_std.ALL;
ENTITY tb_mulitplexer IS
END tb_mulitplexer;
ARCHITECTURE behavior OF tb_mulitplexer IS
-- Component Declaration for the Unit Under Test (UUT)
COMPONENT multiplexer
PORT(
A : IN std_logic_vector(15 downto 0);
B : IN std_logic_vector(15 downto 0);
O : OUT std_logic_vector(15 downto 0);
s : IN std_logic
);
END COMPONENT;
--Inputs
signal A : std_logic_vector(15 downto 0) := (others => '0');
signal B : std_logic_vector(15 downto 0) := (others => '0');
signal s : std_logic := '0';
--Outputs
signal O : std_logic_vector(15 downto 0);
-- No clocks detected in port list. Replace <clock> below with
-- appropriate port name
BEGIN
-- Instantiate the Unit Under Test (UUT)
uut: multiplexer PORT MAP (
A => A,
B => B,
O => O,
s => s
);
A <= "0000111100001111", "1111111011111110" after 20 ns, "1100001011111110" after 40 ns, "1100001011111110" after 50 ns;
B <= "0000001000000000", "0001001000000000" after 20 ns, "0010010000001100" after 40 ns, "1100001011111110" after 50 ns;
--s <= '0', '1' after 2 ns, '0' after 4 ns, '1' after 19 ns, '0' after 22 ns;
s <= 'X';
END;
|
----------------------------------------------------------------------------------
-- Company:
-- Engineer: Tolga Sel
--
-- Create Date: 17:13:00 11/14/2015
-- Design Name:
-- Module Name: /home/superus/vhdl_system_design/workspace/idea_rcs1/idea_rcs1/tb_mulitplexer.vhd
-- Project Name: idea_rcs1
-- Target Device:
-- Tool versions:
-- Description:
--
-- VHDL Test Bench Created by ISE for module: multiplexer
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
-- Notes:
-- This testbench has been automatically generated using types std_logic and
-- std_logic_vector for the ports of the unit under test. Xilinx recommends
-- that these types always be used for the top-level I/O of a design in order
-- to guarantee that the testbench will bind correctly to the post-implementation
-- simulation model.
--------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--USE ieee.numeric_std.ALL;
ENTITY tb_mulitplexer IS
END tb_mulitplexer;
ARCHITECTURE behavior OF tb_mulitplexer IS
-- Component Declaration for the Unit Under Test (UUT)
COMPONENT multiplexer
PORT(
A : IN std_logic_vector(15 downto 0);
B : IN std_logic_vector(15 downto 0);
O : OUT std_logic_vector(15 downto 0);
s : IN std_logic
);
END COMPONENT;
--Inputs
signal A : std_logic_vector(15 downto 0) := (others => '0');
signal B : std_logic_vector(15 downto 0) := (others => '0');
signal s : std_logic := '0';
--Outputs
signal O : std_logic_vector(15 downto 0);
-- No clocks detected in port list. Replace <clock> below with
-- appropriate port name
BEGIN
-- Instantiate the Unit Under Test (UUT)
uut: multiplexer PORT MAP (
A => A,
B => B,
O => O,
s => s
);
A <= "0000111100001111", "1111111011111110" after 20 ns, "1100001011111110" after 40 ns, "1100001011111110" after 50 ns;
B <= "0000001000000000", "0001001000000000" after 20 ns, "0010010000001100" after 40 ns, "1100001011111110" after 50 ns;
--s <= '0', '1' after 2 ns, '0' after 4 ns, '1' after 19 ns, '0' after 22 ns;
s <= 'X';
END;
|
----------------------------------------------------------------------------------
-- Company:
-- Engineer: Tolga Sel
--
-- Create Date: 17:13:00 11/14/2015
-- Design Name:
-- Module Name: /home/superus/vhdl_system_design/workspace/idea_rcs1/idea_rcs1/tb_mulitplexer.vhd
-- Project Name: idea_rcs1
-- Target Device:
-- Tool versions:
-- Description:
--
-- VHDL Test Bench Created by ISE for module: multiplexer
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
-- Notes:
-- This testbench has been automatically generated using types std_logic and
-- std_logic_vector for the ports of the unit under test. Xilinx recommends
-- that these types always be used for the top-level I/O of a design in order
-- to guarantee that the testbench will bind correctly to the post-implementation
-- simulation model.
--------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--USE ieee.numeric_std.ALL;
ENTITY tb_mulitplexer IS
END tb_mulitplexer;
ARCHITECTURE behavior OF tb_mulitplexer IS
-- Component Declaration for the Unit Under Test (UUT)
COMPONENT multiplexer
PORT(
A : IN std_logic_vector(15 downto 0);
B : IN std_logic_vector(15 downto 0);
O : OUT std_logic_vector(15 downto 0);
s : IN std_logic
);
END COMPONENT;
--Inputs
signal A : std_logic_vector(15 downto 0) := (others => '0');
signal B : std_logic_vector(15 downto 0) := (others => '0');
signal s : std_logic := '0';
--Outputs
signal O : std_logic_vector(15 downto 0);
-- No clocks detected in port list. Replace <clock> below with
-- appropriate port name
BEGIN
-- Instantiate the Unit Under Test (UUT)
uut: multiplexer PORT MAP (
A => A,
B => B,
O => O,
s => s
);
A <= "0000111100001111", "1111111011111110" after 20 ns, "1100001011111110" after 40 ns, "1100001011111110" after 50 ns;
B <= "0000001000000000", "0001001000000000" after 20 ns, "0010010000001100" after 40 ns, "1100001011111110" after 50 ns;
--s <= '0', '1' after 2 ns, '0' after 4 ns, '1' after 19 ns, '0' after 22 ns;
s <= 'X';
END;
|
----------------------------------------------------------------------------------
-- Company:
-- Engineer: Tolga Sel
--
-- Create Date: 17:13:00 11/14/2015
-- Design Name:
-- Module Name: /home/superus/vhdl_system_design/workspace/idea_rcs1/idea_rcs1/tb_mulitplexer.vhd
-- Project Name: idea_rcs1
-- Target Device:
-- Tool versions:
-- Description:
--
-- VHDL Test Bench Created by ISE for module: multiplexer
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
-- Notes:
-- This testbench has been automatically generated using types std_logic and
-- std_logic_vector for the ports of the unit under test. Xilinx recommends
-- that these types always be used for the top-level I/O of a design in order
-- to guarantee that the testbench will bind correctly to the post-implementation
-- simulation model.
--------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--USE ieee.numeric_std.ALL;
ENTITY tb_mulitplexer IS
END tb_mulitplexer;
ARCHITECTURE behavior OF tb_mulitplexer IS
-- Component Declaration for the Unit Under Test (UUT)
COMPONENT multiplexer
PORT(
A : IN std_logic_vector(15 downto 0);
B : IN std_logic_vector(15 downto 0);
O : OUT std_logic_vector(15 downto 0);
s : IN std_logic
);
END COMPONENT;
--Inputs
signal A : std_logic_vector(15 downto 0) := (others => '0');
signal B : std_logic_vector(15 downto 0) := (others => '0');
signal s : std_logic := '0';
--Outputs
signal O : std_logic_vector(15 downto 0);
-- No clocks detected in port list. Replace <clock> below with
-- appropriate port name
BEGIN
-- Instantiate the Unit Under Test (UUT)
uut: multiplexer PORT MAP (
A => A,
B => B,
O => O,
s => s
);
A <= "0000111100001111", "1111111011111110" after 20 ns, "1100001011111110" after 40 ns, "1100001011111110" after 50 ns;
B <= "0000001000000000", "0001001000000000" after 20 ns, "0010010000001100" after 40 ns, "1100001011111110" after 50 ns;
--s <= '0', '1' after 2 ns, '0' after 4 ns, '1' after 19 ns, '0' after 22 ns;
s <= 'X';
END;
|
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
use ieee.numeric_std.all;
ENTITY Adder_TEST IS
END Adder_TEST;
ARCHITECTURE behavior OF Adder_TEST IS
-- Component Declaration for the Unit Under Test (UUT)
COMPONENT Adder
PORT(
CarryIn : IN unsigned(3 downto 0);
A : IN unsigned(3 downto 0);
B : IN unsigned(3 downto 0);
C : IN unsigned(3 downto 0);
D : IN unsigned(3 downto 0);
E : IN unsigned(3 downto 0);
Saida : OUT unsigned(3 downto 0);
CarryOut : OUT unsigned(3 downto 0)
);
END COMPONENT;
--Inputs
signal CarryIn : unsigned(3 downto 0) := (others => '0');
signal A : unsigned(3 downto 0) := (others => '0');
signal B : unsigned(3 downto 0) := (others => '0');
signal C : unsigned(3 downto 0) := (others => '0');
signal D : unsigned(3 downto 0) := (others => '0');
signal E : unsigned(3 downto 0) := (others => '0');
--Outputs
signal Saida : unsigned(3 downto 0);
signal CarryOut : unsigned(3 downto 0);
BEGIN
-- Instantiate the Unit Under Test (UUT)
uut: Adder PORT MAP (
CarryIn => CarryIn,
A => A,
B => B,
C => C,
D => D,
E => E,
Saida => Saida,
CarryOut => CarryOut
);
-- Stimulus process
stim_proc: process
begin
-- hold reset state for 100 ns.
wait for 100 ns;
-- insert stimulus here
CarryIn <= "0000";
A <= "0111";
B <= "0101";
C <= "0000";
D <= "0000";
E <= "0000";
wait;
end process;
END;
|
-- multiple1902 <[email protected]>
-- Released under GNU GPL v3, or later.
library ieee;
use ieee.std_logic_1164.all;
entity decoder is
port (op : in std_logic_vector(3 downto 0);
clk : in std_logic;
result : out std_logic_vector(15 downto 0) -- no semicolon here!
);
end decoder;
architecture behv of decoder is
begin
process(op)
begin
case op is
when "0000" => result <= "0000000000000001";
when "0001" => result <= "0000000000000010";
when "0010" => result <= "0000000000000100";
when "0011" => result <= "0000000000001000";
when "0100" => result <= "0000000000010000";
when "0101" => result <= "0000000000100000";
when "0110" => result <= "0000000001000000";
-- unused
-- when "0111" => result <= "0000000010000000";
when "1000" => result <= "0000000100000000";
when "1001" => result <= "0000001000000000";
when "1010" => result <= "0000010000000000";
when "1011" => result <= "0000100000000000";
-- unused
-- when "1100" => result <= "0001000000000000";
-- when "1101" => result <= "0010000000000000";
-- when "1110" => result <= "0100000000000000";
-- when "1111" => result <= "1000000000000000";
when others =>
result <= "0000000000000000";
end case;
end process;
end behv;
|
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
use work.picpkg.all;
entity stack is
Port ( clk, reset : in STD_LOGIC;
push, pop : in STD_LOGIC;
pcin : in STD_LOGIC_VECTOR (12 downto 0);
pcout : out STD_LOGIC_VECTOR (12 downto 0));
end stack;
architecture Behavioral of stack is
signal stack : stack_type13;
begin
process(clk, reset, stack, push, pop, pcin)
variable pointer : unsigned(2 downto 0);
begin
if rising_edge(clk) then
if push = '1' then
--Write
pointer := pointer + 1;
stack(to_integer(pointer)) <= pcin;
elsif pop = '1' then
pointer := pointer - 1;
end if;
end if;
-- Set output, only readable after pop
pcout <= stack(to_integer(pointer));
if reset = '1' then
pointer := to_unsigned(0,3);
end if;
end process;
end Behavioral;
|
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:axi_bram_ctrl:4.0
-- IP Revision: 11
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY axi_bram_ctrl_v4_0_11;
USE axi_bram_ctrl_v4_0_11.axi_bram_ctrl;
ENTITY zqynq_lab_1_design_axi_bram_ctrl_0_0 IS
PORT (
s_axi_aclk : IN STD_LOGIC;
s_axi_aresetn : IN STD_LOGIC;
s_axi_awaddr : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_awlock : IN STD_LOGIC;
s_axi_awcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_awvalid : IN STD_LOGIC;
s_axi_awready : OUT STD_LOGIC;
s_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_wlast : IN STD_LOGIC;
s_axi_wvalid : IN STD_LOGIC;
s_axi_wready : OUT STD_LOGIC;
s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_bvalid : OUT STD_LOGIC;
s_axi_bready : IN STD_LOGIC;
s_axi_araddr : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_arlock : IN STD_LOGIC;
s_axi_arcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_arprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_arvalid : IN STD_LOGIC;
s_axi_arready : OUT STD_LOGIC;
s_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_rlast : OUT STD_LOGIC;
s_axi_rvalid : OUT STD_LOGIC;
s_axi_rready : IN STD_LOGIC;
bram_rst_a : OUT STD_LOGIC;
bram_clk_a : OUT STD_LOGIC;
bram_en_a : OUT STD_LOGIC;
bram_we_a : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
bram_addr_a : OUT STD_LOGIC_VECTOR(15 DOWNTO 0);
bram_wrdata_a : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
bram_rddata_a : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
bram_rst_b : OUT STD_LOGIC;
bram_clk_b : OUT STD_LOGIC;
bram_en_b : OUT STD_LOGIC;
bram_we_b : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
bram_addr_b : OUT STD_LOGIC_VECTOR(15 DOWNTO 0);
bram_wrdata_b : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
bram_rddata_b : IN STD_LOGIC_VECTOR(31 DOWNTO 0)
);
END zqynq_lab_1_design_axi_bram_ctrl_0_0;
ARCHITECTURE zqynq_lab_1_design_axi_bram_ctrl_0_0_arch OF zqynq_lab_1_design_axi_bram_ctrl_0_0 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF zqynq_lab_1_design_axi_bram_ctrl_0_0_arch: ARCHITECTURE IS "yes";
COMPONENT axi_bram_ctrl IS
GENERIC (
C_BRAM_INST_MODE : STRING;
C_MEMORY_DEPTH : INTEGER;
C_BRAM_ADDR_WIDTH : INTEGER;
C_S_AXI_ADDR_WIDTH : INTEGER;
C_S_AXI_DATA_WIDTH : INTEGER;
C_S_AXI_ID_WIDTH : INTEGER;
C_S_AXI_PROTOCOL : STRING;
C_S_AXI_SUPPORTS_NARROW_BURST : INTEGER;
C_SINGLE_PORT_BRAM : INTEGER;
C_FAMILY : STRING;
C_SELECT_XPM : INTEGER;
C_S_AXI_CTRL_ADDR_WIDTH : INTEGER;
C_S_AXI_CTRL_DATA_WIDTH : INTEGER;
C_ECC : INTEGER;
C_ECC_TYPE : INTEGER;
C_FAULT_INJECT : INTEGER;
C_ECC_ONOFF_RESET_VALUE : INTEGER
);
PORT (
s_axi_aclk : IN STD_LOGIC;
s_axi_aresetn : IN STD_LOGIC;
ecc_interrupt : OUT STD_LOGIC;
ecc_ue : OUT STD_LOGIC;
s_axi_awid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_awaddr : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_awlock : IN STD_LOGIC;
s_axi_awcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_awvalid : IN STD_LOGIC;
s_axi_awready : OUT STD_LOGIC;
s_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_wlast : IN STD_LOGIC;
s_axi_wvalid : IN STD_LOGIC;
s_axi_wready : OUT STD_LOGIC;
s_axi_bid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_bvalid : OUT STD_LOGIC;
s_axi_bready : IN STD_LOGIC;
s_axi_arid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_araddr : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_arlock : IN STD_LOGIC;
s_axi_arcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_arprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_arvalid : IN STD_LOGIC;
s_axi_arready : OUT STD_LOGIC;
s_axi_rid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_rlast : OUT STD_LOGIC;
s_axi_rvalid : OUT STD_LOGIC;
s_axi_rready : IN STD_LOGIC;
s_axi_ctrl_awvalid : IN STD_LOGIC;
s_axi_ctrl_awready : OUT STD_LOGIC;
s_axi_ctrl_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_ctrl_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_ctrl_wvalid : IN STD_LOGIC;
s_axi_ctrl_wready : OUT STD_LOGIC;
s_axi_ctrl_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_ctrl_bvalid : OUT STD_LOGIC;
s_axi_ctrl_bready : IN STD_LOGIC;
s_axi_ctrl_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_ctrl_arvalid : IN STD_LOGIC;
s_axi_ctrl_arready : OUT STD_LOGIC;
s_axi_ctrl_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_ctrl_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_ctrl_rvalid : OUT STD_LOGIC;
s_axi_ctrl_rready : IN STD_LOGIC;
bram_rst_a : OUT STD_LOGIC;
bram_clk_a : OUT STD_LOGIC;
bram_en_a : OUT STD_LOGIC;
bram_we_a : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
bram_addr_a : OUT STD_LOGIC_VECTOR(15 DOWNTO 0);
bram_wrdata_a : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
bram_rddata_a : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
bram_rst_b : OUT STD_LOGIC;
bram_clk_b : OUT STD_LOGIC;
bram_en_b : OUT STD_LOGIC;
bram_we_b : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
bram_addr_b : OUT STD_LOGIC_VECTOR(15 DOWNTO 0);
bram_wrdata_b : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
bram_rddata_b : IN STD_LOGIC_VECTOR(31 DOWNTO 0)
);
END COMPONENT axi_bram_ctrl;
ATTRIBUTE X_CORE_INFO : STRING;
ATTRIBUTE X_CORE_INFO OF zqynq_lab_1_design_axi_bram_ctrl_0_0_arch: ARCHITECTURE IS "axi_bram_ctrl,Vivado 2017.2";
ATTRIBUTE CHECK_LICENSE_TYPE : STRING;
ATTRIBUTE CHECK_LICENSE_TYPE OF zqynq_lab_1_design_axi_bram_ctrl_0_0_arch : ARCHITECTURE IS "zqynq_lab_1_design_axi_bram_ctrl_0_0,axi_bram_ctrl,{}";
ATTRIBUTE CORE_GENERATION_INFO : STRING;
ATTRIBUTE CORE_GENERATION_INFO OF zqynq_lab_1_design_axi_bram_ctrl_0_0_arch: ARCHITECTURE IS "zqynq_lab_1_design_axi_bram_ctrl_0_0,axi_bram_ctrl,{x_ipProduct=Vivado 2017.2,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=axi_bram_ctrl,x_ipVersion=4.0,x_ipCoreRevision=11,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_BRAM_INST_MODE=EXTERNAL,C_MEMORY_DEPTH=16384,C_BRAM_ADDR_WIDTH=14,C_S_AXI_ADDR_WIDTH=16,C_S_AXI_DATA_WIDTH=32,C_S_AXI_ID_WIDTH=1,C_S_AXI_PROTOCOL=AXI4,C_S_AXI_SUPPORTS_NARROW_BURST=0,C_SINGLE_PORT_BRAM=0,C_FAMILY=zynq,C_SELECT_XPM=0,C_S_AXI_CTRL_ADDR_WIDTH=32,C_S_AXI_CTRL_DATA_WID" &
"TH=32,C_ECC=0,C_ECC_TYPE=0,C_FAULT_INJECT=0,C_ECC_ONOFF_RESET_VALUE=0}";
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF s_axi_aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 CLKIF CLK";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 RSTIF RST";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_awaddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWADDR";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_awlen: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWLEN";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_awsize: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWSIZE";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_awburst: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWBURST";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_awlock: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWLOCK";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_awcache: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWCACHE";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_awprot: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWPROT";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_awvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_awready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_wdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WDATA";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_wstrb: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WSTRB";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_wlast: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WLAST";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_wvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_wready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_bresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BRESP";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_bvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_bready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_araddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARADDR";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_arlen: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARLEN";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_arsize: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARSIZE";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_arburst: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARBURST";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_arlock: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARLOCK";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_arcache: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARCACHE";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_arprot: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARPROT";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_arvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_arready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARREADY";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_rdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RDATA";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_rresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RRESP";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_rlast: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RLAST";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_rvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axi_rready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RREADY";
ATTRIBUTE X_INTERFACE_INFO OF bram_rst_a: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA RST";
ATTRIBUTE X_INTERFACE_INFO OF bram_clk_a: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA CLK";
ATTRIBUTE X_INTERFACE_INFO OF bram_en_a: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA EN";
ATTRIBUTE X_INTERFACE_INFO OF bram_we_a: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA WE";
ATTRIBUTE X_INTERFACE_INFO OF bram_addr_a: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA ADDR";
ATTRIBUTE X_INTERFACE_INFO OF bram_wrdata_a: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA DIN";
ATTRIBUTE X_INTERFACE_INFO OF bram_rddata_a: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTA DOUT";
ATTRIBUTE X_INTERFACE_INFO OF bram_rst_b: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB RST";
ATTRIBUTE X_INTERFACE_INFO OF bram_clk_b: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB CLK";
ATTRIBUTE X_INTERFACE_INFO OF bram_en_b: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB EN";
ATTRIBUTE X_INTERFACE_INFO OF bram_we_b: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB WE";
ATTRIBUTE X_INTERFACE_INFO OF bram_addr_b: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB ADDR";
ATTRIBUTE X_INTERFACE_INFO OF bram_wrdata_b: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB DIN";
ATTRIBUTE X_INTERFACE_INFO OF bram_rddata_b: SIGNAL IS "xilinx.com:interface:bram:1.0 BRAM_PORTB DOUT";
BEGIN
U0 : axi_bram_ctrl
GENERIC MAP (
C_BRAM_INST_MODE => "EXTERNAL",
C_MEMORY_DEPTH => 16384,
C_BRAM_ADDR_WIDTH => 14,
C_S_AXI_ADDR_WIDTH => 16,
C_S_AXI_DATA_WIDTH => 32,
C_S_AXI_ID_WIDTH => 1,
C_S_AXI_PROTOCOL => "AXI4",
C_S_AXI_SUPPORTS_NARROW_BURST => 0,
C_SINGLE_PORT_BRAM => 0,
C_FAMILY => "zynq",
C_SELECT_XPM => 0,
C_S_AXI_CTRL_ADDR_WIDTH => 32,
C_S_AXI_CTRL_DATA_WIDTH => 32,
C_ECC => 0,
C_ECC_TYPE => 0,
C_FAULT_INJECT => 0,
C_ECC_ONOFF_RESET_VALUE => 0
)
PORT MAP (
s_axi_aclk => s_axi_aclk,
s_axi_aresetn => s_axi_aresetn,
s_axi_awid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_awaddr => s_axi_awaddr,
s_axi_awlen => s_axi_awlen,
s_axi_awsize => s_axi_awsize,
s_axi_awburst => s_axi_awburst,
s_axi_awlock => s_axi_awlock,
s_axi_awcache => s_axi_awcache,
s_axi_awprot => s_axi_awprot,
s_axi_awvalid => s_axi_awvalid,
s_axi_awready => s_axi_awready,
s_axi_wdata => s_axi_wdata,
s_axi_wstrb => s_axi_wstrb,
s_axi_wlast => s_axi_wlast,
s_axi_wvalid => s_axi_wvalid,
s_axi_wready => s_axi_wready,
s_axi_bresp => s_axi_bresp,
s_axi_bvalid => s_axi_bvalid,
s_axi_bready => s_axi_bready,
s_axi_arid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_araddr => s_axi_araddr,
s_axi_arlen => s_axi_arlen,
s_axi_arsize => s_axi_arsize,
s_axi_arburst => s_axi_arburst,
s_axi_arlock => s_axi_arlock,
s_axi_arcache => s_axi_arcache,
s_axi_arprot => s_axi_arprot,
s_axi_arvalid => s_axi_arvalid,
s_axi_arready => s_axi_arready,
s_axi_rdata => s_axi_rdata,
s_axi_rresp => s_axi_rresp,
s_axi_rlast => s_axi_rlast,
s_axi_rvalid => s_axi_rvalid,
s_axi_rready => s_axi_rready,
s_axi_ctrl_awvalid => '0',
s_axi_ctrl_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_ctrl_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_ctrl_wvalid => '0',
s_axi_ctrl_bready => '0',
s_axi_ctrl_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_ctrl_arvalid => '0',
s_axi_ctrl_rready => '0',
bram_rst_a => bram_rst_a,
bram_clk_a => bram_clk_a,
bram_en_a => bram_en_a,
bram_we_a => bram_we_a,
bram_addr_a => bram_addr_a,
bram_wrdata_a => bram_wrdata_a,
bram_rddata_a => bram_rddata_a,
bram_rst_b => bram_rst_b,
bram_clk_b => bram_clk_b,
bram_en_b => bram_en_b,
bram_we_b => bram_we_b,
bram_addr_b => bram_addr_b,
bram_wrdata_b => bram_wrdata_b,
bram_rddata_b => bram_rddata_b
);
END zqynq_lab_1_design_axi_bram_ctrl_0_0_arch;
|
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
library ieee; use ieee.std_logic_1164.all;
library ieee_proposed; use ieee_proposed.electrical_systems.all;
entity successive_approx_adc is
generic ( t_setup, t_hold, t_pd : delay_length;
width : positive );
port ( terminal analog_in : electrical;
signal clock : in std_logic;
signal start : in std_logic;
signal eoc : out std_logic;
signal data_out : out std_logic_vector(0 to width - 1) );
end entity successive_approx_adc;
-- not in book
architecture struct of successive_approx_adc is
begin
end architecture struct;
|
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
library ieee; use ieee.std_logic_1164.all;
library ieee_proposed; use ieee_proposed.electrical_systems.all;
entity successive_approx_adc is
generic ( t_setup, t_hold, t_pd : delay_length;
width : positive );
port ( terminal analog_in : electrical;
signal clock : in std_logic;
signal start : in std_logic;
signal eoc : out std_logic;
signal data_out : out std_logic_vector(0 to width - 1) );
end entity successive_approx_adc;
-- not in book
architecture struct of successive_approx_adc is
begin
end architecture struct;
|
-- Copyright (C) 2002 Morgan Kaufmann Publishers, Inc
-- This file is part of VESTs (Vhdl tESTs).
-- VESTs is free software; you can redistribute it and/or modify it
-- under the terms of the GNU General Public License as published by the
-- Free Software Foundation; either version 2 of the License, or (at
-- your option) any later version.
-- VESTs is distributed in the hope that it will be useful, but WITHOUT
-- ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
-- FITNESS FOR A PARTICULAR PURPOSE. See the GNU General Public License
-- for more details.
-- You should have received a copy of the GNU General Public License
-- along with VESTs; if not, write to the Free Software Foundation,
-- Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
library ieee; use ieee.std_logic_1164.all;
library ieee_proposed; use ieee_proposed.electrical_systems.all;
entity successive_approx_adc is
generic ( t_setup, t_hold, t_pd : delay_length;
width : positive );
port ( terminal analog_in : electrical;
signal clock : in std_logic;
signal start : in std_logic;
signal eoc : out std_logic;
signal data_out : out std_logic_vector(0 to width - 1) );
end entity successive_approx_adc;
-- not in book
architecture struct of successive_approx_adc is
begin
end architecture struct;
|
--------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 18:56:19 12/14/2012
-- Design Name:
-- Module Name: C:/hlocal/hoy/mips_simu.vhd
-- Project Name: hoy
-- Target Device:
-- Tool versions:
-- Description:
--
-- VHDL Test Bench Created by ISE for module: mips
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
-- Notes:
-- This testbench has been automatically generated using types std_logic and
-- std_logic_vector for the ports of the unit under test. Xilinx recommends
-- that these types always be used for the top-level I/O of a design in order
-- to guarantee that the testbench will bind correctly to the post-implementation
-- simulation model.
--------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--USE ieee.numeric_std.ALL;
ENTITY mips_simu IS
END mips_simu;
ARCHITECTURE behavior OF mips_simu IS
-- Component Declaration for the Unit Under Test (UUT)
COMPONENT mips
PORT(
clk : IN std_logic;
reset : IN std_logic
);
END COMPONENT;
--Inputs
signal clk : std_logic := '0';
signal reset : std_logic := '0';
-- Clock period definitions
constant clk_period : time := 100 ns;
BEGIN
-- Instantiate the Unit Under Test (UUT)
uut: mips PORT MAP (
clk => clk,
reset => reset
);
-- Clock process definitions
clk_process :process
begin
clk <= '0';
wait for clk_period/2;
clk <= '1';
wait for clk_period/2;
end process;
-- Stimulus process
stim_proc: process
begin
reset<='1';
-- hold reset state for 100 ns.
wait for 100 ns;
reset<='0';
wait for 100 ns;
wait;
-- insert stimulus here
wait;
end process;
END;
|
--------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 18:56:19 12/14/2012
-- Design Name:
-- Module Name: C:/hlocal/hoy/mips_simu.vhd
-- Project Name: hoy
-- Target Device:
-- Tool versions:
-- Description:
--
-- VHDL Test Bench Created by ISE for module: mips
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
-- Notes:
-- This testbench has been automatically generated using types std_logic and
-- std_logic_vector for the ports of the unit under test. Xilinx recommends
-- that these types always be used for the top-level I/O of a design in order
-- to guarantee that the testbench will bind correctly to the post-implementation
-- simulation model.
--------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--USE ieee.numeric_std.ALL;
ENTITY mips_simu IS
END mips_simu;
ARCHITECTURE behavior OF mips_simu IS
-- Component Declaration for the Unit Under Test (UUT)
COMPONENT mips
PORT(
clk : IN std_logic;
reset : IN std_logic
);
END COMPONENT;
--Inputs
signal clk : std_logic := '0';
signal reset : std_logic := '0';
-- Clock period definitions
constant clk_period : time := 100 ns;
BEGIN
-- Instantiate the Unit Under Test (UUT)
uut: mips PORT MAP (
clk => clk,
reset => reset
);
-- Clock process definitions
clk_process :process
begin
clk <= '0';
wait for clk_period/2;
clk <= '1';
wait for clk_period/2;
end process;
-- Stimulus process
stim_proc: process
begin
reset<='1';
-- hold reset state for 100 ns.
wait for 100 ns;
reset<='0';
wait for 100 ns;
wait;
-- insert stimulus here
wait;
end process;
END;
|
------------------------------------------------------------------------------
-- This file is a part of the GRLIB VHDL IP LIBRARY
-- Copyright (C) 2003 - 2008, Gaisler Research
-- Copyright (C) 2008 - 2014, Aeroflex Gaisler
-- Copyright (C) 2015 - 2016, Cobham Gaisler
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 59 Temple Place, Suite 330, Boston, MA 02111-1307 USA
-----------------------------------------------------------------------------
-- Entity: sram
-- File: sram.vhd
-- Author: Jiri Gaisler Gaisler Research
-- Description: Simulation model of generic async SRAM
------------------------------------------------------------------------------
-- pragma translate_off
library ieee;
use ieee.std_logic_1164.all;
use std.textio.all;
library grlib;
use grlib.stdlib.all;
use grlib.stdio.all;
entity sram is
generic (
index : integer := 0; -- Byte lane (0 - 3)
abits: Positive := 10; -- Default 10 address bits (1 Kbyte)
tacc : integer := 10; -- access time (ns)
fname : string := "ram.dat"; -- File to read from
clear : integer := 0); -- Clear memory
port (
a : in std_logic_vector(abits-1 downto 0);
d : inout std_logic_vector(7 downto 0);
ce1 : in std_logic;
we : in std_ulogic;
oe : in std_ulogic);
end;
architecture sim of sram is
subtype BYTE is std_logic_vector(7 downto 0);
type MEM is array(0 to ((2**Abits)-1)) of BYTE;
signal DINT,DI,DO : BYTE;
constant ahigh : integer := abits - 1;
signal wrpre : std_ulogic;
function Vpar(vec : std_logic_vector) return std_ulogic is
variable par : std_ulogic := '1';
begin
for i in vec'range loop --'
par := par xor vec(i);
end loop;
return par;
end;
begin
RAM : process(CE1,WE,DI,A,OE,D)
variable MEMA : MEM;
variable L1 : line;
variable FIRST : boolean := true;
variable ADR : std_logic_vector(19 downto 0);
variable BUF : std_logic_vector(31 downto 0);
variable CH : character;
variable ai : integer := 0;
variable len : integer := 0;
file TCF : text open read_mode is fname;
variable rectype : std_logic_vector(3 downto 0);
variable recaddr : std_logic_vector(31 downto 0);
variable reclen : std_logic_vector(7 downto 0);
variable recdata : std_logic_vector(0 to 16*8-1);
begin
if FIRST then
if clear = 1 then MEMA := (others => X"00"); end if;
L1:= new string'(""); --'
while not endfile(TCF) loop
readline(TCF,L1);
if (L1'length /= 0) then --'
while (not (L1'length=0)) and (L1(L1'left) = ' ') loop
std.textio.read(L1,CH);
end loop;
if L1'length > 0 then --'
read(L1, ch);
if (ch = 'S') or (ch = 's') then
hread(L1, rectype);
hread(L1, reclen);
len := conv_integer(reclen)-1;
recaddr := (others => '0');
case rectype is
when "0001" =>
hread(L1, recaddr(15 downto 0));
when "0010" =>
hread(L1, recaddr(23 downto 0));
when "0011" =>
hread(L1, recaddr);
when others => next;
end case;
hread(L1, recdata);
if index = 6 then
recaddr(31 downto abits) := (others => '0');
ai := conv_integer(recaddr);
for i in 0 to 15 loop
MEMA(ai+i) := recdata((i*8) to (i*8+7));
end loop;
elsif (index = 4) or (index = 5) then
recaddr(31 downto abits+1) := (others => '0');
ai := conv_integer(recaddr)/2;
for i in 0 to 7 loop
MEMA(ai+i) := recdata((i*16+(index-4)*8) to (i*16+(index-4)*8+7));
end loop;
else
recaddr(31 downto abits+2) := (others => '0');
ai := conv_integer(recaddr)/4;
for i in 0 to 3 loop
MEMA(ai+i) := recdata((i*32+index*8) to (i*32+index*8+7));
end loop;
end if;
if ai = 0 then
ai := 1;
end if;
end if;
end if;
end if;
end loop;
FIRST := false;
else
if (TO_X01(not CE1) = '1') then
if not is_x(a) then ai := conv_integer(A(abits-1 downto 0)); else ai := 0; end if;
dint <= mema(ai);
end if;
if (TO_X01(CE1 or WE) = '1') then
if wrpre = '1' then
mema(ai) := to_x01(std_logic_vector(DI));
end if;
end if;
end if;
wrpre <= TO_X01((not CE1) and (not WE));
DI <= D;
end process;
BUFS : process(CE1,WE,DINT,OE)
variable DRIVEB : std_logic;
begin
DRIVEB := TO_X01((not CE1) and (not OE) and WE);
case DRIVEB is
when '1' => D <= DINT after tacc * 1 ns;
when '0' => D <= "ZZZZZZZZ" after 8 ns;
when others => D <= "XXXXXXXX";
end case;
end process;
end sim;
-- pragma translate_on
|
Subsets and Splits
No community queries yet
The top public SQL queries from the community will appear here once available.