repo_name
stringlengths 6
79
| path
stringlengths 6
236
| copies
int64 1
472
| size
int64 137
1.04M
| content
stringlengths 137
1.04M
| license
stringclasses 15
values | hash
stringlengths 32
32
| alpha_frac
float64 0.25
0.96
| ratio
float64 1.51
17.5
| autogenerated
bool 1
class | config_or_test
bool 2
classes | has_no_keywords
bool 1
class | has_few_assignments
bool 1
class |
---|---|---|---|---|---|---|---|---|---|---|---|---|
ILoveSpeccy/Aeon-Lite | cores/alf/src/ram/ram.vhd | 1 | 5,697 | --------------------------------------------------------------------------------
-- This file is owned and controlled by Xilinx and must be used solely --
-- for design, simulation, implementation and creation of design files --
-- limited to Xilinx devices or technologies. Use with non-Xilinx --
-- devices or technologies is expressly prohibited and immediately --
-- terminates your license. --
-- --
-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY --
-- FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY --
-- PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE --
-- IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS --
-- MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY --
-- CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY --
-- RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY --
-- DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE --
-- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR --
-- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF --
-- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A --
-- PARTICULAR PURPOSE. --
-- --
-- Xilinx products are not intended for use in life support appliances, --
-- devices, or systems. Use in such applications are expressly --
-- prohibited. --
-- --
-- (c) Copyright 1995-2015 Xilinx, Inc. --
-- All rights reserved. --
--------------------------------------------------------------------------------
--------------------------------------------------------------------------------
-- You must compile the wrapper file ram.vhd when simulating
-- the core, ram. When compiling the wrapper file, be sure to
-- reference the XilinxCoreLib VHDL simulation library. For detailed
-- instructions, please refer to the "CORE Generator Help".
-- The synthesis directives "translate_off/translate_on" specified
-- below are supported by Xilinx, Mentor Graphics and Synplicity
-- synthesis tools. Ensure they are correct for your synthesis tool(s).
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- synthesis translate_off
LIBRARY XilinxCoreLib;
-- synthesis translate_on
ENTITY ram IS
PORT (
clka : IN STD_LOGIC;
wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addra : IN STD_LOGIC_VECTOR(13 DOWNTO 0);
dina : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
douta : OUT STD_LOGIC_VECTOR(7 DOWNTO 0)
);
END ram;
ARCHITECTURE ram_a OF ram IS
-- synthesis translate_off
COMPONENT wrapped_ram
PORT (
clka : IN STD_LOGIC;
wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
addra : IN STD_LOGIC_VECTOR(13 DOWNTO 0);
dina : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
douta : OUT STD_LOGIC_VECTOR(7 DOWNTO 0)
);
END COMPONENT;
-- Configuration specification
FOR ALL : wrapped_ram USE ENTITY XilinxCoreLib.blk_mem_gen_v7_3(behavioral)
GENERIC MAP (
c_addra_width => 14,
c_addrb_width => 14,
c_algorithm => 1,
c_axi_id_width => 4,
c_axi_slave_type => 0,
c_axi_type => 1,
c_byte_size => 9,
c_common_clk => 0,
c_default_data => "0",
c_disable_warn_bhv_coll => 0,
c_disable_warn_bhv_range => 0,
c_enable_32bit_address => 0,
c_family => "spartan6",
c_has_axi_id => 0,
c_has_ena => 0,
c_has_enb => 0,
c_has_injecterr => 0,
c_has_mem_output_regs_a => 0,
c_has_mem_output_regs_b => 0,
c_has_mux_output_regs_a => 0,
c_has_mux_output_regs_b => 0,
c_has_regcea => 0,
c_has_regceb => 0,
c_has_rsta => 0,
c_has_rstb => 0,
c_has_softecc_input_regs_a => 0,
c_has_softecc_output_regs_b => 0,
c_init_file => "BlankString",
c_init_file_name => "no_coe_file_loaded",
c_inita_val => "0",
c_initb_val => "0",
c_interface_type => 0,
c_load_init_file => 0,
c_mem_type => 0,
c_mux_pipeline_stages => 0,
c_prim_type => 1,
c_read_depth_a => 16384,
c_read_depth_b => 16384,
c_read_width_a => 8,
c_read_width_b => 8,
c_rst_priority_a => "CE",
c_rst_priority_b => "CE",
c_rst_type => "SYNC",
c_rstram_a => 0,
c_rstram_b => 0,
c_sim_collision_check => "ALL",
c_use_bram_block => 0,
c_use_byte_wea => 0,
c_use_byte_web => 0,
c_use_default_data => 0,
c_use_ecc => 0,
c_use_softecc => 0,
c_wea_width => 1,
c_web_width => 1,
c_write_depth_a => 16384,
c_write_depth_b => 16384,
c_write_mode_a => "WRITE_FIRST",
c_write_mode_b => "WRITE_FIRST",
c_write_width_a => 8,
c_write_width_b => 8,
c_xdevicefamily => "spartan6"
);
-- synthesis translate_on
BEGIN
-- synthesis translate_off
U0 : wrapped_ram
PORT MAP (
clka => clka,
wea => wea,
addra => addra,
dina => dina,
douta => douta
);
-- synthesis translate_on
END ram_a;
| gpl-3.0 | a2f793b948a5b7d6e1858e62437a134d | 0.51571 | 3.931677 | false | false | false | false |
VHDLTool/VHDL_Handbook_STD | Extras/VHDL/STD_05900_bad.vhd | 1 | 3,394 | -------------------------------------------------------------------------------------------------
-- Company : CNES
-- Author : Mickael Carl (CNES)
-- Copyright : Copyright (c) CNES.
-- Licensing : GNU GPLv3
-------------------------------------------------------------------------------------------------
-- Version : V1
-- Version history :
-- V1 : 2015-04-10 : Mickael Carl (CNES): Creation
-------------------------------------------------------------------------------------------------
-- File name : STD_05900_bad.vhd
-- File Creation date : 2015-04-10
-- Project name : VHDL Handbook CNES Edition
-------------------------------------------------------------------------------------------------
-- Softwares : Microsoft Windows (Windows 7) - Editor (Eclipse + VEditor)
-------------------------------------------------------------------------------------------------
-- Description : Handbook example: Range for integers: bad example
--
-- Limitations : This file is an example of the VHDL handbook made by CNES. It is a stub aimed at
-- demonstrating good practices in VHDL and as such, its design is minimalistic.
-- It is provided as is, without any warranty.
-- This example is compliant with the Handbook version 1.
--
-------------------------------------------------------------------------------------------------
-- Naming conventions:
--
-- i_Port: Input entity port
-- o_Port: Output entity port
-- b_Port: Bidirectional entity port
-- g_My_Generic: Generic entity port
--
-- c_My_Constant: Constant definition
-- t_My_Type: Custom type definition
--
-- My_Signal_n: Active low signal
-- v_My_Variable: Variable
-- sm_My_Signal: FSM signal
-- pkg_Param: Element Param coming from a package
--
-- My_Signal_re: Rising edge detection of My_Signal
-- My_Signal_fe: Falling edge detection of My_Signal
-- My_Signal_rX: X times registered My_Signal signal
--
-- P_Process_Name: Process
--
-------------------------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity STD_05900_bad is
port (
i_Clock : in std_logic; -- Main clock signal
i_Reset_n : in std_logic; -- Main reset signal
i_Enable : in std_logic; -- Enables the counter
i_Length : in std_logic_vector(7 downto 0); -- Unsigned Value for Counter Period
o_Count : out std_logic_vector(7 downto 0) -- Counter (unsigned value)
);
end STD_05900_bad;
architecture Behavioral of STD_05900_bad is
signal Count : integer; -- Counter output signal
signal Count_Length : integer; -- Length input signal
begin
Count_Length <= to_integer(unsigned(i_Length));
-- Will count undefinitely from 0 to i_Length while i_Enable is asserted
P_Count:process(i_Reset_n, i_Clock)
begin
if (i_Reset_n='0') then
Count <= 0;
elsif (rising_edge(i_Clock)) then
if (Count>=Count_Length) then -- Counter restarts from 0
Count <= 0;
elsif (i_Enable='1') then -- Increment counter value
Count <= Count + 1;
end if;
end if;
end process;
o_Count <= std_logic_vector(to_unsigned(Count, o_Count'length));
end Behavioral; | gpl-3.0 | 07e9efa11aef0598c5c9f75923ad2707 | 0.500589 | 4.561828 | false | false | false | false |
benjmarshall/hls_scratchpad | hls_cmd_line_testing/hls_gui_proj/hls_sin_proj/solution1/.autopilot/db/ip_tmp/prjsrcs/sources_1/ip/sin_taylor_series_ap_sitodp_4_no_dsp_32/hdl/xbip_dsp48_addsub_v3_0_vh_rfs.vhd | 20 | 94,635 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2015"
`protect key_keyowner = "Cadence Design Systems.", key_keyname = "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
HCSQmAapELPf1sBJFgFNhgvq73K3W3UGtfFvL7obu/9NizRz26mkfd9wNe21bmirivGTwKeZvyMe
zWixxZrSiw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname = "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Tk1PatICqGOpQtQ8oJOeHvG+wSfV6MFfzUR+1NxNiA3D1oXGbbc3gTGsYeri9x35CpLHrY3uJIMS
sskF5MZiJ7RqK1c31dmN3ubJJ35zyIvetaYotC1izf5s6ofYhL5l9laNqVTgpIBd3otGkPj7WK42
86gYynjSjGGc+HBZhcI=
`protect key_keyowner = "Synopsys", key_keyname = "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
cfZeSAapGTxUQEvxn2/r1T9bFdYrx2rPwOioTJgVQ4OPGb3rFvHX8Vp6MPky6sR+Tep2gIB6VvvP
GJ5ngtwI0kzcD8i/Z7LqBs3I0qgI2LxV9deOeTUvqf7Xj+AX3Me28gLMVyg5BeW6O/GYGZS6IF77
zIAQ05cyjTCdzU0eisQ=
`protect key_keyowner = "Aldec", key_keyname = "ALDEC15_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
hByo2GW7W1vrbUqX0oTwbTccL13floF1xp6jmWwfhWqfIXbs0v8cD3nYTIv0ZYmWoiTKFmNd2sTo
hSJnPp7TVyR1qJV4cGM5eHyJP0Oa0E9FIgOeJbf6amWtoLToYMeyuyPFP2PGgWxiTnTHvCRNxcee
r2qILz+Dre3Cv9w+px2Ly7XdRgYJ3RzxQTc6eb9jPdogRLqbWIApE3aukiI+xrAOOeOWDGbHkIGi
PEbEc9hjcMTJGsiBrr+650bIjmaHov/vU5mT7BxlWt0FfFp2aUWxkbKxh2AZTOD4yzu/CnaGjY+s
amPG0D13N2mmb+HM77btNBJwICYwyT0dib12KQ==
`protect key_keyowner = "ATRENTA", key_keyname = "ATR-SG-2015-RSA-3", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
XVZe1Iq1b4q0pJb7G1HiRPHToYeBo0kSF6/+epE/iNiHS4dXLRGITekimnvUz5jWC64VQVuw116b
q9OWkuBBNOouYj7wEAh1ufsn0z3zlkIMYNtGWNIdhLZl1p4j0bK/HvisFljWeFoyO80WNu3avx8g
sKSpXqMlJW2EaFNf4NWfq7c3muHUQgSgG3nk/5vO0zESapsSTn6WmN5PuuhhAVEm+UpYjnn1vaMi
FCcQWMu6hrIFKlyiWWFj7aF9efNcYZ1vxJhIL9jhKm6ritRw5ekFlEM5BpA3Fo6rdQML5P0ZB1qr
YAvM3UTGIvL4FyuNcsavn2EM7H5R9TD3b5jlGQ==
`protect key_keyowner = "Xilinx", key_keyname = "xilinx_2016_05", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
FKZGFNGDGgbVQmf36A3vvlEeMnTnMkVMn0xyNtGAe/C4BYiio0GGVng56CCV/s2VwIm86yFp5jG6
OufL8mHaK4lz/4lq9NjXNCGWuIw3b9dPcaLXw7iwVjULo0X5P00dXSClAogIRSQDMhMifhijdjna
Eb/DWk+oktBkXYiz4Up9+USfCbQs1TybctiLJiIo5ZY7v/TiA8q6C+PcOae1te0q3hmRyJ/Sfsaq
/hJvDUrmS+CocnCEQuXxO1mKRTFHdj/f+yRZWld2jM0s+jXW1EGw+L492pzX7W7U768NObMW4fwA
Uc/SSjGIhOpg9nMGC+XCI+RN5rkAFDfhx6kGMA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 67920)
`protect data_block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`protect end_protected
| mit | 40088d02eeac172dfac6b794a16aafbc | 0.952396 | 1.817492 | false | false | false | false |
APastorG/APG | permutation/permutation_core.vhd | 1 | 11,087 |
--pragma translate off
--vhdl_comp_off
/*
--vhdl_comp_on
library aldec;
use aldec.matlab.all;
--vhdl_comp_off
*/
--vhdl_comp_on
--pragma translate on
library ieee;
use ieee.std_logic_1164.all;
use ieee.math_real.all;
use std.textio.all;
library work;
use work.fixed_float_types.all;
use work.fixed_generic_pkg.all;
use work.common_pkg.all;
use work.common_data_types_pkg.all;
use work.permutation_pkg.all;
/*================================================================================================*/
/*================================================================================================*/
/*================================================================================================*/
entity permutation_core is
generic (
INPUT_INDEXES : integer_v;
OUTPUT_INDEXES : integer_v;
INPUT_HIGH : natural;
INPUT_LOW : natural
);
port(
Clk : in std_ulogic;
input : in sulv_v;
start : in std_ulogic;
output : out sulv_v(INPUT_HIGH downto INPUT_LOW);
finish : out std_ulogic
);
end entity;
/*================================================================================================*/
/*================================================================================================*/
/*================================================================================================*/
architecture permutation_core_1 of permutation_core is
constant INPUT_LENGTH : positive := input'length;
constant CHECKS : boolean := permutation_checks(INPUT_LENGTH,
INPUT_INDEXES,
OUTPUT_INDEXES);
constant DIMENSIONS : positive := OUTPUT_INDEXES'length;
constant PARALLEL_DIMENSIONS : natural := integer(log2(real(INPUT_LENGTH)));
/* file constants */
/***********************************************************************************************/
constant FILE_PATH_M : string := DATA_FILE_DIRECTORY_M & "\\" & generate_perm_file_name(PARALLEL_DIMENSIONS,
INPUT_INDEXES,
OUTPUT_INDEXES); --for Matlab
constant FILE_PATH : string := DATA_FILE_DIRECTORY & "\"/*"*/ & generate_perm_file_name(PARALLEL_DIMENSIONS,
INPUT_INDEXES,
OUTPUT_INDEXES);
file solution_input : text;
--pragma translate off
--vhdl_comp_off
/*
--vhdl_comp_on
----------------------------------------------------------------------------------------------------
function execute_Matlab_script
return integer is
variable in_indexes_id : integer := 0;
variable out_indexes_id : integer := 0;
variable size_i : TDims(1 to 1) := (1 => DIMENSIONS);
begin
ml_setup(desktop => false);
--send data to Matlab: path name, number of parallel dimensions, input and output indexes
put_variable("file_path", FILE_PATH_M);
put_variable("p", PARALLEL_DIMENSIONS);
out_indexes_id := create_array("Po", 1, size_i);
for i in 1 to DIMENSIONS loop
put_item (OUTPUT_INDEXES(i-1), out_indexes_id, (1 => i));
end loop;
hdl2ml(out_indexes_id);
in_indexes_id := create_array("Pi", 1, size_i);
for i in 1 to DIMENSIONS loop
put_item (INPUT_INDEXES(i-1), in_indexes_id, (1 => i));
end loop;
hdl2ml(in_indexes_id);
ml_start_dir(ACTIVE_HDL_PROJECT_PATH & "\src");
eval_string("optPerm_interface");
destroy_array(in_indexes_id);
destroy_array(out_indexes_id);
return 0;
end function;
constant DUMMY : integer := execute_Matlab_script;
----------------------------------------------------------------------------------------------------
--vhdl_comp_off
*/
--vhdl_comp_on
--pragma translate on
--reads the number of elemental bit-exchange opertations in the solution that is in the file.
--Produces an error if the file doesn't exist and we are in synthesis trying to read it
impure function read_elemental_ops
return natural is
variable currentline : line;
variable currentchar : character;
variable solution : natural := 0;
begin
--read solution file
file_open(solution_input, FILE_PATH, READ_MODE);
if endfile(solution_input) then
assert false
report "The values needed to generate optimum permutations have not yet been " &
"generated. It is first required to launch the simulation in order to achieve this"
severity error;
end if;
if not endfile(solution_input) then
readline(solution_input, currentline);
for i in 1 to currentline'length loop
read(currentline, currentchar);
solution := 10*solution + (character'pos(currentchar)-character'pos('0'));
end loop;
end if;
file_close(solution_input);
return solution;
end function;
constant ELEMENTAL_OPS : natural := read_elemental_ops;
--reads the solution vertexes and returns a static structure with the data
impure function read_optimal_perm
return integer_vv is
variable result : integer_vv(1 to ELEMENTAL_OPS)(1 to 2);
variable currentline : line;
variable currentchar : character;
variable aux : natural := 0;
begin
file_open(solution_input, FILE_PATH, READ_MODE);
if not endfile(solution_input) then
readline(solution_input, currentline);--discard first line
if not endfile(solution_input) then
for i in 1 to ELEMENTAL_OPS loop
readline(solution_input, currentline);
for j in 1 to currentline'length loop
read(currentline, currentchar);
if currentchar = ' ' then
result(i)(1) := aux;
aux := 0;
else
aux := 10*aux + (character'pos(currentchar)-character'pos('0'));
end if;
end loop;
--add the last read member
result(i)(2) := aux;
aux := 0;
end loop;
end if;
end if;
file_close(solution_input);
return result;
end function;
constant OPTIMAL_PERM : integer_vv(1 to ELEMENTAL_OPS)(1 to 2) := read_optimal_perm;
/* signals */
/***********************************************************************************************/
signal inter : sulv_vv(0 to ELEMENTAL_OPS)(INPUT_LENGTH-1 downto 0)(input'element'length-1 downto 0);
signal start_delayed : std_ulogic_vector(0 to ELEMENTAL_OPS);
/*================================================================================================*/
/*================================================================================================*/
begin
check_if_permutations_are_needed:
if ELEMENTAL_OPS > 0 generate
begin
--control part
start_delayed(0) <= start;
finish <= start_delayed(ELEMENTAL_OPS);
--signal part
inter(0) <= input;
output <= inter(ELEMENTAL_OPS);
generate_elemental_operations:
for i in 1 to ELEMENTAL_OPS generate
begin
parallel_parallel:
if is_pp_perm(OPTIMAL_PERM(i), PARALLEL_DIMENSIONS) generate
begin
perm_pp:
entity work.perm_pp
generic map(
indexes => OPTIMAL_PERM(i)
)
port map(
input => inter(i-1),
start => start_delayed(i-1),
output => inter(i),
finish => start_delayed(i)
);
end;
end generate;
serial_parallel:
if is_sp_perm(OPTIMAL_PERM(i), PARALLEL_DIMENSIONS) generate
constant aux_left : natural := contiguous_ps_latency(OPTIMAL_PERM,
PARALLEL_DIMENSIONS,
i,
left => true);
constant aux_right : natural := contiguous_ps_latency(OPTIMAL_PERM,
PARALLEL_DIMENSIONS,
i,
left => false);
begin
perm_sp:
entity work.perm_sp
generic map(
dimensions => DIMENSIONS,
p_dimensions => PARALLEL_DIMENSIONS,
serial_dim => maximum(OPTIMAL_PERM(i)),
parallel_dim => minimum(OPTIMAL_PERM(i)),
left_ps_latency => aux_left,
right_ps_latency => aux_right
)
port map(
clk => clk,
input => inter(i-1),
start => start_delayed(i-1),
output => inter(i),
finish => start_delayed(i)
);
end;
end generate;
serial_serial:
if is_ss_perm(OPTIMAL_PERM(i), PARALLEL_DIMENSIONS) generate
begin
perm_ss:
entity work.perm_ss
generic map(
indexes => OPTIMAL_PERM(i),
dimensions => DIMENSIONS
)
port map(
clk => clk,
input => inter(i-1),
start => start_delayed(i-1),
output => inter(i),
finish => start_delayed(i)
);
end;
end generate;
end;
end generate;
end;
else generate
begin
output <= input;
finish <= start;
end;
end generate;
end architecture;
| mit | 37c4ea6f9e9f395180cd1a04db24c34f | 0.419861 | 5.227251 | false | false | false | false |
ILoveSpeccy/Aeon-Lite | cores/lvov-pk02-mips/src/video/video.vhd | 1 | 6,061 | library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
entity video is
Port (
CLK : in std_logic; -- Pixel clock 65MHz
RESET : in std_logic; -- Reset (active low)
VRAM_A : out std_logic_vector(13 downto 0);
VRAM_D : in std_logic_vector(7 downto 0);
COLORS : in std_logic_vector(6 downto 0);
R : out std_logic_vector(3 downto 0); -- Red
G : out std_logic_vector(3 downto 0); -- Green
B : out std_logic_vector(3 downto 0); -- Blue
HSYNC : out std_logic; -- Hor. sync
VSYNC : out std_logic; -- Ver. sync
HI_RES : in std_logic; -- PK-02 512 x 256 mode
BLANK_SCR : in std_logic -- PK-02 Blank Screen
);
end video;
architecture BEHAVIORAL of video is
-- VGA timing constants (XGA - 1024x768@60) (512x768@60)
-- HOR
constant HSIZE : INTEGER := 512; -- Visible area
constant HFP : INTEGER := 12; -- Front porch
constant HS : INTEGER := 68; -- HSync pulse
constant HB : INTEGER := 80; -- Back porch
constant HOFFSET : INTEGER := 0; -- HSync offset
-- VER
constant VSIZE : INTEGER := 768; -- Visible area
constant VFP : INTEGER := 3; -- Front porch
constant VS : INTEGER := 6; -- VSync pulse
constant VB : INTEGER := 29; -- Back porch
constant VOFFSET : INTEGER := 0; -- VSync offset
------------------------------------------------------------
signal H_COUNTER : UNSIGNED(9 downto 0); -- Horizontal Counter
signal V_COUNTER : UNSIGNED(9 downto 0); -- Vertical Counter
signal THREE_ROW_CNT : UNSIGNED(1 downto 0); -- 3 Row Counter
signal ROW_COUNTER : UNSIGNED(7 downto 0); -- Korvet Row Counter
signal PAPER : STD_LOGIC; -- Paper zone
signal PAPER_L : STD_LOGIC; -- Paper zone
signal COLOR_R : STD_LOGIC;
signal COLOR_G : STD_LOGIC;
signal COLOR_B : STD_LOGIC;
signal PIX0 : STD_LOGIC_VECTOR(3 downto 0);
signal PIX1 : STD_LOGIC_VECTOR(3 downto 0);
signal PIX : STD_LOGIC_VECTOR(7 downto 0);
signal COLOR : STD_LOGIC_VECTOR(1 downto 0);
begin
u_COLOR_MUX : entity work.clr_mux
port map(
color => COLOR,
portb => COLORS,
out_r => COLOR_R,
out_g => COLOR_G,
out_b => COLOR_B );
COLOR <= "00" when BLANK_SCR = '1' else
PIX1(3 - to_integer(H_COUNTER(2 downto 1))) & PIX0(3 - to_integer(H_COUNTER(2 downto 1))) when HI_RES = '0' else
PIX (7 - to_integer(H_COUNTER(2 downto 0))) & PIX (7 - to_integer(H_COUNTER(2 downto 0)));
process (CLK) -- H/V Counters
begin
if rising_edge(CLK) then
if RESET = '0' then
H_COUNTER <= (others=>'0');
V_COUNTER <= (others=>'0');
else
H_COUNTER <= H_COUNTER + 1;
if H_COUNTER = (HSIZE + HFP + HS + HB - 1) then
H_COUNTER <= (others=>'0');
V_COUNTER <= V_COUNTER + 1;
if V_COUNTER = (VSIZE + VFP + VS + VB - 1) then
V_COUNTER <= (others=>'0');
end if;
end if;
end if;
end if;
end process;
process (CLK) -- H/V Counters
begin
if rising_edge(CLK) then
if RESET = '0' then
THREE_ROW_CNT <= (others=>'0');
ROW_COUNTER <= (others=>'0');
else
if H_COUNTER = 544 then
if V_COUNTER < 768 then
THREE_ROW_CNT <= THREE_ROW_CNT + 1;
if THREE_ROW_CNT = 2 then
THREE_ROW_CNT <= (others=>'0');
ROW_COUNTER <= ROW_COUNTER + 1;
end if;
else
ROW_COUNTER <= (others=>'0');
THREE_ROW_CNT <= (others=>'0');
end if;
end if;
end if;
end if;
end process;
process (CLK)
begin
if rising_edge(CLK) then
HSYNC <= '1';
VSYNC <= '1';
PAPER <= '0';
if H_COUNTER >= (HSIZE + HOFFSET + HFP) and H_COUNTER < (HSIZE + HOFFSET + HFP + HS) then
HSYNC <= '0';
end if;
if V_COUNTER >= (VSIZE + VOFFSET + VFP) and V_COUNTER < (VSIZE + VOFFSET + VFP + VS) then
VSYNC <= '0';
end if;
if H_COUNTER < HSIZE and V_COUNTER < VSIZE then
PAPER <= '1';
end if;
end if;
end process;
process (CLK)
begin
if rising_edge(CLK) then
case H_COUNTER(2 downto 0) is
when "001" =>
VRAM_A <= std_logic_vector(ROW_COUNTER) & std_logic_vector(H_COUNTER(8 downto 3));
when "111" =>
PIX0 <= VRAM_D(3 downto 0);
PIX1 <= VRAM_D(7 downto 4);
PIX <= VRAM_D(7 downto 0);
PAPER_L <= PAPER;
when OTHERS =>
null;
end case;
end if;
end process;
process (CLK)
begin
if rising_edge(CLK) then
if PAPER_L = '1' then
if THREE_ROW_CNT = "01" then
R <= COLOR_R & COLOR_R & COLOR_R & COLOR_R;
G <= COLOR_G & COLOR_G & COLOR_G & COLOR_G;
B <= COLOR_B & COLOR_B & COLOR_B & COLOR_B;
else
R <= COLOR_R & "000";
G <= COLOR_G & "000";
B <= COLOR_B & "000";
end if;
else
R <= (others=>'0');
G <= (others=>'0');
B <= (others=>'0');
end if;
end if;
end process;
end BEHAVIORAL;
| gpl-3.0 | d0479db00b8a3a6fd400ff6fffc858e3 | 0.448606 | 3.840938 | false | false | false | false |
ILoveSpeccy/Aeon-Lite | cores/lvov-pk02-mips/src/host/plasma v3.0/plasma_if.vhd | 1 | 5,212 | ---------------------------------------------------------------------
-- TITLE: Plamsa Interface (clock divider and interface to FPGA board)
-- AUTHOR: Steve Rhoads ([email protected])
-- DATE CREATED: 6/6/02
-- FILENAME: plasma_if.vhd
-- PROJECT: Plasma CPU core
-- COPYRIGHT: Software placed into the public domain by the author.
-- Software 'as is' without warranty. Author liable for nothing.
-- DESCRIPTION:
-- This entity divides the clock by two and interfaces to the
-- Altera EP20K200EFC484-2X FPGA board.
-- Xilinx Spartan-3 XC3S200FT256-4 FPGA.
---------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
--use work.mlite_pack.all;
entity plasma_if is
port(clk_in : in std_logic;
reset : in std_logic;
uart_read : in std_logic;
uart_write : out std_logic;
ram_address : out std_logic_vector(31 downto 2);
ram_data : inout std_logic_vector(31 downto 0);
ram_ce1_n : out std_logic;
ram_ub1_n : out std_logic;
ram_lb1_n : out std_logic;
ram_ce2_n : out std_logic;
ram_ub2_n : out std_logic;
ram_lb2_n : out std_logic;
ram_we_n : out std_logic;
ram_oe_n : out std_logic;
gpio0_out : out std_logic_vector(31 downto 0);
gpioA_in : in std_logic_vector(31 downto 0));
end; --entity plasma_if
architecture logic of plasma_if is
component plasma
generic(memory_type : string := "XILINX_X16"; --"DUAL_PORT_" "ALTERA_LPM";
log_file : string := "UNUSED");
port(clk : in std_logic;
reset : in std_logic;
uart_write : out std_logic;
uart_read : in std_logic;
address : out std_logic_vector(31 downto 2);
data_write : out std_logic_vector(31 downto 0);
data_read : in std_logic_vector(31 downto 0);
write_byte_enable : out std_logic_vector(3 downto 0);
mem_pause_in : in std_logic;
gpio0_out : out std_logic_vector(31 downto 0);
gpioA_in : in std_logic_vector(31 downto 0));
end component; --plasma
signal clk_reg : std_logic;
signal we_n_next : std_logic;
signal we_n_reg : std_logic;
signal mem_address : std_logic_vector(31 downto 2);
signal data_write : std_logic_vector(31 downto 0);
signal data_reg : std_logic_vector(31 downto 0);
signal write_byte_enable : std_logic_vector(3 downto 0);
signal mem_pause_in : std_logic;
begin --architecture
--Divide 50 MHz clock by two
clk_div: process(reset, clk_in, clk_reg, we_n_next)
begin
if reset = '1' then
clk_reg <= '0';
elsif rising_edge(clk_in) then
clk_reg <= not clk_reg;
end if;
if reset = '1' then
we_n_reg <= '1';
data_reg <= (others => '0');
elsif falling_edge(clk_in) then
we_n_reg <= we_n_next or not clk_reg;
data_reg <= ram_data;
end if;
end process; --clk_div
mem_pause_in <= '0';
ram_address <= mem_address(31 downto 2);
ram_we_n <= we_n_reg;
--For Xilinx Spartan-3 Starter Kit
ram_control:
process(clk_reg, mem_address, write_byte_enable, data_write)
begin
if mem_address(30 downto 28) = "001" then --RAM
ram_ce1_n <= '0';
ram_ce2_n <= '0';
if write_byte_enable = "0000" then --read
ram_data <= (others => 'Z');
ram_ub1_n <= '0';
ram_lb1_n <= '0';
ram_ub2_n <= '0';
ram_lb2_n <= '0';
we_n_next <= '1';
ram_oe_n <= '0';
else --write
if clk_reg = '1' then
ram_data <= (others => 'Z');
else
ram_data <= data_write;
end if;
ram_ub1_n <= not write_byte_enable(3);
ram_lb1_n <= not write_byte_enable(2);
ram_ub2_n <= not write_byte_enable(1);
ram_lb2_n <= not write_byte_enable(0);
we_n_next <= '0';
ram_oe_n <= '1';
end if;
else
ram_data <= (others => 'Z');
ram_ce1_n <= '1';
ram_ub1_n <= '1';
ram_lb1_n <= '1';
ram_ce2_n <= '1';
ram_ub2_n <= '1';
ram_lb2_n <= '1';
we_n_next <= '1';
ram_oe_n <= '1';
end if;
end process; --ram_control
u1_plama: plasma
generic map (memory_type => "XILINX_16X",
log_file => "UNUSED")
PORT MAP (
clk => clk_reg,
reset => reset,
uart_write => uart_write,
uart_read => uart_read,
address => mem_address,
data_write => data_write,
data_read => data_reg,
write_byte_enable => write_byte_enable,
mem_pause_in => mem_pause_in,
gpio0_out => gpio0_out,
gpioA_in => gpioA_in);
end; --architecture logic
| gpl-3.0 | dbe1dfd9fadbe60a320461124ae00656 | 0.491558 | 3.476985 | false | false | false | false |
223323/lab2 | HDL/source/rtl/vhdl/top.vhd | 1 | 13,333 | -------------------------------------------------------------------------------
-- Department of Computer Engineering and Communications
-- Author: LPRS2 <[email protected]>
--
-- Module Name: top
--
-- Description:
--
-- Simple test for VGA control
--
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity top is
generic (
RES_TYPE : natural := 1;
TEXT_MEM_DATA_WIDTH : natural := 6;
GRAPH_MEM_DATA_WIDTH : natural := 32
);
port (
clk_i : in std_logic;
reset_n_i : in std_logic;
-- vga
vga_hsync_o : out std_logic;
vga_vsync_o : out std_logic;
blank_o : out std_logic;
pix_clock_o : out std_logic;
psave_o : out std_logic;
sync_o : out std_logic;
red_o : out std_logic_vector(7 downto 0);
green_o : out std_logic_vector(7 downto 0);
blue_o : out std_logic_vector(7 downto 0);
-- direct_mode i display_mode za prekidace
direct_mode_i : in std_logic;
display_mode_i : in std_logic_vector(1 downto 0)
);
end top;
architecture rtl of top is
constant RES_NUM : natural := 6;
type t_param_array is array (0 to RES_NUM-1) of natural;
constant H_RES_ARRAY : t_param_array := ( 0 => 64, 1 => 640, 2 => 800, 3 => 1024, 4 => 1152, 5 => 1280, others => 0 );
constant V_RES_ARRAY : t_param_array := ( 0 => 48, 1 => 480, 2 => 600, 3 => 768, 4 => 864, 5 => 1024, others => 0 );
constant MEM_ADDR_WIDTH_ARRAY : t_param_array := ( 0 => 12, 1 => 14, 2 => 13, 3 => 14, 4 => 14, 5 => 15, others => 0 );
constant MEM_SIZE_ARRAY : t_param_array := ( 0 => 48, 1 => 4800, 2 => 7500, 3 => 12576, 4 => 15552, 5 => 20480, others => 0 );
constant H_RES : natural := H_RES_ARRAY(RES_TYPE);
constant V_RES : natural := V_RES_ARRAY(RES_TYPE);
constant MEM_ADDR_WIDTH : natural := MEM_ADDR_WIDTH_ARRAY(RES_TYPE);
constant MEM_SIZE : natural := MEM_SIZE_ARRAY(RES_TYPE);
component vga_top is
generic (
H_RES : natural := 640;
V_RES : natural := 480;
MEM_ADDR_WIDTH : natural := 32;
GRAPH_MEM_ADDR_WIDTH : natural := 32;
TEXT_MEM_DATA_WIDTH : natural := 32;
GRAPH_MEM_DATA_WIDTH : natural := 32;
RES_TYPE : integer := 1;
MEM_SIZE : natural := 4800
);
port (
clk_i : in std_logic;
reset_n_i : in std_logic;
--
direct_mode_i : in std_logic; -- 0 - text and graphics interface mode, 1 - direct mode (direct force RGB component)
dir_red_i : in std_logic_vector(7 downto 0);
dir_green_i : in std_logic_vector(7 downto 0);
dir_blue_i : in std_logic_vector(7 downto 0);
dir_pixel_column_o : out std_logic_vector(10 downto 0);
dir_pixel_row_o : out std_logic_vector(10 downto 0);
-- mode interface
display_mode_i : in std_logic_vector(1 downto 0); -- 00 - text mode, 01 - graphics mode, 01 - text & graphics
-- text mode interface
text_addr_i : in std_logic_vector(MEM_ADDR_WIDTH-1 downto 0);
text_data_i : in std_logic_vector(TEXT_MEM_DATA_WIDTH-1 downto 0);
text_we_i : in std_logic;
-- graphics mode interface
graph_addr_i : in std_logic_vector(GRAPH_MEM_ADDR_WIDTH-1 downto 0);
graph_data_i : in std_logic_vector(GRAPH_MEM_DATA_WIDTH-1 downto 0);
graph_we_i : in std_logic;
--
font_size_i : in std_logic_vector(3 downto 0);
show_frame_i : in std_logic;
foreground_color_i : in std_logic_vector(23 downto 0);
background_color_i : in std_logic_vector(23 downto 0);
frame_color_i : in std_logic_vector(23 downto 0);
-- vga
vga_hsync_o : out std_logic;
vga_vsync_o : out std_logic;
blank_o : out std_logic;
pix_clock_o : out std_logic;
vga_rst_n_o : out std_logic;
psave_o : out std_logic;
sync_o : out std_logic;
red_o : out std_logic_vector(7 downto 0);
green_o : out std_logic_vector(7 downto 0);
blue_o : out std_logic_vector(7 downto 0)
);
end component;
component ODDR2
generic(
DDR_ALIGNMENT : string := "NONE";
INIT : bit := '0';
SRTYPE : string := "SYNC"
);
port(
Q : out std_ulogic;
C0 : in std_ulogic;
C1 : in std_ulogic;
CE : in std_ulogic := 'H';
D0 : in std_ulogic;
D1 : in std_ulogic;
R : in std_ulogic := 'L';
S : in std_ulogic := 'L'
);
end component;
component counter IS
GENERIC (
WIDTH : positive := 10
);
PORT (
clk_i : IN STD_LOGIC;
rst_i : IN STD_LOGIC;
cnt_rst_i : IN STD_LOGIC;
cnt_en_i : IN STD_LOGIC;
cnt_o : out std_logic_vector(WIDTH-1 downto 0)
);
END component;
constant update_period : std_logic_vector(31 downto 0) := conv_std_logic_vector(1, 32);
constant GRAPH_MEM_ADDR_WIDTH : natural := MEM_ADDR_WIDTH + 6;-- graphics addres is scales with minumum char size 8*8 log2(64) = 6
-- text
signal message_lenght : std_logic_vector(MEM_ADDR_WIDTH-1 downto 0);
signal graphics_lenght : std_logic_vector(GRAPH_MEM_ADDR_WIDTH-1 downto 0);
signal direct_mode : std_logic;
--
signal font_size : std_logic_vector(3 downto 0);
signal show_frame : std_logic;
signal display_mode : std_logic_vector(1 downto 0); -- 01 - text mode, 10 - graphics mode, 11 - text & graphics
signal foreground_color : std_logic_vector(23 downto 0);
signal background_color : std_logic_vector(23 downto 0);
signal frame_color : std_logic_vector(23 downto 0);
signal char_we : std_logic;
signal char_address : std_logic_vector(MEM_ADDR_WIDTH-1 downto 0);
signal char_value : std_logic_vector(5 downto 0);
signal pixel_address : std_logic_vector(GRAPH_MEM_ADDR_WIDTH-1 downto 0);
signal pixel_value : std_logic_vector(GRAPH_MEM_DATA_WIDTH-1 downto 0);
signal pixel_we : std_logic;
signal pix_clock_s : std_logic;
signal vga_rst_n_s : std_logic;
signal pix_clock_n : std_logic;
signal dir_red : std_logic_vector(7 downto 0);
signal dir_green : std_logic_vector(7 downto 0);
signal dir_blue : std_logic_vector(7 downto 0);
signal dir_pixel_column : std_logic_vector(10 downto 0);
signal dir_pixel_row : std_logic_vector(10 downto 0);
type color_array is array(0 to 7) of std_logic_vector(23 downto 0);
signal colors : color_array := (
x"ffffff", x"cccc00", x"00ccff", x"00cc00",
x"e600e6", x"ff0000", x"0000ff", x"000000" );
type char_array is array(0 to 6) of std_logic_vector(5 downto 0);
signal chars : char_array := ("000001", "000010", "000011", "000100", "000101", "000110", "000111");
signal cnt1 : std_logic_vector(MEM_ADDR_WIDTH-1 downto 0);
signal cnt2 : std_logic_vector(GRAPH_MEM_ADDR_WIDTH-1 downto 0);
signal cnt_en_s : std_logic;
signal cnt1_rst : std_logic;
signal cnt2_rst : std_logic;
signal in_rectangle : std_logic;
constant CNT2_MAX : integer := H_RES/GRAPH_MEM_DATA_WIDTH*V_RES;
signal cnt_clk : std_logic;
begin
-- calculate message lenght from font size
message_lenght <= conv_std_logic_vector(MEM_SIZE/64, MEM_ADDR_WIDTH)when (font_size = 3) else -- note: some resolution with font size (32, 64) give non integer message lenght (like 480x640 on 64 pixel font size) 480/64= 7.5
conv_std_logic_vector(MEM_SIZE/16, MEM_ADDR_WIDTH)when (font_size = 2) else
conv_std_logic_vector(MEM_SIZE/4 , MEM_ADDR_WIDTH)when (font_size = 1) else
conv_std_logic_vector(MEM_SIZE , MEM_ADDR_WIDTH);
graphics_lenght <= conv_std_logic_vector(MEM_SIZE*8*8, GRAPH_MEM_ADDR_WIDTH);
-- removed to inputs pin
-- direct_mode <= '1';
-- display_mode <= "10"; -- 01 - text mode, 10 - graphics mode, 11 - text & graphics
direct_mode <= direct_mode_i;
display_mode <= display_mode_i;
font_size <= x"1";
show_frame <= '1';
foreground_color <= x"FFFFFF";
background_color <= x"000000";
frame_color <= x"FF0000";
clk5m_inst : ODDR2
generic map(
DDR_ALIGNMENT => "NONE", -- Sets output alignment to "NONE","C0", "C1"
INIT => '0', -- Sets initial state of the Q output to '0' or '1'
SRTYPE => "SYNC" -- Specifies "SYNC" or "ASYNC" set/reset
)
port map (
Q => pix_clock_o, -- 1-bit output data
C0 => pix_clock_s, -- 1-bit clock input
C1 => pix_clock_n, -- 1-bit clock input
CE => '1', -- 1-bit clock enable input
D0 => '1', -- 1-bit data input (associated with C0)
D1 => '0', -- 1-bit data input (associated with C1)
R => '0', -- 1-bit reset input
S => '0' -- 1-bit set input
);
pix_clock_n <= not(pix_clock_s);
counter1 : counter
generic map(
WIDTH => MEM_ADDR_WIDTH
) port map (
clk_i => pix_clock_s,
rst_i => vga_rst_n_s,
cnt_rst_i => cnt1_rst,
cnt_en_i => cnt_en_s,
cnt_o => cnt1
);
counter2 : counter
generic map(
WIDTH => GRAPH_MEM_ADDR_WIDTH
) port map (
clk_i => pix_clock_s,
rst_i => vga_rst_n_s,
cnt_rst_i => cnt2_rst,
cnt_en_i => cnt_en_s,
cnt_o => cnt2
);
-- component instantiation
vga_top_i: vga_top
generic map(
RES_TYPE => RES_TYPE,
H_RES => H_RES,
V_RES => V_RES,
MEM_ADDR_WIDTH => MEM_ADDR_WIDTH,
GRAPH_MEM_ADDR_WIDTH => GRAPH_MEM_ADDR_WIDTH,
TEXT_MEM_DATA_WIDTH => TEXT_MEM_DATA_WIDTH,
GRAPH_MEM_DATA_WIDTH => GRAPH_MEM_DATA_WIDTH,
MEM_SIZE => MEM_SIZE
)
port map(
clk_i => clk_i,
reset_n_i => reset_n_i,
--
direct_mode_i => direct_mode,
dir_red_i => dir_red,
dir_green_i => dir_green,
dir_blue_i => dir_blue,
dir_pixel_column_o => dir_pixel_column,
dir_pixel_row_o => dir_pixel_row,
-- cfg
display_mode_i => display_mode, -- 01 - text mode, 10 - graphics mode, 11 - text & graphics
-- text mode interface
text_addr_i => char_address,
text_data_i => char_value,
text_we_i => char_we,
-- graphics mode interface
graph_addr_i => pixel_address,
graph_data_i => pixel_value,
graph_we_i => pixel_we,
-- cfg
font_size_i => font_size,
show_frame_i => show_frame,
foreground_color_i => foreground_color,
background_color_i => background_color,
frame_color_i => frame_color,
-- vga
vga_hsync_o => vga_hsync_o,
vga_vsync_o => vga_vsync_o,
blank_o => blank_o,
pix_clock_o => pix_clock_s,
vga_rst_n_o => vga_rst_n_s,
psave_o => psave_o,
sync_o => sync_o,
red_o => red_o,
green_o => green_o,
blue_o => blue_o
);
cnt1_rst <= '1';
-- cnt2_rst <= '1';
-- na osnovu signala iz vga_top modula dir_pixel_column i dir_pixel_row realizovati logiku koja genereise
--dir_red
--dir_green
--dir_blue
dir_red <= colors( conv_integer( dir_pixel_column(8 downto 6) ) )( 23 downto 16 );
dir_green <= colors( conv_integer( dir_pixel_column(8 downto 6) ) )( 15 downto 8 );
dir_blue <= colors( conv_integer( dir_pixel_column(8 downto 6) ) )( 7 downto 0 );
-- koristeci signale realizovati logiku koja pise po TXT_MEM
-- char_address
-- char_value
-- char_we
char_address <= cnt1 + 100;
char_value <= chars(conv_integer( cnt1 )) when cnt1 < chars'length else "100000";
char_we <= '1' when cnt1 < 500 else '0';
cnt_en_s <= '1' when direct_mode = '0' and display_mode /= "00" else '0';
-- koristeci signale realizovati logiku koja pise po GRAPH_MEM
-- pixel_address
-- pixel_value
-- pixel_we
cnt2_rst <= '0' when cnt2 >= CNT2_MAX-2 else '1';
in_rectangle <= '1' when dir_pixel_column > 4*H_RES/8 and dir_pixel_column < 6*H_RES/8 and
dir_pixel_row > 4*V_RES/8 and dir_pixel_row < 6*V_RES/8 else '0';
pixel_address <= cnt2 when cnt2 < CNT2_MAX else (others => '0');
pixel_value <= (others => '1') when in_rectangle = '1' else (others => '0');
pixel_we <= '1'; -- when in_rectangle = '1' and cnt2 < CNT2_MAX else '0';
end rtl;
| mit | 659ef18731bde0568834dc07f20b9454 | 0.533788 | 3.229111 | false | false | false | false |
APastorG/APG | butterfly/butterfly_s.vhd | 1 | 2,648 | /***************************************************************************************************
/
/ Author: Antonio Pastor González
/ ¯¯¯¯¯¯
/
/ Date:
/ ¯¯¯¯
/
/ Version:
/ ¯¯¯¯¯¯¯
/
/ Notes:
/ ¯¯¯¯¯
/ This design makes use of some features from VHDL-2008, all of which have been implemented by
/ Altera and Xilinx in their software.
/ A 3 space tab is used throughout the document
/
/
/ Description:
/ ¯¯¯¯¯¯¯¯¯¯¯
/ The input must have ranges of type (x to x+(2^n)-1)(high downto low)
/
**************************************************************************************************/
library ieee;
use ieee.numeric_std.all;
use ieee.std_logic_1164.all;
use ieee.math_real.all;
library work;
use work.fixed_float_types.all;
use work.fixed_generic_pkg.all;
use work.common_data_types_pkg.all;
use work.common_pkg.all;
/*================================================================================================*/
/*================================================================================================*/
/*================================================================================================*/
entity butterfly_s is
generic(
SPEED_opt : T_speed := t_exc; --exception: value not set
EXTEND_opt : boolean := true --default value
);
port(
clk : in std_ulogic;
input : in u_sfixed_v;
output : out u_sfixed_v
);
end entity;
/*================================================================================================*/
/*================================================================================================*/
/*================================================================================================*/
architecture butterfly_s_1 of butterfly_s is
--signal inter : u_sfixed_v(input'range)(input'element'left+1 downto input'element'right);
constant LENGTH : positive := input'length;
/*================================================================================================*/
/*================================================================================================*/
begin
butterfly_core_s_1:
entity work.butterfly_core_s
generic map(
SPEED_opt => SPEED_opt,
EXTEND_opt => EXTEND_opt,
RANGE1_LEFT => input'left,
RANGE1_RIGHT => input'right,
RANGE2_LEFT => input'element'left,
RANGE2_RIGHT => input'element'right
)
port map(
clk => clk,
input => input,
output => output
);
end architecture; | mit | a7b3900041c6c3ca55b919194cb2de19 | 0.362663 | 4.79633 | false | false | false | false |
APastorG/APG | complex_const_multiplier/complex_const_mult_s.vhd | 1 | 3,326 | /***************************************************************************************************
/
/ Author: Antonio Pastor González
/ ¯¯¯¯¯¯
/
/ Date:
/ ¯¯¯¯
/
/ Version:
/ ¯¯¯¯¯¯¯
/
/ Notes:
/ ¯¯¯¯¯
/ This design makes use of some features from VHDL-2008, all of which have been implemented by
/ Altera and Xilinx in their software.
/ A 3 space tab is used throughout the document
/
/
/ Description:
/ ¯¯¯¯¯¯¯¯¯¯¯
/
/
**************************************************************************************************/
library ieee;
use ieee.numeric_std.all;
use ieee.std_logic_1164.all;
use ieee.math_real.all;
library work;
use work.fixed_generic_pkg.all;
use work.fixed_float_types.all;
use work.common_data_types_pkg.all;
use work.common_pkg.all;
use work.complex_const_mult_pkg.all;
use work.real_const_mult_pkg.all;
/*================================================================================================*/
/*================================================================================================*/
/*================================================================================================*/
entity complex_const_mult_s is
generic(
SPEED_opt : T_speed := t_exc;
ROUND_STYLE_opt : T_round_style := fixed_truncate;
ROUND_TO_BIT_opt : integer_exc := integer'low;
MAX_ERROR_PCT_opt : real_exc := real'low;
MIN_OUTPUT_BIT : integer := integer'low;
MULTIPLICAND_REAL : real;
MULTIPLICAND_IMAG : real
);
port(
clk : in std_ulogic;
input_real : in u_sfixed;
input_imag : in u_sfixed;
valid_input : in std_ulogic;
output_real : out u_sfixed;
output_imag : out u_sfixed;
valid_output : out std_ulogic
);
end entity;
/*================================================================================================*/
/*================================================================================================*/
/*================================================================================================*/
architecture complex_const_mult_s_1 of complex_const_mult_s is
/*================================================================================================*/
/*================================================================================================*/
begin
complex_const_mult_core_s_1:
entity work.complex_const_mult_core_s
generic map(
SPEED_opt => SPEED_opt,
ROUND_STYLE_opt => ROUND_STYLE_opt,
ROUND_TO_BIT_opt => ROUND_TO_BIT_opt,
MAX_ERROR_PCT_opt => MAX_ERROR_PCT_opt,
MULTIPLICAND_REAL => MULTIPLICAND_REAL,
MULTIPLICAND_IMAG => MULTIPLICAND_IMAG,
INPUT_HIGH => input_real'high,
INPUT_LOW => input_real'low
)
port map(
clk => clk,
input_real => input_real,
input_imag => input_imag,
valid_input => valid_input,
output_real => output_real,
output_imag => output_imag,
valid_output => valid_output
);
end architecture; | mit | 18d3afaea47d569b8fafab02e2e450b1 | 0.38305 | 4.478912 | false | false | false | false |
ILoveSpeccy/Aeon-Lite | cores/korvet/src/korvet.vhd | 1 | 37,472 | library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
entity korvet is
Port (
CLK50 : in std_logic;
PS2_CLK : in std_logic;
PS2_DATA : in std_logic;
SOUND_L : out std_logic;
SOUND_R : out std_logic;
SRAM_A : out std_logic_vector(17 downto 0);
SRAM_D : inout std_logic_vector(15 downto 0);
SRAM_WE : out std_logic;
SRAM_OE : out std_logic;
SRAM_CS : out std_logic;
SRAM_LB : out std_logic;
SRAM_UB : out std_logic;
COMM_CSA : in std_logic;
COMM_CSD : in std_logic;
COMM_SCK : in std_logic;
COMM_MOSI : in std_logic;
COMM_MISO : out std_logic;
COMM_REQ : out std_logic;
VGA_R : out std_logic_vector(3 downto 0);
VGA_G : out std_logic_vector(3 downto 0);
VGA_B : out std_logic_vector(3 downto 0);
VGA_HSYNC : out std_logic;
VGA_VSYNC : out std_logic );
end korvet;
architecture RTL of korvet is
-- Verilog Modules
----------------------------------------------------------
component k580wm80a is
port(
clk : in std_logic;
ce : in std_logic;
reset : in std_logic;
intr : in std_logic;
idata : in std_logic_vector(7 downto 0);
addr : out std_logic_vector(15 downto 0);
sync : out std_logic;
rd : out std_logic;
wr : out std_logic;
inta : out std_logic;
odata : out std_logic_vector(7 downto 0) );
end component;
-- component cpu8080 is
-- port(
-- addr : out std_logic_vector(15 downto 0);
-- data : in std_logic_vector(7 downto 0);
-- datao : out std_logic_vector(7 downto 0);
-- readmem : out std_logic;
-- writemem : out std_logic;
-- readio : out std_logic;
-- writeio : out std_logic;
-- intr : in std_logic;
-- inta : out std_logic;
-- waitr : in std_logic;
-- reset : in std_logic;
-- cke : in std_logic;
-- clock : in std_logic );
-- end component;
component k580wi53 is
port(
clk : in std_logic;
c0 : in std_logic;
c1 : in std_logic;
c2 : in std_logic;
g0 : in std_logic;
g1 : in std_logic;
g2 : in std_logic;
out0 : out std_logic;
out1 : out std_logic;
out2 : out std_logic;
addr : in std_logic_vector(1 downto 0);
rd : in std_logic;
we_n : in std_logic;
idata : in std_logic_vector(7 downto 0);
odata : out std_logic_vector(7 downto 0) );
end component;
-- Memory Mapper Values -------------------------------------
constant M_RAM : std_logic_vector(2 downto 0) := "000";
constant M_ROM : std_logic_vector(2 downto 0) := "001";
constant M_KEYBOARD : std_logic_vector(2 downto 0) := "010";
constant M_PORTBASE : std_logic_vector(2 downto 0) := "011";
constant M_REGBASE : std_logic_vector(2 downto 0) := "100";
constant M_CGRAM : std_logic_vector(2 downto 0) := "101";
constant M_VRAM : std_logic_vector(2 downto 0) := "110";
-------------------------------------------------------------
signal CLK : std_logic;
signal RESET : std_logic := '1';
signal TICK : unsigned(3 downto 0);
signal TICK2_0 : unsigned(1 downto 0);
signal TICK2_1 : unsigned(4 downto 0);
signal CPU_PAUSE : std_logic;
signal CPU_RESET : std_logic;
signal CPU_CLK : std_logic;
signal CPU_INTA : std_logic;
signal CPU_INTR : std_logic;
signal CPU_RD : std_logic;
signal CPU_SYNC : std_logic;
signal CPU_WR : std_logic;
signal CPU_A : std_logic_vector(15 downto 0);
signal CPU_DI : std_logic_vector(7 downto 0);
signal CPU_DO : std_logic_vector(7 downto 0);
signal MAPPER_DO : std_logic_vector(2 downto 0);
signal KEYBOARD_DO : std_logic_vector(7 downto 0);
signal SYSREG : std_logic_vector(4 downto 0);
signal COLORREG : std_logic_vector(7 downto 0);
signal KB_SG_RESET : std_logic;
signal CHRAM_WR : std_logic;
signal CHRAM_DO : std_logic_vector(8 downto 0);
signal CHRAM_VA : std_logic_vector(9 downto 0);
signal CHRAM_VD : std_logic_vector(8 downto 0);
signal PPI1_WR : std_logic;
signal PPI1_DO : std_logic_vector(7 downto 0);
signal PPI1_PAI : std_logic_vector(7 downto 0);
signal PPI1_PAO : std_logic_vector(7 downto 0);
signal PPI1_PBI : std_logic_vector(7 downto 0);
signal PPI1_PBO : std_logic_vector(7 downto 0);
signal PPI1_PCI : std_logic_vector(7 downto 0);
signal PPI1_PCO : std_logic_vector(7 downto 0);
signal PPI2_WR : std_logic;
signal PPI2_DO : std_logic_vector(7 downto 0);
signal PPI2_PAI : std_logic_vector(7 downto 0);
signal PPI2_PAO : std_logic_vector(7 downto 0);
signal PPI2_PBI : std_logic_vector(7 downto 0);
signal PPI2_PBO : std_logic_vector(7 downto 0);
signal PPI2_PCI : std_logic_vector(7 downto 0);
signal PPI2_PCO : std_logic_vector(7 downto 0);
signal PPI3_WR : std_logic;
signal PPI3_DO : std_logic_vector(7 downto 0);
signal PPI3_PAI : std_logic_vector(7 downto 0);
signal PPI3_PAO : std_logic_vector(7 downto 0);
signal PPI3_PBI : std_logic_vector(7 downto 0);
signal PPI3_PBO : std_logic_vector(7 downto 0);
signal PPI3_PCI : std_logic_vector(7 downto 0);
signal PPI3_PCO : std_logic_vector(7 downto 0);
signal PIC_WR : std_logic;
signal PIC_DO : std_logic_vector(7 downto 0);
signal TIMER_RD : std_logic;
signal TIMER_WR : std_logic;
signal TIMER_DO : std_logic_vector(7 downto 0);
signal TIMER_C0 : std_logic;
signal TIMER_OUT0 : std_logic;
signal CDI : std_logic;
signal CDO : std_logic;
signal VBLANK : std_logic;
signal VBLANK_2 : std_logic;
signal VBLANK_TICK : unsigned(3 downto 0);
signal DRIVE : std_logic_vector(1 downto 0);
alias FLOPPY_SIDE : std_logic is PPI1_PBO(4);
alias DRV_SEL : std_logic_vector(3 downto 0) is PPI1_PBO(3 downto 0);
alias VRAM_PAGE : std_logic_vector(1 downto 0) is PPI1_PCO(7 downto 6);
alias INVON : std_logic is PPI1_PCO(5);
alias INVOFF : std_logic is PPI1_PCO(4);
alias WIDEFONT : std_logic is PPI1_PCO(3);
alias ALTFONT : std_logic is PPI1_PCO(2);
alias VIEW_PAGE : std_logic_vector(1 downto 0) is PPI1_PCO(1 downto 0);
alias TAPE_OUT0 : std_logic is PPI2_PCO(0);
alias TAPE_OUT1 : std_logic is PPI2_PCO(1);
alias SOUND_EN : std_logic is PPI2_PCO(3);
signal RAM_DO : std_logic_vector(7 downto 0);
signal ROM_DO : std_logic_vector(7 downto 0);
signal VRAM_DO : std_logic_vector(7 downto 0);
signal SRAM_DI : std_logic_vector(15 downto 0);
signal SRAM_DO : std_logic_vector(15 downto 0);
signal LUT_A : std_logic_vector(3 downto 0);
signal LUT_D : std_logic_vector(3 downto 0);
signal FONTROM_A : std_logic_vector(11 downto 0);
signal FONTROM_DO : std_logic_vector(7 downto 0);
signal CACHE_AI : std_logic_vector(5 downto 0);
signal CACHE_DI : std_logic_vector(31 downto 0);
signal CACHE_WE : std_logic;
signal CACHE_AO : std_logic_vector(5 downto 0);
signal CACHE_DO : std_logic_vector(31 downto 0);
signal CACHE_SWAP : std_logic;
signal CACHE_CNT : unsigned(5 downto 0);
signal CACHE_RD : std_logic;
signal PLANE0 : std_logic_vector(7 downto 0); -- PLANEs - temporary data from VRAM for write to cache and read/write VRAM
signal PLANE1 : std_logic_vector(7 downto 0);
signal PLANE2 : std_logic_vector(7 downto 0);
signal SCANLINE : std_logic_vector(7 downto 0);
signal SOUND : std_logic;
-- signal SOUND_L : std_logic_vector(15 downto 0);
-- signal SOUND_R : std_logic_vector(15 downto 0);
signal TAPE_IN : std_logic;
signal FLOPPY_DO : std_logic_vector(7 downto 0);
signal PAUSE_ONESHOT : std_logic;
signal COMM_ADDR_O : std_logic_vector(7 downto 0);
signal COMM_ADDR_I : std_logic_vector(7 downto 0);
signal COMM_ADDR_REQ : std_logic;
signal COMM_ADDR_ACK : std_logic;
signal COMM_DATA_O : std_logic_vector(7 downto 0);
signal COMM_DATA_I : std_logic_vector(7 downto 0);
signal COMM_DATA_REQ : std_logic;
signal COMM_DATA_ACK : std_logic;
type LUT_T is array (0 to 15) of std_logic_vector(3 downto 0);
signal LUT : LUT_T;
-- Memory Controller Statemachine
type STATE_TYPE is (ST_IDLE, ST_RAMREAD, ST_RAMWRITE1, ST_RAMWRITE2, ST_CACHEREAD1, ST_CACHEREAD2, ST_CACHEREAD3, ST_CACHEREAD4,
ST_VRAMREAD1, ST_VRAMREAD2, ST_VRAMREAD3, ST_VRAMREAD4, ST_VRAMREAD5,
ST_VRAMWRITE1, ST_VRAMWRITE2, ST_VRAMWRITE3, ST_VRAMWRITE4, ST_VRAMWRITE5, ST_VRAMWRITE6, ST_VRAMWRITE7, ST_VRAMWRITE8,
ST_FLOPPY1, ST_FLOPPY2, ST_FLOPPY3 );
signal STATE : STATE_TYPE := ST_IDLE;
signal NSTATE : STATE_TYPE := ST_IDLE;
begin
-- PLL Make 32.5MHz Design Clock from 50MHz Oscillator
----------------------------------------------------------
u_CLOCK : entity work.clock
port map(
CLK50 => CLK50,
CLK => CLK );
-- Memory Mapper
----------------------------------------------------------
u_MAPPER : entity work.mapper
port map(
CLKA => CLK,
ADDRA => SYSREG & CPU_A(15 downto 8),
DOUTA => MAPPER_DO );
-- Memory Mapper
----------------------------------------------------------
u_ROM : entity work.rom
port map(
CLKA => CLK,
ADDRA => CPU_A(14 downto 0),
DOUTA => ROM_DO );
-- i8080 CPU
----------------------------------------------------------
u_CPU : k580wm80a
port map(
clk => CLK,
ce => CPU_CLK,
reset => CPU_RESET,
intr => CPU_INTR,
idata => CPU_DI,
addr => CPU_A,
sync => CPU_SYNC,
rd => CPU_RD,
wr => CPU_WR,
inta => CPU_INTA,
odata => CPU_DO );
-- Character RAM
----------------------------------------------------------
u_CHRAM : entity work.chram
port map (
CLK => CLK,
CHRAM_A => CPU_A(9 downto 0),
CHRAM_WR => CHRAM_WR,
CHRAM_DI => CDI & CPU_DO,
CHRAM_DO => CHRAM_DO,
CHRAM_VA => CHRAM_VA,
CHRAM_VD => CHRAM_VD );
-- VGA Video Controller
----------------------------------------------------------
u_VIDEO : entity work.video
port map(
CLK => CLK,
RESET => RESET,
CACHE_SWAP => CACHE_SWAP,
CACHE_A => CACHE_AO,
CACHE_D => CACHE_DO,
CURRENT_LINE => SCANLINE,
LUT_A => LUT_A,
LUT_D => LUT_D,
VBLANK => VBLANK,
R => VGA_R,
G => VGA_G,
B => VGA_B,
HSYNC => VGA_HSYNC,
VSYNC => VGA_VSYNC );
-- Keyboard Controller
----------------------------------------------------------
u_KEYBOARD : entity work.keyboard
port map(
clk => CLK,
reset => RESET,
o_reset => KB_SG_RESET,
PS2_Clk => PS2_CLK,
PS2_Data => PS2_DATA,
Key_Addr => CPU_A(8 downto 0),
Key_Data => KEYBOARD_DO );
-- Font ROM
----------------------------------------------------------
u_FONTROM : ENTITY work.fontrom
PORT MAP(
ADDRA => FONTROM_A,
CLKA => CLK,
DOUTA => FONTROM_DO);
-- Video Scanline Cache
----------------------------------------------------------
u_CACHE : entity work.cache
port map (
CLK => CLK,
AI => CACHE_AI,
DI => CACHE_DI,
WE => CACHE_WE,
AO => CACHE_AO,
DO => CACHE_DO,
CACHE => CACHE_SWAP );
-- i8255 - PPI1 Controller
----------------------------------------------------------
u_PPI1 : entity work.i8255
port map(
CLK => CLK,
RESET => CPU_RESET,
A => CPU_A(1 downto 0),
DI => CPU_DO,
DO => PPI1_DO,
WR => PPI1_WR,
PAI => PPI1_PAI,
PAO => PPI1_PAO,
PBI => PPI1_PBI,
PBO => PPI1_PBO,
PCI => PPI1_PCI,
PCO => PPI1_PCO );
PPI1_PAI <= "1111" & CDI & '0' & VBLANK & not TAPE_IN;
PPI1_PBI <= "00000000";
PPI1_PCI <= "00000000";
-- i8255 - PPI2 Controller
----------------------------------------------------------
u_PPI2 : entity work.i8255
port map(
CLK => CLK,
RESET => CPU_RESET,
A => CPU_A(1 downto 0),
DI => CPU_DO,
DO => PPI2_DO,
WR => PPI2_WR,
PAI => PPI2_PAI,
PAO => PPI2_PAO,
PBI => PPI2_PBI,
PBO => PPI2_PBO,
PCI => PPI2_PCI,
PCO => PPI2_PCO );
PPI2_PAI <= "00000000";
PPI2_PBI <= "00000000";
PPI2_PCI <= "00000000";
-- i8255 - PPI3 Controller
----------------------------------------------------------
u_PPI3 : entity work.i8255
port map(
CLK => CLK,
RESET => CPU_RESET,
A => CPU_A(1 downto 0),
DI => CPU_DO,
DO => PPI3_DO,
WR => PPI3_WR,
PAI => PPI3_PAI,
PAO => PPI3_PAO,
PBI => PPI3_PBI,
PBO => PPI3_PBO,
PCI => PPI3_PCI,
PCO => PPI3_PCO );
PPI3_PAI <= "00000000";
PPI3_PBI <= "00000000";
PPI3_PCI <= "00000000";
-- i8259 - Programmable Interrupt Controller
----------------------------------------------------------
u_PIC : entity work.i8259
port map(
CLK => CLK,
RESET => CPU_RESET,
A0 => CPU_A(0),
WR => PIC_WR,
INTA => CPU_INTA,
INTR => CPU_INTR,
IRQ => "000" & (VBLANK and not PAUSE_ONESHOT) & "0000",
DI => CPU_DO,
DO => PIC_DO );
-- i8253 - Timer
----------------------------------------------------------
u_TIMER : k580wi53
port map (
clk => CLK,
c0 => TIMER_C0, -- 2MHz Clock for Sound Generation
c1 => '0', -- for RS232
c2 => '0', -- HBL 65.6 µS Period for Interrupt
g0 => '1',
g1 => '1',
g2 => '1',
out0 => TIMER_OUT0,
out1 => OPEN,
out2 => OPEN,
addr => CPU_A(1 downto 0),
rd => TIMER_RD,
we_n => not TIMER_WR,
idata => CPU_DO,
odata => TIMER_DO );
u_SPI : entity work.spi_comm
port map(
CLK => CLK,
RESET => RESET,
SPI_CS_A => COMM_CSA,
SPI_CS_D => COMM_CSD,
SPI_SCK => COMM_SCK,
SPI_DI => COMM_MOSI,
SPI_DO => COMM_MISO,
ADDR_O => COMM_ADDR_O,
ADDR_I => COMM_ADDR_I,
ADDR_REQ => COMM_ADDR_REQ,
ADDR_ACK => COMM_ADDR_ACK,
DATA_O => COMM_DATA_O,
DATA_I => COMM_DATA_I,
DATA_REQ => COMM_DATA_REQ,
DATA_ACK => COMM_DATA_ACK );
u_ONESHOT_RESET : entity work.oneshot
port map(
CLK => CLK,
RESET => RESET,
ONESHOT_IN => CPU_PAUSE,
ONESHOT_OUT => PAUSE_ONESHOT );
-- Select active Floppy Drive
----------------------------------------------------------
floppy_drive : process(DRV_SEL)
begin
case DRV_SEL is
when X"0" => DRIVE <= "00";
when X"1" => DRIVE <= "00";
when X"2" => DRIVE <= "01";
when X"3" => DRIVE <= "01";
when X"4" => DRIVE <= "10";
when X"5" => DRIVE <= "01";
when X"6" => DRIVE <= "10";
when X"7" => DRIVE <= "01";
when X"8" => DRIVE <= "11";
when X"9" => DRIVE <= "00";
when X"A" => DRIVE <= "01";
when X"B" => DRIVE <= "00";
when X"C" => DRIVE <= "00";
when X"D" => DRIVE <= "01";
when X"E" => DRIVE <= "00";
when X"F" => DRIVE <= "01";
when others => DRIVE <= "00";
end case;
end process;
-- Generate Global Reset and CPU Reset & Clock
----------------------------------------------------------
design_reset : process(CLK)
begin
if rising_edge(CLK) then
if KB_SG_RESET = '1' then
TICK <= "0000";
CPU_RESET <= '1';
CPU_CLK <= '0';
else
CPU_CLK <= '0';
if CPU_PAUSE = '0' then
TICK <= TICK + 1;
if TICK = 12 then
TICK <= "0000";
CPU_RESET <= '0';
RESET <= '0';
CPU_CLK <= '1';
end if;
end if;
end if;
end if;
end process;
-- Generate 2MHz Clock for Timer 0
----------------------------------------------------------
process(CLK)
begin
if rising_edge(CLK) then
if RESET = '1' then
TICK2_0 <= "00";
TICK2_1 <= "00000";
else
if TICK2_1 < 8 then
TIMER_C0 <= '0';
else
TIMER_C0 <= '1';
end if;
TICK2_1 <= TICK2_1 + 1;
if (TICK2_0 = "00" and TICK2_1 = 17) or TICK2_1 = 16 then
TICK2_0 <= TICK2_0 + 1;
TICK2_1 <= "00000";
end if;
end if;
end if;
end process;
-- Character Inversion Logic
----------------------------------------------------------
inversion_logic : process(CLK)
begin
if rising_edge(CLK) then
if INVON = '0' and INVOFF = '1' then
CDI <= '1';
elsif INVON = '1' and INVOFF = '0' then
CDI <= '0';
elsif INVON = '1' and INVOFF = '1' then
CDI <= CDO;
end if;
if INVON = '1' and INVOFF = '1' then
CDO <= CHRAM_DO(8);
end if;
end if;
end process;
-- CPU Write
----------------------------------------------------------
CHRAM_WR <= '1' when CPU_WR = '1' and MAPPER_DO = M_CGRAM else '0';
PPI1_WR <= '1' when CPU_WR = '1' and MAPPER_DO = M_PORTBASE and CPU_A(5 downto 3) = "111" else '0';
PPI2_WR <= '1' when CPU_WR = '1' and MAPPER_DO = M_PORTBASE and CPU_A(5 downto 3) = "110" else '0';
PPI3_WR <= '1' when CPU_WR = '1' and MAPPER_DO = M_PORTBASE and CPU_A(5 downto 3) = "001" else '0';
PIC_WR <= '1' when CPU_WR = '1' and MAPPER_DO = M_PORTBASE and CPU_A(5 downto 3) = "101" else '0';
TIMER_WR <= '1' when CPU_WR = '1' and MAPPER_DO = M_PORTBASE and CPU_A(5 downto 3) = "000" else '0';
-- ########################################### TEST #####################################################
-- TIMER_RD <= '1' when CPU_RD = '1' and MAPPER_DO = M_PORTBASE and CPU_A(5 downto 3) = "000" else '0';
process(CLK)
begin
if rising_edge(CLK) then
if RESET = '1' then
TIMER_RD <= '0';
else
TIMER_RD <= '0';
if TICK = 3 and CPU_RD = '1' and MAPPER_DO = M_PORTBASE and CPU_A(5 downto 3) = "000" then
TIMER_RD <= '1';
end if;
end if;
end if;
end process;
-- ########################################### END TEST ################################################
-- CPU Read
----------------------------------------------------------
CPU_DI <= PIC_DO when CPU_INTA = '1' or (CPU_RD = '1' and MAPPER_DO = M_PORTBASE and CPU_A(5 downto 3) = "101")
-- else FLASH_D when CPU_RD = '1' and MAPPER_DO = M_ROM
-- else RAM_DO when CPU_RD = '1' and (MAPPER_DO = M_RAM or MAPPER_DO = M_REGBASE)
else ROM_DO when CPU_RD = '1' and MAPPER_DO = M_ROM
else RAM_DO when CPU_RD = '1' and (MAPPER_DO = M_RAM or MAPPER_DO = M_REGBASE)
else PPI3_DO when CPU_RD = '1' and MAPPER_DO = M_PORTBASE and CPU_A(5 downto 3) = "001"
else PPI2_DO when CPU_RD = '1' and MAPPER_DO = M_PORTBASE and CPU_A(5 downto 3) = "110"
else PPI1_DO when CPU_RD = '1' and MAPPER_DO = M_PORTBASE and CPU_A(5 downto 3) = "111"
else TIMER_DO when CPU_RD = '1' and MAPPER_DO = M_PORTBASE and CPU_A(5 downto 3) = "000"
else FLOPPY_DO when CPU_RD = '1' and MAPPER_DO = M_PORTBASE and CPU_A(5 downto 3) = "011"
else CHRAM_DO(7 downto 0) when CPU_RD = '1' and MAPPER_DO = M_CGRAM
else VRAM_DO when CPU_RD = '1' and MAPPER_DO = M_VRAM
else KEYBOARD_DO when CPU_RD = '1' and MAPPER_DO = M_KEYBOARD
else "11111111";
-- SRAM Arbiter / Controller
----------------------------------------------------------
SRAM_DO <= SRAM_D;
SRAM_D <= SRAM_DI;
process(CLK)
begin
if rising_edge(CLK) then
if CPU_RESET = '1' then
STATE <= ST_IDLE;
SRAM_A <= (others => '0');
SRAM_DI <= (others => 'Z');
SRAM_CS <= '1';
SRAM_OE <= '1';
SRAM_WE <= '1';
SRAM_LB <= '1';
SRAM_UB <= '1';
CACHE_WE <= '0';
CACHE_CNT <= "111111";
SYSREG <= "00000";
COLORREG <= "00000000";
CACHE_RD <= '0';
CPU_PAUSE <= '0';
COMM_REQ <= '0';
FLOPPY_DO <= "00000000";
COMM_ADDR_ACK <= '0';
COMM_DATA_ACK <= '0';
else
COMM_ADDR_ACK <= '0';
COMM_DATA_ACK <= '0';
CACHE_WE <= '0';
if CACHE_SWAP = '1' then
CACHE_RD <= '1';
CACHE_CNT <= "000000";
end if;
case STATE is
when ST_IDLE =>
if TICK = 3 then
if CPU_RD = '1' then
case MAPPER_DO is
when M_RAM | M_REGBASE =>
SRAM_A <= "00" & CPU_A;
SRAM_OE <= '0';
SRAM_WE <= '1';
SRAM_CS <= '0';
SRAM_LB <= '0';
SRAM_UB <= '1';
STATE <= ST_RAMREAD;
when M_VRAM =>
SRAM_A <= "00" & VRAM_PAGE & CPU_A(13 downto 0);
SRAM_LB <= '1';
SRAM_UB <= '0';
SRAM_OE <= '0';
SRAM_CS <= '0';
STATE <= ST_VRAMREAD1;
when M_PORTBASE =>
if CPU_A(5 downto 3) = "011" then -- Read from Floppy
COMM_REQ <= '1';
COMM_ADDR_I <= '0' & FLOPPY_SIDE & DRIVE & "00" & CPU_A(1 downto 0);
COMM_DATA_I <= X"FF";
CPU_PAUSE <= '1';
STATE <= ST_FLOPPY1;
end if;
when others =>
STATE <= ST_IDLE;
end case;
elsif CPU_WR = '1' then
case MAPPER_DO is
when M_RAM | M_ROM | M_KEYBOARD =>
SRAM_A <= "00" & CPU_A;
SRAM_WE <= '0';
SRAM_OE <= '1';
SRAM_CS <= '0';
SRAM_LB <= '0';
SRAM_UB <= '1';
SRAM_DI <= "ZZZZZZZZ" & CPU_DO;
STATE <= ST_RAMWRITE1;
when M_VRAM =>
SRAM_A <= "00" & VRAM_PAGE & CPU_A(13 downto 0);
SRAM_LB <= '1';
SRAM_UB <= '0';
SRAM_OE <= '0';
SRAM_CS <= '0';
STATE <= ST_VRAMWRITE1;
when M_REGBASE =>
if CPU_A(7) = '0' then
SYSREG <= CPU_DO(6 downto 2);
elsif CPU_A(6) = '0' then
COLORREG <= CPU_DO;
elsif CPU_A(2) = '0' then
LUT(to_integer(unsigned(CPU_DO(3 downto 0)))) <= CPU_DO(7 downto 4);
end if;
when M_PORTBASE =>
if CPU_A(5 downto 3) = "011" then -- Write to Floppy
COMM_REQ <= '1';
COMM_ADDR_I <= '1' & FLOPPY_SIDE & DRIVE & "00" & CPU_A(1 downto 0);
COMM_DATA_I <= CPU_DO;
CPU_PAUSE <= '1';
STATE <= ST_FLOPPY1;
end if;
when others =>
STATE <= ST_IDLE;
end case;
else
if CACHE_RD = '1' then
CHRAM_VA <= SCANLINE(7 downto 4) & std_logic_vector(CACHE_CNT);
SRAM_A <= "00" & VIEW_PAGE & SCANLINE & std_logic_vector(CACHE_CNT);
SRAM_LB <= '1';
SRAM_UB <= '0';
SRAM_OE <= '0';
SRAM_CS <= '0';
STATE <= ST_CACHEREAD1;
NSTATE <= ST_IDLE;
end if;
end if;
end if;
when ST_FLOPPY1 =>
if COMM_ADDR_REQ = '1' then
COMM_REQ <= '0';
COMM_ADDR_ACK <= '1';
elsif COMM_DATA_REQ = '1' then
COMM_DATA_ACK <= '1';
FLOPPY_DO <= COMM_DATA_O;
CPU_PAUSE <= '0';
STATE <= ST_FLOPPY3;
elsif CACHE_RD = '1' then
CHRAM_VA <= SCANLINE(7 downto 4) & std_logic_vector(CACHE_CNT);
SRAM_A <= "00" & VIEW_PAGE & SCANLINE & std_logic_vector(CACHE_CNT);
SRAM_LB <= '1';
SRAM_UB <= '0';
SRAM_OE <= '0';
SRAM_CS <= '0';
STATE <= ST_CACHEREAD1;
NSTATE <= ST_FLOPPY1;
end if;
when ST_FLOPPY3 =>
if CPU_RD = '0' and CPU_WR = '0' then
STATE <= ST_IDLE;
end if;
when ST_RAMREAD =>
RAM_DO <= SRAM_DO(7 downto 0);
SRAM_OE <= '1';
SRAM_CS <= '1';
SRAM_LB <= '1';
STATE <= ST_IDLE;
when ST_RAMWRITE1 =>
SRAM_WE <= '1';
STATE <= ST_RAMWRITE2;
when ST_RAMWRITE2 =>
SRAM_DI <= (OTHERS => 'Z');
SRAM_CS <= '1';
SRAM_LB <= '1';
STATE <= ST_IDLE;
when ST_CACHEREAD1 =>
PLANE0 <= SRAM_DO(15 downto 8);
SRAM_LB <= '0';
SRAM_UB <= '0';
SRAM_A <= "01" & VIEW_PAGE & SCANLINE & std_logic_vector(CACHE_CNT);
STATE <= ST_CACHEREAD2;
when ST_CACHEREAD2 =>
PLANE1 <= SRAM_DO(15 downto 8);
PLANE2 <= SRAM_DO(7 downto 0);
SRAM_OE <= '1';
SRAM_CS <= '1';
SRAM_LB <= '1';
SRAM_UB <= '1';
FONTROM_A <= CHRAM_VD(7 downto 0) & SCANLINE(3 downto 0);
-- FONTROM_A <= ALTFONT & CHRAM_VD(7 downto 0) & SCANLINE(3 downto 0);
STATE <= ST_CACHEREAD3;
when ST_CACHEREAD3 =>
STATE <= ST_CACHEREAD4;
when ST_CACHEREAD4 =>
CACHE_AI <= std_logic_vector(CACHE_CNT(5 downto 0));
if CHRAM_VD(8) = '0' then
CACHE_DI <= FONTROM_DO & PLANE2 & PLANE1 & PLANE0;
else
CACHE_DI <= (not FONTROM_DO) & PLANE2 & PLANE1 & PLANE0;
end if;
CACHE_WE <= '1';
if CACHE_CNT = "111111" then
CACHE_RD <= '0';
else
CACHE_CNT <= CACHE_CNT + 1;
end if;
STATE <= NSTATE;
when ST_VRAMREAD1 =>
PLANE0 <= SRAM_DO(15 downto 8);
SRAM_LB <= '0';
SRAM_UB <= '0';
SRAM_A <= "01" & VRAM_PAGE & CPU_A(13 downto 0);
STATE <= ST_VRAMREAD2;
when ST_VRAMREAD2 =>
PLANE1 <= SRAM_DO(15 downto 8);
PLANE2 <= SRAM_DO(7 downto 0);
SRAM_LB <= '1';
SRAM_UB <= '1';
SRAM_OE <= '1';
SRAM_CS <= '1';
SRAM_WE <= '1';
STATE <= ST_VRAMREAD3;
when ST_VRAMREAD3 =>
if COLORREG(7) = '1' then -- color mode
if COLORREG(4) = '0' then
PLANE0 <= PLANE0 xor "11111111";
end if;
if COLORREG(5) = '0' then
PLANE1 <= PLANE1 xor "11111111";
end if;
if COLORREG(6) = '0' then
PLANE2 <= PLANE2 xor "11111111";
end if;
else -- plane mode
if COLORREG(4) = '1' then
VRAM_DO <= PLANE0;
else
VRAM_DO <= "00000000";
end if;
end if;
STATE <= ST_VRAMREAD4;
when ST_VRAMREAD4 =>
if COLORREG(7) = '1' then
VRAM_DO <= (PLANE0 and PLANE1 and PLANE2) xor "11111111";
else
if COLORREG(5) = '1' then
VRAM_DO <= PLANE1;
end if;
end if;
STATE <= ST_VRAMREAD5;
when ST_VRAMREAD5 =>
if COLORREG(7) = '0' then
if COLORREG(6) = '1' then
VRAM_DO <= PLANE2;
end if;
end if;
STATE <= ST_IDLE;
when ST_VRAMWRITE1 =>
PLANE0 <= SRAM_DO(15 downto 8);
SRAM_LB <= '0';
SRAM_UB <= '0';
SRAM_A <= "01" & VRAM_PAGE & CPU_A(13 downto 0);
STATE <= ST_VRAMWRITE2;
when ST_VRAMWRITE2 =>
PLANE1 <= SRAM_DO(15 downto 8);
PLANE2 <= SRAM_DO(7 downto 0);
SRAM_OE <= '1';
SRAM_CS <= '1';
SRAM_LB <= '1';
SRAM_UB <= '1';
SRAM_WE <= '1';
STATE <= ST_VRAMWRITE3;
when ST_VRAMWRITE3 =>
if COLORREG(7) = '1' then -- color mode
if COLORREG(1) = '1' then
PLANE0 <= PLANE0 or CPU_DO;
else
PLANE0 <= PLANE0 and not CPU_DO;
end if;
if COLORREG(2) = '1' then
PLANE1 <= PLANE1 or CPU_DO;
else
PLANE1 <= PLANE1 and not CPU_DO;
end if;
if COLORREG(3) = '1' then
PLANE2 <= PLANE2 or CPU_DO;
else
PLANE2 <= PLANE2 and not CPU_DO;
end if;
else -- plane mode
if COLORREG(0) = '1' then -- write 1
if COLORREG(1) = '0' then
PLANE0 <= PLANE0 or CPU_DO;
end if;
if COLORREG(2) = '0' then
PLANE1 <= PLANE1 or CPU_DO;
end if;
if COLORREG(3) = '0' then
PLANE2 <= PLANE2 or CPU_DO;
end if;
else -- write 0
if COLORREG(1) = '0' then
PLANE0 <= PLANE0 and not CPU_DO;
end if;
if COLORREG(2) = '0' then
PLANE1 <= PLANE1 and not CPU_DO;
end if;
if COLORREG(3) = '0' then
PLANE2 <= PLANE2 and not CPU_DO;
end if;
end if;
end if;
STATE <= ST_VRAMWRITE4;
when ST_VRAMWRITE4 =>
SRAM_LB <= '1';
SRAM_UB <= '0';
SRAM_A <= "00" & VRAM_PAGE & CPU_A(13 downto 0);
SRAM_WE <= '0';
SRAM_CS <= '0';
SRAM_DI <= PLANE0 & "ZZZZZZZZ";
STATE <= ST_VRAMWRITE5;
when ST_VRAMWRITE5 =>
SRAM_WE <= '1';
STATE <= ST_VRAMWRITE6;
when ST_VRAMWRITE6 =>
SRAM_LB <= '0';
SRAM_UB <= '0';
SRAM_A <= "01" & VRAM_PAGE & CPU_A(13 downto 0);
SRAM_WE <= '0';
SRAM_DI <= PLANE1 & PLANE2;
STATE <= ST_VRAMWRITE7;
when ST_VRAMWRITE7 =>
SRAM_WE <= '1';
STATE <= ST_VRAMWRITE8;
when ST_VRAMWRITE8 =>
SRAM_LB <= '1';
SRAM_UB <= '1';
SRAM_CS <= '1';
SRAM_OE <= '1';
SRAM_DI <= "ZZZZZZZZZZZZZZZZ";
STATE <= ST_IDLE;
when OTHERS =>
STATE <= ST_IDLE;
end case;
end if;
end if;
end process;
LUT_D <= LUT(to_integer(unsigned(LUT_A)));
SOUND <= TIMER_OUT0 and SOUND_EN;
SOUND_L <= SOUND;
SOUND_R <= SOUND;
-- SOUND_L <= "000" & SOUND & "00" & TAPE_OUT0 & TAPE_IN & "00000000" when SWITCH(8) = '1' else "00" & TAPE_OUT0 & "0000000000000";
-- SOUND_R <= "000" & SOUND & "00" & TAPE_OUT0 & TAPE_IN & "00000000" when SWITCH(8) = '1' else "00" & TAPE_OUT0 & "0000000000000";
end RTL;
| gpl-3.0 | 0d8d0d111cfdbc3ce0f5fd74f46e03c1 | 0.389661 | 3.973174 | false | false | false | false |
APastorG/APG | adder/adder_s.vhd | 1 | 4,670 | /***************************************************************************************************
/
/ Author: Antonio Pastor González
/ ¯¯¯¯¯¯
/
/ Date:
/ ¯¯¯¯
/
/ Version:
/ ¯¯¯¯¯¯¯
/
/ Notes:
/ ¯¯¯¯¯
/ This design makes use of some features from VHDL-2008, all of which have been implemented in
/ Vivado by Xilinx
/ A 3 space tab is used throughout the document
/
/
/ Description:
/ ¯¯¯¯¯¯¯¯¯¯¯
/ This is the interface between the instantiation of an adder an its core. It exists to make it
/ possible to use external std_ulogic_vector which contain the numeric values while having modules
/ which are able to manipulate this data as fixed point types (either u_ufixed or u_sfixed).
/ As std_ulogic_vector have a natural range and the u_ufixed and u_sfixed types have an integer
/ range ('high downto 0 is the integer part and -1 downto 'low is the fractional part) it is needed
/ a solution so as to represent the negative indexes in the std_ulogic_vector. A solution is
/ adopted where the integer indexes of the fixed point types are moved to the natural space with a
/ transformation. This consists in limiting the indexes of the fixed point data to +-2**30 and
/ adding 2**30 to obtain the std_ulogic_vector's indexes. [-2**30, 2**30]->[0, 2**31]. For example,
/ fixed point indexes (3 donwto -2) would become (1073741827, 1073741822) in a std_ulogic_vector
/ Additionally, the generics' consistency and correctness are checked in here.
/
**************************************************************************************************/
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.math_real.all;
library work;
use work.common_data_types_pkg.all;
use work.common_pkg.all;
use work.adder_pkg.all;
use work.fixed_generic_pkg.all;
/*================================================================================================*/
/*================================================================================================*/
/*================================================================================================*/
entity adder_s is
generic(
DATA_IMM_AFTER_START_opt : boolean := false; --default
SPEED_opt : T_speed := t_min; --exception: value not set
MAX_POSSIBLE_BIT_opt : integer_exc := integer'low; --exception: value not set
TRUNCATE_TO_BIT_opt : integer_exc := integer'low; --exception: value not set
S : positive --compulsory
);
port(
input : in u_sfixed_v; --unconstrained array
clk : in std_ulogic;
start : in std_ulogic;
valid_input : in std_ulogic;
output : out u_sfixed; --unconstrained array
valid_output : out std_ulogic
);
end entity;
/*================================================================================================*/
/*================================================================================================*/
/*================================================================================================*/
architecture adder_s1 of adder_s is
constant P : positive := input'length(1);
constant CHECKS : integer := adder_CHECKS(MAX_POSSIBLE_BIT_opt,
TRUNCATE_TO_BIT_opt,
S,
P,
input(1)'high,
input(1)'low);
/*================================================================================================*/
/*================================================================================================*/
begin
adder_core_s_1:
entity work.adder_core_s
generic map(
DATA_IMM_AFTER_START_opt => DATA_IMM_AFTER_START_opt,
SPEED_opt => SPEED_opt,
MAX_POSSIBLE_BIT_opt => MAX_POSSIBLE_BIT_opt,
TRUNCATE_TO_BIT_opt => TRUNCATE_TO_BIT_opt,
S => S,
P => P,
input_high => input(1)'high,
input_low => input(1)'low
)
port map(
clk => clk,
input => input,
valid_input => valid_input,
start => start,
output => output,
valid_output => valid_output
);
end architecture; | mit | 2afa8bcff45eb83a62dba1db8bc1bbbd | 0.429896 | 4.730612 | false | false | false | false |
benjmarshall/hls_scratchpad | hls_cmd_line_testing/hls_gui_proj/hls_sin_proj/solution1/sim/vhdl/ip/xil_defaultlib/sin_taylor_series_ap_dadd_3_full_dsp_64.vhd | 6 | 10,830 | -- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:floating_point:7.1
-- IP Revision: 4
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY floating_point_v7_1_4;
USE floating_point_v7_1_4.floating_point_v7_1_4;
ENTITY sin_taylor_series_ap_dadd_3_full_dsp_64 IS
PORT (
aclk : IN STD_LOGIC;
aclken : IN STD_LOGIC;
s_axis_a_tvalid : IN STD_LOGIC;
s_axis_a_tdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
s_axis_b_tvalid : IN STD_LOGIC;
s_axis_b_tdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
m_axis_result_tvalid : OUT STD_LOGIC;
m_axis_result_tdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0)
);
END sin_taylor_series_ap_dadd_3_full_dsp_64;
ARCHITECTURE sin_taylor_series_ap_dadd_3_full_dsp_64_arch OF sin_taylor_series_ap_dadd_3_full_dsp_64 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF sin_taylor_series_ap_dadd_3_full_dsp_64_arch: ARCHITECTURE IS "yes";
COMPONENT floating_point_v7_1_4 IS
GENERIC (
C_XDEVICEFAMILY : STRING;
C_HAS_ADD : INTEGER;
C_HAS_SUBTRACT : INTEGER;
C_HAS_MULTIPLY : INTEGER;
C_HAS_DIVIDE : INTEGER;
C_HAS_SQRT : INTEGER;
C_HAS_COMPARE : INTEGER;
C_HAS_FIX_TO_FLT : INTEGER;
C_HAS_FLT_TO_FIX : INTEGER;
C_HAS_FLT_TO_FLT : INTEGER;
C_HAS_RECIP : INTEGER;
C_HAS_RECIP_SQRT : INTEGER;
C_HAS_ABSOLUTE : INTEGER;
C_HAS_LOGARITHM : INTEGER;
C_HAS_EXPONENTIAL : INTEGER;
C_HAS_FMA : INTEGER;
C_HAS_FMS : INTEGER;
C_HAS_ACCUMULATOR_A : INTEGER;
C_HAS_ACCUMULATOR_S : INTEGER;
C_A_WIDTH : INTEGER;
C_A_FRACTION_WIDTH : INTEGER;
C_B_WIDTH : INTEGER;
C_B_FRACTION_WIDTH : INTEGER;
C_C_WIDTH : INTEGER;
C_C_FRACTION_WIDTH : INTEGER;
C_RESULT_WIDTH : INTEGER;
C_RESULT_FRACTION_WIDTH : INTEGER;
C_COMPARE_OPERATION : INTEGER;
C_LATENCY : INTEGER;
C_OPTIMIZATION : INTEGER;
C_MULT_USAGE : INTEGER;
C_BRAM_USAGE : INTEGER;
C_RATE : INTEGER;
C_ACCUM_INPUT_MSB : INTEGER;
C_ACCUM_MSB : INTEGER;
C_ACCUM_LSB : INTEGER;
C_HAS_UNDERFLOW : INTEGER;
C_HAS_OVERFLOW : INTEGER;
C_HAS_INVALID_OP : INTEGER;
C_HAS_DIVIDE_BY_ZERO : INTEGER;
C_HAS_ACCUM_OVERFLOW : INTEGER;
C_HAS_ACCUM_INPUT_OVERFLOW : INTEGER;
C_HAS_ACLKEN : INTEGER;
C_HAS_ARESETN : INTEGER;
C_THROTTLE_SCHEME : INTEGER;
C_HAS_A_TUSER : INTEGER;
C_HAS_A_TLAST : INTEGER;
C_HAS_B : INTEGER;
C_HAS_B_TUSER : INTEGER;
C_HAS_B_TLAST : INTEGER;
C_HAS_C : INTEGER;
C_HAS_C_TUSER : INTEGER;
C_HAS_C_TLAST : INTEGER;
C_HAS_OPERATION : INTEGER;
C_HAS_OPERATION_TUSER : INTEGER;
C_HAS_OPERATION_TLAST : INTEGER;
C_HAS_RESULT_TUSER : INTEGER;
C_HAS_RESULT_TLAST : INTEGER;
C_TLAST_RESOLUTION : INTEGER;
C_A_TDATA_WIDTH : INTEGER;
C_A_TUSER_WIDTH : INTEGER;
C_B_TDATA_WIDTH : INTEGER;
C_B_TUSER_WIDTH : INTEGER;
C_C_TDATA_WIDTH : INTEGER;
C_C_TUSER_WIDTH : INTEGER;
C_OPERATION_TDATA_WIDTH : INTEGER;
C_OPERATION_TUSER_WIDTH : INTEGER;
C_RESULT_TDATA_WIDTH : INTEGER;
C_RESULT_TUSER_WIDTH : INTEGER;
C_FIXED_DATA_UNSIGNED : INTEGER
);
PORT (
aclk : IN STD_LOGIC;
aclken : IN STD_LOGIC;
aresetn : IN STD_LOGIC;
s_axis_a_tvalid : IN STD_LOGIC;
s_axis_a_tready : OUT STD_LOGIC;
s_axis_a_tdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
s_axis_a_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_a_tlast : IN STD_LOGIC;
s_axis_b_tvalid : IN STD_LOGIC;
s_axis_b_tready : OUT STD_LOGIC;
s_axis_b_tdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
s_axis_b_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_b_tlast : IN STD_LOGIC;
s_axis_c_tvalid : IN STD_LOGIC;
s_axis_c_tready : OUT STD_LOGIC;
s_axis_c_tdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
s_axis_c_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_c_tlast : IN STD_LOGIC;
s_axis_operation_tvalid : IN STD_LOGIC;
s_axis_operation_tready : OUT STD_LOGIC;
s_axis_operation_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axis_operation_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_operation_tlast : IN STD_LOGIC;
m_axis_result_tvalid : OUT STD_LOGIC;
m_axis_result_tready : IN STD_LOGIC;
m_axis_result_tdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
m_axis_result_tuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_result_tlast : OUT STD_LOGIC
);
END COMPONENT floating_point_v7_1_4;
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 aclk_intf CLK";
ATTRIBUTE X_INTERFACE_INFO OF aclken: SIGNAL IS "xilinx.com:signal:clockenable:1.0 aclken_intf CE";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_a_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_A TVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_a_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_A TDATA";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_b_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_B TVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_b_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_B TDATA";
ATTRIBUTE X_INTERFACE_INFO OF m_axis_result_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_RESULT TVALID";
ATTRIBUTE X_INTERFACE_INFO OF m_axis_result_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_RESULT TDATA";
BEGIN
U0 : floating_point_v7_1_4
GENERIC MAP (
C_XDEVICEFAMILY => "zynq",
C_HAS_ADD => 1,
C_HAS_SUBTRACT => 0,
C_HAS_MULTIPLY => 0,
C_HAS_DIVIDE => 0,
C_HAS_SQRT => 0,
C_HAS_COMPARE => 0,
C_HAS_FIX_TO_FLT => 0,
C_HAS_FLT_TO_FIX => 0,
C_HAS_FLT_TO_FLT => 0,
C_HAS_RECIP => 0,
C_HAS_RECIP_SQRT => 0,
C_HAS_ABSOLUTE => 0,
C_HAS_LOGARITHM => 0,
C_HAS_EXPONENTIAL => 0,
C_HAS_FMA => 0,
C_HAS_FMS => 0,
C_HAS_ACCUMULATOR_A => 0,
C_HAS_ACCUMULATOR_S => 0,
C_A_WIDTH => 64,
C_A_FRACTION_WIDTH => 53,
C_B_WIDTH => 64,
C_B_FRACTION_WIDTH => 53,
C_C_WIDTH => 64,
C_C_FRACTION_WIDTH => 53,
C_RESULT_WIDTH => 64,
C_RESULT_FRACTION_WIDTH => 53,
C_COMPARE_OPERATION => 8,
C_LATENCY => 3,
C_OPTIMIZATION => 1,
C_MULT_USAGE => 2,
C_BRAM_USAGE => 0,
C_RATE => 1,
C_ACCUM_INPUT_MSB => 32,
C_ACCUM_MSB => 32,
C_ACCUM_LSB => -31,
C_HAS_UNDERFLOW => 0,
C_HAS_OVERFLOW => 0,
C_HAS_INVALID_OP => 0,
C_HAS_DIVIDE_BY_ZERO => 0,
C_HAS_ACCUM_OVERFLOW => 0,
C_HAS_ACCUM_INPUT_OVERFLOW => 0,
C_HAS_ACLKEN => 1,
C_HAS_ARESETN => 0,
C_THROTTLE_SCHEME => 3,
C_HAS_A_TUSER => 0,
C_HAS_A_TLAST => 0,
C_HAS_B => 1,
C_HAS_B_TUSER => 0,
C_HAS_B_TLAST => 0,
C_HAS_C => 0,
C_HAS_C_TUSER => 0,
C_HAS_C_TLAST => 0,
C_HAS_OPERATION => 0,
C_HAS_OPERATION_TUSER => 0,
C_HAS_OPERATION_TLAST => 0,
C_HAS_RESULT_TUSER => 0,
C_HAS_RESULT_TLAST => 0,
C_TLAST_RESOLUTION => 0,
C_A_TDATA_WIDTH => 64,
C_A_TUSER_WIDTH => 1,
C_B_TDATA_WIDTH => 64,
C_B_TUSER_WIDTH => 1,
C_C_TDATA_WIDTH => 64,
C_C_TUSER_WIDTH => 1,
C_OPERATION_TDATA_WIDTH => 8,
C_OPERATION_TUSER_WIDTH => 1,
C_RESULT_TDATA_WIDTH => 64,
C_RESULT_TUSER_WIDTH => 1,
C_FIXED_DATA_UNSIGNED => 0
)
PORT MAP (
aclk => aclk,
aclken => aclken,
aresetn => '1',
s_axis_a_tvalid => s_axis_a_tvalid,
s_axis_a_tdata => s_axis_a_tdata,
s_axis_a_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_a_tlast => '0',
s_axis_b_tvalid => s_axis_b_tvalid,
s_axis_b_tdata => s_axis_b_tdata,
s_axis_b_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_b_tlast => '0',
s_axis_c_tvalid => '0',
s_axis_c_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)),
s_axis_c_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_c_tlast => '0',
s_axis_operation_tvalid => '0',
s_axis_operation_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axis_operation_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_operation_tlast => '0',
m_axis_result_tvalid => m_axis_result_tvalid,
m_axis_result_tready => '0',
m_axis_result_tdata => m_axis_result_tdata
);
END sin_taylor_series_ap_dadd_3_full_dsp_64_arch;
| mit | a0423f24ef69818e570184dacf819ba5 | 0.632595 | 3.20509 | false | false | false | false |
sonologic/gmzpu | vhdl/testbenches/zpu_wishbone_intercon.vhdl | 1 | 4,823 | ------------------------------------------------------------------------------
---- ----
---- Testbench for the ZPU Wishbone bridge ----
---- ----
---- Description: ----
---- ----
---- To Do: ----
---- - ----
---- ----
---- Author: ----
---- - Koen Martens, gmc sonologic.nl ----
---- ----
------------------------------------------------------------------------------
---- ----
---- Copyright (c)
---- ----
---- Distributed under the BSD license ----
---- ----
------------------------------------------------------------------------------
---- ----
---- Design unit:
---- File name:
---- Note: None ----
---- Limitations: None known ----
---- Errors: None known ----
---- Library: work ----
---- Dependencies: IEEE.std_logic_1164 ----
---- IEEE.numeric_std ----
---- Target FPGA:
---- Language: VHDL ----
---- Wishbone: No ----
---- Synthesis tools: N/A ----
---- Simulation tools:
---- Text editor:
---- ----
------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
library zpu;
use zpu.wishbone.all;
entity zpu_wishbone_intercon_tb is
end entity zpu_wishbone_intercon_tb;
architecture Behave of zpu_wishbone_intercon_tb is
constant ADR_MSB : natural:=31;
constant ADR_LSB : natural:=0;
constant PAGE_BIT : natural:=4;
constant NUNITS : natural:=8;
constant CLK_FREQ : positive:=50; -- 50 MHz clock
constant CLK_S_PER : time:=1 us/(2.0*real(CLK_FREQ)); -- Clock semi period
-- testbench
signal break : std_logic:='0';
signal clk : std_logic;
-- wishbone
signal wb_rst : std_logic;
signal wb_stb : std_logic;
signal wb_cyc : std_logic;
signal wb_adr_i : std_logic_vector(ADR_MSB downto ADR_LSB);
signal wb_adr_o : std_logic_vector(PAGE_BIT-1 downto 0);
signal stb : std_logic_vector(NUNITS-1 downto 0);
begin
INTERCON : zpu_wishbone_intercon
generic map(
ADR_MSB => ADR_MSB,
ADR_LSB => ADR_LSB,
PAGE_BIT => PAGE_BIT,
NUNITS => NUNITS
)
port map(
rst_i => wb_rst,
stb_i => wb_stb,
cyc_i => wb_cyc,
adr_i => wb_adr_i,
adr_o => wb_adr_o,
stb_o => stb
);
do_clock:
process
begin
clk <= '0';
wait for CLK_S_PER;
clk <= '1';
wait for CLK_S_PER;
if break='1' then
-- print("* Break asserted, end of test");
wait;
end if;
end process do_clock;
do_sim:
process
begin
wb_rst <= '0';
wb_stb <= '0';
wb_cyc <= '0';
wait for 1 us;
wb_adr_i <= std_logic_vector(to_unsigned(10, 32));
wb_stb <= '1';
wb_cyc <= '1';
wait for 1 us;
wb_stb <= '0';
wait for 1 us;
wb_cyc <= '0';
wait for 1 us;
wb_adr_i <= std_logic_vector(to_unsigned(54, 32));
wb_stb <= '1';
wb_cyc <= '1';
wait for 1 us;
wb_stb <= '0';
wait for 1 us;
wb_cyc <= '0';
wait for 1 us;
end process do_sim;
end architecture Behave; -- Entity: zpu_wishbone_bridge_tb
| bsd-3-clause | ef6808dbe641def95eeea3fb1c30b4cd | 0.300435 | 5.225352 | false | false | false | false |
ILoveSpeccy/Aeon-Lite | cores/atari800xl/src/a8core/pia.vhdl | 1 | 12,551 | ---------------------------------------------------------------------------
-- (c) 2013 mark watson
-- I am happy for anyone to use this for non-commercial use.
-- If my vhdl files are used commercially or otherwise sold,
-- please contact me for explicit permission at scrameta (gmail).
-- This applies for source and binary form and derived works.
---------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.all;
use ieee.numeric_std.all;
ENTITY pia IS
PORT
(
CLK : IN STD_LOGIC;
ADDR : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
CPU_DATA_IN : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
EN : IN STD_LOGIC;
WR_EN : IN STD_LOGIC;
RESET_N : IN STD_LOGIC;
CA1 : IN STD_LOGIC;
CB1 : IN STD_LOGIC;
CA2_DIR_OUT : OUT std_logic;
CA2_OUT : OUT std_logic;
CA2_IN : IN STD_LOGIC;
CB2_DIR_OUT : OUT std_logic;
CB2_OUT : OUT std_logic;
CB2_IN : IN STD_LOGIC;
-- remember these two are different if connecting to gpio (push pull vs pull up - check 6520 data sheet...)
PORTA_DIR_OUT : OUT STD_LOGIC_VECTOR(7 downto 0); -- pull up - i.e. 0 driven only
PORTA_OUT : OUT STD_LOGIC_VECTOR(7 downto 0); -- set bit to 1 to enable output mode
PORTA_IN : IN STD_LOGIC_VECTOR(7 downto 0);
PORTB_DIR_OUT : OUT STD_LOGIC_VECTOR(7 downto 0);
PORTB_OUT : OUT STD_LOGIC_VECTOR(7 downto 0); -- push pull
PORTB_IN : IN STD_LOGIC_VECTOR(7 downto 0); -- push pull
-- CPU interface
DATA_OUT : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
IRQA_N : OUT STD_LOGIC;
IRQB_N : OUT STD_LOGIC
);
END pia;
ARCHITECTURE vhdl OF pia IS
COMPONENT complete_address_decoder IS
generic (width : natural := 1);
PORT
(
addr_in : in std_logic_vector(width-1 downto 0);
addr_decoded : out std_logic_vector((2**width)-1 downto 0)
);
END component;
signal addr_decoded : std_logic_vector(3 downto 0);
signal porta_output_next : std_logic_vector(7 downto 0);
signal porta_output_reg : std_logic_vector(7 downto 0);
signal porta_input_reg : std_logic_vector(7 downto 0);
signal porta_input_next : std_logic_vector(7 downto 0);
signal porta_direction_next : std_logic_vector(7 downto 0);
signal porta_direction_reg : std_logic_vector(7 downto 0);
signal porta_control_next : std_logic_vector(5 downto 0);
signal porta_control_reg : std_logic_vector(5 downto 0);
signal portb_output_next : std_logic_vector(7 downto 0);
signal portb_output_reg : std_logic_vector(7 downto 0);
signal portb_input_reg : std_logic_vector(7 downto 0);
signal portb_input_next : std_logic_vector(7 downto 0);
signal portb_direction_next : std_logic_vector(7 downto 0);
signal portb_direction_reg : std_logic_vector(7 downto 0);
signal portb_control_next : std_logic_vector(5 downto 0);
signal portb_control_reg : std_logic_vector(5 downto 0);
signal irqa_next : std_logic_vector(1 downto 0);
signal irqa_reg : std_logic_vector(1 downto 0);
signal irqb_next : std_logic_vector(1 downto 0);
signal irqb_reg : std_logic_vector(1 downto 0);
signal CA1_reg : std_logic;
signal CA2_reg : std_logic;
signal CB1_reg : std_logic;
signal CB2_reg : std_logic;
signal CA2_output_next : std_logic;
signal CA2_output_reg : std_logic;
signal CB2_output_next : std_logic;
signal CB2_output_reg : std_logic;
signal read_ora : std_logic;
signal read_orb : std_logic;
signal write_ora : std_logic;
signal write_orb : std_logic;
signal ca1_edge_next : std_logic;
signal ca1_edge_reg : std_logic;
signal ca2_edge_next : std_logic;
signal ca2_edge_reg : std_logic;
signal cb1_edge_next : std_logic;
signal cb1_edge_reg : std_logic;
signal cb2_edge_next : std_logic;
signal cb2_edge_reg : std_logic;
begin
-- register
process(clk,reset_n)
begin
if (reset_n = '0') then
porta_output_reg <= (others=>'0');
porta_input_reg <= (others=>'0');
porta_direction_reg <= (others=>'0'); -- default to input
porta_control_reg <= (others=>'0');
portb_output_reg <= X"FF"; -- all high to ensure we have OS Rom enabled
portb_input_reg <= (others=>'0');
portb_direction_reg <= (others=>'1'); -- default to ouput
portb_control_reg <= (others=>'0');
irqa_reg <= (others=>'0');
irqb_reg <= (others=>'0');
CA1_reg <= '0';
CA2_reg <= '0';
CB1_reg <= '0';
CB2_reg <= '0';
CA2_output_reg <= '0';
CB2_output_reg <= '0';
ca1_edge_reg <= '0';
ca2_edge_reg <= '0';
cb1_edge_reg <= '0';
cb2_edge_reg <= '0';
elsif (clk'event and clk='1') then
porta_output_reg <= porta_output_next;
porta_input_reg <= porta_input_next;
porta_direction_reg <= porta_direction_next;
porta_control_reg <= porta_control_next;
portb_output_reg <= portb_output_next;
portb_input_reg <= PORTB_input_next;
portb_direction_reg <= portb_direction_next;
portb_control_reg <= portb_control_next;
irqa_reg <= irqa_next;
irqb_reg <= irqb_next;
CA1_reg <= CA1;
CA2_reg <= CA2_in;
CB1_reg <= CB1;
CB2_reg <= CB2_in;
CA2_output_reg <= CA2_output_next;
CB2_output_reg <= CB2_output_next;
ca1_edge_reg <= ca1_edge_next;
ca2_edge_reg <= ca2_edge_next;
cb1_edge_reg <= cb1_edge_next;
cb2_edge_reg <= cb2_edge_next;
end if;
end process;
-- decode address
decode_addr1 : complete_address_decoder
generic map(width=>2)
port map (addr_in=>addr, addr_decoded=>addr_decoded);
-- Writes to registers
process(cpu_data_in,wr_en,addr_decoded, porta_output_reg, portb_output_reg, porta_direction_reg, portb_direction_reg, porta_control_reg, portb_control_reg)
begin
porta_output_next <= porta_output_reg;
portb_output_next <= portb_output_reg;
porta_direction_next <= porta_direction_reg;
portb_direction_next <= portb_direction_reg;
porta_control_next(5 downto 0) <= porta_control_reg(5 downto 0);
portb_control_next(5 downto 0) <= portb_control_reg(5 downto 0);
write_ora <= '0';
write_orb <= '0';
if (wr_en = '1') then
if(addr_decoded(0) = '1') then
if (porta_control_reg(2) = '1') then
porta_output_next <= cpu_data_in;
write_ora <= '1';
else
porta_direction_next <= cpu_data_in;
end if;
end if;
if(addr_decoded(1) = '1') then
if (portb_control_reg(2) = '1') then
portb_output_next <= cpu_data_in;
write_orb <= '1';
else
portb_direction_next <= cpu_data_in;
end if;
end if;
if(addr_decoded(2) = '1') then
porta_control_next(5 downto 0) <= cpu_data_in(5 downto 0);
end if;
if(addr_decoded(3) = '1') then
portb_control_next(5 downto 0) <= cpu_data_in(5 downto 0);
end if;
end if;
end process;
-- Read from registers
process(addr_decoded, en, porta_input_reg, portb_input_reg, porta_direction_reg, portb_direction_reg, porta_control_reg, portb_control_reg, irqa_reg, irqb_reg)
begin
data_out <= X"FF";
read_ora <= '0';
read_orb <= '0';
if (EN = '1') then -- since reads have an effect here...
if (addr_decoded(0) = '1') then
if (porta_control_reg(2) = '1') then
data_out <= porta_input_reg;
read_ora <= '1';
else
data_out <= porta_direction_reg; -- can this be read?
end if;
end if;
if (addr_decoded(1) = '1') then
if (portb_control_reg(2) = '1') then
data_out <= portb_input_reg;
read_orb <= '1';
else
data_out <= portb_direction_reg; -- can this be read?
end if;
end if;
if (addr_decoded(2) = '1') then
data_out <= irqa_reg(1)&(irqa_reg(0)and not(porta_control_reg(5)))&porta_control_reg;
end if;
if (addr_decoded(3) = '1') then
data_out <= irqb_reg(1)&(irqb_reg(0)and not(portb_control_reg(5)))&portb_control_reg;
end if;
end if;
end process;
-- irq handing
-- TODO REVIEW, this stuff is complicated! I think Atari does not need it anyway...
process (irqa_reg, porta_control_next, porta_control_reg, read_ora, write_ora, ca2_output_reg, CA1, CA1_reg, ca2_in, ca2_reg, ca1_edge_reg, ca2_edge_reg)
begin
irqa_next(1) <= irqa_reg(1) and not(read_ora);
irqa_next(0) <= irqa_reg(0) and not(read_ora);
ca2_output_next <= ca2_output_reg;
if (CA1 = '1' and CA1_reg = '0') then
irqa_next(1) <= ca1_edge_reg;
end if;
if (CA1 = '0' and CA1_reg = '1') then
irqa_next(1) <= not(ca1_edge_reg);
end if;
if (CA2_in = '1' and CA2_reg = '0') then
irqa_next(0) <= ca2_edge_reg;
end if;
if (CA2_in = '0' and CA2_reg = '1') then
irqa_next(0) <= not(ca2_edge_reg);
end if;
ca1_edge_next <= porta_control_next(0); -- delay 1 cycle, so I am still set to detect falling edge on the rising edge
ca2_edge_next <= porta_control_next(4); -- delay 1 cycle, so I am still set to detect falling edge on the rising edge
if (porta_control_next(5) = '0') then -- CA2 is an input
else -- CA2 is an output
--irqa_next(0) <= '0';
case porta_control_next(4 downto 3) is
when "10" =>
ca2_output_next <= '0'; -- direct control
when "11" =>
ca2_output_next <= '1'; -- direct control
when "01" =>
if (read_ora = '1') then
ca2_output_next <= '0';
else
-- clock restore
ca2_output_next <= '1';
end if;
when "00" =>
if (read_ora = '1') then
ca2_output_next <= '0';
elsif (irqa_reg(1) = '1') then
-- ca1 restore
ca2_output_next <= '1';
end if;
when others =>
-- nop
end case;
end if;
end process;
process (irqb_reg, portb_control_next, portb_control_reg, read_orb, write_orb, cb2_output_reg, CB1, CB1_reg, cb2_in, cb2_reg, cb1_edge_reg, cb2_edge_reg)
begin
irqb_next(1) <= irqb_reg(1) and not(read_orb);
irqb_next(0) <= irqb_reg(0) and not(read_orb);
cb2_output_next <= cb2_output_reg;
if (CB1 = '1' and CB1_reg = '0') then
irqb_next(1) <= cb1_edge_reg;
end if;
if (CB1 = '0' and CB1_reg = '1') then
irqb_next(1) <= not(cb1_edge_reg);
end if;
if (CB2_in = '1' and CB2_reg = '0') then
irqb_next(0) <= cb2_edge_reg;
end if;
if (CB2_in = '0' and CB2_reg = '1') then
irqb_next(0) <= not(cb2_edge_reg);
end if;
cb1_edge_next <= portb_control_next(0); -- delay 1 cycle, so I am still set to detect falling edge on the rising edge
cb2_edge_next <= portb_control_next(4); -- delay 1 cycle, so I am still set to detect falling edge on the rising edge
if (portb_control_next(5) = '0') then -- CB2 is an input
else -- CB2 is an output
--irqb_next(0) <= '0';
case portb_control_next(4 downto 3) is
when "10" =>
cb2_output_next <= '0'; -- direct control
when "11" =>
cb2_output_next <= '1'; -- direct control
when "01" =>
if (write_orb = '1') then
cb2_output_next <= '0';
else
-- clock restore
cb2_output_next <= '1';
end if;
when "00" =>
if (write_orb = '1') then
cb2_output_next <= '0';
elsif (irqb_reg(1) = '1') then
-- cb1 restore
cb2_output_next <= '1';
end if;
when others =>
--nop
end case;
end if;
end process;
-- output
-- TODO - review if ca2 and cb2 are push pull or pull up...
--ca2 <= CA2_output_reg when porta_control_reg(5) = '1' else 'Z';
--cb2 <= CB2_output_reg when portb_control_reg(5) = '1' else 'Z';
ca2_out <= CA2_output_reg;
ca2_dir_out <= porta_control_reg(5);
cb2_out <= CB2_output_reg;
cb2_dir_out <= portb_control_reg(5);
porta_out <= porta_output_reg;
porta_dir_out <= porta_direction_reg;
porta_input_next <= porta_in;
--portb_out <= portb_output_reg;
-- forced to 1 when in input mode - star raiders relies on this
portb_out(0) <= portb_output_reg(0) when portb_direction_reg(0)='1' else '1';
portb_out(1) <= portb_output_reg(1) when portb_direction_reg(1)='1' else '1';
portb_out(2) <= portb_output_reg(2) when portb_direction_reg(2)='1' else '1';
portb_out(3) <= portb_output_reg(3) when portb_direction_reg(3)='1' else '1';
portb_out(4) <= portb_output_reg(4) when portb_direction_reg(4)='1' else '1';
portb_out(5) <= portb_output_reg(5) when portb_direction_reg(5)='1' else '1';
portb_out(6) <= portb_output_reg(6) when portb_direction_reg(6)='1' else '1';
portb_out(7) <= portb_output_reg(7) when portb_direction_reg(7)='1' else '1';
portb_dir_out <= portb_direction_reg;
portb_input_next <= portb_in;
irqa_n <= not(((irqa_reg(1) and porta_control_reg(0)) or (irqa_reg(0) and porta_control_reg(3))) and not(porta_control_reg(5)));
irqb_n <= not(((irqb_reg(1) and portb_control_reg(0)) or (irqb_reg(0) and portb_control_reg(3))) and not(portb_control_reg(5)));
end vhdl;
| gpl-3.0 | 07e3fd6c57c5aa987671f34c356a65a4 | 0.615967 | 2.709044 | false | false | false | false |
ILoveSpeccy/Aeon-Lite | cores/atari800xl/src/sram_statemachine.vhd | 1 | 6,568 | ---------------------------------------------------------------------------
-- SRAM memory controller
---------------------------------------------------------------------------
-- This file is a part of "Aeon Lite" project
-- Dmitriy Schapotschkin aka ILoveSpeccy '2014
-- [email protected]
-- Project homepage: www.speccyland.net
---------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.numeric_std.all;
ENTITY sram_statemachine IS
PORT (
CLK : in std_logic;
RESET_N : in std_logic;
DATA_IN : in std_logic_vector(31 downto 0);
ADDRESS_IN : in std_logic_vector(22 downto 0);
WRITE_EN : in std_logic;
REQUEST : in std_logic;
BYTE_ACCESS : in std_logic; -- ldqm/udqm set based on a(0) - if 0=0111, if 1=1011. Data fields valid:7 downto 0.
WORD_ACCESS : in std_logic; -- ldqm/udqm set based on a(0) - if 0=0011, if 1=1001. Data fields valid:15 downto 0.
LONGWORD_ACCESS : in std_logic; -- a(0) ignored. lqdm/udqm mask is 0000
COMPLETE : out std_logic;
DATA_OUT : out std_logic_vector(31 downto 0);
SRAM_ADDR : out std_logic_vector(17 downto 0);
SRAM_DQ : inout std_logic_vector(15 downto 0);
SRAM_WE_N : out std_logic;
SRAM_OE_N : out std_logic;
SRAM_UB_N : out std_logic;
SRAM_LB_N : out std_logic;
SRAM_CE0_N : out std_logic;
SRAM_CE1_N : out std_logic );
END sram_statemachine;
ARCHITECTURE vhdl OF sram_statemachine IS
function REPEAT(N: natural; B: std_logic)
return std_logic_vector
is
variable RESULT: std_logic_vector(1 to N);
begin
for i in 1 to N loop
RESULT(i) := B;
end loop;
return RESULT;
end;
signal SRAM_DI : std_logic_vector(15 downto 0);
signal SRAM_DO : std_logic_vector(15 downto 0);
signal DATA_OUT_REG : std_logic_vector(31 downto 0);
signal MASK : std_logic_vector(3 downto 0);
signal ADDRESS_IN_NEXT : std_logic_vector(18 downto 0);
type STATES is (ST_IDLE, ST_READ0, ST_READ1, ST_READ2, ST_WRITE0, ST_WRITE1, ST_WRITE2);
signal STATE : STATES;
BEGIN
SRAM_DQ <= SRAM_DI;
SRAM_DO <= SRAM_DQ;
DATA_OUT <= DATA_OUT_REG;
ADDRESS_IN_NEXT <= std_logic_vector(unsigned(ADDRESS_IN(19 downto 1)) + 1);
COMPLETE <= '1' when STATE = ST_IDLE and REQUEST = '0' else '0';
process(CLK, RESET_N)
begin
if RESET_N = '0' then
SRAM_DI <= (OTHERS=>'Z');
SRAM_WE_N <= '1';
SRAM_OE_N <= '1';
SRAM_CE0_N <= '1';
SRAM_CE1_N <= '1';
SRAM_LB_N <= '1';
SRAM_UB_N <= '1';
STATE <= ST_IDLE;
else
if rising_edge(CLK) then
case STATE is
when ST_IDLE =>
SRAM_DI <= (OTHERS=>'Z');
SRAM_WE_N <= '1';
SRAM_OE_N <= '1';
SRAM_LB_N <= '1';
SRAM_UB_N <= '1';
if REQUEST = '1' then
MASK(0) <= (BYTE_ACCESS or WORD_ACCESS) and ADDRESS_IN(0); -- masked on misaligned byte or word
MASK(1) <= (BYTE_ACCESS) and not(address_in(0)); -- masked on aligned byte only
MASK(2) <= BYTE_ACCESS or (WORD_ACCESS and not(ADDRESS_IN(0))); -- masked on aligned word or byte
MASK(3) <= not(LONGWORD_ACCESS); -- masked for everything except long word access
SRAM_ADDR <= ADDRESS_IN(18 downto 1);
SRAM_CE0_N <= ADDRESS_IN(19);
SRAM_CE1_N <= not ADDRESS_IN(19);
if WRITE_EN = '1' then
STATE <= ST_WRITE0;
else
STATE <= ST_READ0;
end if;
end if;
when ST_WRITE0 =>
SRAM_LB_N <= MASK(0);
SRAM_UB_N <= MASK(1);
SRAM_DI(7 downto 0) <= DATA_IN(7 downto 0);
SRAM_DI(15 downto 8) <= (DATA_IN(15 downto 8) and not(repeat(8,MASK(0)))) or (DATA_IN(7 downto 0) and repeat(8,MASK(0)));
SRAM_WE_N <= '0';
STATE <= ST_WRITE1;
when ST_WRITE1 =>
SRAM_WE_N <= '1';
STATE <= ST_WRITE2;
when ST_WRITE2 =>
SRAM_ADDR <= ADDRESS_IN_NEXT(17 downto 0);
SRAM_CE0_N <= ADDRESS_IN_NEXT(18);
SRAM_CE1_N <= not ADDRESS_IN_NEXT(18);
SRAM_DI(7 downto 0) <= (DATA_IN(23 downto 16) and not(repeat(8,MASK(0)))) or (DATA_IN(15 downto 8) and repeat(8,MASK(0)));
SRAM_DI(15 downto 8) <= DATA_IN(31 downto 24);
SRAM_LB_N <= MASK(2);
SRAM_UB_N <= MASK(3);
SRAM_WE_N <= '0';
STATE <= ST_IDLE;
when ST_READ0 =>
SRAM_LB_N <= MASK(0);
SRAM_UB_N <= MASK(1);
SRAM_OE_N <= '0';
STATE <= ST_READ1;
when ST_READ1 =>
DATA_OUT_REG(7 downto 0) <= (SRAM_DO(7 downto 0) and not(repeat(8,MASK(0)))) or (SRAM_DO(15 downto 8) and repeat(8,MASK(0)));
DATA_OUT_REG(15 downto 8) <= SRAM_DO(15 downto 8);
SRAM_ADDR <= ADDRESS_IN_NEXT(17 downto 0);
SRAM_CE0_N <= ADDRESS_IN_NEXT(18);
SRAM_CE1_N <= not ADDRESS_IN_NEXT(18);
SRAM_LB_N <= MASK(2);
SRAM_UB_N <= MASK(3);
STATE <= ST_READ2;
when ST_READ2 =>
DATA_OUT_REG(15 downto 8 ) <= (SRAM_DO(7 downto 0) and repeat(8,MASK(0))) or (DATA_OUT_REG(15 downto 8) and not(repeat(8,MASK(0))));
DATA_OUT_REG(31 downto 16) <= SRAM_DO(15 downto 0);
STATE <= ST_IDLE;
when OTHERS =>
STATE <= ST_IDLE;
end case;
end if;
end if;
end process;
END vhdl;
| gpl-3.0 | 41b9b32848b2f0383061794b7c7b9919 | 0.441839 | 3.750999 | false | false | false | false |
ComputerArchitectureGroupPWr/SimulationCore | src/HeaterClockGenerator.vhd | 1 | 2,786 | library ieee;
use ieee.std_logic_1164.ALL;
use ieee.numeric_std.ALL;
library UNISIM;
use UNISIM.Vcomponents.ALL;
entity HeaterClockGenerator is
port ( CLKIN_IN : in std_logic;
RST_IN : in std_logic;
CLKFX_OUT : out std_logic;
CLKIN_IBUFG_OUT : out std_logic;
CLK0_OUT : out std_logic;
CLK2X_OUT : out std_logic);
end HeaterClockGenerator;
architecture BEHAVIORAL of HeaterClockGenerator is
signal CLKFB_IN : std_logic;
signal CLKFX_BUF : std_logic;
signal CLK2X_BUF : std_logic;
signal CLKIN_IBUFG : std_logic;
signal CLK0_BUF : std_logic;
signal GND_BIT : std_logic;
signal GND_BUS_7 : std_logic_vector (6 downto 0);
signal GND_BUS_16 : std_logic_vector (15 downto 0);
begin
GND_BIT <= '0';
GND_BUS_7(6 downto 0) <= "0000000";
GND_BUS_16(15 downto 0) <= "0000000000000000";
CLKIN_IBUFG_OUT <= CLKIN_IBUFG;
CLK0_OUT <= CLKFB_IN;
CLKFX_BUFG_INST : BUFG
port map (I=>CLKFX_BUF,
O=>CLKFX_OUT);
CLK0_BUFG_INST : BUFG
port map (I=>CLK0_BUF,
O=>CLKFB_IN);
CLK2x_BUFG_INST : BUFG
port map (I=>CLK2X_BUF,
O=>CLK2X_OUT);
DCM_ADV_INST : DCM_ADV
generic map( CLK_FEEDBACK => "1X",
CLKDV_DIVIDE => 2.0,
CLKFX_DIVIDE => 1,
CLKFX_MULTIPLY => 3,
CLKIN_DIVIDE_BY_2 => FALSE,
CLKIN_PERIOD => 10.000,
CLKOUT_PHASE_SHIFT => "NONE",
DCM_AUTOCALIBRATION => TRUE,
DCM_PERFORMANCE_MODE => "MAX_SPEED",
DESKEW_ADJUST => "SYSTEM_SYNCHRONOUS",
DFS_FREQUENCY_MODE => "HIGH",
DLL_FREQUENCY_MODE => "LOW",
DUTY_CYCLE_CORRECTION => TRUE,
FACTORY_JF => x"F0F0",
PHASE_SHIFT => 0,
STARTUP_WAIT => FALSE,
SIM_DEVICE => "VIRTEX5")
port map (CLKFB=>CLKFB_IN,
CLKIN=>CLKIN_IN,
DADDR(6 downto 0)=>GND_BUS_7(6 downto 0),
DCLK=>GND_BIT,
DEN=>GND_BIT,
DI(15 downto 0)=>GND_BUS_16(15 downto 0),
DWE=>GND_BIT,
PSCLK=>GND_BIT,
PSEN=>GND_BIT,
PSINCDEC=>GND_BIT,
RST=>RST_IN,
CLKDV=>open,
CLKFX=>CLKFX_BUF,
CLKFX180=>open,
CLK0=>CLK0_BUF,
CLK2X=>CLK2X_BUF,
CLK2X180=>open,
CLK90=>open,
CLK180=>open,
CLK270=>open,
DO=>open,
DRDY=>open,
LOCKED=>open,
PSDONE=>open);
end BEHAVIORAL; | mit | 8eb1449b79f426c27fc431074c8b8beb | 0.489591 | 3.704787 | false | false | false | false |
ILoveSpeccy/Aeon-Lite | cores/atari800xl/src/a8core/wide_delay_line.vhdl | 1 | 1,670 | ---------------------------------------------------------------------------
-- (c) 2013 mark watson
-- I am happy for anyone to use this for non-commercial use.
-- If my vhdl files are used commercially or otherwise sold,
-- please contact me for explicit permission at scrameta (gmail).
-- This applies for source and binary form and derived works.
---------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.all;
use ieee.numeric_std.all;
ENTITY wide_delay_line IS
generic(COUNT : natural := 1; WIDTH : natural :=1);
PORT
(
CLK : IN STD_LOGIC;
SYNC_RESET : IN STD_LOGIC;
DATA_IN : IN STD_LOGIC_VECTOR(WIDTH-1 downto 0);
ENABLE : IN STD_LOGIC; -- i.e. shift on this clock
RESET_N : IN STD_LOGIC;
DATA_OUT : OUT STD_LOGIC_VECTOR(WIDTH-1 downto 0)
);
END wide_delay_line;
ARCHITECTURE vhdl OF wide_delay_line IS
type shift_reg_type is array(COUNT-1 downto 0) of std_logic_vector(WIDTH-1 downto 0);
signal shift_reg : shift_reg_type;
signal shift_next : shift_reg_type;
BEGIN
-- register
process(clk,reset_n)
begin
if (reset_N = '0') then
shift_reg <= (others=>(others=>'0'));
elsif (clk'event and clk='1') then
shift_reg <= shift_next;
end if;
end process;
-- shift on enable
process(shift_reg,enable,data_in,sync_reset)
begin
shift_next <= shift_reg;
if (enable = '1') then
shift_next <= data_in&shift_reg(COUNT-1 downto 1);
end if;
if (sync_reset = '1') then
shift_next <= (others=>(others=>'0'));
end if;
end process;
-- output
gen_output:
for index in 0 to WIDTH-1 generate
data_out(index) <= shift_reg(0)(index);
end generate;
END vhdl;
| gpl-3.0 | 3b2b40e44ee20bb28cbea4bf619a384d | 0.614371 | 3.180952 | false | false | false | false |
ILoveSpeccy/Aeon-Lite | cores/atari800xl/src/a8core/atari800core_helloworld.vhd | 1 | 4,027 | ---------------------------------------------------------------------------
-- (c) 2013 mark watson
-- I am happy for anyone to use this for non-commercial use.
-- If my vhdl files are used commercially or otherwise sold,
-- please contact me for explicit permission at scrameta (gmail).
-- This applies for source and binary form and derived works.
---------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.all;
use IEEE.STD_LOGIC_MISC.all;
use ieee.numeric_std.all;
LIBRARY work;
-- Simple version that:
-- i) needs: CLK(58 or 28MHZ) joystick,PS2 keyboard
-- ii) provides: VIDEO,AUDIO,ROM,RAM
-- example...
-- KEEP THIS FILE SIMPLE!
ENTITY atari800core_helloworld is
GENERIC
(
-- use CLK of 1.79*cycle_length
-- I've tested 16 and 32 only, but 4 and 8 might work...
cycle_length : integer := 16; -- or 32...
video_bits : integer := 8;
internal_rom : integer :=1;
internal_ram : integer := 16384 -- at start of memory map
);
PORT
(
CLK : IN STD_LOGIC; -- cycle_length*1.79MHz
RESET_N : IN STD_LOGIC;
-- VIDEO OUT - PAL/NTSC, original Atari timings approx (may be higher res)
VIDEO_VS : OUT STD_LOGIC;
VIDEO_HS : OUT STD_LOGIC;
VIDEO_B : OUT STD_LOGIC_VECTOR(video_bits-1 DOWNTO 0);
VIDEO_G : OUT STD_LOGIC_VECTOR(video_bits-1 DOWNTO 0);
VIDEO_R : OUT STD_LOGIC_VECTOR(video_bits-1 DOWNTO 0);
-- AUDIO OUT - Pokey/GTIA 1-bit and Covox all mixed
-- TODO - choose stereo/mono pokey
AUDIO_L : OUT std_logic_vector(15 downto 0);
AUDIO_R : OUT std_logic_vector(15 downto 0);
-- JOYSTICK
JOY1_n : IN std_logic_vector(4 downto 0); -- FRLDU, 0=pressed
JOY2_n : IN std_logic_vector(4 downto 0); -- FRLDU, 0=pressed
-- KEYBOARD
PS2_CLK : IN STD_LOGIC;
PS2_DAT : IN STD_LOGIC;
-- video standard
PAL : in STD_LOGIC
);
end atari800core_helloworld;
ARCHITECTURE vhdl OF atari800core_helloworld IS
-- pokey keyboard
SIGNAL KEYBOARD_SCAN : std_logic_vector(5 downto 0);
SIGNAL KEYBOARD_RESPONSE : std_logic_vector(1 downto 0);
-- gtia consol keys
SIGNAL CONSOL_START : std_logic;
SIGNAL CONSOL_SELECT : std_logic;
SIGNAL CONSOL_OPTION : std_logic;
-- 6502 throttling
SIGNAL THROTTLE_COUNT_6502 : std_logic_vector(5 downto 0);
BEGIN
-- PS2 to pokey
keyboard_map1 : entity work.ps2_to_atari800
PORT MAP
(
CLK => clk,
RESET_N => reset_n,
PS2_CLK => ps2_clk,
PS2_DAT => ps2_dat,
KEYBOARD_SCAN => KEYBOARD_SCAN,
KEYBOARD_RESPONSE => KEYBOARD_RESPONSE,
CONSOL_START => CONSOL_START,
CONSOL_SELECT => CONSOL_SELECT,
CONSOL_OPTION => CONSOL_OPTION
-- TODO - reset!
);
-- THROTTLE
THROTTLE_COUNT_6502 <= "000001";
atarixl_simple_sdram1 : entity work.atari800core_simple_sdram
GENERIC MAP
(
cycle_length => cycle_length,
internal_rom => internal_rom,
internal_ram =>internal_ram,
video_bits => video_bits
)
PORT MAP
(
CLK => CLK,
RESET_N => RESET_N,
VIDEO_VS => VIDEO_VS,
VIDEO_HS => VIDEO_HS,
VIDEO_B => VIDEO_B,
VIDEO_G => VIDEO_G,
VIDEO_R => VIDEO_R,
VIDEO_BLANK => open,
VIDEO_BURST => open,
VIDEO_START_OF_FIELD => open,
VIDEO_ODD_LINE => open,
AUDIO_L => AUDIO_L,
AUDIO_R => AUDIO_R,
JOY1_n => JOY1_n,
JOY2_n => JOY2_n,
KEYBOARD_RESPONSE => KEYBOARD_RESPONSE,
KEYBOARD_SCAN => KEYBOARD_SCAN,
SIO_COMMAND => open,
SIO_RXD => '1',
SIO_TXD => open,
CONSOL_OPTION => CONSOL_OPTION,
CONSOL_SELECT => CONSOL_SELECT,
CONSOL_START => CONSOL_START,
SDRAM_REQUEST => open,
SDRAM_REQUEST_COMPLETE => '1',
SDRAM_READ_ENABLE => open,
SDRAM_WRITE_ENABLE => open,
SDRAM_ADDR => open,
SDRAM_DO => (others=>'1'),
DMA_FETCH => '0',
DMA_READ_ENABLE => '0',
DMA_32BIT_WRITE_ENABLE => '0',
DMA_16BIT_WRITE_ENABLE => '0',
DMA_8BIT_WRITE_ENABLE => '0',
DMA_ADDR => (others=>'1'),
DMA_WRITE_DATA => (others=>'1'),
MEMORY_READY_DMA => open,
RAM_SELECT => (others=>'0'),
ROM_SELECT => "000001",
PAL => PAL,
HALT => '0',
THROTTLE_COUNT_6502 => THROTTLE_COUNT_6502
);
end vhdl;
| gpl-3.0 | 05a295d4dc6988834825fe5bee7b4dec | 0.630246 | 2.890883 | false | false | false | false |
sonologic/gmzpu | vhdl/devices/phi_io.vhdl | 1 | 11,548 | ------------------------------------------------------------------------------
---- ----
---- ZPU Phi I/O ----
---- ----
---- http://www.opencores.org/ ----
---- ----
---- Description: ----
---- ZPU is a 32 bits small stack cpu. This is the minimum I/O devices ----
---- assumed by the libc. They are a timer and an UART.@p ----
---- Important! this is currently a simulation only model, no UART ----
---- provided and it unconditionally generates a log. ----
---- Important! not all peripherals implemented! ----
---- Important! The enable signals assumes this is mapped @ 0x80A00xx. ----
---- ----
---- To Do: ----
---- - ----
---- ----
---- Author: ----
---- - Øyvind Harboe, oyvind.harboe zylin.com ----
---- - Salvador E. Tropea, salvador inti.gob.ar ----
---- ----
------------------------------------------------------------------------------
---- ----
---- Copyright (c) 2008 Øyvind Harboe <oyvind.harboe zylin.com> ----
---- Copyright (c) 2008 Salvador E. Tropea <salvador inti.gob.ar> ----
---- Copyright (c) 2008 Instituto Nacional de Tecnología Industrial ----
---- ----
---- Distributed under the BSD license ----
---- ----
------------------------------------------------------------------------------
---- ----
---- Design unit: ZPUPhiIO(Behave) (Entity and architecture) ----
---- File name: phi_io.vhdl ----
---- Note: None ----
---- Limitations: Only for simulation. ----
---- Errors: None known ----
---- Library: zpu ----
---- Dependencies: IEEE.std_logic_1164 ----
---- IEEE.numeric_std ----
---- std.textio ----
---- zpu.zpupkg ----
---- zpu.txt_util ----
---- Target FPGA: Spartan 3 (XC3S1500-4-FG456) ----
---- Language: VHDL ----
---- Wishbone: No ----
---- Synthesis tools: N/A ----
---- Simulation tools: GHDL [Sokcho edition] (0.2x) ----
---- Text editor: SETEdit 0.5.x ----
---- ----
------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use std.textio.all;
library zpu;
use zpu.zpupkg.timer;
use zpu.zpupkg.gpio;
use zpu.UART.all;
use zpu.txt_util.all;
entity ZPUPhiIO is
generic(
BRDIVISOR : positive:=1; -- Baud rate divisor i.e. br_clk/9600/4
ENA_LOG : boolean:=true; -- Enable log
LOG_FILE : string:="log.txt"); -- Name for the log file
port(
clk_i : in std_logic; -- System Clock
reset_i : in std_logic; -- Synchronous Reset
busy_o : out std_logic; -- I/O is busy
we_i : in std_logic; -- Write Enable
re_i : in std_logic; -- Read Enable
data_i : in unsigned(31 downto 0);
data_o : out unsigned(31 downto 0);
addr_i : in unsigned(2 downto 0); -- Address bits 4-2
--
rs232_rx_i : in std_logic; -- UART Rx input
rs232_tx_o : out std_logic; -- UART Tx output
br_clk_i : in std_logic; -- UART base clock (enable)
--
gpio_in : in std_logic_vector(31 downto 0);
gpio_out : out std_logic_vector(31 downto 0);
gpio_dir : out std_logic_vector(31 downto 0) -- 1 = in, 0 = out
);
end entity ZPUPhiIO;
architecture Behave of ZPUPhiIO is
constant LOW_BITS : unsigned(1 downto 0):=(others=>'0');
constant TX_FULL : std_logic:='0';
constant RX_EMPTY : std_logic:='1';
-- "000" 0x00 is CPU enable ... useful?
constant IO_DATA : unsigned(2 downto 0):="001"; -- 0x04
constant IO_DIR : unsigned(2 downto 0):="010"; -- 0x08
constant UART_TX : unsigned(2 downto 0):="011"; -- 0x0C
constant UART_RX : unsigned(2 downto 0):="100"; -- 0x10
constant CNT_1 : unsigned(2 downto 0):="101"; -- 0x14
constant CNT_2 : unsigned(2 downto 0):="110"; -- 0x18
-- "111" 0x1C Unused
-- Unimplemented: Interrupt control and timer (not counter ...?)
signal timer_read : unsigned(31 downto 0);
signal timer_we : std_logic;
signal is_timer : std_logic;
-- UART
-- Rx
signal rx_br : std_logic; -- Rx timing
signal uart_read : std_logic; -- ZPU read the value
signal rx_avail : std_logic; -- Rx data available
signal rx_data : std_logic_vector(7 downto 0); -- Rx data
-- Tx
signal tx_br : std_logic; -- Tx timing
signal uart_write : std_logic; -- ZPU is writing
signal tx_busy : std_logic; -- Tx can't get a new value
-- GPIO
signal gpio_we : std_logic;
signal is_gpio : std_logic;
signal gpio_read : unsigned(31 downto 0);
file l_file : text open write_mode is LOG_FILE;
begin
-----------
-- Timer --
-----------
timerinst: Timer
port map(
clk_i => clk_i, reset_i => reset_i, we_i => timer_we,
data_i => data_i, addr_i => addr_i(1 downto 1),
data_o => timer_read);
busy_o <= we_i or re_i;
is_timer <= '1' when to_01(addr_i)=CNT_1 or to_01(addr_i)=CNT_2 else '0'; -- 0x80A0014/8
timer_we <= we_i and is_timer;
----------
-- UART --
----------
-- Rx section
rx_core : RxUnit
port map(
clk_i => clk_i, reset_i => reset_i, enable_i => rx_br,
read_i => uart_read, rxd_i => rs232_rx_i, rxav_o => rx_avail,
datao_o => rx_data);
uart_read <= '1' when re_i='1' and addr_i=UART_RX else '0';
-- Tx section
tx_core : TxUnit
port map(
clk_i => clk_i, reset_i => reset_i, enable_i => tx_br,
load_i => uart_write, txd_o => rs232_tx_o, busy_o => tx_busy,
datai_i => std_logic_vector(data_i(7 downto 0)));
uart_write <= '1' when we_i='1' and addr_i=UART_TX else '0';
-- Rx timing
rx_timer : BRGen
generic map(COUNT => BRDIVISOR)
port map(
clk_i => clk_i, reset_i => reset_i, ce_i => br_clk_i, o_o => rx_br);
-- Tx timing
tx_timer : BRGen -- 4 Divider for Tx
generic map(COUNT => 4)
port map(
clk_i => clk_i, reset_i => reset_i, ce_i => rx_br, o_o => tx_br);
----------
-- GPIO --
----------
gpio_i0: gpio
port map(
clk_i => clk_i, -- : in std_logic;
reset_i => reset_i, -- : in std_logic;
--
we_i => gpio_we, -- : in std_logic;
data_i => data_i, -- : in unsigned(31 downto 0);
addr_i => addr_i(1 downto 1), -- : in unsigned( 0 downto 0);
data_o => gpio_read, -- : out unsigned(31 downto 0);
--
port_in => gpio_in, -- : std_logic_vector(31 downto 0);
port_out => gpio_out, -- : std_logic_vector(31 downto 0);
port_dir => gpio_dir -- : std_logic_vector(31 downto 0);
);
is_gpio <= '1' when to_01(addr_i) = IO_DATA or to_01(addr_i) = IO_DIR else '0'; -- 0x80A0004/8
gpio_we <= we_i and is_gpio;
do_io:
process(clk_i)
--synopsys translate off
variable line_out : line := new string'("");
variable char : character;
--synopsys translate on
begin
if rising_edge(clk_i) then
if reset_i/='1' then
--synopsys translate off
if we_i='1' then
if addr_i=UART_TX and ENA_LOG then -- 0x80a000c
-- Write to UART
print("- Write to UART Tx: 0x" &hstr(data_i)&" ("&
character'val(to_integer(data_i) mod 256)&")");
char := character'val(to_integer(data_i));
if char = lf then
std.textio.writeline(l_file, line_out);
else
std.textio.write(line_out, char);
end if;
elsif is_gpio = '1' and ENA_LOG then
print("- Write GPIO: 0x" & hstr(data_i));
elsif is_timer='1' and ENA_LOG then
print("- Write to TIMER: 0x" & hstr(data_i));
else
--print(l_file,character'val(to_integer(data_i)));
report "Illegal IO data_i=0x"&hstr(data_i)&" @0x"&
hstr(x"80a00"&"000"&addr_i&"00") severity warning;
end if;
end if;
--synopsys translate on
data_o <= (others => '0');
if re_i='1' then
if is_gpio = '1' then
if ENA_LOG then
print("- Read GPIO: 0x" & hstr(gpio_read));
end if;
data_o <= gpio_read;
elsif addr_i=UART_TX then
--if ENA_LOG then
--print("- Read UART Tx");
--end if;
data_o(8) <= not(tx_busy); -- output fifo not full
elsif addr_i=UART_RX then
if ENA_LOG then
print("- Read UART Rx");
end if;
data_o(8) <= rx_avail; -- receiver not empty
data_o(7 downto 0) <= unsigned(rx_data);
elsif is_timer='1' then
if ENA_LOG then
print("- Read TIMER: 0x" & hstr(timer_read));
end if;
data_o <= timer_read;
else
report "Illegal IO data_o @0x"&
hstr(x"80a00"&"000"&addr_i&"00") severity warning;
end if;
end if; -- re_i='1'
end if; -- reset_i/='1'
end if; -- rising_edge(clk_i)
end process do_io;
end Behave;
| bsd-3-clause | ecda99020da021920fed13613ea8c33e | 0.396605 | 4.146499 | false | false | false | false |
APastorG/APG | average_calculator/average_calculator.vhd | 1 | 8,142 | /***************************************************************************************************
/
/ Author: Antonio Pastor González
/ ¯¯¯¯¯¯
/
/ Date:
/ ¯¯¯¯
/
/ Version:
/ ¯¯¯¯¯¯¯
/
/ Notes:
/ ¯¯¯¯¯
/ This design makes use of some features from VHDL-2008, all of which have been implemented in
/ Vivado by Xilinx
/ A 3 space tab is used throughout the document
/
/
/ Description:
/ ¯¯¯¯¯¯¯¯¯¯¯
/ This is the interface between the instantiation of an adder an its core. It exists to make it
/ possible to use external std_ulogic_vector which contain the numeric values while having modules
/ which are able to manipulate this data as fixed point types (either u_ufixed or u_sfixed).
/ As std_ulogic_vector have a natural range and the u_ufixed and u_sfixed types have an integer
/ range ('high downto 0 is the integer part and -1 downto 'low is the fractional part) it is needed
/ a solution so as to represent the negative indexes in the std_ulogic_vector. A solution is
/ adopted where the integer indexes of the fixed point types are moved to the natural space with a
/ transformation. This consists in limiting the indexes of the fixed point data to +-2**30 and
/ adding 2**30 to obtain the std_ulogic_vector's indexes. [-2**30, 2**30]->[0, 2**31]. For example,
/ fixed point indexes (3 donwto -2) would become (1073741827, 1073741822) in a std_ulogic_vector
/ Additionally, the generics' consistency and correctness are checked in here.
/
**************************************************************************************************/
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.math_real.all;
library work;
use work.common_data_types_pkg.all;
use work.common_pkg.all;
use work.adder_pkg.all;
use work.fixed_generic_pkg.all;
use work.average_calculator_pkg.all;
/*================================================================================================*/
/*================================================================================================*/
/*================================================================================================*/
entity average_calculator is
generic(
UNSIGNED_2COMP_opt : boolean := false; --default
DATA_IMM_AFTER_START_opt : boolean := false; --default
SPEED_opt : T_speed := t_min; --exception: value not set
ROUND_STYLE_opt : T_round_style := fixed_truncate; --default
ROUND_TO_BIT_opt : integer_exc := integer'low; --exception: value not set
MAX_ERROR_PCT_opt : real_exc := real'low; --exception: value not set
S : positive --compulsory
);
port(
input : in sulv_v; --unconstrained array
clk : in std_ulogic;
start : in std_ulogic;
valid_input : in std_ulogic;
output : out std_ulogic_vector; --unconstrained array
valid_output : out std_ulogic
);
end entity;
/*================================================================================================*/
/*================================================================================================*/
/*================================================================================================*/
architecture average_calculator1 of average_calculator is
constant P : positive := input'length(1);
constant NORM_IN_HIGH : integer := input(1)'high-SULV_NEW_ZERO;
constant NORM_IN_LOW : integer := input(1)'low-SULV_NEW_ZERO;
/* constant CHECKS : integer := average_calculator_CHECKS();*/
constant INTER_HIGH : integer := average_calculator_IH(S,
P,
NORM_IN_HIGH);
constant INTER_LOW : integer := average_calculator_IL(ROUND_TO_BIT_opt,
NORM_IN_LOW);
constant NORM_OUT_HIGH : integer := average_calculator_OH(UNSIGNED_2COMP_opt,
ROUND_STYLE_opt,
ROUND_TO_BIT_opt,
MAX_ERROR_PCT_opt,
S,
P,
NORM_IN_HIGH,
NORM_IN_LOW);
constant NORM_OUT_LOW : integer := average_calculator_OL(UNSIGNED_2COMP_opt,
ROUND_STYLE_opt,
ROUND_TO_BIT_opt,
MAX_ERROR_PCT_opt,
S,
P,
NORM_IN_HIGH,
NORM_IN_LOW);
constant OUT_HIGH : integer := NORM_OUT_HIGH + SULV_NEW_ZERO;
constant OUT_LOW : integer := NORM_OUT_LOW + SULV_NEW_ZERO;
signal aux_input_s : u_sfixed_v(1 to P)(NORM_IN_HIGH downto NORM_IN_LOW);
signal aux_output_s : u_sfixed(NORM_OUT_HIGH downto NORM_OUT_LOW);
signal aux_input_u : u_ufixed_v(1 to P)(NORM_IN_HIGH downto NORM_IN_LOW);
signal aux_output_u : u_ufixed(NORM_OUT_HIGH downto NORM_OUT_LOW);
/*================================================================================================*/
/*================================================================================================*/
begin
average_calculator_selection:
if UNSIGNED_2COMP_opt generate
begin
generate_input:
for i in 1 to P generate
begin
aux_input_u(i) <= to_ufixed(input(i), aux_input_u(i));
end;
end generate;
output(OUT_HIGH downto OUT_LOW)
<= std_ulogic_vector(aux_output_u);
average_calculator_u1:
entity work.average_calculator_u
generic map(
DATA_IMM_AFTER_START_opt => DATA_IMM_AFTER_START_opt,
SPEED_opt => SPEED_opt,
ROUND_STYLE_opt => ROUND_STYLE_opt,
ROUND_TO_BIT_opt => ROUND_TO_BIT_opt,
MAX_ERROR_PCT_opt => MAX_ERROR_PCT_opt,
S => S
)
port map(
clk => clk,
input => aux_input_u,
valid_input => valid_input,
start => start,
output => aux_output_u,
valid_output => valid_output
);
end;
else generate
begin
generate_input:
for i in 1 to P generate
begin
aux_input_s(i) <= to_sfixed(input(i), aux_input_s(i));
end;
end generate;
output(OUT_HIGH downto OUT_LOW)
<= std_ulogic_vector(aux_output_s);
average_calculator_s1:
entity work.average_calculator_s
generic map(
DATA_IMM_AFTER_START_opt => DATA_IMM_AFTER_START_opt,
SPEED_opt => SPEED_opt,
ROUND_STYLE_opt => ROUND_STYLE_opt,
ROUND_TO_BIT_opt => ROUND_TO_BIT_opt,
MAX_ERROR_PCT_opt => MAX_ERROR_PCT_opt,
S => S
)
port map(
clk => clk,
input => aux_input_s,
valid_input => valid_input,
start => start,
output => aux_output_s,
valid_output => valid_output
);
end;
end generate;
end architecture; | mit | 4e04e90999ae1dc570427c324eb0ef1c | 0.428836 | 4.678592 | false | false | false | false |
VHDLTool/VHDL_Handbook_STD | Extras/VHDL/STD_03900_good.vhd | 1 | 5,023 | -------------------------------------------------------------------------------------------------
-- Company : CNES
-- Author : Mickael Carl (CNES)
-- Copyright : Copyright (c) CNES.
-- Licensing : GNU GPLv3
-------------------------------------------------------------------------------------------------
-- Version : V1.1
-- Version history :
-- V1 : 2015-04-13 : Mickael Carl (CNES): Creation
-- V1.1 : 2016-05-03 : F.Manni (CNES) : add initialization trough reset for Raz, enable and Count_Length
-------------------------------------------------------------------------------------------------
-- File name : STD_03900_good.vhd
-- File Creation date : 2015-04-13
-- Project name : VHDL Handbook CNES Edition
-------------------------------------------------------------------------------------------------
-- Softwares : Microsoft Windows (Windows 7) - Editor (Eclipse + VEditor)
-------------------------------------------------------------------------------------------------
-- Description : Handbook example: State machine type definition: good example
--
-- Limitations : This file is an example of the VHDL handbook made by CNES. It is a stub aimed at
-- demonstrating good practices in VHDL and as such, its design is minimalistic.
-- It is provided as is, without any warranty.
-- This example is compliant with the Handbook version 1.
--
-------------------------------------------------------------------------------------------------
-- Naming conventions:
--
-- i_Port: Input entity port
-- o_Port: Output entity port
-- b_Port: Bidirectional entity port
-- g_My_Generic: Generic entity port
--
-- c_My_Constant: Constant definition
-- t_My_Type: Custom type definition
--
-- My_Signal_n: Active low signal
-- v_My_Variable: Variable
-- sm_My_Signal: FSM signal
-- pkg_Param: Element Param coming from a package
--
-- My_Signal_re: Rising edge detection of My_Signal
-- My_Signal_fe: Falling edge detection of My_Signal
-- My_Signal_rX: X times registered My_Signal signal
--
-- P_Process_Name: Process
--
-------------------------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity STD_03900_good is
port (
i_Clock : in std_logic; -- Clock input
i_Reset_n : in std_logic; -- Reset input
i_Start : in std_logic; -- Start counter signal
i_Stop : in std_logic -- Stop counter signal
);
end STD_03900_good;
--CODE
architecture Behavioral of STD_03900_good is
constant c_Length : std_logic_vector(3 downto 0) := (others => '1'); -- How long we should count
type t_state is (init, loading, enabled, finished); -- Enumerated type for state encoding
signal sm_State : t_state; -- State signal
signal Raz : std_logic; -- Load the length value and initialize the counter
signal Enable : std_logic; -- Counter enable signal
signal Length : std_logic_vector(3 downto 0); -- Counter length for counting
signal End_Count : std_logic; -- End signal of counter
begin
-- A simple counter with loading length and enable signal
Counter:Counter
port map (
i_Clock => i_Clock,
i_Reset_n => i_Reset_n,
i_Raz => Raz,
i_Enable => Enable,
i_Length => Length,
o_Done => End_Count
);
-- FSM process controlling the counter. Start or stop it in function of the input (i_Start & i_Stop),
-- load the length value, and wait for it to finish
P_FSM:process(i_Reset_n, i_Clock)
begin
if (i_Reset_n='0') then
sm_State <= init;
Raz <= '0';
Enable <= '0';
Count_Length <= (others=>'0');
elsif (rising_edge(i_Clock)) then
case sm_State is
when init =>
-- Set the length value
Length <= c_Length;
sm_State <= loading;
when loading =>
-- Load the counter and initialize it
Raz <= '1';
sm_State <= enabled;
when enabled =>
-- Start or stop counting depending on inputs until it finishes
Raz <= '0';
if (End_Count='0') then
-- The counter has not finished, wait
Enable <= i_Start xor not i_Stop;
sm_State <= Enabled;
else
-- The counter has finished, nothing else to do
Enable <= '0';
sm_State <= finished;
end if;
when others =>
sm_State <= init;
end case;
end if;
end process;
end Behavioral;
--CODE | gpl-3.0 | 931df03de7baca59db9133e983d5ab36 | 0.476807 | 4.716432 | false | false | false | false |
ILoveSpeccy/Aeon-Lite | cores/lvov-pk01/src/lvov_with_cache.vhd | 1 | 14,039 | library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity lvov is
Port (
CLK50 : IN STD_LOGIC;
RESET_BTN : IN STD_LOGIC;
PS2_CLK : in STD_LOGIC;
PS2_DATA : in STD_LOGIC;
SRAM_A : out std_logic_vector(17 downto 0);
SRAM_D : inout std_logic_vector(15 downto 0);
SRAM_WE : out std_logic;
SRAM_OE : out std_logic;
SRAM_CS : out std_logic;
SRAM_LB : out std_logic;
SRAM_UB : out std_logic;
SD_MOSI : out std_logic;
SD_MISO : in std_logic;
SD_SCK : out std_logic;
SD_CS : out std_logic;
LED : OUT std_logic;
TAPE_IN : IN std_logic;
VGA_R : OUT STD_LOGIC_VECTOR(3 downto 0);
VGA_G : OUT STD_LOGIC_VECTOR(3 downto 0);
VGA_B : OUT STD_LOGIC_VECTOR(3 downto 0);
VGA_HSYNC : OUT STD_LOGIC;
VGA_VSYNC : OUT STD_LOGIC );
end lvov;
architecture Behavioral of lvov is
-- Verilog Modules
----------------------------------------------------------
component k580wm80a is
port(
clk : in std_logic;
ce : in std_logic;
reset : in std_logic;
intr : in std_logic;
idata : in std_logic_vector(7 downto 0);
addr : out std_logic_vector(15 downto 0);
sync : out std_logic;
rd : out std_logic;
wr_n : out std_logic;
inta_n : out std_logic;
odata : out std_logic_vector(7 downto 0);
inte_o : out std_logic );
end component;
----------------------------------------------------------
signal CLK : std_logic;
signal RESET : std_logic := '0';
signal TICK : std_logic_vector(3 downto 0) := "0000";
signal SRAM_DI : std_logic_vector(7 downto 0);
signal SRAM_DO : std_logic_vector(7 downto 0);
signal VRAM_CS : std_logic;
signal CACHE_SWAP : std_logic;
signal CACHE_WE : std_logic;
signal CACHE_A : std_logic_vector(5 downto 0);
signal CACHE_DI : std_logic_vector(7 downto 0);
signal CACHE_VA : std_logic_vector(5 downto 0);
signal CACHE_VD : std_logic_vector(7 downto 0);
signal SCANLINE : std_logic_vector(7 downto 0);
signal CACHE_RD : std_logic;
signal CACHE_CNT : std_logic_vector (5 downto 0);
signal KEYB_A : std_logic_vector(7 downto 0);
signal KEYB_D : std_logic_vector(7 downto 0);
signal KEYB_A2 : std_logic_vector(3 downto 0);
signal KEYB_D2 : std_logic_vector(3 downto 0);
signal COLORS : std_logic_vector(6 downto 0);
signal ROM_D : std_logic_vector(7 downto 0);
signal ROM_INIT : std_logic;
signal CPU_CLK : std_logic;
signal CPU_SYNC : std_logic;
signal CPU_RD : std_logic;
signal CPU_WR_N : std_logic;
signal CPU_A : std_logic_vector(15 downto 0);
signal CPU_DI : std_logic_vector(7 downto 0);
signal CPU_DO : std_logic_vector(7 downto 0);
signal IO_RD : std_logic;
signal IO_WR : std_logic;
signal MEM_RD : std_logic;
signal MEM_WR : std_logic;
signal XSD_EN : std_logic;
signal XSDROM_D : std_logic_vector(7 downto 0);
signal SD_CLK_R : std_logic;
signal SD_DATA : std_logic_vector(6 downto 0);
signal SD_O : std_logic_vector(7 downto 0);
-- FSM States
type STATE_TYPE is ( IDLE, RAMREAD1,
RAMWRITE1, RAMWRITE2,
CACHE1, CACHE2, CACHE3, CACHE4 );
signal STATE : STATE_TYPE := IDLE;
begin
u_CLOCK : entity work.clock
port map(
CLK_IN => CLK50,
CLK_OUT => CLK );
u_ROM : entity work.rom
port map(
CLKA => CLK,
ADDRA => CPU_A(13 downto 0),
DOUTA => ROM_D );
u_XSDROM : entity work.xsd_rom
port map(
CLKA => CLK,
ADDRA => CPU_A(10 downto 0),
DOUTA => XSDROM_D );
u_CPU : k580wm80a
port map(
clk => CLK,
ce => CPU_CLK,
reset => not RESET,
intr => '0',
idata => CPU_DI,
addr => CPU_A,
sync => CPU_SYNC,
rd => CPU_RD,
wr_n => CPU_WR_N,
inta_n => OPEN,
odata => CPU_DO,
inte_o => OPEN );
u_VIDEO : entity work.video
port map(
CLK => CLK,
RESET => '1',
CACHE_SWAP => CACHE_SWAP,
CACHE_A => CACHE_VA,
CACHE_D => CACHE_VD,
CURRENT_LINE=> SCANLINE,
COLORS => COLORS,
R => VGA_R,
G => VGA_G,
B => VGA_B,
HSYNC => VGA_HSYNC,
VSYNC => VGA_VSYNC );
u_CACHE : entity work.cache
port map(
CLK => CLK,
AI => CACHE_A,
DI => CACHE_DI,
WE => CACHE_WE,
AO => CACHE_VA,
DO => CACHE_VD,
CACHE => CACHE_SWAP );
u_KEYBOARD : entity work.keyboard
port map(
CLK => CLK,
RESET => RESET,
PS2_CLK => PS2_CLK,
PS2_DATA => PS2_DATA,
KEYB_A => KEYB_A,
KEYB_D => KEYB_D,
KEYB_A2 => KEYB_A2,
KEYB_D2 => KEYB_D2 );
SRAM_LB <= '0';
SRAM_UB <= '1';
SRAM_D <= "ZZZZZZZZ" & SRAM_DI;
SRAM_DO <= SRAM_D(7 downto 0);
--
----------------------------------------------------------
process(CLK)
begin
if rising_edge(CLK) then
if RESET = '0' then
MEM_WR <= '1';
MEM_RD <= '1';
IO_WR <= '1';
IO_RD <= '1';
else
if CPU_SYNC = '1' then
MEM_WR <= '1';
MEM_RD <= '1';
IO_WR <= '1';
IO_RD <= '1';
if CPU_DO(4) = '1' then
IO_WR <= '0';
elsif CPU_DO(6) = '1' then
IO_RD <= '0';
elsif CPU_DO(7) = '1' then
MEM_RD <= '0';
elsif CPU_DO(7) = '0' then
MEM_WR <= '0';
end if;
end if;
end if;
end if;
end process;
-- LED <= TAPE_IN;
-- reset & first ROM access
CLOCK : process(CLK)
begin
if rising_edge(CLK) then
if RESET_BTN = '0' then
TICK <= (others => '0');
RESET <= '0';
ROM_INIT <= '1';
else
TICK <= TICK + 1;
CPU_CLK <= '0';
if TICK = "1111" then -- Generate 2.16MHz (32.5MHz/15) CPU Clock (Original 2.22MHz (20MHz/9))
CPU_CLK <= '1';
TICK <= (others => '0');
RESET <= '1';
if IO_WR = '0' or IO_RD = '0' then
ROM_INIT <= '0';
end if;
end if;
end if;
end if;
end process;
FSM : process(CLK)
begin
if rising_edge(CLK) then
if RESET = '0' then
SRAM_WE <= '1';
SRAM_OE <= '1';
SRAM_CS <= '1';
SRAM_DI <= (others => 'Z');
CACHE_RD <= '0';
CACHE_CNT <= "000000";
XSD_EN <= '0';
LED <= '1';
else
CACHE_WE <= '1';
if CACHE_SWAP = '1' then
CACHE_RD <= '1';
CACHE_CNT <= "000000";
end if;
case STATE is
when IDLE =>
if TICK = 1 then
if MEM_RD = '0' and CPU_RD = '1' then ------------------------------------------------------------------------- Read from Memory
if (CPU_A(15) = '1' and CPU_A(14) = '1') or ROM_INIT = '1' then -- Read from ROM
CPU_DI <= ROM_D;
elsif CPU_A(15 downto 11) = "00000" and XSD_EN = '1' then
CPU_DI <= XSDROM_D;
else -- Read from RAM
if CPU_A(15) = '0' and VRAM_CS = '0' then
SRAM_A <= "01" & CPU_A;
else
SRAM_A <= "00" & CPU_A;
end if;
SRAM_OE <= '0';
SRAM_CS <= '0';
STATE <= RAMREAD1;
end if;
elsif MEM_WR = '0' and CPU_WR_N = '0' then ---------------------------------------------------------------------- Write to Memory
if CPU_A(15) = '0' and VRAM_CS = '0' then
SRAM_A <= "01" & CPU_A;
else
SRAM_A <= "00" & CPU_A;
end if;
SRAM_WE <= '0';
SRAM_CS <= '0';
SRAM_DI <= CPU_DO;
STATE <= RAMWRITE1;
elsif IO_RD = '0' and CPU_RD = '1' then ---------------------------------------------------------------------- Read from I/O-Ports
CPU_DI <= (others => '1');
if CPU_A(7 downto 0) = X"F1" then
CPU_DI <= SD_O;
elsif CPU_A(4) ='0' and CPU_A(3) = '0' and CPU_A(1 downto 0) = "10" then
CPU_DI <= "111" & TAPE_IN & "1111";
elsif CPU_A(4) = '1' and CPU_A(3) = '0' and CPU_A(1 downto 0) = "01" then
CPU_DI <= KEYB_D;
elsif CPU_A(4) = '1' and CPU_A(3) = '0' and CPU_A(1 downto 0) = "10" then
CPU_DI <= KEYB_D2 & KEYB_A2;
end if;
elsif IO_WR = '0' and CPU_WR_N = '0' then ---------------------------------------------------------------------- Write to I/O-Ports
if CPU_A(7 downto 0) = X"FF" then
XSD_EN <= CPU_DO(1);
LED <= '0';
elsif CPU_A(4) = '0' and CPU_A(3) = '0' and CPU_A(1 downto 0) = "01" then
COLORS <= CPU_DO(6 downto 0);
elsif CPU_A(4) = '0' and CPU_A(3) = '0' and CPU_A(1 downto 0) = "10" then
VRAM_CS <= CPU_DO(1);
elsif CPU_A(4) = '1' and CPU_A(3) = '0' and CPU_A(1 downto 0) = "00" then
KEYB_A <= CPU_DO;
elsif CPU_A(4) = '1' and CPU_A(3) = '0' and CPU_A(1 downto 0) = "10" then
KEYB_A2 <= CPU_DO(3 downto 0);
end if;
else
if CACHE_RD = '1' then
SRAM_A <= "0101" & SCANLINE & CACHE_CNT;
SRAM_OE <= '0';
SRAM_CS <= '0';
STATE <= CACHE1;
end if;
end if;
end if;
when CACHE1 =>
CACHE_A <= CACHE_CNT;
CACHE_DI <= SRAM_DO;
SRAM_OE <= '1';
SRAM_CS <= '1';
CACHE_WE <= '0';
if CACHE_CNT = "111111" then
CACHE_RD <= '0';
else
CACHE_CNT <= CACHE_CNT + '1';
end if;
STATE <= IDLE;
when RAMREAD1 =>
SRAM_OE <= '1';
SRAM_CS <= '1';
CPU_DI <= SRAM_DO;
STATE <= IDLE;
when RAMWRITE1 =>
SRAM_WE <= '1';
SRAM_CS <= '1';
STATE <= RAMWRITE2;
when RAMWRITE2 =>
SRAM_DI <= (others => 'Z');
STATE <= IDLE;
when OTHERS =>
STATE <= IDLE;
end case;
end if;
end if;
end process;
--//////////////////// SD CARD ////////////////////
--reg sdcs;
--reg sdclk;
--reg sdcmd;
--reg[6:0] sddata;
--wire[7:0] sd_o = {sddata, SD_DAT};
--
--assign SD_DAT3 = ~sdcs;
--assign SD_CMD = sdcmd;
--assign SD_CLK = sdclk;
--
--always @(posedge clk50 or posedge reset) begin
-- if (reset) begin
-- sdcs <= 1'b0;
-- sdclk <= 1'b0;
-- sdcmd <= 1'h1;
-- end else begin
-- if (addrbus[4:0]==5'h1A && ~port_wr_n) sdcs <= cpu_o[0];
-- if (addrbus[4:0]==5'h1B && ~port_wr_n) begin
-- if (sdclk) sddata <= {sddata[5:0],SD_DAT};
-- sdcmd <= cpu_o[7];
-- sdclk <= 1'b0;
-- end
-- if (cpu_rd) sdclk <= 1'b1;
-- end
--end
SD_O <= SD_DATA & SD_MISO;
SD_SCK <= SD_CLK_R;
process(CLK)
begin
if RESET = '0' then
SD_CS <= '1';
SD_MOSI <= '1';
SD_CLK_R <= '0';
elsif rising_edge(CLK) then
if IO_WR = '0' and CPU_A(7 downto 0) = X"F0" then
SD_CS <= not CPU_DO(0);
elsif IO_WR = '0' and CPU_A(7 downto 0) = X"F1" then
if SD_CLK_R = '1' then
SD_DATA <= SD_DATA(5 downto 0) & SD_MISO;
end if;
SD_MOSI <= CPU_DO(7);
SD_CLK_R <= '0';
end if;
if IO_RD = '0' or MEM_RD = '0' then
SD_CLK_R <= '1';
end if;
end if;
end process;
end Behavioral; | gpl-3.0 | a1784b2123bbecd7fb55afdbbd9d40e8 | 0.378375 | 3.679948 | false | false | false | false |
APastorG/APG | counter/counter.vhd | 1 | 5,574 | /***************************************************************************************************
/
/ Author: Antonio Pastor González
/ ¯¯¯¯¯¯
/
/ Date:
/ ¯¯¯¯
/
/ Version:
/ ¯¯¯¯¯¯¯
/
/ Notes:
/ ¯¯¯¯¯
/ This design makes use of some features from VHDL-2008, all of which have been implemented by
/ Altera and Xilinx in their software.
/ A 3 space tab is used throughout the document
/
/
/ Description:
/ ¯¯¯¯¯¯¯¯¯¯¯
/ This is the interface between the instantiation of a counter an its content. It exists to
/ circumvent the impossibility of reading the attributes of an unconstrained port signal inside the
/ port declaration of an entity. (e.g. to declare an output's size, which depends on an input's
/ size).
/ Additionally, the generics' consistency and correctness is checked in here.
/
**************************************************************************************************/
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library work;
use work.common_data_types_pkg.all;
use work.common_pkg.all;
use work.counter_pkg.all;
/*================================================================================================*/
/*================================================================================================*/
/*================================================================================================*/
entity counter is
generic(
UNSIGNED_2COMP_opt : boolean := true; --default
OVERFLOW_BEHAVIOR_opt : T_overflow_behavior := t_wrap; --default
COUNT_MODE_opt : T_count_mode := t_up; --default
COUNTER_WIDTH_dep : positive_exc := 0; --exception : value not set
TARGET_MODE : boolean; --compulsory
TARGET_dep : integer_exc := integer'low; --exception : value not set
TARGET_WITH_COUNT_opt : boolean_exc := t_exc; --default
TARGET_BLOCKING_opt : boolean_exc := t_exc; --default
USE_SET : boolean; --compulsory
SET_TO_dep : integer_exc := integer'low; --exception : value not set
USE_RESET : boolean; --compulsory
SET_RESET_PRIORITY_opt : T_set_reset_priority := t_reset; --default
USE_LOAD : boolean --compulsory
);
port(
clk : in std_ulogic;
enable : in std_ulogic;
set : in std_ulogic := 'U';
reset : in std_ulogic := 'U';
load : in std_ulogic := 'U';
count_mode_signal : in std_ulogic := 'U';
value_to_load : in std_ulogic_vector:= (counter_CIW(UNSIGNED_2COMP_opt,
COUNTER_WIDTH_dep,
TARGET_MODE,
TARGET_dep,
USE_SET,
SET_TO_dep) downto 1
=> 'U');
count : out std_ulogic_vector;
count_is_target : out std_ulogic_vector
);
end entity;
/*================================================================================================*/
/*================================================================================================*/
/*================================================================================================*/
architecture counter1 of counter is
constant CHECKS : integer := counter_CHECKS(UNSIGNED_2COMP_opt,
TARGET_MODE,
USE_SET,
USE_RESET,
USE_LOAD,
TARGET_BLOCKING_opt,
TARGET_dep,
SET_TO_dep,
COUNTER_WIDTH_dep);
begin
counter_core1:
entity work.counter_core
generic map(
UNSIGNED_2COMP_opt => UNSIGNED_2COMP_opt,
OVERFLOW_BEHAVIOR_opt => OVERFLOW_BEHAVIOR_opt,
COUNT_MODE_opt => COUNT_MODE_opt,
COUNTER_WIDTH_dep => COUNTER_WIDTH_dep,
TARGET_MODE => TARGET_MODE,
TARGET_dep => TARGET_dep,
TARGET_WITH_COUNT_opt => TARGET_WITH_COUNT_opt,
TARGET_BLOCKING_opt => TARGET_BLOCKING_opt,
USE_SET => USE_SET,
SET_TO_dep => SET_TO_dep,
USE_RESET => USE_RESET,
SET_RESET_PRIORITY_opt => SET_RESET_PRIORITY_opt,
USE_LOAD => USE_LOAD
)
port map(
clk => clk,
enable => enable,
count_mode_signal => count_mode_signal,
set => set,
reset => reset,
load => load,
value_to_load => value_to_load,
count => count,
count_is_target => count_is_target
);
end architecture; | mit | 61e12b3d9b4517df9d6b12eee7847be3 | 0.378881 | 5.045537 | false | false | false | false |
ILoveSpeccy/Aeon-Lite | cores/atari800xl/src/a8core/antic.vhdl | 1 | 63,633 | ---------------------------------------------------------------------------
-- (c) 2013 mark watson
-- I am happy for anyone to use this for non-commercial use.
-- If my vhdl files are used commercially or otherwise sold,
-- please contact me for explicit permission at scrameta (gmail).
-- This applies for source and binary form and derived works.
---------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.all;
use ieee.numeric_std.all;
USE ieee.math_real.ceil;
USE ieee.math_real.log2;
use IEEE.STD_LOGIC_MISC.all;
ENTITY antic IS
GENERIC
(
cycle_length : integer := 16 -- or 32...
);
PORT
(
CLK : IN STD_LOGIC;
ADDR : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
CPU_DATA_IN : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
WR_EN : IN STD_LOGIC;
RESET_N : IN STD_LOGIC;
MEMORY_READY_ANTIC : IN STD_LOGIC;
MEMORY_READY_CPU : IN STD_LOGIC;
MEMORY_DATA_IN : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
ANTIC_ENABLE_179 : IN std_logic;
PAL : IN STD_LOGIC;
lightpen : in std_logic;
-- CPU interface
DATA_OUT : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
NMI_N_OUT : OUT std_logic;
ANTIC_READY : OUT std_logic; -- taken low by wsync writes
-- GTIA interface
AN : OUT STD_LOGIC_VECTOR(2 downto 0);
COLOUR_CLOCK_ORIGINAL_OUT : out std_logic;
COLOUR_CLOCK_OUT : out std_logic;
HIGHRES_COLOUR_CLOCK_OUT : out std_logic; -- 2x to allow for half pixel modes
-- DMA fetch
dma_fetch_out : out std_logic;
dma_address_out : out std_logic_vector(15 downto 0);
-- refresh
refresh_out : out std_logic; -- used by sdram
-- for debugging
dma_clock_out : out std_logic;
hcount_out : out std_logic_vector(7 downto 0);
vcount_out : out std_logic_vector(8 downto 0)
);
END antic;
ARCHITECTURE vhdl OF antic IS
COMPONENT complete_address_decoder IS
generic (width : natural := 1);
PORT
(
addr_in : in std_logic_vector(width-1 downto 0);
addr_decoded : out std_logic_vector((2**width)-1 downto 0)
);
END component;
COMPONENT antic_dma_clock IS
PORT
(
CLK : IN STD_LOGIC;
RESET_n : IN STD_LOGIC;
enable_dma : IN STD_LOGIC;
playfield_start : in std_logic;
playfield_end : in std_logic;
vblank : in std_logic;
slow_dma : in std_logic;
medium_dma : in std_logic;
fast_dma : in std_logic;
dma_clock_out_0 : out std_logic;
dma_clock_out_1 : out std_logic;
dma_clock_out_2 : out std_logic;
dma_clock_out_3 : out std_logic
);
END component;
component antic_counter IS
generic
(
STORE_WIDTH : natural := 1;
COUNT_WIDTH : natural := 1
);
PORT
(
CLK : IN STD_LOGIC;
RESET_n : IN STD_LOGIC;
increment : in std_logic;
load : IN STD_LOGIC;
load_value : in std_logic_vector(STORE_WIDTH-1 downto 0);
current_value : out std_logic_vector(STORE_WIDTH-1 downto 0)
);
END component;
component simple_counter IS
generic
(
COUNT_WIDTH : natural := 1
);
PORT
(
CLK : IN STD_LOGIC;
RESET_n : IN STD_LOGIC;
increment : in std_logic;
load : IN STD_LOGIC;
load_value : in std_logic_vector(COUNT_WIDTH-1 downto 0);
current_value : out std_logic_vector(COUNT_WIDTH-1 downto 0)
);
END component;
component delay_line IS
generic(COUNT : natural := 1);
PORT
(
CLK : IN STD_LOGIC;
SYNC_RESET : IN STD_LOGIC;
DATA_IN : IN STD_LOGIC;
ENABLE : IN STD_LOGIC;
RESET_N : IN STD_LOGIC;
DATA_OUT : OUT STD_LOGIC
);
END component;
component wide_delay_line IS
generic(COUNT : natural := 1; WIDTH : natural :=1);
PORT
(
CLK : IN STD_LOGIC;
SYNC_RESET : IN STD_LOGIC;
DATA_IN : IN STD_LOGIC_VECTOR(WIDTH-1 downto 0);
ENABLE : IN STD_LOGIC; -- i.e. shift on this clock
RESET_N : IN STD_LOGIC;
DATA_OUT : OUT STD_LOGIC_VECTOR(WIDTH-1 downto 0)
);
END component;
component reg_file IS
generic
(
BYTES : natural := 1;
WIDTH : natural := 1
);
PORT
(
CLK : IN STD_LOGIC;
ADDR : IN STD_LOGIC_VECTOR(width-1 DOWNTO 0);
DATA_IN : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
WR_EN : IN STD_LOGIC;
DATA_OUT : OUT STD_LOGIC_VECTOR(7 DOWNTO 0)
);
END component;
function To_Std_Logic(L: BOOLEAN) return std_ulogic is
begin
if L then
return('1');
else
return('0');
end if;
end function To_Std_Logic;
signal addr_decoded : std_logic_vector(15 downto 0);
signal enable_dma : std_logic;
signal dma_clock_character_name : std_logic;
signal dma_clock_character_inc : std_logic;
signal dma_clock_bitmap_data : std_logic;
signal dma_clock_character_data : std_logic;
signal wsync_next : std_logic;
signal wsync_reg : std_logic;
signal wsync_reset : std_logic;
signal wsync_write : std_logic;
signal wsync_delayed_write : std_logic;
signal nmi_next : std_logic;
signal nmi_reg : std_logic;
signal nmi_shiftreg_next : std_logic_vector(15 downto 0);
signal nmi_shiftreg_reg : std_logic_vector(15 downto 0);
signal nmist_next : std_logic_vector(7 downto 5); -- dli/vbi/reset
signal nmist_reg : std_logic_vector(7 downto 5);
signal nmist_reset : std_logic;
signal nmien_raw_next : std_logic_vector(7 downto 6); -- dli/vbi (reset always active)
signal nmien_raw_reg : std_logic_vector(7 downto 6);
signal nmien_delayed_reg : std_logic_vector(7 downto 6);
signal dli_nmi_next : std_logic;
signal vbi_nmi_next : std_logic;
signal dli_nmi_reg : std_logic;
signal vbi_nmi_reg : std_logic;
signal nmi_reset : std_logic;
--signal nmi_pending_next : std_logic; -- looks like on t65 the nmi_n is blocked by rdy being low, but not on real hardware?? XXX verify
--signal nmi_pending_reg : std_logic;
signal playfield_dma_start : std_logic;
signal playfield_dma_end : std_logic;
signal playfield_display_active_next : std_logic;
signal playfield_display_active_reg : std_logic;
signal dmactl_raw_next : std_logic_vector(6 downto 0);
signal dmactl_raw_reg : std_logic_vector(6 downto 0);
signal dmactl_delayed_reg : std_logic_vector(6 downto 0);
signal dmactl_delayed_enabled : std_logic;
signal chactl_next : std_logic_vector(2 downto 0);
signal chactl_reg : std_logic_vector(2 downto 0);
-- dma is only allowed during certain portions of the display
signal allow_real_dma_next : std_logic;
signal allow_real_dma_reg : std_logic;
-- dma fetch handling
-- dma is scheduled one cycle before it happens, so that registers can directly drive output
signal dma_fetch_next : std_logic;
signal dma_fetch_reg : std_logic;
signal dma_address_next : std_logic_vector(15 downto 0);
signal dma_address_reg : std_logic_vector(15 downto 0);
signal dma_cache_next : std_logic_vector(7 downto 0);
signal dma_cache_reg : std_logic_vector(7 downto 0);
signal dma_cache_ready_next : std_logic;
signal dma_cache_ready_reg : std_logic;
constant dma_fetch_line_buffer : std_logic_vector(2 downto 0) := "000";
constant dma_fetch_shiftreg : std_logic_vector(2 downto 0) := "001";
constant dma_fetch_null : std_logic_vector(2 downto 0) := "010";
constant dma_fetch_instruction : std_logic_vector(2 downto 0) := "011";
constant dma_fetch_list_low : std_logic_vector(2 downto 0) := "100";
constant dma_fetch_list_high : std_logic_vector(2 downto 0) := "101";
signal dma_fetch_destination_next : std_logic_vector(2 downto 0);
signal dma_fetch_destination_reg : std_logic_vector(2 downto 0);
signal dma_fetch_request : std_logic;
signal display_list_address_low_temp_next : std_logic_vector(7 downto 0);
signal display_list_address_low_temp_reg : std_logic_vector(7 downto 0);
signal character_next : std_logic_vector(7 downto 0);
signal character_reg : std_logic_vector(7 downto 0);
signal displayed_character_next : std_logic_vector(7 downto 0);
signal displayed_character_reg : std_logic_vector(7 downto 0);
-- instruction decode
signal instruction_next : std_logic_vector(7 downto 0);
signal instruction_reg : std_logic_vector(7 downto 0);
signal first_line_of_instruction_next : std_logic;
signal first_line_of_instruction_reg: std_logic;
signal last_line_of_instruction_live : std_logic;
signal last_line_of_instruction_next : std_logic;
signal last_line_of_instruction_reg: std_logic;
signal force_final_row : std_logic;
signal instruction_blank_reg : std_logic;
signal instruction_blank_next : std_logic;
--type dma_speed_type is (no_dma,slow_dma,medium_dma,fast_dma);
--signal dma_speed_next : dma_speed_type;
--signal dma_speed_reg : dma_speed_type;
constant no_dma : std_logic_vector(1 downto 0) := "00";
constant slow_dma : std_logic_vector(1 downto 0) := "01";
constant medium_dma : std_logic_vector(1 downto 0) := "10";
constant fast_dma : std_logic_vector(1 downto 0) := "11";
signal dma_speed_next : std_logic_vector(1 downto 0);
signal dma_speed_reg : std_logic_vector(1 downto 0);
signal slow_dma_s,medium_dma_s,fast_dma_s : std_logic; -- remove me XXX
--type instruction_type is (mode_character, mode_bitmap, mode_jvb, mode_jump, mode_blank);
--signal instruction_type_next : instruction_type;
--signal instruction_type_reg : instruction_type;
constant mode_character : std_logic_vector(2 downto 0) := "000";
constant mode_bitmap : std_logic_vector(2 downto 0) := "001";
constant mode_jvb : std_logic_vector(2 downto 0) := "010";
constant mode_jump : std_logic_vector(2 downto 0) := "011";
constant mode_blank : std_logic_vector(2 downto 0) := "100";
signal instruction_type_next : std_logic_vector(2 downto 0);
signal instruction_type_reg : std_logic_vector(2 downto 0);
signal two_part_instruction_next : std_logic;
signal two_part_instruction_reg : std_logic;
-- e.g. if instruction is 8 lines long then the final row is 7
signal instruction_final_row_next : std_logic_vector(3 downto 0);
signal instruction_final_row_reg : std_logic_vector(3 downto 0);
--type shift_rate_type is (slow_shift,medium_shift,fast_shift);
--signal shift_rate_next : shift_rate_type;
--signal shift_rate_reg : shift_rate_type;
constant slow_shift : std_logic_vector(1 downto 0) := "00";
constant medium_shift : std_logic_vector(1 downto 0) := "01";
constant fast_shift : std_logic_vector(1 downto 0) := "10";
signal shift_rate_next : std_logic_vector(1 downto 0);
signal shift_rate_reg : std_logic_vector(1 downto 0);
signal shift_twobit_next : std_logic; -- Provide AN0 and AN1, or just AN0
signal shift_twobit_reg : std_logic;
signal twopixel_next : std_logic; -- GTIA should interpret output as two pixels
signal twopixel_reg : std_logic;
signal single_colour_character_next : std_logic; -- for antic 6/7 where high two bits of character code determine colour
signal single_colour_character_reg : std_logic;
signal multi_colour_character_next : std_logic; -- for antic 4/5 where 2 bits + 1 bit of of character code determin colour
signal multi_colour_character_reg : std_logic;
signal twoline_character_next : std_logic; -- for antic 5/7
signal twoline_character_reg : std_logic;
signal map_background_next : std_logic; -- background,pf0,pf1,pf2 graphics modes or background,pf0 modes
signal map_background_reg : std_logic;
signal dli_enabled_next : std_logic;
signal dli_enabled_reg : std_logic;
signal descenders_next : std_logic; -- for mode 3
signal descenders_reg : std_logic;
-- Shift register used to output to AN2-AN0
-- Can either be loaded from memory or from the line buffer
signal display_shift_next : std_logic_vector(7 downto 0);
signal display_shift_reg : std_logic_vector(7 downto 0);
signal delay_display_shift_next : std_logic_vector(24 downto 0);
signal delay_display_shift_reg : std_logic_vector(24 downto 0);
signal data_live : std_logic_vector(1 downto 0); -- helper for chatctl
signal load_display_shift_from_memory : std_logic;
signal load_display_shift_from_line_buffer : std_logic;
signal enable_shift : std_logic;
signal shiftclock_next : std_logic_vector(3 downto 0);
signal shiftclock_reg : std_logic_vector(3 downto 0);
signal playfield_reset : std_logic;
signal playfield_load : std_logic;
-- position in frame
signal hcount_next : std_logic_vector(7 downto 0);
signal hcount_reg : std_logic_vector(7 downto 0);
signal vcount_next : std_logic_vector(8 downto 0);
signal vcount_reg : std_logic_vector(8 downto 0);
signal vblank_next : std_logic;
signal vblank_reg : std_logic;
signal vsync_next : std_logic;
signal vsync_reg : std_logic;
signal hblank_next : std_logic;
signal hblank_reg : std_logic;
signal playfield_dma_start_cycle : std_logic_vector(7 downto 0);
signal playfield_dma_end_cycle : std_logic_vector(7 downto 0);
signal playfield_display_start_cycle : std_logic_vector(7 downto 0);
signal playfield_display_end_cycle : std_logic_vector(7 downto 0);
signal hcount_reset : std_logic;
signal vcount_reset : std_logic;
signal vcount_increment : std_logic;
-- scrolling
signal hscrol_reg : std_logic_vector(3 downto 0);
signal hscrol_next : std_logic_vector(3 downto 0);
signal vscrol_raw_reg : std_logic_vector(3 downto 0);
signal vscrol_raw_next : std_logic_vector(3 downto 0);
signal vscrol_delayed_reg : std_logic_vector(3 downto 0);
signal vscrol_enabled_reg : std_logic;
signal vscrol_enabled_next : std_logic;
signal vscrol_last_enabled_reg : std_logic;
signal vscrol_last_enabled_next : std_logic;
signal update_row_count : std_logic;
signal hscrol_enabled_reg : std_logic;
signal hscrol_enabled_next : std_logic;
-- refresh
signal refresh_pending_next : std_logic;
signal refresh_pending_reg : std_logic;
signal refresh_fetch_next : std_logic;
signal refresh_fetch_reg : std_logic;
-- Counter signals
signal increment_display_list_address : std_logic;
signal load_display_list_address : std_logic;
signal display_list_address_next : std_logic_vector(15 downto 0);
signal display_list_address_reg : std_logic_vector(15 downto 0);
signal load_display_list_address_cpu : std_logic;
signal load_display_list_address_dma : std_logic;
signal display_list_address_next_cpu : std_logic_vector(15 downto 0);
signal display_list_address_next_dma : std_logic_vector(15 downto 0);
signal increment_memory_scan_address : std_logic;
signal load_memory_scan_address : std_logic;
signal memory_scan_address_next : std_logic_vector(15 downto 0);
signal memory_scan_address_reg : std_logic_vector(15 downto 0);
signal increment_line_buffer_address : std_logic;
signal load_line_buffer_address : std_logic;
signal line_buffer_address_next : std_logic_vector(7 downto 0);
signal line_buffer_address_reg : std_logic_vector(7 downto 0);
signal increment_row_count : std_logic;
signal load_row_count : std_logic;
signal row_count_next : std_logic_vector(3 downto 0);
signal row_count_reg : std_logic_vector(3 downto 0);
signal increment_refresh_count : std_logic;
signal load_refresh_count : std_logic;
signal refresh_count_next : std_logic_vector(3 downto 0);
signal refresh_count_reg : std_logic_vector(3 downto 0);
signal pmbase_reg : std_logic_vector(7 downto 2);
signal pmbase_next : std_logic_vector(7 downto 2);
signal chbase_raw_reg : std_logic_vector(7 downto 1);
signal chbase_raw_next : std_logic_vector(7 downto 1);
signal chbase_delayed_reg : std_logic_vector(7 downto 1);
signal line_buffer_write : std_logic;
signal line_buffer_data_in : std_logic_vector(7 downto 0);
signal line_buffer_data_out : std_logic_vector(7 downto 0);
-- output registers
signal an_current : std_logic_vector(2 downto 0); -- live unregistered calculation of next output (not gated by cc)
signal an_prev_next : std_logic_vector(2 downto 0); -- previous - for hscrol
signal an_prev_reg : std_logic_vector(2 downto 0); -- previous - for hscrol
signal an_next : std_logic_vector(2 downto 0);
signal an_reg : std_logic_vector(2 downto 0);
-- light pendin
signal penv_next : std_logic_vector(7 downto 0);
signal penv_reg : std_logic_vector(7 downto 0);
signal penh_next : std_logic_vector(7 downto 0);
signal penh_reg : std_logic_vector(7 downto 0);
-- high res modes
signal colour_clock_8x : std_logic;
signal colour_clock_4x : std_logic;
signal colour_clock_2x : std_logic;
signal colour_clock_1x : std_logic;
signal colour_clock_half_x : std_logic;
signal colour_clock_selected : std_logic;
signal colour_clock_selected_highres : std_logic;
constant cycle_length_bits: integer := integer(ceil(log2(real(cycle_length))));
signal colour_clock_count_next : std_logic_vector(cycle_length_bits-1 downto 0);
signal colour_clock_count_reg : std_logic_vector(cycle_length_bits-1 downto 0);
signal colour_clock_count_reg_topthree : std_logic_vector(2 downto 0);
signal memory_ready_both : std_logic;
BEGIN
-- register
process(clk,reset_n)
begin
if (reset_n = '0') then
nmi_reg <= '0';
wsync_reg <= '0';
vcount_reg <= (others=>'0');
--hcount_reg <= X"01";
hcount_reg <= X"00";
dmactl_raw_reg <= (others=>'0');
chactl_reg <= (others=>'0');
vblank_reg <= '0';
vsync_reg <= '0';
pmbase_reg <= (others=>'0');
allow_real_dma_reg <= '0';
display_shift_reg <= (others=>'0');
chbase_raw_reg <= (others=>'0');
instruction_reg <= (others=>'0');
first_line_of_instruction_reg <= '0';
last_line_of_instruction_reg <= '0';
dma_speed_reg <= no_dma;
instruction_type_reg <= mode_blank;
instruction_final_row_reg <= (others=>'0');
shift_rate_reg <= slow_shift;
shift_twobit_reg <= '0';
twopixel_reg <= '0';
single_colour_character_reg <= '0';
multi_colour_character_reg <= '0';
twoline_character_reg <= '0';
map_background_reg <= '0';
dli_enabled_reg <= '0';
two_part_instruction_reg <= '0';
descenders_reg <='0';
hscrol_reg <= (others=>'0');
vscrol_raw_reg <= (others=>'0');
vscrol_enabled_reg <= '0';
vscrol_last_enabled_reg <= '0';
hscrol_enabled_reg <= '0';
shiftclock_reg <= (others=>'0');
hblank_reg <= '0';
an_reg <= (others=>'0');
an_prev_reg <= (others=>'0');
dma_fetch_reg <= '0';
dma_address_reg <= (others=>'0');
dma_cache_reg <= (others=>'0');
dma_cache_ready_reg <= '0';
dma_fetch_destination_reg <= dma_fetch_null;
playfield_display_active_reg <= '0';
instruction_blank_reg <= '0';
display_list_address_low_temp_reg <= (others=>'0');
character_reg <= (others=>'0');
displayed_character_reg <= (others=>'0');
refresh_pending_reg <= '0';
refresh_fetch_reg <= '0';
--nmi_pending_reg <= '0';
dli_nmi_reg <= '0';
vbi_nmi_reg <= '0';
nmien_raw_reg <= (others=>'0');
delay_display_shift_reg <= (others=>'0');
nmi_shiftreg_reg <= (others=>'1');
penh_reg <= (others=>'0');
penv_reg <= (others=>'0');
colour_clock_count_reg <= (others=>'0');
elsif (clk'event and clk='1') then
nmi_reg <= nmi_next;
wsync_reg <= wsync_next;
vcount_reg <= vcount_next;
hcount_reg <= hcount_next;
nmist_reg <= nmist_next;
dmactl_raw_reg <= dmactl_raw_next;
chactl_reg <= chactl_next;
vblank_reg <= vblank_next;
vsync_reg <= vsync_next;
pmbase_reg <= pmbase_next;
allow_real_dma_reg <= allow_real_dma_next;
display_shift_reg <= display_shift_next;
chbase_raw_reg <= chbase_raw_next;
instruction_reg <= instruction_next;
first_line_of_instruction_reg <= first_line_of_instruction_next;
last_line_of_instruction_reg <= last_line_of_instruction_next;
dma_speed_reg <= dma_speed_next;
instruction_type_reg <= instruction_type_next;
instruction_final_row_reg <= instruction_final_row_next;
shift_rate_reg <= shift_rate_next;
shift_twobit_reg <= shift_twobit_next;
twopixel_reg <= twopixel_next;
single_colour_character_reg <= single_colour_character_next;
multi_colour_character_reg <= multi_colour_character_next;
twoline_character_reg <= twoline_character_next;
map_background_reg <= map_background_next;
dli_enabled_reg <= dli_enabled_next;
two_part_instruction_reg <= two_part_instruction_next;
descenders_reg <= descenders_next;
hscrol_reg <= hscrol_next;
vscrol_raw_reg <= vscrol_raw_next;
vscrol_enabled_reg <= vscrol_enabled_next;
vscrol_last_enabled_reg <= vscrol_last_enabled_next;
hscrol_enabled_reg <= hscrol_enabled_next;
shiftclock_reg <= shiftclock_next;
hblank_reg <= hblank_next;
an_reg <= an_next;
an_prev_reg <= an_prev_next;
dma_fetch_reg <= dma_fetch_next;
dma_address_reg <= dma_address_next;
dma_cache_reg <= dma_cache_next;
dma_cache_ready_reg <= dma_cache_ready_next;
dma_fetch_destination_reg <= dma_fetch_destination_next;
playfield_display_active_reg <= playfield_display_active_next;
instruction_blank_reg <= instruction_blank_next;
display_list_address_low_temp_reg <= display_list_address_low_temp_next;
character_reg <= character_next;
displayed_character_reg <= displayed_character_next;
refresh_pending_reg <= refresh_pending_next;
refresh_fetch_reg <= refresh_fetch_next;
dli_nmi_reg <= dli_nmi_next;
vbi_nmi_reg <= vbi_nmi_next;
nmien_raw_reg <= nmien_raw_next;
delay_display_shift_reg <= delay_display_shift_next;
nmi_shiftreg_reg <= nmi_shiftreg_next;
penh_reg <= penh_next;
penv_reg <= penv_next;
colour_clock_count_reg <= colour_clock_count_next;
end if;
end process;
-- Colour clock (3.57MHz for PAL)
-- TODO - allow double for 640 pixel width and quadruple for 1280 pixel width)
-- TODO - allow other clocks for driving VGA (mostly higher dot clock + line doubling (buffer between antic and gtia??))
colour_clock_count_reg_topthree <= colour_clock_count_reg(cycle_length_bits-1 downto cycle_length_bits-3);
process(colour_clock_count_reg, colour_clock_count_reg_topthree, ANTIC_ENABLE_179)
begin
colour_clock_half_x <= '0';
colour_clock_1x<='0';
colour_clock_2x<='0';
colour_clock_4x<='0';
colour_clock_8x<='0';
colour_clock_count_next <= std_logic_vector(unsigned(colour_clock_count_reg) + 1);
if (ANTIC_ENABLE_179 = '1') then -- resync...
colour_clock_count_next <= std_logic_vector(to_unsigned(1,cycle_length_bits));
end if;
colour_clock_8x <= '1';
if (or_reduce(colour_clock_count_reg( cycle_length_bits-4 downto 0)) = '0') then
case colour_clock_count_reg_topthree is
when "000" =>
colour_clock_half_x <= '1';
colour_clock_1x <= '1';
colour_clock_2x <= '1';
colour_clock_4x <= '1';
when "001" =>
colour_clock_4x <= '1';
when "010" =>
colour_clock_2x <= '1';
colour_clock_4x <= '1';
when "011" =>
colour_clock_4x <= '1';
when "100" =>
colour_clock_1x <= '1';
colour_clock_2x <= '1';
colour_clock_4x <= '1';
when "101" =>
colour_clock_4x <= '1';
when "110" =>
colour_clock_2x <= '1';
colour_clock_4x <= '1';
when "111" =>
colour_clock_4x <= '1';
when others =>
-- nop
end case;
end if;
end process;
process(colour_clock_half_x,colour_clock_1x,colour_clock_2x, colour_clock_4x, colour_clock_8x, dmactl_delayed_reg)
begin
enable_dma <= colour_clock_half_x;
colour_clock_selected <= colour_clock_1x;
colour_clock_selected_highres <= colour_clock_2x;
dmactl_delayed_enabled <= '0';
case dmactl_delayed_reg(6 downto 5) is
when "01" =>
dmactl_delayed_enabled <= '1';
when "10" =>
enable_dma <= colour_clock_1x;
colour_clock_selected <= colour_clock_2x;
colour_clock_selected_highres <= colour_clock_4x;
dmactl_delayed_enabled <= '1';
when "11" =>
enable_dma <= colour_clock_2x;
colour_clock_selected <= colour_clock_4x;
colour_clock_selected_highres <= colour_clock_8x;
dmactl_delayed_enabled <= '1';
when others =>
--nop
end case;
end process;
-- Counters (memory address for data, memory address for display list)
antic_counter_memory_scan : antic_counter
generic map (STORE_WIDTH=>16, COUNT_WIDTH=>12)
port map (clk=>clk, reset_n=>reset_n, increment=>increment_memory_scan_address, load=>load_memory_scan_address, load_value=>memory_scan_address_next, current_value=>memory_scan_address_reg);
load_display_list_address <= load_display_list_address_cpu or load_display_list_address_dma;
display_list_address_next <= display_list_address_next_cpu when load_display_list_address_cpu='1' else display_list_address_next_dma;
antic_counter_display_list : antic_counter
generic map (STORE_WIDTH=>16, COUNT_WIDTH=>10)
port map (clk=>clk, reset_n=>reset_n, increment=>increment_display_list_address, load=>load_display_list_address, load_value=>display_list_address_next, current_value=>display_list_address_reg);
antic_counter_line_buffer : simple_counter
generic map (COUNT_WIDTH=>8)
port map (clk=>clk, reset_n=>reset_n, increment=>increment_line_buffer_address, load=>load_line_buffer_address, load_value=>line_buffer_address_next, current_value=>line_buffer_address_reg);
antic_counter_row_count : simple_counter
generic map (COUNT_WIDTH=>4)
port map (clk=>clk, reset_n=>reset_n, increment=>increment_row_count, load=>load_row_count, load_value=>row_count_next, current_value=>row_count_reg);
antic_counter_refresh_count : simple_counter
generic map (COUNT_WIDTH=>4)
port map (clk=>clk, reset_n=>reset_n, increment=>increment_refresh_count, load=>load_refresh_count, load_value=>refresh_count_next, current_value=>refresh_count_reg);
-- Count position on screen
-- horizontal
process(hcount_reg, colour_clock_1x, colour_clock_half_x, hcount_reset)
begin
hcount_next <= hcount_reg;
if (colour_clock_1x = '1') then
hcount_next <= std_logic_vector(unsigned(hcount_reg)+1);
if (colour_clock_half_x = '1') then
hcount_next(0) <= '1';
end if;
end if;
if (hcount_reset = '1') then
hcount_next <= (others=>'0');
end if;
end process;
-- vertical
process(vcount_reg, vcount_increment, vcount_reset, colour_clock_1x)
begin
vcount_next <= vcount_reg;
if (colour_clock_1x = '1') then
if (vcount_increment = '1') then
vcount_next <= std_logic_vector(unsigned(vcount_reg)+1);
end if;
if (vcount_reset = '1') then
vcount_next <= (others=>'0');
end if;
end if;
end process;
-- Actions done based on vertical position
process(vcount_reg, vblank_reg, vsync_reg, colour_clock_1x,vcount_increment,pal)
begin
vblank_next <= vblank_reg;
vsync_next <= vsync_reg;
vcount_reset <= '0';
if (colour_clock_1x='1') then
case vcount_reg is
when '0'&X"08" =>
vblank_next <= '0';
when '0'&X"F8" =>
vblank_next <= '1';
--when '1'&X"05" => (changed for testing galaxian!)
-- vblank_next <= '1';
-- PAL
when '1'&X"16" =>
vsync_next <= pal;
when '1'&X"19" =>
vsync_next <= '0';
when '1'&X"38" =>
vcount_reset <= '1'; -- Blip at 9c..., then wrap
-- NTSC
when '0'&X"FA" =>
vsync_next <= not(pal);
when '0'&X"FD" =>
vsync_next <= '0';
when '1'&X"06" =>
vcount_reset <= not(pal); -- Blip at 9c..., then wrap
when others =>
-- nothing
end case;
end if;
end process;
-- Calculate playfield start/end
process(hscrol_enabled_reg, dmactl_delayed_reg, hscrol_reg)
begin
playfield_dma_start_cycle <= (others=>'1');
playfield_dma_end_cycle <= (others=>'1');
playfield_display_start_cycle <= (others=>'1');
playfield_display_end_cycle <= (others=>'1');
-- DMA clock start/end
-- wide=3, normal=2, narrow=1
-- Playfield start is at (in colour clocks):
-- 68 + hscrol&FE - width*16
-- i.e. for width=3 and hscrol=0 -> 68+0-48 = 20 (10 in cycles)
-- i.e. for width=2 and hscrol=0 -> 68+0-32 = 36 (18 in cycles)
-- i.e. for width=1 and hscrol=0 -> 68+0-16 = 52 (26 in cycles)
-- MUST BE A NICE EASY WAY TO GET THIS - expect offset is wrong...
-- e.g. if its 64 then we may be able to do something like base&width&
-- Playfield end is at (in colour clocks):
-- 164 + hscrol&FE + width*16
-- i.e. for width=3 and hscrol=0 -> 164+0+48 = 212 (106 in cycles)
-- i.e. for width=2 and hscrol=0 -> 164+0+32 = 192 (96 in cycles)
-- i.e. for width=1 and hscrol=0 -> 164+0+16 = 180 (92 in cycles)
-- hscrol interfers with playfield dma width (for dma purposes only!)
if (hscrol_enabled_reg='1') then
case dmactl_delayed_reg(1 downto 0) is
when "10"|"11" => -- normal and wide - both treated as wide due to hscrol
playfield_dma_start_cycle <= X"1"&hscrol_reg(3 downto 1)&'1'; -- 20 (10 in machine cycles)
playfield_dma_end_cycle <= X"D"&hscrol_reg(3 downto 1)&'0'; -- 212 (106 in machine cycles)
when "01" => -- narrow - traated as normal due to hscrol
playfield_dma_start_cycle <= X"2"&hscrol_reg(3 downto 1)&'1'; -- 36 (18 in machine cycles)
playfield_dma_end_cycle <= X"C"&hscrol_reg(3 downto 1)&'0'; -- 196 (98 in machine cycles)
when others =>
-- nothing
end case;
else
case dmactl_delayed_reg(1 downto 0) is
when "11" => -- wide
playfield_dma_start_cycle <= X"11";
playfield_dma_end_cycle <= X"D0";
when "10" => -- normal
playfield_dma_start_cycle <= X"21";
playfield_dma_end_cycle <= X"C0";
when "01" => -- narrow
playfield_dma_start_cycle <= X"31";
playfield_dma_end_cycle <= X"B0";
when others =>
-- nothing
end case;
end if;
-- background colour outside this area...
-- TODO - review these locations - should be clear due to garbage!
case dmactl_delayed_reg(1 downto 0) is
when "11" => -- wide
playfield_display_start_cycle <= X"2B"; -- TODO work out correct noise on left for large hscrol
if (hscrol_reg > X"C") then
playfield_display_start_cycle <= X"2F"; -- TODO work out correct noise on left for large hscrol
end if;
playfield_display_end_cycle <= X"DD"; -- last two colour clocks are corrupt (ie dc to dd), then there are two missing...
when "10" => -- normal
playfield_display_start_cycle <= X"2F";
playfield_display_end_cycle <= X"CF";
when "01" => -- narrow
playfield_display_start_cycle <= X"3F";
playfield_display_end_cycle <= X"BF";
when others =>
-- nothing
end case;
end process;
-- Actions done based on horizontal position - notably dma!
process (dmactl_delayed_enabled, hcount_reg, vcount_reg, vblank_reg, hblank_reg, dmactl_delayed_reg, playfield_dma_start_cycle, playfield_dma_end_cycle, playfield_display_start_cycle, playfield_display_end_cycle, instruction_final_row_reg, display_list_address_reg, pmbase_reg, first_line_of_instruction_reg, last_line_of_instruction_live, last_line_of_instruction_reg, instruction_type_reg, dma_clock_character_name, dma_clock_character_data, dma_clock_bitmap_data, allow_real_dma_reg, row_count_reg, dma_address_reg, memory_scan_address_reg, chbase_delayed_reg, line_buffer_data_out, enable_dma, colour_clock_1x, two_part_instruction_reg, dma_fetch_destination_reg, playfield_display_active_reg, character_reg, dma_clock_character_inc, single_colour_character_reg, twoline_character_reg, instruction_reg, dli_enabled_reg, refresh_fetch_next, chactl_reg, vscrol_enabled_reg, vscrol_last_enabled_reg,twopixel_reg,dli_nmi_reg,vbi_nmi_reg,displayed_character_reg)
begin
allow_real_dma_next <= allow_real_dma_reg;
playfield_dma_start <= '0';
playfield_dma_end <= '0';
playfield_display_active_next <= playfield_display_active_reg;
dma_fetch_request <= '0';
dma_address_next <= dma_address_reg;
dma_fetch_destination_next <= dma_fetch_destination_reg;
first_line_of_instruction_next <= first_line_of_instruction_reg;
last_line_of_instruction_next <= last_line_of_instruction_reg;
load_display_shift_from_line_buffer <= '0';
increment_memory_scan_address <= '0';
line_buffer_address_next <= (others=>'0');
load_line_buffer_address <= '0';
increment_line_buffer_address <= '0';
playfield_load <= '0';
hblank_next <= hblank_reg;
hcount_reset <= '0';
vcount_increment <= '0';
character_next <= character_reg;
displayed_character_next <= displayed_character_reg;
dli_nmi_next <= dli_nmi_reg;
vbi_nmi_next <= vbi_nmi_reg;
wsync_reset <= '0';
load_refresh_count <= '0';
refresh_count_next <= (others=>'0');
update_row_count <= '0';
vscrol_last_enabled_next <= vscrol_last_enabled_reg;
if (colour_clock_1x = '1') then
-- Playfield start/end
if (unsigned(hcount_reg) = (unsigned(playfield_dma_start_cycle))) then
playfield_dma_start <= '1';
load_line_buffer_address <= '1';
end if;
if (unsigned(hcount_reg) = (unsigned(playfield_dma_end_cycle))) then
playfield_dma_end <= '1';
end if;
if (hcount_reg = playfield_display_start_cycle) then
playfield_display_active_next <= '1';
end if;
if (hcount_reg = playfield_display_end_cycle) then
playfield_display_active_next <= '0';
end if;
if (dma_clock_character_data = '1') then -- Final cycle of this is cycle 114 (0 based) - aka cycle 0... Which clashes with pmg dma.
if (dmactl_delayed_enabled='1' and instruction_type_reg = mode_character) then -- Sooo only enable, never disable
dma_fetch_request <= '1';
end if;
if (twoline_character_reg='1') then
if (single_colour_character_reg='1') then
dma_address_next <= chbase_delayed_reg(7 downto 1)&character_reg(5 downto 0)&(row_count_reg(3 downto 1)xor chactl_reg(2)&chactl_reg(2)&chactl_reg(2));
else
dma_address_next <= chbase_delayed_reg(7 downto 2)&character_reg(6 downto 0)&(row_count_reg(3 downto 1)xor chactl_reg(2)&chactl_reg(2)&chactl_reg(2));
end if;
else
if (single_colour_character_reg='1') then
dma_address_next <= chbase_delayed_reg(7 downto 1)&character_reg(5 downto 0)&(row_count_reg(2 downto 0)xor chactl_reg(2)&chactl_reg(2)&chactl_reg(2));
else
dma_address_next <= chbase_delayed_reg(7 downto 2)&character_reg(6 downto 0)&(row_count_reg(2 downto 0)xor chactl_reg(2)&chactl_reg(2)&chactl_reg(2));
end if;
end if;
displayed_character_next <= character_reg;
-- TODO
-- Logic depends on mode - e.g. some 128 char, some 64 char etc. Line count is complex, depends on vscrol etc.
dma_fetch_destination_next <= dma_fetch_shiftreg;
load_display_shift_from_line_buffer <= to_std_logic(instruction_type_reg = mode_bitmap);
increment_line_buffer_address <= '1';
end if;
case hcount_reg is
when X"00" => -- missile DMA, if missile or player DMA enabled
dma_fetch_request <= dmactl_delayed_reg(2) or dmactl_delayed_reg(3);
dma_fetch_destination_next <= dma_fetch_null;
if (dmactl_delayed_reg(4) = '1') then -- single line resolution
dma_address_next <= pmbase_reg(7 downto 3)&"011"&vcount_reg(7 downto 0);
else
dma_address_next <= pmbase_reg(7 downto 2)&"011"&vcount_reg(7 downto 1);
end if;
when X"02" => -- display list dma if enabled
first_line_of_instruction_next <= '0';
if (instruction_type_reg = mode_jvb) then
-- suppress when waiting for vblank
first_line_of_instruction_next <= first_line_of_instruction_reg or vblank_reg;
else
if (last_line_of_instruction_reg = '1') then -- set on previous line at cycle 108
dma_fetch_request <= dmactl_delayed_enabled;
dma_address_next <= display_list_address_reg;
dma_fetch_destination_next <= dma_fetch_instruction;
first_line_of_instruction_next <= '1';
vscrol_last_enabled_next <= vscrol_enabled_reg;
end if;
end if;
when X"05" =>
update_row_count <= '1'; -- done after instruction fetch...
when X"04"|X"06"|X"08"|X"0A" => -- player DMA, if enabled
dma_fetch_request <= dmactl_delayed_reg(3);
dma_fetch_destination_next <= dma_fetch_null;
if (dmactl_delayed_reg(4) = '1') then -- single line resolution
dma_address_next <= pmbase_reg(7 downto 3)&std_logic_vector(unsigned(hcount_reg(3 downto 1))+2)&vcount_reg(7 downto 0);
else
dma_address_next <= pmbase_reg(7 downto 2)&std_logic_vector(unsigned(hcount_reg(3 downto 1))+2)&vcount_reg(7 downto 1);
end if;
when X"0C" => -- lms lower byte dma if display list dma enabled?;
dma_fetch_request <= dmactl_delayed_enabled and first_line_of_instruction_reg and two_part_instruction_reg;
dma_address_next <= display_list_address_reg;
dma_fetch_destination_next <= dma_fetch_list_low;
when X"0E" => -- lms upper byte dma if display list dma enabled?
dma_fetch_request <= dmactl_delayed_enabled and first_line_of_instruction_reg and two_part_instruction_reg;
dma_address_next <= display_list_address_reg;
dma_fetch_destination_next <= dma_fetch_list_high;
if (instruction_type_reg = mode_jvb) then
-- turn off this extra dma for jvb mode, re-enabled by vblank_reg
first_line_of_instruction_next <= '0';
end if;
dli_nmi_next <= dli_enabled_reg and last_line_of_instruction_live and not vblank_reg;
if (vcount_reg = '0'&X"F8") then
vbi_nmi_next <= '1';
end if;
when X"12" =>
dli_nmi_next <= '0';
vbi_nmi_next <= '0';
when X"21" =>
hblank_next <= '0';
when X"24" =>
playfield_load <= '1'; -- start the shift register
when X"31" => -- start refresh
load_refresh_count <= '1';
refresh_count_next <= (others=>'0');
when X"D2" => -- cycle 105 - reset wsync so we process from 105 on
wsync_reset <= '1';
when X"D4" => -- Force playfield DMA into virtual mode (cycle 105)
allow_real_dma_next <= '0';
load_refresh_count <= '1';
refresh_count_next <= "1001";
when X"D8" => -- vscrol value checked at cycle 108
last_line_of_instruction_next <= last_line_of_instruction_live;
when X"DE" => -- Increment vcount immediately before cycle 111 (again the 4 offset as seen in hscrol...)
vcount_increment <= '1';
when X"DD" =>
hblank_next <= '1';
when X"E3" => -- Wrap hcount after 227 (i.e. 0 to 227)
hcount_reset <= '1';
allow_real_dma_next <= not(vblank_reg);
when others =>
-- nothing
end case;
-- Playfield DMA
if (instruction_type_reg = mode_character and dma_clock_character_name = '1') then -- for character name
dma_fetch_request <= dmactl_delayed_enabled and first_line_of_instruction_reg;
dma_address_next <= memory_scan_address_reg;
dma_fetch_destination_next <= dma_fetch_line_buffer;
increment_memory_scan_address <= first_line_of_instruction_reg;
end if;
if (instruction_type_reg = mode_character and dma_clock_character_inc = '1') then -- next character
character_next <= line_buffer_data_out;
increment_line_buffer_address <= '1';
end if;
if (instruction_type_reg = mode_bitmap and dma_clock_bitmap_data = '1' and first_line_of_instruction_reg='1') then -- bitmap data
dma_fetch_request <= dmactl_delayed_enabled;
dma_address_next <= memory_scan_address_reg;
dma_fetch_destination_next <= dma_fetch_line_buffer;
increment_memory_scan_address <= '1';
end if;
if (vblank_reg = '1') then
dma_fetch_request <= '0';
end if;
if (refresh_fetch_next = '1') then
dma_address_next <= (others=>'0');
end if;
end if;
end process;
-- refresh handling
process(hcount_reg,refresh_count_reg,colour_clock_1x,dma_fetch_next,refresh_pending_reg, refresh_fetch_reg, allow_real_dma_next)
begin
increment_refresh_count <= '0';
refresh_pending_next <= refresh_pending_reg;
refresh_fetch_next <= refresh_fetch_reg;
if (colour_clock_1x = '1' and hcount_reg(0) = '0') then
refresh_fetch_next <= '0';
-- do pending refresh once we have a spare cycle
if (refresh_pending_reg='1' and (dma_fetch_next='0' or allow_real_dma_next='0')) then
refresh_fetch_next <= '1';
refresh_pending_next <= '0';
end if;
-- do scheduled refresh - if block, enable pending one
if (hcount_reg(2 downto 1) = "01" and unsigned(refresh_count_reg)<9) then
increment_refresh_count <= '1';
refresh_fetch_next <= not(dma_fetch_next);
refresh_pending_next <= dma_fetch_next;
end if;
end if;
end process;
process(refresh_fetch_next)
begin
end process;
-- nmi handling
-- edge senstive, single cycle is enough (unless cpu disabled or clashes)
-- antic asserts for 2 old cycles - if we stick to that then in turbo mode it fixes most nmi bugs, in normal mode they still exist... which is the goal.
nmien_delay : wide_delay_line
generic map (COUNT=>cycle_length,WIDTH=>2)
port map (clk=>clk,sync_reset=>'0',data_in=>nmien_raw_reg(7 downto 6),enable=>'1',reset_n=>reset_n,data_out=>nmien_delayed_reg(7 downto 6));
nmi_next <= not((dli_nmi_reg and nmien_delayed_reg(7)) or (vbi_nmi_reg and nmien_delayed_reg(6)));
process(nmist_reg, vbi_nmi_reg, dli_nmi_reg, vbi_nmi_next, dli_nmi_next, nmist_reset)
begin
nmist_next(5) <= '0';
nmist_next(6) <= ((nmist_reg(6) and not(nmist_reset)) or vbi_nmi_reg or vbi_nmi_next) and not(dli_nmi_reg or dli_nmi_next); -- auto clear vbi flat on dli!
nmist_next(7) <= ((nmist_reg(7) and not(nmist_reset))or dli_nmi_reg or dli_nmi_next) and not(vbi_nmi_reg or vbi_nmi_next); -- auto clear dli flag on vbi!
end process;
-- dma clock
process(dma_speed_reg) -- XXX TODO - remove this process!
begin
slow_dma_s <= '0';
medium_dma_s <= '0';
fast_dma_s <= '0';
case dma_speed_reg is
when no_dma =>
-- nothing
when slow_dma =>
slow_dma_s <= '1';
when medium_dma =>
medium_dma_s <= '1';
when fast_dma =>
fast_dma_s <= '1';
when others =>
-- nothing
end case;
end process;
antic_dma_clock1 : antic_dma_clock
port map (clk=>clk, reset_n=>reset_n,enable_dma=>enable_dma, playfield_start=>playfield_dma_start,playfield_end=>playfield_dma_end,vblank=>vblank_reg,slow_dma=>slow_dma_s,medium_dma=>medium_dma_s,fast_dma=>fast_dma_s,dma_clock_out_0=>dma_clock_character_name,dma_clock_out_1=>dma_clock_character_inc,dma_clock_out_2=>dma_clock_bitmap_data,dma_clock_out_3=>dma_clock_character_data);
-- line buffer
reg_file1 : reg_file
generic map (BYTES=>48, WIDTH=>6) -- TODO:reset after 63, not 64?
port map (clk=>clk,addr=>line_buffer_address_reg(5 downto 0),data_in=>line_buffer_data_in,wr_en=>line_buffer_write, data_out=>line_buffer_data_out);
--generic map (BYTES=>192, WIDTH=>8) -- TODO:reset after 63, not 64?
--port map (clk=>clk,addr=>line_buffer_address_reg,data_in=>line_buffer_data_in,wr_en=>line_buffer_write, data_out=>line_buffer_data_out);
-- vertical scrolling
-- load row count from vscrol must be done at time of instruction load
-- vscrol adjustment to affect dli should be done by cycle 5 - i.e. dli 'last line'
-- vscrol adjustment to affect actual last line should be done by cycle 108 - because...
vscrol_delay : wide_delay_line
generic map (COUNT=>cycle_length,WIDTH=>4)
port map (clk=>clk,sync_reset=>'0',data_in=>vscrol_raw_reg(3 downto 0),enable=>'1',reset_n=>reset_n,data_out=>vscrol_delayed_reg(3 downto 0));
process(vblank_reg, vscrol_delayed_reg, vscrol_enabled_reg, vscrol_last_enabled_reg, first_line_of_instruction_reg, row_count_reg, instruction_final_row_reg, update_row_count, force_final_row)
begin
load_row_count <= '0';
row_count_next <= (others=>'0');
increment_row_count <= '0';
last_line_of_instruction_live <= '0';
if (update_row_count = '1') then
if (vscrol_enabled_reg='1' and vscrol_last_enabled_reg='0') then -- vscrol - first line
row_count_next <= vscrol_delayed_reg;
end if;
if (first_line_of_instruction_reg = '1') then
load_row_count <= '1';
else
increment_row_count <= '1';
end if;
end if;
if (vscrol_enabled_reg='0' and vscrol_last_enabled_reg='1') then -- vscrol - last line
if (row_count_reg = vscrol_delayed_reg) then -- TODO, review
last_line_of_instruction_live <= '1';
end if;
else -- normal
if (row_count_reg = instruction_final_row_reg) then
last_line_of_instruction_live <= '1';
end if;
end if;
if (vblank_reg = '1' or force_final_row = '1') then
last_line_of_instruction_live <= '1';
end if;
end process;
-- chbase 2 cycle delay
chbase_delay : wide_delay_line
generic map (COUNT=>cycle_length*2,WIDTH=>7)
port map (clk=>clk,sync_reset=>'0',data_in=>chbase_raw_reg(7 downto 1),enable=>'1',reset_n=>reset_n,data_out=>chbase_delayed_reg(7 downto 1));
-- decode instruction
process(instruction_reg)
begin
dma_speed_next <= no_dma;
instruction_type_next <= mode_blank;
shift_rate_next <= slow_shift;
shift_twobit_next <= '0';
twopixel_next <= '0';
single_colour_character_next <= '0';
multi_colour_character_next <= '0';
twoline_character_next <= '0';
map_background_next <= '0';
instruction_final_row_next <= (others=>'0');
two_part_instruction_next <= instruction_reg(6);
instruction_blank_next <= '0';
vscrol_enabled_next <= instruction_reg(5);
hscrol_enabled_next <= instruction_reg(4);
dli_enabled_next <= instruction_reg(7);
descenders_next <= '0';
force_final_row <= '0';
case instruction_reg(3 downto 0) is
when X"0" =>
instruction_type_next <= mode_blank;
instruction_final_row_next <= '0'&instruction_reg(6 downto 4);
two_part_instruction_next <= '0';
instruction_blank_next <= '1';
vscrol_enabled_next <= '0';
hscrol_enabled_next <= '0';
when X"1" =>
instruction_type_next <= mode_jump;
instruction_final_row_next <= (others=>'0');
instruction_blank_next <= '1';
vscrol_enabled_next <= '0';
hscrol_enabled_next <= '0';
two_part_instruction_next <= '1';
if (instruction_reg(6) = '1') then
instruction_type_next <= mode_jvb;
force_final_row <= '1';
end if;
when X"2" =>
instruction_type_next <= mode_character;
instruction_final_row_next <= X"7";
dma_speed_next <= fast_dma;
shift_rate_next <= fast_shift;
shift_twobit_next <= '1';
twopixel_next <= '1';
when X"3" =>
instruction_type_next <= mode_character;
instruction_final_row_next <= X"9";
dma_speed_next <= fast_dma;
shift_rate_next <= fast_shift;
shift_twobit_next <= '1';
twopixel_next <= '1';
descenders_next <= '1';
when X"4" =>
instruction_type_next <= mode_character;
instruction_final_row_next <= X"7";
dma_speed_next <= fast_dma;
shift_rate_next <= fast_shift;
shift_twobit_next <= '1';
twopixel_next <= '0';
multi_colour_character_next <= '1';
when X"5" =>
instruction_type_next <= mode_character;
instruction_final_row_next <= X"F";
dma_speed_next <= fast_dma;
shift_rate_next <= fast_shift;
shift_twobit_next <= '1';
twopixel_next <= '0';
twoline_character_next <= '1';
multi_colour_character_next <= '1';
when X"6" =>
instruction_type_next <= mode_character;
instruction_final_row_next <= X"7";
dma_speed_next <= medium_dma;
shift_rate_next <= fast_shift;
shift_twobit_next <= '0';
twopixel_next <= '0';
single_colour_character_next <= '1';
when X"7" =>
instruction_type_next <= mode_character;
instruction_final_row_next <= X"F";
dma_speed_next <= medium_dma;
shift_rate_next <= fast_shift;
shift_twobit_next <= '0';
twopixel_next <= '0';
single_colour_character_next <= '1';
twoline_character_next <= '1';
when X"8" =>
instruction_type_next <= mode_bitmap;
instruction_final_row_next <= X"7";
dma_speed_next <= slow_dma;
shift_rate_next <= slow_shift;
shift_twobit_next <= '1';
twopixel_next <= '0';
map_background_next <= '1';
when X"9" =>
instruction_type_next <= mode_bitmap;
instruction_final_row_next <= X"3";
dma_speed_next <= slow_dma;
shift_rate_next <= medium_shift;
shift_twobit_next <= '0';
twopixel_next <= '0';
map_background_next <= '1';
when X"A" =>
instruction_type_next <= mode_bitmap;
instruction_final_row_next <= X"3";
dma_speed_next <= medium_dma;
shift_rate_next <= medium_shift;
shift_twobit_next <= '1';
twopixel_next <= '0';
map_background_next <= '1';
when X"B" =>
instruction_type_next <= mode_bitmap;
instruction_final_row_next <= X"1";
dma_speed_next <= medium_dma;
shift_rate_next <= fast_shift;
shift_twobit_next <= '0';
twopixel_next <= '0';
map_background_next <= '1';
when X"C" =>
instruction_type_next <= mode_bitmap;
instruction_final_row_next <= X"0";
dma_speed_next <= medium_dma;
shift_rate_next <= fast_shift;
shift_twobit_next <= '0';
twopixel_next <= '0';
map_background_next <= '1';
when X"D" =>
instruction_type_next <= mode_bitmap;
instruction_final_row_next <= X"1";
dma_speed_next <= fast_dma;
shift_rate_next <= fast_shift;
shift_twobit_next <= '1';
twopixel_next <= '0';
map_background_next <= '1';
when X"E" =>
instruction_type_next <= mode_bitmap;
instruction_final_row_next <= X"0";
dma_speed_next <= fast_dma;
shift_rate_next <= fast_shift;
shift_twobit_next <= '1';
twopixel_next <= '0';
map_background_next <= '1';
when X"F" =>
instruction_type_next <= mode_bitmap;
instruction_final_row_next <= X"0";
dma_speed_next <= fast_dma;
shift_rate_next <= fast_shift;
shift_twobit_next <= '1';
twopixel_next <= '1';
when others =>
-- nothing
end case;
end process;
-- dma fetching
-- dma fetch - cache response until needed
-- we allow two colour clocks for each fetch...
process(memory_data_in,memory_ready_both,hcount_reg,dma_fetch_reg,dma_address_reg,dma_fetch_destination_reg,dma_cache_ready_reg,dma_cache_reg,dma_fetch_request,vblank_reg, instruction_type_reg, instruction_reg, display_list_address_reg, memory_scan_address_reg, enable_dma, display_list_address_low_temp_reg)
begin
instruction_next <= instruction_reg;
display_list_address_next_dma <= display_list_address_reg;
load_display_list_address_dma <= '0';
memory_scan_address_next <= memory_scan_address_reg;
load_memory_scan_address <= '0';
increment_display_list_address <= '0';
load_display_shift_from_memory <= '0';
display_list_address_low_temp_next <= display_list_address_low_temp_reg;
line_buffer_data_in <= (others=>'0');
line_buffer_write <= '0';
dma_cache_next <= dma_cache_reg;
dma_cache_ready_next <= dma_cache_ready_reg;
dma_fetch_next <= dma_fetch_request or dma_fetch_reg;
if (dma_fetch_reg = '1' and memory_ready_both = '1') then
dma_cache_next <= memory_data_in;
dma_cache_ready_next <= '1';
dma_fetch_next <= '0';
end if;
if (vblank_reg = '1' and instruction_type_reg = mode_jvb) then
instruction_next <= (others=>'0');
end if;
if (dma_cache_ready_reg='1' and hcount_reg(0)='0') then
--if (dma_cache_ready_reg='1') then
case dma_fetch_destination_reg is
when dma_fetch_line_buffer =>
line_buffer_data_in <= dma_cache_reg;
line_buffer_write <= '1';
when dma_fetch_shiftreg =>
load_display_shift_from_memory <= '1';
when dma_fetch_null =>
-- nothing (C/G/MTIA listens to bus)
when dma_fetch_instruction =>
instruction_next <= dma_cache_reg;
increment_display_list_address <= '1';
when dma_fetch_list_low =>
if (instruction_type_reg = mode_jump or instruction_type_reg = mode_jvb) then
--display_list_address_next_dma(7 downto 0) <= dma_cache_reg; Changing this so soon messes up next dma cycle
display_list_address_low_temp_next <= dma_cache_reg;
increment_display_list_address <= '1';
else
increment_display_list_address <= '1';
memory_scan_address_next(7 downto 0) <= dma_cache_reg;
load_memory_scan_address <= '1';
end if;
when dma_fetch_list_high =>
if (instruction_type_reg = mode_jump or instruction_type_reg = mode_jvb) then
display_list_address_next_dma <= dma_cache_reg&display_list_address_low_temp_reg;
load_display_list_address_dma <= '1';
else
increment_display_list_address <= '1';
memory_scan_address_next(15 downto 8) <= dma_cache_reg;
load_memory_scan_address <= '1';
end if;
when others =>
-- nothing
end case;
dma_cache_ready_next <= '0';
end if;
end process;
-- shift reg clock
playfield_reset <= hblank_reg;
process(colour_clock_selected, shift_rate_reg, shiftclock_reg, playfield_reset, playfield_load)
begin
shiftclock_next <= shiftclock_reg;
enable_shift <= '0';
if (colour_clock_selected = '1') then
shiftclock_next <= shiftclock_reg(2 downto 0)&shiftclock_reg(3);
if (playfield_load='1') then
shiftclock_next <= "1000";
end if;
if (playfield_reset='1') then
shiftclock_next <= "0000";
end if;
--shiftclock_next(0) <= shiftclock_reg(1);
--shiftclock_next(1) <= shiftclock_reg(2);
--shiftclock_next(2) <= shiftclock_reg(3) nor playfield_load;
--shiftclock_next(3) <= shiftclock_reg(0) nor playfield_reset;
case shift_rate_reg is
when slow_shift =>
enable_shift <= shiftclock_reg(0);
when medium_shift =>
enable_shift <= shiftclock_reg(2) or shiftclock_reg(0);
when fast_shift =>
enable_shift <= (shiftclock_reg(3) or shiftclock_reg(2)) or (shiftclock_reg(1) or shiftclock_reg(0));
when others =>
-- nothing
end case;
end if;
end process;
-- shift reg
process (enable_shift, shift_twobit_reg, display_shift_reg, load_display_shift_from_memory, load_display_shift_from_line_buffer, dma_cache_reg, line_buffer_data_out)
begin
display_shift_next <= display_shift_reg;
if (enable_shift = '1') then
if (shift_twobit_reg = '1') then
display_shift_next <= display_shift_reg(5 downto 0)&"00";
else
display_shift_next <= display_shift_reg(6 downto 0)&"0";
end if;
end if;
if (load_display_shift_from_memory = '1') then
display_shift_next(7 downto 0) <= dma_cache_reg;
end if;
if (load_display_shift_from_line_buffer = '1') then
display_shift_next(7 downto 0) <= line_buffer_data_out;
end if;
end process;
-- delay shift reg output for n cycles, so we can match AN with antic output
process(colour_clock_selected, display_shift_reg, delay_display_shift_reg, displayed_character_reg, instruction_type_reg)
begin
delay_display_shift_next <= delay_display_shift_reg;
if (colour_clock_selected = '1') then
-- TODO - RAM accesses are now processed in the 2nd colour clock
-- This is too late for character modes, so delay needs adjusting...
if (instruction_type_reg=mode_character) then
delay_display_shift_next <= displayed_character_reg(7 downto 5)&"00"&delay_display_shift_reg(24 downto 22)&display_shift_reg(7 downto 6)&delay_display_shift_reg(19 downto 5);
else
delay_display_shift_next <= displayed_character_reg(7 downto 5)&display_shift_reg(7 downto 6)&delay_display_shift_reg(24 downto 5);
end if;
end if;
end process;
-- ANO-2
-- XXX - clean up!
-- first process - AN with no blanking
process(data_live,delay_display_shift_reg, shift_twobit_reg, twopixel_reg, instruction_blank_reg, playfield_display_active_reg, single_colour_character_reg, multi_colour_character_reg, chactl_reg, instruction_type_reg, map_background_reg, descenders_reg, row_count_reg)
begin
an_current <= (others=>'0');
data_live <= (others=>'0');
if (shift_twobit_reg = '1') then
an_current(0) <= delay_display_shift_reg(0);
an_current(1) <= delay_display_shift_reg(1);
an_current(2) <= '1';
if (instruction_type_reg = mode_character) then
data_live(0) <= delay_display_shift_reg(0);
data_live(1) <= delay_display_shift_reg(1);
if (descenders_reg = '1') then
if (delay_display_shift_reg(3 downto 2) = "11") then
if (unsigned(row_count_reg)<2) then
data_live(1 downto 0) <= "00";
end if;
else
if (unsigned(row_count_reg)>=8) then
data_live(1 downto 0) <= "00";
end if;
end if;
end if;
if (delay_display_shift_reg(4)='1') then -- inverse/hidden
if (chactl_reg(1)='1') then
an_current(0) <= not(data_live(0) and not(chactl_reg(0)));
an_current(1) <= not(data_live(1) and not(chactl_reg(0)));
else
an_current(0) <= data_live(0) and not(chactl_reg(0));
an_current(1) <= data_live(1) and not(chactl_reg(0));
end if;
else
an_current(0) <= data_live(0);
an_current(1) <= data_live(1);
end if;
end if;
if (multi_colour_character_reg = '1') then
case delay_display_shift_reg(1 downto 0) is
when "00" =>
an_current <= "000";
when "01" =>
an_current <= "100";
when "10" =>
an_current <= "101";
when "11" =>
an_current <= "11"&delay_display_shift_reg(4);
when others =>
-- nop
end case;
end if;
if (map_background_reg='1') then
case delay_display_shift_reg(1 downto 0) is
when "00" =>
an_current <= "000";
when "01" =>
an_current <= "100";
when "10" =>
an_current <= "101";
when "11" =>
an_current <= "110";
when others =>
-- nop
end case;
end if;
else
if (single_colour_character_reg = '1') then
-- i.e. antic 6,7
if (delay_display_shift_reg(1) = '1') then
an_current(0) <= delay_display_shift_reg(3);
an_current(1) <= delay_display_shift_reg(4);
an_current(2) <= '1';
else
an_current(0) <= '0';
an_current(1) <= '0';
an_current(2) <= '0';
end if;
end if;
if (map_background_reg='1') then
if (delay_display_shift_reg(1)='1') then
an_current <= "100";
else
an_current <= "000";
end if;
end if;
end if;
end process;
process(colour_clock_selected, an_current, an_prev_reg)
begin
an_prev_next <= an_prev_reg;
if (colour_clock_selected = '1') then
an_prev_next <= an_current;
end if;
end process;
process(colour_clock_selected, an_current, an_reg, an_prev_reg, hscrol_reg, hscrol_enabled_reg, vsync_reg, vblank_reg, hblank_reg, playfield_display_active_reg, instruction_blank_reg, twopixel_reg)
begin
an_next <= an_reg;
if (colour_clock_selected = '1') then
an_next <= an_current;
if (hscrol_reg(0)='1' and hscrol_enabled_reg='1') then
an_next <= an_prev_reg;
end if;
if ((not(playfield_display_active_reg) or instruction_blank_reg or vblank_reg) = '1') then
an_next <= "000";
end if;
if (vblank_reg = '1' or hblank_reg = '1') then
an_next(0) <= vsync_reg or twopixel_reg;
an_next(1) <= not(vsync_reg);
an_next(2) <= not(hblank_reg) and twopixel_reg;
--an_next <=
--(twopixel_reg and not(vsync_reg or hblank_reg))
--&((hblank_reg or vblank_reg) and not(vsync_reg))
--&(vsync_reg or twopixel_reg);
end if;
-- TODO this is too simplistic:
-- Antic should provide GTIA with the 'visible region using code 002 for vblank and hblank
-- + odd behaviour seen during high res bug
end if;
end process;
-- decode address
decode_addr1 : complete_address_decoder
generic map(width=>4)
port map (addr_in=>addr, addr_decoded=>addr_decoded);
-- wsync write takes 1 cycle to assert rdy
-- TODO - revisit antic delays in terms of cpu cycles
wsync_delay : delay_line
generic map (COUNT=>cycle_length+cycle_length/2)
--generic map (COUNT=>cycle_length+cycle_length-2) -- TODO
port map (clk=>clk,sync_reset=>'0',data_in=>wsync_write,enable=>'1',reset_n=>reset_n,data_out=>wsync_delayed_write);
-- dmactl takes 1 cycle to be applied - NO IT DOES NOT - TODO FIXME
--dmactl_delay : wide_delay_line
-- generic map (COUNT=>cycle_length,WIDTH=>6)
-- port map (clk=>clk,sync_reset=>'0',data_in=>dmactl_raw_reg(5 downto 0),enable=>'1',reset_n=>reset_n,data_out=>dmactl_delayed_reg(5 downto 0));
dmactl_delayed_reg <= dmactl_raw_next;
--dmactl_delayed_reg <= dmactl_raw_reg;
-- Writes to registers
process(cpu_data_in,wr_en,addr_decoded,nmien_raw_reg,dmactl_raw_reg,chactl_reg,hscrol_reg,display_list_address_reg,chbase_raw_reg,pmbase_reg,vscrol_raw_reg, wsync_reg, wsync_delayed_write, wsync_reset)
begin
nmien_raw_next <= nmien_raw_reg;
dmactl_raw_next <= dmactl_raw_reg;
chactl_next <= chactl_reg;
hscrol_next <= hscrol_reg;
vscrol_raw_next <= vscrol_raw_reg;
chbase_raw_next <= chbase_raw_reg;
pmbase_next <= pmbase_reg;
wsync_next <= (wsync_delayed_write or wsync_reg) and not(wsync_reset);
nmist_reset <= '0';
wsync_write <= '0';
load_display_list_address_cpu <= '0';
display_list_address_next_cpu <= display_list_address_reg;
if (wr_en = '1') then
if(addr_decoded(0) = '1') then
dmactl_raw_next <= cpu_data_in(6 downto 0);
end if;
if(addr_decoded(1) = '1') then
chactl_next <= cpu_data_in(2 downto 0);
end if;
if(addr_decoded(2) = '1') then
display_list_address_next_cpu(7 downto 0) <= cpu_data_in;
load_display_list_address_cpu <= '1';
end if;
if(addr_decoded(3) = '1') then
display_list_address_next_cpu(15 downto 8) <= cpu_data_in;
load_display_list_address_cpu <= '1';
end if;
if(addr_decoded(4) = '1') then
hscrol_next <= cpu_data_in(3 downto 0);
end if;
if(addr_decoded(5) = '1') then
vscrol_raw_next <= cpu_data_in(3 downto 0);
end if;
if(addr_decoded(7) = '1') then
pmbase_next <= cpu_data_in(7 downto 2);
end if;
if(addr_decoded(9) = '1') then
chbase_raw_next <= cpu_data_in(7 downto 1);
end if;
if(addr_decoded(10) = '1') then
wsync_write <= '1';
end if;
if(addr_decoded(14) = '1') then
nmien_raw_next <= cpu_data_in(7 downto 6);
end if;
if(addr_decoded(15) = '1') then
nmist_reset <= '1';
end if;
end if;
end process;
-- Read from registers
process(addr_decoded,hcount_reg,vcount_reg,nmist_reg,penv_reg,penh_reg)
begin
data_out <= X"FF";
if (addr_decoded(8) = '1') then -- HCOUNT :-)
data_out <= hcount_reg; -- bonus points for the one who spots this in code:-)
end if;
if(addr_decoded(11) = '1') then --VCOUNT
data_out <= vcount_reg(8 downto 1);
end if;
if (addr_decoded(12) = '1') then -- PENH
data_out <= penh_reg;
end if;
if (addr_decoded(13) = '1') then -- PENV
data_out <= penv_reg;
end if;
if(addr_decoded(15) = '1') then --NMIST
data_out <= nmist_reg&"00000";
end if;
end process;
-- nmi delay
nmi_shiftreg_next <= nmi_reg&nmi_shiftreg_reg(15 downto 1);
-- light pen
process(lightpen,hcount_reg,vcount_reg,penv_reg,penh_reg)
begin
penv_next <= penv_reg;
penh_next <= penh_reg;
if (lightpen = '0') then
penv_next <= vcount_reg(8 downto 1);
penh_next <= hcount_reg;
end if;
end process;
-- Use CPU data if virtual dma
MEMORY_READY_both <= MEMORY_READY_ANTIC when allow_real_dma_reg='1' else MEMORY_READY_CPU;
-- output
nmi_n_out <= nmi_shiftreg_reg(0);
dma_clock_out<=dma_clock_character_name;
AN <= an_reg;
antic_ready <= not(wsync_reg);
dma_fetch_out <= allow_real_dma_reg and dma_fetch_reg;
dma_address_out <= dma_address_reg;
refresh_out <= refresh_fetch_reg;
COLOUR_CLOCK_ORIGINAL_OUT <= colour_clock_1x;
COLOUR_CLOCK_OUT <= colour_clock_selected;
HIGHRES_COLOUR_CLOCK_OUT <= colour_clock_selected_highres;
vcount_out <= vcount_reg;
hcount_out <= hcount_reg;
END vhdl;
| gpl-3.0 | 5e1757aa8bf4aefdbe73415c64fcc1eb | 0.650512 | 2.90376 | false | false | false | false |
APastorG/APG | real_const_mult/real_const_mult_pkg.vhd | 1 | 27,902 | /***************************************************************************************************
/ Author: Antonio Pastor González
/ ¯¯¯¯¯¯
/
/ Date
/ ¯¯¯¯
/
/ Version:
/ ¯¯¯¯¯¯¯
/
/ Notes:
/ ¯¯¯¯¯
/ This design makes use of some features from VHDL-2008, all of which have been implemented in
/ Xilinx's Vivado
/ A 3 space tab is used throughout the document
/
/ Description:
/ ¯¯¯¯¯¯¯¯¯¯¯
/ This package contains necessary types, constants, and functions for the parameterized
/ int_const_mult design.
/
**************************************************************************************************/
library ieee;
use ieee.std_logic_1164.all;
use ieee.math_real.all;
use ieee.numeric_std.all;
library work;
use work.common_data_types_pkg.all;
use work.common_pkg.all;
use work.fixed_generic_pkg.all;
use work.fixed_float_types.all;
/*================================================================================================*/
/*================================================================================================*/
/*================================================================================================*/
package real_const_mult_pkg is
/* function used to check the consistency and correctness of generics 1 */
/**************************************************************************************************/
function real_const_mult_CHECKS(
data_high : integer;
data_low : integer;
unsigned_2comp_opt : boolean;
round_to_bit_opt : integer_exc;
max_error_pct : real_exc;
constants : real_v)
return integer;
/* functions for corrected generics and internal/external port signals 2 */
/**************************************************************************************************/
--returns a vector with the high index of each of the partial outputs
function calculate_high(
mult_fundamental : positive;
input_high : integer;
is_signed : boolean)
return integer;
--returns the output signals' low index, which is the lowest of the low indexes of the partial output signals
function real_const_mult_OL(
round_style_opt : T_round_style;
round_to_bit_opt : integer_exc;
max_error_pct_opt : real_exc;
constants : real_v;
input_low : integer;
is_signed : boolean)
return integer;
--returns the output signals' high index, which is the highest of the high indexes of the partial output signals
function real_const_mult_OH(
round_style_opt : T_round_style;
round_to_bit_opt : integer_exc;
max_error_pct_opt : real_exc;
constants : real_v;
input_high : integer;
input_low : integer;
is_signed : boolean)
return integer;
--applies the assigned parameters of round style, round to bit, and max error percentage to transform
--the constants to the correct fixed point form.
function fixed_from_real_constants(
round_style_opt : T_round_style;
round_to_bit_opt : integer_exc;
max_error_pct_opt : real_exc;
constants : real_v;
input_high : integer;
input_low : integer;
is_signed : boolean)
return u_ufixed_v;
/* functions to obtain the integer factors from the real multiplicands 3 */
/**************************************************************************************************/
--returns true if all the values in the boolean vector are true
function all_positive(
vector : boolean_v)
return boolean;
--returns a boolean vector which indicates whether the constants are positive
function is_positive_vector_from_constants(
constants : real_v)
return boolean_v;
--calculates the needed shift to convert the fixed point constants to an odd natural number
function calculate_pre_vp_shift(
mult_fixed : u_ufixed_v)
return integer_v;
--calculates the positive odd numbers from the vector of fixed point ones
function calculate_mult_fundamental(
mult_fixed : u_ufixed_v;
pre_vp_shift : integer_v)
return positive_v;
/* function to generate file names 4 */
/**************************************************************************************************/
--generates a name from hashing the parameters of the module real_const_int to obtain different
--names for each instantiation
function generate_file_name(
round_style_opt : T_round_style;
round_to_bit_opt : integer_exc;
max_error_pct_opt : real_exc;
constants : positive_v)
return string;
end package;
/*================================================================================================*/
/*================================================================================================*/
/*================================================================================================*/
package body real_const_mult_pkg is
/********************************************************************************************** 1 */
function real_const_mult_CHECKS(
data_high : integer;
data_low : integer;
unsigned_2comp_opt : boolean;
round_to_bit_opt : integer_exc;
max_error_pct : real_exc;
constants : real_v)
return integer is
variable output_inter_w : positive;
variable output_w : positive;
begin
/*
--trying to multiply by 0
assert
report
" ILLEGAL PARAMETERS in entity const_multiplier: the absolute value of the " &
"constants must be greater than 1"
severity error;
--using unsigned but multiplicating by negative constant
assert not(constants<0 and unsigned_2comp_opt)
report
"ILLEGAL PARAMETERS in entity const_multiplier: the design is set to use unsigned" &
" format but the constants is negative. Whenever this happens the parameter "&
"unsigned_2comp_opt can only be false."
severity error;
output_inter_w := int_const_mult_OIW(data_width,
unsigned_2comp_opt,
constants);
output_w := int_const_mult_OW(data_width,
unsigned_2comp_opt,
output_width_opt,
constants);
--selected output width is not enough for the result
assert not(output_w < output_inter_w)
report
"ILLEGAL PARAMETERS in entity const_multiplier: The selected output width (" &
image(output_w) & ") is not enough to represent all possible " &
"results from the multiplication. At least " & image(output_inter_w) &
" bits are needed."
severity error;
*/
return 0;
end function;
/********************************************************************************************** 2 */
--used to calculate the output'high from each individual mult_fundamentals from the output'high
--of the previous fundamentals
function calculate_high(
mult_fundamental : positive;
input_high : integer;
is_signed : boolean)
return integer is
variable result : integer;
begin
if is_signed then
--(a downto b)*(c downto d) = (a+c downto b+d), (with exception: see below)
--example: -2(1,0)*-3(2,0)=6(3,0)
result := input_high + min_bits(mult_fundamental, is_signed) - 1;
--having one input(multiplicand) fixed and the other variable:
--when the multiplicand is 10...0 we have a special case in signed:
--the output will need 1 bit more than any other multiplicand which is representable in
-- the same (and not fewer) number of bits
--example: for an input of 3 bits:
--multipicand = -4(100) . When the input is 100(-4), the result is 16, which in signed
-- needs 6 bits (010000). Meanwhile, for a multiplicand = -3(101), the result (12)
-- only needs 5 bits (01100)
if is_signed and ("mod"(log2(real(mult_fundamental)), 1.0) = 0.0) then
result := result + 1;
end if;
else
--(a downto b)*(c downto d) = (a+c downto b+d), (with exception: see below)
--example: 2(1,0)*3(1,0)=6(2,0)
result := input_high + min_bits(mult_fundamental, is_signed) - 1;
--having one input(multiplicand) fixed and the other variable:
--when the multiplicand is 11...1 we have a special case in unsigned:
--the output will need 1 bit less than any other multiplicand which is representable in
-- the same (and not fewer) number of bits
--example: for an input of 3 bits:
--multipicand = 4(100) . When the input is 111(7), the result is 28, which in unsigned
-- needs 5 bits (11100). Meanwhile, for a multiplicand = 7(111), the result (49)
-- needs 6 bits (110001)
if find_rightmost(unsigned(sulv_from_int(mult_fundamental)), '0') = integer'high + 1
then
result := result + 1;
end if;
end if;
return result;
end function;
function output_low(
round_style_opt : T_round_style;
round_to_bit_opt : integer_exc;
max_error_pct_opt : real_exc;
constants : real_v;
input_low : integer;
is_signed : boolean)
return integer_v is
variable result : integer_v(constants'range);
variable u_sat : u_ufixed(75 downto -75);
variable s_sat : u_sfixed(75 downto -75);
begin
if round_to_bit_opt = integer'low then
for i in constants'range loop
u_sat := resize(to_ufixed(abs(constants(i)),
max_error_pct => ite(max_error_pct_opt=real'low, --max_error_pct_opt was not assigned a value
0.0,
max_error_pct_opt),
round_style => round_style_opt),
u_sat);
s_sat := resize(to_sfixed(constants(i),
max_error_pct => ite(max_error_pct_opt=real'low, --max_error_pct_opt was not assigned a value
0.0,
max_error_pct_opt),
round_style => round_style_opt),
s_sat);
if is_signed then
result(i) := sfixed_low(0, --irrelevant
input_low,
'*',
0, --irrelevant
maximum(find_rightmost(u_sat, '1'), -FRACTIONAL_LIMIT)
);
else
result(i) := ufixed_low(0, --irrelevant
input_low,
'*',
0, --irrelevant
maximum(find_rightmost(u_sat, '1'), FRACTIONAL_LIMIT)
);
end if;
end loop;
else
--result := (others => round_to_bit_opt);
for i in constants'range loop
u_sat := resize(to_ufixed(abs(constants(i)),
max_error_pct => 0.0,
round_style => round_style_opt),
u_sat);
u_sat := resize(to_ufixed(abs(constants(i)),
u_sat'high,
round_to_bit_opt,
round_style => round_style_opt),
u_sat);
s_sat := resize(to_sfixed(constants(i),
max_error_pct => 0.0,
round_style => round_style_opt),
s_sat);
s_sat := resize(to_sfixed(constants(i),
s_sat'high,
round_to_bit_opt,
round_style => round_style_opt),
s_sat);
if is_signed then
result(i) := sfixed_low(0, --irrelevant
input_low,
'*',
0, --irrelevant
find_rightmost(s_sat, '1')
);
else
result(i) := ufixed_low(0, --irrelevant
input_low,
'*',
0, --irrelevant
find_rightmost(u_sat, '1')
);
end if;
end loop;
end if;
return result;
end function;
function real_const_mult_OL(
round_style_opt : T_round_style;
round_to_bit_opt : integer_exc;
max_error_pct_opt : real_exc;
constants : real_v;
input_low : integer;
is_signed : boolean)
return integer is
variable aux : integer_v(constants'range) := output_low(round_style_opt,
round_to_bit_opt,
max_error_pct_opt,
constants,
input_low,
is_signed);
variable result : integer := integer'high;
begin
for i in aux'range loop
if aux(i) < result then
result := aux(i);
end if;
end loop;
return result;
end function;
function output_high(
round_style_opt : T_round_style;
round_to_bit_opt : integer_exc;
max_error_pct_opt : real_exc;
constants : real_v;
input_high : integer;
input_low : integer;
is_signed : boolean)
return integer_v is
variable result : integer_v(constants'range);
variable out_consants_low : integer_v(constants'range) := output_low(round_style_opt,
round_to_bit_opt,
max_error_pct_opt,
constants,
input_low,
is_signed);
variable u_sat : u_ufixed(75 downto -75);
variable s_sat : u_sfixed(75 downto -75);
begin
for i in constants'range loop
u_sat := resize(to_ufixed(abs(constants(i))), u_sat); --convert with ~0% error
s_sat := resize(to_sfixed(constants(i)), s_sat); --convert with ~0% error
if is_signed then
--(a downto b)*(c downto d) = (a+c downto b+d), (with exception: see below)
--example: -2(1,0)*-3(2,0)=6(3,0)
result(i) := input_high + find_leftmost(s_sat, ite(constants(i) < 0.0, '0', '1')) + 1;
--having one input(multiplicand) fixed and the other variable:
--when the multiplicand is 10...0 we have a special case in signed:
--the output will need 1 bit more than any other multiplicand which is representable in
-- the same (and not fewer) number of bits
--example: for an input of 3 bits:
--multipicand = -4(100) . When the input is 100(-4), the result is 16, which in signed
-- needs 6 bits (010000). Meanwhile, for a multiplicand = -3(101), the result (12)
-- only needs 5 bits (01100)
if constants(i) < 0.0 and find_leftmost(u_sat, '1') = find_rightmost(u_sat, '1') then
result(i) := result(i) + 1;
end if;
--when the multiplicand is the same special case as in unsigned:
--example: -2(1,0)*2(2,0)=-4(2,0)
if constants(i) > 0.0 and find_leftmost(u_sat, '1') = find_rightmost(u_sat, '1') then
result(i) := result(i) - 1;
end if;
else
--(a downto b)*(c downto d) = (a+c downto b+d), (with exception: see below)
--example: 2(1,0)*3(1,0)=6(2,0)
result(i) := input_high + find_leftmost(u_sat, '1');
--having one input(multiplicand) fixed and the other variable:
--when the multiplicand is 11...1 we have a special case in unsigned:
--the output will need 1 bit less than any other multiplicand which is representable in
-- the same (and not fewer) number of bits
--example: for an input of 3 bits:
--multipicand = 4(100) . When the input is 111(7), the result is 28, which in unsigned
-- needs 5 bits (11100). Meanwhile, for a multiplicand = 7(111), the result (49)
-- needs 6 bits (110001)
if find_rightmost(resize(u_sat,
u_sat'high,
out_consants_low(i),
round_style => round_style_opt),
'0') = integer'high + 1
then
result(i) := result(i) + 1;
end if;
end if;
end loop;
return result;
end function;
function real_const_mult_OH(
round_style_opt : T_round_style;
round_to_bit_opt : integer_exc;
max_error_pct_opt : real_exc;
constants : real_v;
input_high : integer;
input_low : integer;
is_signed : boolean)
return integer is
variable aux : integer_v(constants'range) := output_high(round_style_opt,
round_to_bit_opt,
max_error_pct_opt,
constants,
input_high,
input_low,
is_signed);
variable result : integer := integer'low;
begin
for i in aux'range loop
if aux(i) > result then
result := aux(i);
end if;
end loop;
return result;
end function;
function fixed_from_real_constants(
round_style_opt : T_round_style;
round_to_bit_opt : integer_exc;
max_error_pct_opt : real_exc;
constants : real_v;
input_high : integer;
input_low : integer;
is_signed : boolean)
return u_ufixed_v is
constant max_error_pct : real := ite(max_error_pct_opt = real'low,
0.0,
max_error_pct_opt);
constant individual_highs : integer_v := output_high(round_style_opt,
round_to_bit_opt,
max_error_pct_opt,
constants,
input_high,
input_low,
is_signed);
constant individual_lows : integer_v := output_low(round_style_opt,
round_to_bit_opt,
max_error_pct_opt,
constants,
input_low,
is_signed);
constant result_high : integer := real_const_mult_OH(round_style_opt,
round_to_bit_opt,
max_error_pct_opt,
constants,
input_high,
input_low,
is_signed);
constant result_low : integer := real_const_mult_OL(round_style_opt,
round_to_bit_opt,
max_error_pct_opt,
constants,
input_low,
is_signed);
variable result : u_ufixed_v(1 to constants'length)(result_high downto result_low);
variable constant_high, constant_low : integer;
begin
for i in constants'range loop
--the transformation to the desired size range
constant_high := individual_highs(i) - input_high;
constant_low := individual_lows(i) - input_low;
--assert false
-- report "constant_high: " & image(constant_high)
-- severity warning;
--assert false
-- report "constant_low: " & image(constant_low)
-- severity warning;
result(i) := resize(to_ufixed(constants(i),
constant_high,
constant_low,
round_style => round_style_opt),
result_high,
result_low);
end loop;
return result;
end function;
/********************************************************************************************** 3 */
function all_positive(
vector : boolean_v)
return boolean is
begin
for i in vector'range loop
if not vector(i) then
return false;
end if;
end loop;
return true;
end function;
function is_positive_vector_from_constants(
constants : real_v)
return boolean_v is
variable result : boolean_v(constants'range);
begin
for i in constants'range loop
result(i) := constants(i) >= 0.0;
end loop;
return result;
end function;
function calculate_pre_vp_shift(
mult_fixed : u_ufixed_v)
return integer_v is
variable result : integer_v(mult_fixed'range);
begin
for i in mult_fixed'range loop
result(i) := -find_rightmost(mult_fixed(i), '1');
end loop;
return result;
end function;
function calculate_mult_fundamental(
mult_fixed : u_ufixed_v;
pre_vp_shift : integer_v)
return positive_v is
variable result : positive_v(1 to mult_fixed'length);
begin
for i in mult_fixed'range loop
result(i) := positive(to_real(scalb(mult_fixed(i), pre_vp_shift(i))));
end loop;
return result;
end function;
/********************************************************************************************** 4 */
--string used as this function should be static to work when called in synthesis and thus cannot
--contain line data types(which would more flexible as the size wouldn't need to be predefined)
function generate_file_name(
round_style_opt : T_round_style;
round_to_bit_opt : integer_exc;
max_error_pct_opt : real_exc;
constants : positive_v)
return string is
constant high : integer := 60;
constant low : integer := -10;
variable size_for_numeric_part, actual_numeric_part_size : positive;
variable accumulative : u_ufixed(high downto low) := to_ufixed(1, high, low);
variable aux : u_ufixed(high downto low) := to_ufixed(1, high, low);
variable result : string(1 to FILE_NAME_LENGTH);
variable temporal : positive;
variable counter : positive := 1;
begin
case round_style_opt is
when fixed_round => result(counter to counter+1) := "r_";
when fixed_truncate => result(counter to counter+1) := "t_";
end case;
counter := counter + 2;
if round_to_bit_opt = integer'low then
result(counter to counter+1) := "0_";
counter := counter + 2;
elsif round_to_bit_opt > 0 then
result(counter) := 'p'; --positive
counter := counter + 1;
temporal := integer(ceil(log10(real(round_to_bit_opt)+1)));
result(counter to counter+temporal-1) := image(round_to_bit_opt);
counter := counter + temporal;
result(counter) := '_'; --positive
counter := counter + 1;
else
result(counter) := 'n'; --negative
counter := counter + 1;
temporal := integer(ceil(log10(abs(real(round_to_bit_opt))+1)));
result(counter to counter+temporal-1) := image(abs(round_to_bit_opt));
counter := counter + temporal;
result(counter) := '_'; --positive
counter := counter + 1;
end if;
size_for_numeric_part := FILE_NAME_LENGTH - counter - 4; --the total length minus the already existing part minus 4 from ".txt"
for i in constants'range loop
if abs(constants(i))<1 then
accumulative := resize(accumulative * to_ufixed(1/abs(constants(i)), high, low),
accumulative);
else
accumulative := resize(accumulative * to_ufixed(abs(constants(i)), high, low),
accumulative);
end if;
end loop;
if max_error_pct_opt /= real'low then
accumulative := resize(accumulative + to_ufixed(1000*max_error_pct_opt, high, low),
accumulative);
end if;
accumulative := resize(accumulative*2**10, accumulative);
for i in 1 to size_for_numeric_part loop
aux := resize(aux * 10.0, aux);
end loop;
accumulative := modulo(accumulative, aux);
actual_numeric_part_size := integer(ceil(log10(to_real(accumulative)+1)));
if actual_numeric_part_size < size_for_numeric_part then
result(counter to counter + (size_for_numeric_part-actual_numeric_part_size) - 1) := (others => '0');
counter := counter + (size_for_numeric_part-actual_numeric_part_size);
end if;
result(counter to counter + actual_numeric_part_size - 1):= image(integer(to_real(accumulative)));
counter := counter + actual_numeric_part_size;
result(counter to counter + 3) := string'(".txt");
return result;
end function;
end package body;
| mit | 125904524c7ce24630fca733e8f0df81 | 0.458483 | 4.690793 | false | false | false | false |
ILoveSpeccy/Aeon-Lite | cores/lvov-pk02-mips/src/host/plasma v3.0/reg_bank.vhd | 1 | 16,427 | ---------------------------------------------------------------------
-- TITLE: Register Bank
-- AUTHOR: Steve Rhoads ([email protected])
-- DATE CREATED: 2/2/01
-- FILENAME: reg_bank.vhd
-- PROJECT: Plasma CPU core
-- COPYRIGHT: Software placed into the public domain by the author.
-- Software 'as is' without warranty. Author liable for nothing.
-- DESCRIPTION:
-- Implements a register bank with 32 registers that are 32-bits wide.
-- There are two read-ports and one write port.
---------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use work.mlite_pack.all;
--Uncomment following two lines for Xilinx RAM16X1D
library UNISIM; --Xilinx
use UNISIM.vcomponents.all; --Xilinx
entity reg_bank is
generic(memory_type : string := "XILINX_16X");
port(clk : in std_logic;
reset_in : in std_logic;
pause : in std_logic;
rs_index : in std_logic_vector(5 downto 0);
rt_index : in std_logic_vector(5 downto 0);
rd_index : in std_logic_vector(5 downto 0);
reg_source_out : out std_logic_vector(31 downto 0);
reg_target_out : out std_logic_vector(31 downto 0);
reg_dest_new : in std_logic_vector(31 downto 0);
intr_enable : out std_logic);
end; --entity reg_bank
--------------------------------------------------------------------
-- The ram_block architecture attempts to use TWO dual-port memories.
-- Different FPGAs and ASICs need different implementations.
-- Choose one of the RAM implementations below.
-- I need feedback on this section!
--------------------------------------------------------------------
architecture ram_block of reg_bank is
signal intr_enable_reg : std_logic;
type ram_type is array(31 downto 0) of std_logic_vector(31 downto 0);
--controls access to dual-port memories
signal addr_read1, addr_read2 : std_logic_vector(4 downto 0);
signal addr_write : std_logic_vector(4 downto 0);
signal data_out1, data_out2 : std_logic_vector(31 downto 0);
signal write_enable : std_logic;
begin
reg_proc: process(clk, rs_index, rt_index, rd_index, reg_dest_new,
intr_enable_reg, data_out1, data_out2, reset_in, pause)
begin
--setup for first dual-port memory
if rs_index = "101110" then --reg_epc CP0 14
addr_read1 <= "00000";
else
addr_read1 <= rs_index(4 downto 0);
end if;
case rs_index is
when "000000" => reg_source_out <= ZERO;
when "101100" => reg_source_out <= ZERO(31 downto 1) & intr_enable_reg;
--interrupt vector address = 0x3c
when "111111" => reg_source_out <= ZERO(31 downto 8) & "00111100";
when others => reg_source_out <= data_out1;
end case;
--setup for second dual-port memory
addr_read2 <= rt_index(4 downto 0);
case rt_index is
when "000000" => reg_target_out <= ZERO;
when others => reg_target_out <= data_out2;
end case;
--setup write port for both dual-port memories
if rd_index /= "000000" and rd_index /= "101100" and pause = '0' then
write_enable <= '1';
else
write_enable <= '0';
end if;
if rd_index = "101110" then --reg_epc CP0 14
addr_write <= "00000";
else
addr_write <= rd_index(4 downto 0);
end if;
if reset_in = '1' then
intr_enable_reg <= '0';
elsif rising_edge(clk) then
if rd_index = "101110" then --reg_epc CP0 14
intr_enable_reg <= '0'; --disable interrupts
elsif rd_index = "101100" then
intr_enable_reg <= reg_dest_new(0);
end if;
end if;
intr_enable <= intr_enable_reg;
end process;
--------------------------------------------------------------
---- Pick only ONE of the dual-port RAM implementations below!
--------------------------------------------------------------
-- Option #1
-- One tri-port RAM, two read-ports, one write-port
-- 32 registers 32-bits wide
tri_port_mem:
if memory_type = "TRI_PORT_X" generate
ram_proc: process(clk, addr_read1, addr_read2,
addr_write, reg_dest_new, write_enable)
variable tri_port_ram : ram_type;
begin
data_out1 <= tri_port_ram(conv_integer(addr_read1));
data_out2 <= tri_port_ram(conv_integer(addr_read2));
if rising_edge(clk) then
if write_enable = '1' then
tri_port_ram(conv_integer(addr_write)) := reg_dest_new;
end if;
end if;
end process;
end generate; --tri_port_mem
-- Option #2
-- Two dual-port RAMs, each with one read-port and one write-port
dual_port_mem:
if memory_type = "DUAL_PORT_" generate
ram_proc2: process(clk, addr_read1, addr_read2,
addr_write, reg_dest_new, write_enable)
variable dual_port_ram1 : ram_type;
variable dual_port_ram2 : ram_type;
begin
data_out1 <= dual_port_ram1(conv_integer(addr_read1));
data_out2 <= dual_port_ram2(conv_integer(addr_read2));
if rising_edge(clk) then
if write_enable = '1' then
dual_port_ram1(conv_integer(addr_write)) := reg_dest_new;
dual_port_ram2(conv_integer(addr_write)) := reg_dest_new;
end if;
end if;
end process;
end generate; --dual_port_mem
-- Option #3
-- RAM16X1D: 16 x 1 positive edge write, asynchronous read dual-port
-- distributed RAM for all Xilinx FPGAs
xilinx_16x1d:
if memory_type = "XILINX_16X" generate
signal data_out1A, data_out1B : std_logic_vector(31 downto 0);
signal data_out2A, data_out2B : std_logic_vector(31 downto 0);
signal weA, weB : std_logic;
begin
weA <= write_enable and not addr_write(4); --lower 16 registers
weB <= write_enable and addr_write(4); --upper 16 registers
reg_loop: for i in 0 to 31 generate
begin
--Read port 1 lower 16 registers
reg_bit1a : RAM16X1D
port map (
WCLK => clk, -- Port A write clock input
WE => weA, -- Port A write enable input
A0 => addr_write(0), -- Port A address[0] input bit
A1 => addr_write(1), -- Port A address[1] input bit
A2 => addr_write(2), -- Port A address[2] input bit
A3 => addr_write(3), -- Port A address[3] input bit
D => reg_dest_new(i), -- Port A 1-bit data input
DPRA0 => addr_read1(0), -- Port B address[0] input bit
DPRA1 => addr_read1(1), -- Port B address[1] input bit
DPRA2 => addr_read1(2), -- Port B address[2] input bit
DPRA3 => addr_read1(3), -- Port B address[3] input bit
DPO => data_out1A(i), -- Port B 1-bit data output
SPO => open -- Port A 1-bit data output
);
--Read port 1 upper 16 registers
reg_bit1b : RAM16X1D
port map (
WCLK => clk, -- Port A write clock input
WE => weB, -- Port A write enable input
A0 => addr_write(0), -- Port A address[0] input bit
A1 => addr_write(1), -- Port A address[1] input bit
A2 => addr_write(2), -- Port A address[2] input bit
A3 => addr_write(3), -- Port A address[3] input bit
D => reg_dest_new(i), -- Port A 1-bit data input
DPRA0 => addr_read1(0), -- Port B address[0] input bit
DPRA1 => addr_read1(1), -- Port B address[1] input bit
DPRA2 => addr_read1(2), -- Port B address[2] input bit
DPRA3 => addr_read1(3), -- Port B address[3] input bit
DPO => data_out1B(i), -- Port B 1-bit data output
SPO => open -- Port A 1-bit data output
);
--Read port 2 lower 16 registers
reg_bit2a : RAM16X1D
port map (
WCLK => clk, -- Port A write clock input
WE => weA, -- Port A write enable input
A0 => addr_write(0), -- Port A address[0] input bit
A1 => addr_write(1), -- Port A address[1] input bit
A2 => addr_write(2), -- Port A address[2] input bit
A3 => addr_write(3), -- Port A address[3] input bit
D => reg_dest_new(i), -- Port A 1-bit data input
DPRA0 => addr_read2(0), -- Port B address[0] input bit
DPRA1 => addr_read2(1), -- Port B address[1] input bit
DPRA2 => addr_read2(2), -- Port B address[2] input bit
DPRA3 => addr_read2(3), -- Port B address[3] input bit
DPO => data_out2A(i), -- Port B 1-bit data output
SPO => open -- Port A 1-bit data output
);
--Read port 2 upper 16 registers
reg_bit2b : RAM16X1D
port map (
WCLK => clk, -- Port A write clock input
WE => weB, -- Port A write enable input
A0 => addr_write(0), -- Port A address[0] input bit
A1 => addr_write(1), -- Port A address[1] input bit
A2 => addr_write(2), -- Port A address[2] input bit
A3 => addr_write(3), -- Port A address[3] input bit
D => reg_dest_new(i), -- Port A 1-bit data input
DPRA0 => addr_read2(0), -- Port B address[0] input bit
DPRA1 => addr_read2(1), -- Port B address[1] input bit
DPRA2 => addr_read2(2), -- Port B address[2] input bit
DPRA3 => addr_read2(3), -- Port B address[3] input bit
DPO => data_out2B(i), -- Port B 1-bit data output
SPO => open -- Port A 1-bit data output
);
end generate; --reg_loop
data_out1 <= data_out1A when addr_read1(4)='0' else data_out1B;
data_out2 <= data_out2A when addr_read2(4)='0' else data_out2B;
end generate; --xilinx_16x1d
-- Option #4
-- Altera LPM_RAM_DP
-- Xilinx users may need to comment out this section!!!
altera_mem:
if memory_type = "ALTERA_LPM" generate
lpm_ram_dp_component1 : lpm_ram_dp
GENERIC MAP (
lpm_width => 32,
lpm_widthad => 5,
rden_used => "FALSE",
intended_device_family => "UNUSED",
lpm_indata => "REGISTERED",
lpm_wraddress_control => "REGISTERED",
lpm_rdaddress_control => "UNREGISTERED",
lpm_outdata => "UNREGISTERED",
use_eab => "ON",
lpm_type => "LPM_RAM_DP"
)
PORT MAP (
wren => write_enable,
wrclock => clk,
data => reg_dest_new,
rdaddress => addr_read1,
wraddress => addr_write,
q => data_out1
);
lpm_ram_dp_component2 : lpm_ram_dp
GENERIC MAP (
lpm_width => 32,
lpm_widthad => 5,
rden_used => "FALSE",
intended_device_family => "UNUSED",
lpm_indata => "REGISTERED",
lpm_wraddress_control => "REGISTERED",
lpm_rdaddress_control => "UNREGISTERED",
lpm_outdata => "UNREGISTERED",
use_eab => "ON",
lpm_type => "LPM_RAM_DP"
)
PORT MAP (
wren => write_enable,
wrclock => clk,
data => reg_dest_new,
rdaddress => addr_read2,
wraddress => addr_write,
q => data_out2
);
end generate; --altera_mem
-- Option #5
-- dual_port_mem_coregen:
-- if memory_type = "DUAL_PORT_XILINX" generate
-- reg_file_dp_ram_1: reg_file_dp_ram
-- port map (
-- addra => addr_read1,
-- addrb => addr_write,
-- clka => clk,
-- clkb => clk,
-- dinb => reg_dest_new,
-- douta => data_out1,
-- web => write_enable);
--
-- reg_file_dp_ram_2: reg_file_dp_ram
-- port map (
-- addra => addr_read2,
-- addrb => addr_write,
-- clka => clk,
-- clkb => clk,
-- dinb => reg_dest_new,
-- douta => data_out2,
-- web => write_enable);
-- end generate; --dual_port_mem
-- dual_port_mem_xc4000xla: if memory_type = "DUAL_PORT_XILINX_XC4000XLA" generate
-- reg_file_dp_ram_1: reg_file_dp_ram_xc4000xla
-- port map (
-- A => addr_write,
-- DI => reg_dest_new,
-- WR_EN => write_enable,
-- WR_CLK => clk,
-- DPRA => addr_read1,
-- SPO => open,
-- DPO => data_out1);
--
-- reg_file_dp_ram_2: reg_file_dp_ram_xc4000xla
-- port map (
-- A => addr_write,
-- DI => reg_dest_new,
-- WR_EN => write_enable,
-- WR_CLK => clk,
-- DPRA => addr_read2,
-- SPO => open,
-- DPO => data_out2);
-- end generate; --dual_port_mem
-- Option #6
-- Generic Two-Port Synchronous RAM
-- generic_tpram can be obtained from:
-- http://www.opencores.org/cvsweb.shtml/generic_memories/
-- Supports ASICs (Artisan, Avant, and Virage) and Xilinx FPGA
-- generic_mem:
-- if memory_type = "OPENCORES_MEM" generate
-- bank1 : generic_tpram port map (
-- clk_a => clk,
-- rst_a => '0',
-- ce_a => '1',
-- we_a => '0',
-- oe_a => '1',
-- addr_a => addr_read1,
-- di_a => ZERO,
-- do_a => data_out1,
--
-- clk_b => clk,
-- rst_b => '0',
-- ce_b => '1',
-- we_b => write_enable,
-- oe_b => '0',
-- addr_b => addr_write,
-- di_a => reg_dest_new);
--
-- bank2 : generic_tpram port map (
-- clk_a => clk,
-- rst_a => '0',
-- ce_a => '1',
-- we_a => '0',
-- oe_a => '1',
-- addr_a => addr_read2,
-- di_a => ZERO,
-- do_a => data_out2,
--
-- clk_b => clk,
-- rst_b => '0',
-- ce_b => '1',
-- we_b => write_enable,
-- oe_b => '0',
-- addr_b => addr_write,
-- di_a => reg_dest_new);
-- end generate; --generic_mem
-- Option #7
-- Xilinx mode using four 16x16 banks
-- xilinx_mem:
-- if memory_type = "XILINX" generate
-- bank1_high: ramb4_s16_s16 port map (
-- clka => clk,
-- rsta => sig_false,
-- addra => addr_read1,
-- dia => zero_sig,
-- ena => sig_true,
-- wea => sig_false,
-- doa => data_out1(31 downto 16),
--
-- clkb => clk,
-- rstb => sig_false,
-- addrb => addr_write,
-- dib => reg_dest_new(31 downto 16),
-- enb => sig_true,
-- web => write_enable);
--
-- bank1_low: ramb4_s16_s16 port map (
-- clka => clk,
-- rsta => sig_false,
-- addra => addr_read1,
-- dia => zero_sig,
-- ena => sig_true,
-- wea => sig_false,
-- doa => data_out1(15 downto 0),
--
-- clkb => clk,
-- rstb => sig_false,
-- addrb => addr_write,
-- dib => reg_dest_new(15 downto 0),
-- enb => sig_true,
-- web => write_enable);
--
-- bank2_high: ramb4_s16_s16 port map (
-- clka => clk,
-- rsta => sig_false,
-- addra => addr_read2,
-- dia => zero_sig,
-- ena => sig_true,
-- wea => sig_false,
-- doa => data_out2(31 downto 16),
--
-- clkb => clk,
-- rstb => sig_false,
-- addrb => addr_write,
-- dib => reg_dest_new(31 downto 16),
-- enb => sig_true,
-- web => write_enable);
--
-- bank2_low: ramb4_s16_s16 port map (
-- clka => clk,
-- rsta => sig_false,
-- addra => addr_read2,
-- dia => zero_sig,
-- ena => sig_true,
-- wea => sig_false,
-- doa => data_out2(15 downto 0),
--
-- clkb => clk,
-- rstb => sig_false,
-- addrb => addr_write,
-- dib => reg_dest_new(15 downto 0),
-- enb => sig_true,
-- web => write_enable);
-- end generate; --xilinx_mem
end; --architecture ram_block
| gpl-3.0 | 55cf2785b94593d75e8e062791243ac3 | 0.499178 | 3.396113 | false | false | false | false |
ILoveSpeccy/Aeon-Lite | cores/lvov-pk01/src/video/video.vhd | 1 | 5,834 | library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
entity video is
Port (
CLK : in std_logic; -- Pixel clock 65MHz
RESET : in std_logic; -- Reset (active low)
VRAM_A : out std_logic_vector(13 downto 0);
VRAM_D : in std_logic_vector(7 downto 0);
COLORS : in std_logic_vector(6 downto 0);
R : out std_logic_vector(3 downto 0); -- Red
G : out std_logic_vector(3 downto 0); -- Green
B : out std_logic_vector(3 downto 0); -- Blue
HSYNC : out std_logic; -- Hor. sync
VSYNC : out std_logic -- Ver. sync
);
end video;
architecture BEHAVIORAL of video is
-- VGA timing constants (XGA - 1024x768@60) (512x768@60)
-- HOR
constant HSIZE : INTEGER := 512; -- Visible area
constant HFP : INTEGER := 12; -- Front porch
constant HS : INTEGER := 68; -- HSync pulse
constant HB : INTEGER := 80; -- Back porch
constant HOFFSET : INTEGER := 0; -- HSync offset
-- VER
constant VSIZE : INTEGER := 768; -- Visible area
constant VFP : INTEGER := 3; -- Front porch
constant VS : INTEGER := 6; -- VSync pulse
constant VB : INTEGER := 29; -- Back porch
constant VOFFSET : INTEGER := 0; -- VSync offset
------------------------------------------------------------
signal H_COUNTER : UNSIGNED(9 downto 0); -- Horizontal Counter
signal V_COUNTER : UNSIGNED(9 downto 0); -- Vertical Counter
signal THREE_ROW_CNT : UNSIGNED(1 downto 0); -- 3 Row Counter
signal ROW_COUNTER : UNSIGNED(7 downto 0); -- Korvet Row Counter
signal PAPER : STD_LOGIC; -- Paper zone
signal PAPER_L : STD_LOGIC; -- Paper zone
signal COLOR_R : STD_LOGIC;
signal COLOR_G : STD_LOGIC;
signal COLOR_B : STD_LOGIC;
signal PIX0 : STD_LOGIC_VECTOR(3 downto 0);
signal PIX1 : STD_LOGIC_VECTOR(3 downto 0);
begin
u_COLOR_MUX : entity work.clr_mux
port map(
color => PIX1(3 - to_integer(H_COUNTER(2 downto 1))) & PIX0(3 - to_integer(H_COUNTER(2 downto 1))),
portb => COLORS,
out_r => COLOR_R,
out_g => COLOR_G,
out_b => COLOR_B );
process (CLK) -- H/V Counters
begin
if rising_edge(CLK) then
if RESET = '0' then
H_COUNTER <= (others=>'0');
V_COUNTER <= (others=>'0');
else
H_COUNTER <= H_COUNTER + 1;
if H_COUNTER = (HSIZE + HFP + HS + HB - 1) then
H_COUNTER <= (others=>'0');
V_COUNTER <= V_COUNTER + 1;
if V_COUNTER = (VSIZE + VFP + VS + VB - 1) then
V_COUNTER <= (others=>'0');
end if;
end if;
end if;
end if;
end process;
process (CLK) -- H/V Counters
begin
if rising_edge(CLK) then
if RESET = '0' then
THREE_ROW_CNT <= (others=>'0');
ROW_COUNTER <= (others=>'0');
else
if H_COUNTER = 544 then
if V_COUNTER < 768 then
THREE_ROW_CNT <= THREE_ROW_CNT + 1;
if THREE_ROW_CNT = 2 then
THREE_ROW_CNT <= (others=>'0');
ROW_COUNTER <= ROW_COUNTER + 1;
end if;
else
ROW_COUNTER <= (others=>'0');
THREE_ROW_CNT <= (others=>'0');
end if;
end if;
end if;
end if;
end process;
process (CLK)
begin
if rising_edge(CLK) then
HSYNC <= '1';
VSYNC <= '1';
PAPER <= '0';
if H_COUNTER >= (HSIZE + HOFFSET + HFP) and H_COUNTER < (HSIZE + HOFFSET + HFP + HS) then
HSYNC <= '0';
end if;
if V_COUNTER >= (VSIZE + VOFFSET + VFP) and V_COUNTER < (VSIZE + VOFFSET + VFP + VS) then
VSYNC <= '0';
end if;
if H_COUNTER < HSIZE and V_COUNTER < VSIZE then
PAPER <= '1';
end if;
end if;
end process;
process (CLK)
begin
if rising_edge(CLK) then
case H_COUNTER(2 downto 0) is
when "001" =>
VRAM_A <= std_logic_vector(ROW_COUNTER) & std_logic_vector(H_COUNTER(8 downto 3));
when "111" =>
PIX0 <= VRAM_D(3 downto 0);
PIX1 <= VRAM_D(7 downto 4);
PAPER_L <= PAPER;
when OTHERS =>
null;
end case;
end if;
end process;
process (CLK)
begin
if rising_edge(CLK) then
if PAPER_L = '1' then
if THREE_ROW_CNT = "01" then
R <= COLOR_R & COLOR_R & COLOR_R & COLOR_R;
G <= COLOR_G & COLOR_G & COLOR_G & COLOR_G;
B <= COLOR_B & COLOR_B & COLOR_B & COLOR_B;
else
R <= COLOR_R & "000";
G <= COLOR_G & "000";
B <= COLOR_B & "000";
end if;
else
R <= (others=>'0');
G <= (others=>'0');
B <= (others=>'0');
end if;
end if;
end process;
end BEHAVIORAL;
| gpl-3.0 | 7bc7ee0ff1635dab6f194962bbebcd5c | 0.424237 | 4.117149 | false | false | false | false |
ILoveSpeccy/Aeon-Lite | cores/speccy/src/rom/rom.vhd | 1 | 5,405 | --------------------------------------------------------------------------------
-- This file is owned and controlled by Xilinx and must be used solely --
-- for design, simulation, implementation and creation of design files --
-- limited to Xilinx devices or technologies. Use with non-Xilinx --
-- devices or technologies is expressly prohibited and immediately --
-- terminates your license. --
-- --
-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY --
-- FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY --
-- PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE --
-- IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS --
-- MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY --
-- CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY --
-- RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY --
-- DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE --
-- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR --
-- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF --
-- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A --
-- PARTICULAR PURPOSE. --
-- --
-- Xilinx products are not intended for use in life support appliances, --
-- devices, or systems. Use in such applications are expressly --
-- prohibited. --
-- --
-- (c) Copyright 1995-2014 Xilinx, Inc. --
-- All rights reserved. --
--------------------------------------------------------------------------------
--------------------------------------------------------------------------------
-- You must compile the wrapper file rom.vhd when simulating
-- the core, rom. When compiling the wrapper file, be sure to
-- reference the XilinxCoreLib VHDL simulation library. For detailed
-- instructions, please refer to the "CORE Generator Help".
-- The synthesis directives "translate_off/translate_on" specified
-- below are supported by Xilinx, Mentor Graphics and Synplicity
-- synthesis tools. Ensure they are correct for your synthesis tool(s).
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- synthesis translate_off
LIBRARY XilinxCoreLib;
-- synthesis translate_on
ENTITY rom IS
PORT (
clka : IN STD_LOGIC;
addra : IN STD_LOGIC_VECTOR(14 DOWNTO 0);
douta : OUT STD_LOGIC_VECTOR(7 DOWNTO 0)
);
END rom;
ARCHITECTURE rom_a OF rom IS
-- synthesis translate_off
COMPONENT wrapped_rom
PORT (
clka : IN STD_LOGIC;
addra : IN STD_LOGIC_VECTOR(14 DOWNTO 0);
douta : OUT STD_LOGIC_VECTOR(7 DOWNTO 0)
);
END COMPONENT;
-- Configuration specification
FOR ALL : wrapped_rom USE ENTITY XilinxCoreLib.blk_mem_gen_v6_3(behavioral)
GENERIC MAP (
c_addra_width => 15,
c_addrb_width => 15,
c_algorithm => 1,
c_axi_id_width => 4,
c_axi_slave_type => 0,
c_axi_type => 1,
c_byte_size => 9,
c_common_clk => 0,
c_default_data => "0",
c_disable_warn_bhv_coll => 0,
c_disable_warn_bhv_range => 0,
c_enable_32bit_address => 0,
c_family => "spartan6",
c_has_axi_id => 0,
c_has_ena => 0,
c_has_enb => 0,
c_has_injecterr => 0,
c_has_mem_output_regs_a => 0,
c_has_mem_output_regs_b => 0,
c_has_mux_output_regs_a => 0,
c_has_mux_output_regs_b => 0,
c_has_regcea => 0,
c_has_regceb => 0,
c_has_rsta => 0,
c_has_rstb => 0,
c_has_softecc_input_regs_a => 0,
c_has_softecc_output_regs_b => 0,
c_init_file_name => "rom.mif",
c_inita_val => "0",
c_initb_val => "0",
c_interface_type => 0,
c_load_init_file => 1,
c_mem_type => 3,
c_mux_pipeline_stages => 0,
c_prim_type => 1,
c_read_depth_a => 32768,
c_read_depth_b => 32768,
c_read_width_a => 8,
c_read_width_b => 8,
c_rst_priority_a => "CE",
c_rst_priority_b => "CE",
c_rst_type => "SYNC",
c_rstram_a => 0,
c_rstram_b => 0,
c_sim_collision_check => "ALL",
c_use_byte_wea => 0,
c_use_byte_web => 0,
c_use_default_data => 0,
c_use_ecc => 0,
c_use_softecc => 0,
c_wea_width => 1,
c_web_width => 1,
c_write_depth_a => 32768,
c_write_depth_b => 32768,
c_write_mode_a => "WRITE_FIRST",
c_write_mode_b => "WRITE_FIRST",
c_write_width_a => 8,
c_write_width_b => 8,
c_xdevicefamily => "spartan6"
);
-- synthesis translate_on
BEGIN
-- synthesis translate_off
U0 : wrapped_rom
PORT MAP (
clka => clka,
addra => addra,
douta => douta
);
-- synthesis translate_on
END rom_a;
| gpl-3.0 | 47748c7dead2c8450203963a6c5dc2fb | 0.512673 | 3.980118 | false | false | false | false |
sonologic/gmzpu | vhdl/ZetaIO/timer/timer_pkg.vhdl | 1 | 1,943 | library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
package tim is
component timer is
generic (
ADR_WIDTH : natural:=3;
DATA_WIDTH : natural:=32
);
port (
clk_i : in std_logic;
rst_i : in std_logic;
inc_i : in std_logic;
addr_i : in unsigned(ADR_WIDTH-1 downto 0);
dat_o : out unsigned(DATA_WIDTH-1 downto 0);
dat_i : in unsigned(DATA_WIDTH-1 downto 0);
we_i : in std_logic;
en_i : in std_logic;
thresh_o: out std_logic
);
end component timer;
component timers is
generic (
DATA_WIDTH : natural:=32;
ADR_WIDTH : natural:=4;
N_TIMERS : natural:=4
);
port (
-- wishbone bus
rst_i : in std_logic;
clk_i : in std_logic;
wb_dat_o : out unsigned(DATA_WIDTH-1 downto 0);
wb_dat_i : in unsigned(DATA_WIDTH-1 downto 0);
wb_tgd_o : out unsigned(DATA_WIDTH-1 downto 0);
wb_tgd_i : in unsigned(DATA_WIDTH-1 downto 0);
wb_ack_o : out std_logic;
wb_adr_i : in unsigned(ADR_WIDTH-1 downto 0);
wb_cyc_i : in std_logic;
wb_stall_o : out std_logic;
wb_err_o : out std_logic;
wb_lock_i : in std_logic;
wb_rty_o : out std_logic;
wb_sel_i : in std_logic_vector(DATA_WIDTH-1 downto 0);
wb_stb_i : in std_logic;
wb_tga_i : in unsigned(ADR_WIDTH-1 downto 0);
wb_tgc_i : in unsigned(DATA_WIDTH-1 downto 0); -- size correct?
wb_we_i : in std_logic;
-- non wishbone
irq_o : out std_logic
);
end component timers;
end package tim;
| bsd-3-clause | 732eb5dd8070cbeac2cd8c973bc4438c | 0.468863 | 3.611524 | false | false | false | false |
sonologic/gmzpu | vhdl/zwishbone/test/zwishbone_controller_tb.vhdl | 1 | 13,135 | ------------------------------------------------------------------------------
---- ----
---- gmzpu zwc component testbench ----
---- ----
---- http://github.com/sonologic/gmzpu ----
---- ----
---- Description: ----
---- This is the testbench for the gmZPU core ----
---- ----
---- To Do: ----
---- - ----
---- ----
---- Author: ----
---- - Salvador E. Tropea, salvador inti.gob.ar ----
---- - "Koen Martens" <gmc sonologic.nl> ----
---- ----
------------------------------------------------------------------------------
---- ----
---- Copyright (c) 2008 Salvador E. Tropea <salvador inti.gob.ar> ----
---- Copyright (c) 2008 Instituto Nacional de Tecnología Industrial ----
---- Copyright (c) 2014 Koen Martens ----
---- ----
---- Distributed under the BSD license ----
---- ----
------------------------------------------------------------------------------
---- ----
---- Design unit: zwishbone_TB ----
---- File name: gmzpu_tb.vhdl ----
---- Note: None ----
---- Limitations: None known ----
---- Errors: None known ----
---- Library: zpu ----
---- Dependencies: IEEE.std_logic_1164 ----
---- IEEE.numeric_std ----
---- Target FPGA: n/a ----
---- Language: VHDL ----
---- Wishbone: No ----
---- Synthesis tools: Modelsim ----
---- Simulation tools: Modelsim ----
---- Text editor: vim ----
---- ----
------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
library gmzpu;
use gmzpu.zwishbone.all;
entity zwishbone_controller_TB is
end entity zwishbone_controller_TB;
architecture Behave of zwishbone_controller_TB is
constant CLK_FREQ : positive:=50; -- 50 MHz clock
constant CLK_S_PER : time:=1 us/(2.0*real(CLK_FREQ)); -- Clock semi period
constant ADR_WIDTH : natural:=16;
constant BUSBIT_WIDTH : natural:=1;
constant CS_WIDTH : natural:=4;
constant DATA_WIDTH : natural:=32;
type sample is record
-- inputs
rst_i : std_logic;
adr_i : unsigned(ADR_WIDTH-1 downto 0);
re_i : std_logic;
we_i : std_logic;
dat_i : unsigned(DATA_WIDTH-1 downto 0);
wb_dat_i : unsigned(DATA_WIDTH-1 downto 0);
wb_ack_i : std_logic;
wb_stall_i : std_logic;
wb_err_i : std_logic;
wb_rty_i : std_logic;
-- outputs
wb_cyc_o : std_logic;
wb_stb_o : std_logic_vector((2**CS_WIDTH)-1 downto 0);
wb_we_o : std_logic;
wb_lock_o : std_logic;
busy_o : std_logic;
ready_o : std_logic;
dat_o : unsigned(DATA_WIDTH-1 downto 0);
irq_o : std_logic;
wb_dat_o : unsigned(DATA_WIDTH-1 downto 0);
wb_adr_o : unsigned(ADR_WIDTH-BUSBIT_WIDTH-CS_WIDTH-1 downto 0);
end record;
type sample_array is array(natural range <>) of sample;
constant test_data : sample_array :=
(
-- rst adr_i re we dat_i wb_dat_i ack stl err rty | cyc stb we lck bus rdy dat_o irq wb_dat_o wb_adr_o
('1',X"0000",'0','0',X"00000000",X"00000000",'0','0','0','0', '0',X"0000",'0','0','0','0',X"00000000",'0',X"00000000","00000000000"),
('0',X"0000",'0','0',X"00000000",X"00000000",'0','0','0','0', '0',X"0000",'0','0','0','0',X"00000000",'0',X"00000000","00000000000"),
('0',X"0000",'0','0',X"00000000",X"00000000",'0','0','0','0', '0',X"0000",'0','0','0','0',X"00000000",'0',X"00000000","00000000000"),
-- write config
('0',X"0000",'0','1',X"12345678",X"00000000",'0','0','0','0', '0',X"0000",'0','0','0','0',X"00000000",'0',X"00000000","00000000000"),
('0',X"0000",'0','0',X"00000000",X"00000000",'0','0','0','0', '0',X"0000",'0','0','0','0',X"00000000",'0',X"00000000","00000000000"),
('0',X"0000",'0','0',X"00000000",X"00000000",'0','0','0','0', '0',X"0000",'0','0','0','0',X"00000000",'0',X"00000000","00000000000"),
-- read config
('0',X"0000",'1','0',X"00000000",X"00000000",'0','0','0','0', '0',X"0000",'0','0','1','0',X"00000000",'0',X"00000000","00000000000"),
('0',X"0000",'0','0',X"00000000",X"00000000",'0','0','0','0', '0',X"0000",'0','0','0','1',X"00000001",'0',X"00000000","00000000000"),
-- bus write, stb 1 (device 0)
('0',X"8000",'0','1',X"87654321",X"00000000",'0','0','0','0', '1',X"0001",'1','0','1','0',X"00000000",'0',X"87654321","00000000000"),
('0',X"0000",'0','0',X"00000000",X"00000000",'1','0','0','0', '1',X"0000",'0','0','1','0',X"00000000",'0',X"00000000","00000000000"),
('0',X"0000",'0','0',X"00000000",X"00000000",'0','0','0','0', '0',X"0000",'0','0','0','0',X"00000000",'0',X"00000000","00000000000"),
-- bus read, stb 2 (device 1)
('0',X"8803",'1','0',X"00000000",X"00000000",'0','0','0','0', '1',X"0002",'0','0','1','0',X"00000000",'0',X"00000000","00000000011"),
('0',X"0000",'0','0',X"00000000",X"9abcdef0",'1','0','0','0', '1',X"0000",'0','0','0','1',X"9abcdef0",'0',X"00000000","00000000000"),
('0',X"0000",'0','0',X"00000000",X"00000000",'0','0','0','0', '0',X"0000",'0','0','0','0',X"00000000",'0',X"00000000","00000000000"),
-- write timeout compare reg (set to 2)
('0',X"0008",'0','1',X"00000002",X"00000000",'0','0','0','0', '0',X"0000",'0','0','0','0',X"00000000",'0',X"00000000","00000000000"),
('0',X"0000",'0','0',X"00000000",X"00000000",'0','0','0','0', '0',X"0000",'0','0','0','0',X"00000000",'0',X"00000000","00000000000"),
('0',X"0000",'0','0',X"00000000",X"00000000",'0','0','0','0', '0',X"0000",'0','0','0','0',X"00000000",'0',X"00000000","00000000000"),
-- bus read, timeout
('0',X"8803",'1','0',X"00000000",X"00000000",'0','0','0','0', '1',X"0002",'0','0','1','0',X"00000000",'0',X"00000000","00000000011"),
('0',X"0000",'0','0',X"00000000",X"00000000",'0','0','0','0', '1',X"0000",'0','0','1','0',X"00000000",'0',X"00000000","00000000000"),
('0',X"0000",'0','0',X"00000000",X"00000000",'0','0','0','0', '1',X"0000",'0','0','1','0',X"00000000",'0',X"00000000","00000000000"),
('0',X"0000",'0','0',X"00000000",X"00000000",'0','0','0','0', '1',X"0000",'0','0','1','0',X"00000000",'0',X"00000000","00000000000"),
('0',X"0000",'0','0',X"00000000",X"00000000",'0','0','0','0', '1',X"0000",'0','0','1','0',X"00000000",'1',X"00000000","00000000000"),
('0',X"0000",'0','0',X"00000000",X"00000000",'0','0','0','0', '0',X"0000",'0','0','0','0',X"00000000",'1',X"00000000","00000000000"),
-- cancel timeout status (write 0 to status reg)
('0',X"0004",'0','1',X"00000000",X"00000000",'0','0','0','0', '0',X"0000",'0','0','0','0',X"00000000",'1',X"00000000","00000000000"),
('0',X"0000",'0','0',X"00000000",X"00000000",'0','0','0','0', '0',X"0000",'0','0','0','0',X"00000000",'0',X"00000000","00000000000"),
('0',X"0000",'0','0',X"00000000",X"00000000",'0','0','0','0', '0',X"0000",'0','0','0','0',X"00000000",'0',X"00000000","00000000000"),
-- terminate
('0',X"0000",'0','0',X"00000000",X"00000000",'0','0','0','0', '0',X"0000",'0','0','0','0',X"00000000",'0',X"00000000","00000000000")
);
signal clk : std_logic;
-- inputs
signal rst_i : std_logic;
signal adr_i : unsigned(ADR_WIDTH-1 downto 0);
signal re_i : std_logic;
signal we_i : std_logic;
signal dat_i : unsigned(DATA_WIDTH-1 downto 0);
signal wb_dat_i : unsigned(DATA_WIDTH-1 downto 0);
signal wb_ack_i : std_logic;
signal wb_stall_i : std_logic;
signal wb_err_i : std_logic;
signal wb_rty_i : std_logic;
signal wb_tgd_i : unsigned(DATA_WIDTH -1 downto 0);
-- outputs
signal wb_cyc_o : std_logic;
signal wb_stb_o : std_logic_vector((2**CS_WIDTH)-1 downto 0);
signal wb_we_o : std_logic;
signal wb_lock_o : std_logic;
signal busy_o : std_logic;
signal ready_o : std_logic;
signal dat_o : unsigned(DATA_WIDTH-1 downto 0);
signal irq_o : std_logic;
signal wb_dat_o : unsigned(DATA_WIDTH-1 downto 0);
signal wb_tgc_o : unsigned(DATA_WIDTH-1 downto 0);
signal wb_adr_o : unsigned(ADR_WIDTH-BUSBIT_WIDTH-CS_WIDTH-1 downto 0);
signal wb_tga_o : unsigned(ADR_WIDTH-BUSBIT_WIDTH-CS_WIDTH-1 downto 0);
signal wb_sel_o : std_logic_vector(DATA_WIDTH-1 downto 0);
begin
dut : zwishbone_controller
generic map(ADR_WIDTH => ADR_WIDTH, DATA_WIDTH => DATA_WIDTH, BUSBIT_WIDTH => BUSBIT_WIDTH, CS_WIDTH => CS_WIDTH)
port map(clk_i => clk, rst_i => rst_i,
busy_o => busy_o, ready_o => ready_o, adr_i => adr_i, re_i => re_i, we_i => we_i,
dat_i => dat_i, dat_o => dat_o, irq_o => irq_o,
wb_dat_i => wb_dat_i, wb_dat_o => wb_dat_o, wb_tgd_i => wb_tgd_i,
wb_ack_i => wb_ack_i, wb_adr_o => wb_adr_o, wb_cyc_o => wb_cyc_o,
wb_stall_i => wb_stall_i, wb_err_i => wb_err_i, wb_lock_o => wb_lock_o,
wb_rty_i => wb_rty_i, wb_sel_o => wb_sel_o, wb_stb_o => wb_stb_o,
wb_tga_o => wb_tga_o, wb_tgc_o => wb_tgc_o, wb_we_o => wb_we_o);
wb_dat_o <= (others => 'L');
wb_adr_o <= (others => 'L');
dat_o <= (others => 'L');
process
variable cycle_count : integer:=0;
begin
for i in test_data'range loop
rst_i <= test_data(i).rst_i;
adr_i <= test_data(i).adr_i;
re_i <= test_data(i).re_i;
we_i <= test_data(i).we_i;
dat_i <= test_data(i).dat_i;
wb_dat_i <= test_data(i).wb_dat_i;
wb_tgd_i <= (others => 'Z'); --test_data(i).wb_tgd_i;
wb_ack_i <= test_data(i).wb_ack_i;
wb_stall_i <= test_data(i).wb_stall_i;
wb_err_i <= test_data(i).wb_err_i;
wb_rty_i <= test_data(i).wb_rty_i;
clk <= '1';
wait for CLK_S_PER;
clk <= '0';
wait for CLK_S_PER;
assert (dat_o = test_data(i).dat_o) report "dat_o output mismatch" severity error;
assert (busy_o = test_data(i).busy_o) report "busy_o output mismatch" severity error;
assert (ready_o = test_data(i).ready_o) report "ready_o output mismatch" severity error;
assert (irq_o = test_data(i).irq_o) report "irq_o output mismatch" severity error;
assert (wb_cyc_o = test_data(i).wb_cyc_o) report "wb_cyc_o output mismatch" severity error;
assert (wb_stb_o = test_data(i).wb_stb_o) report "wb_stb_o output mismatch" severity error;
assert (wb_we_o = test_data(i).wb_we_o) report "wb_we_o output mismatch" severity error;
assert (wb_adr_o = test_data(i).wb_adr_o) report "wb_adr_o output mismatch" severity error;
assert (wb_dat_o = test_data(i).wb_dat_o) report "wb_dat_o output mismatch" severity error;
--assert (irq_o = test_data(i).irq_o) report "irq_o output mismatch" severity error;
--assert (wb_ack_o = test_data(i).wb_ack_o) report "ack_o output mismatch" severity error;
-- assert (icr_o = test_data(i).icr_o) report "icr_o output mismatch" severity failure;
end loop;
clk <= '0';
wait;
end process;
end architecture Behave;
| bsd-3-clause | 9a864bd1ef7182de11bc6c213250836e | 0.441568 | 3.369677 | false | true | false | false |
APastorG/APG | real_const_mult/real_const_mult_u.vhd | 1 | 3,953 | /***************************************************************************************************
/
/ Author: Antonio Pastor González
/ ¯¯¯¯¯¯
/
/ Date:
/ ¯¯¯¯
/
/ Version:
/ ¯¯¯¯¯¯¯
/
/ Notes:
/ ¯¯¯¯¯
/ This design makes use of some features from VHDL-2008, all of which have been implemented in
/ Vivado by Xilinx
/ A 3 space tab is used throughout the document
/
/
/ Description:
/ ¯¯¯¯¯¯¯¯¯¯¯
/ This is the interface between the instantiation of a real_const_mult an its content. It exists
/ to circumvent the impossibility of reading the attributes of an unconstrained port signal inside
/ the port declaration of an entity. (so as to declare the output's size, which depends on the
/ input's size).
/ Additionally, the generics' consistency and correctness is checked in here.
/
**************************************************************************************************/
library ieee;
use ieee.numeric_std.all;
use ieee.std_logic_1164.all;
use ieee.math_real.all;
library work;
use work.common_pkg.all;
use work.common_data_types_pkg.all;
use work.fixed_generic_pkg.all;
use work.fixed_float_types.all;
use work.real_const_mult_pkg.all;
/*================================================================================================*/
/*================================================================================================*/
/*================================================================================================*/
entity real_const_mult_u is
generic(
SPEED_opt : T_speed := t_exc; --exception: value not set
ROUND_STYLE_opt : T_round_style := fixed_truncate; --default
ROUND_TO_BIT_opt : integer_exc := integer'low; --exception: value not set
MAX_ERROR_PCT_opt : real_exc := real'low; --exception: value not set
MULTIPLICANDS : real_v --compulsory
);
port(
input : in u_ufixed;
clk : in std_ulogic;
valid_input : in std_ulogic;
output : out u_ufixed_v;
valid_output : out std_ulogic
);
end entity;
/*================================================================================================*/
/*================================================================================================*/
/*================================================================================================*/
architecture real_const_mult_u_1 of real_const_mult_u is
constant CHECKS : integer := real_const_mult_CHECKS(input'high,
input'low,
true, --UNSIGNED_2COMP_opt,
ROUND_TO_BIT_opt,
MAX_ERROR_PCT_opt,
MULTIPLICANDS);
constant MULTIPLICANDS_adjusted : real_v(1 to MULTIPLICANDS'length) := MULTIPLICANDS;
/*================================================================================================*/
/*================================================================================================*/
begin
real_const_mult_core_u_1:
entity work.real_const_mult_core_u
generic map(
SPEED_opt => SPEED_opt,
ROUND_STYLE_opt => ROUND_STYLE_opt,
ROUND_TO_BIT_opt => ROUND_TO_BIT_opt,
MAX_ERROR_PCT_opt => MAX_ERROR_PCT_opt,
CONSTANTS => MULTIPLICANDS_adjusted,
input_high => input'high,
input_low => input'low
)
port map(
input => input,
clk => clk,
valid_input => valid_input,
output => output,
valid_output => valid_output
);
end architecture; | mit | 6f76d25760806ba0e7d3f54ac69c16dc | 0.402399 | 4.756068 | false | false | false | false |
ILoveSpeccy/Aeon-Lite | cores/atari800xl/src/pll/pll.vhd | 1 | 6,511 | -- file: pll.vhd
--
-- (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
------------------------------------------------------------------------------
-- User entered comments
------------------------------------------------------------------------------
-- None
--
------------------------------------------------------------------------------
-- "Output Output Phase Duty Pk-to-Pk Phase"
-- "Clock Freq (MHz) (degrees) Cycle (%) Jitter (ps) Error (ps)"
------------------------------------------------------------------------------
-- CLK_OUT1____28.676______0.000______50.0______292.034____257.452
-- CLK_OUT2____57.353______0.000______50.0______239.345____257.452
--
------------------------------------------------------------------------------
-- "Input Clock Freq (MHz) Input Jitter (UI)"
------------------------------------------------------------------------------
-- __primary______________50____________0.010
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
use ieee.numeric_std.all;
library unisim;
use unisim.vcomponents.all;
entity pll is
port
(-- Clock in ports
CLKIN : in std_logic;
-- Clock out ports
CLKOUT : out std_logic;
CLKOUT2 : out std_logic;
-- Status and control signals
LOCKED : out std_logic
);
end pll;
architecture xilinx of pll is
attribute CORE_GENERATION_INFO : string;
attribute CORE_GENERATION_INFO of xilinx : architecture is "pll,clk_wiz_v3_6,{component_name=pll,use_phase_alignment=true,use_min_o_jitter=false,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,feedback_source=FDBK_AUTO,primtype_sel=PLL_BASE,num_out_clk=2,clkin1_period=20.000,clkin2_period=20.000,use_power_down=false,use_reset=false,use_locked=true,use_inclk_stopped=false,use_status=false,use_freeze=false,use_clk_valid=false,feedback_type=SINGLE,clock_mgr_type=AUTO,manual_override=false}";
-- Input clock buffering / unused connectors
signal clkin1 : std_logic;
-- Output clock buffering / unused connectors
signal clkfbout : std_logic;
signal clkfbout_buf : std_logic;
signal clkout0 : std_logic;
signal clkout1 : std_logic;
signal clkout2_unused : std_logic;
signal clkout3_unused : std_logic;
signal clkout4_unused : std_logic;
signal clkout5_unused : std_logic;
-- Unused status signals
begin
-- Input buffering
--------------------------------------
clkin1_buf : IBUFG
port map
(O => clkin1,
I => CLKIN);
-- Clocking primitive
--------------------------------------
-- Instantiation of the PLL primitive
-- * Unused inputs are tied off
-- * Unused outputs are labeled unused
pll_base_inst : PLL_BASE
generic map
(BANDWIDTH => "OPTIMIZED",
CLK_FEEDBACK => "CLKFBOUT",
COMPENSATION => "SYSTEM_SYNCHRONOUS",
DIVCLK_DIVIDE => 2,
CLKFBOUT_MULT => 39,
CLKFBOUT_PHASE => 0.000,
CLKOUT0_DIVIDE => 34,
CLKOUT0_PHASE => 0.000,
CLKOUT0_DUTY_CYCLE => 0.500,
CLKOUT1_DIVIDE => 17,
CLKOUT1_PHASE => 0.000,
CLKOUT1_DUTY_CYCLE => 0.500,
CLKIN_PERIOD => 20.000,
REF_JITTER => 0.010)
port map
-- Output clocks
(CLKFBOUT => clkfbout,
CLKOUT0 => clkout0,
CLKOUT1 => clkout1,
CLKOUT2 => clkout2_unused,
CLKOUT3 => clkout3_unused,
CLKOUT4 => clkout4_unused,
CLKOUT5 => clkout5_unused,
-- Status and control signals
LOCKED => LOCKED,
RST => '0',
-- Input clock control
CLKFBIN => clkfbout_buf,
CLKIN => clkin1);
-- Output buffering
-------------------------------------
clkf_buf : BUFG
port map
(O => clkfbout_buf,
I => clkfbout);
clkout1_buf : BUFG
port map
(O => CLKOUT,
I => clkout0);
clkout2_buf : BUFG
port map
(O => CLKOUT2,
I => clkout1);
end xilinx;
| gpl-3.0 | 3de9ed032b54ad1458ac1f75fc46f556 | 0.578252 | 4.149777 | false | false | false | false |
APastorG/APG | real_const_mult/real_const_mult.vhd | 1 | 7,227 | /***************************************************************************************************
/
/ Author: Antonio Pastor González
/ ¯¯¯¯¯¯
/
/ Date:
/ ¯¯¯¯
/
/ Version:
/ ¯¯¯¯¯¯¯
/
/ Notes:
/ ¯¯¯¯¯
/ This design makes use of some features from VHDL-2008, all of which have been implemented in
/ Xilinx's Vivado
/ A 3 space tab is used throughout the document
/
/
/ Description:
/ ¯¯¯¯¯¯¯¯¯¯¯
/ This is the interface between the instantiation of a real_const_mult an its content. It exists
/ to circumvent the impossibility of reading the attributes of an unconstrained port signal inside
/ the port declaration of an entity. (so as to declare the output's size, which depends on the
/ input's size).
/ Additionally, the generics' consistency and correctness is checked in here.
/
**************************************************************************************************/
library ieee;
use ieee.numeric_std.all;
use ieee.std_logic_1164.all;
use ieee.math_real.all;
library work;
use work.common_pkg.all;
use work.common_data_types_pkg.all;
use work.fixed_generic_pkg.all;
use work.fixed_float_types.all;
use work.real_const_mult_pkg.all;
/*================================================================================================*/
/*================================================================================================*/
/*================================================================================================*/
entity real_const_mult is
generic(
UNSIGNED_2COMP_opt : boolean := false; --default
SPEED_opt : T_speed := t_exc; --exception: value not set
ROUND_STYLE_opt : T_round_style := fixed_truncate; --default
ROUND_TO_BIT_opt : integer_exc := integer'low; --exception: value not set
MAX_ERROR_PCT_opt : real_exc := real'low; --exception: value not set
MULTIPLICANDS : real_v --compulsory
);
port(
input : in std_ulogic_vector;
clk : in std_ulogic;
valid_input : in std_ulogic;
output : out sulv_v;
valid_output : out std_ulogic
);
end entity;
/*================================================================================================*/
/*================================================================================================*/
/*================================================================================================*/
architecture real_const_mult_1 of real_const_mult is
constant NORM_IN_HIGH : integer := input'high-SULV_NEW_ZERO;
constant NORM_IN_LOW : integer := input'low-SULV_NEW_ZERO;
constant CHECKS : integer := real_const_mult_CHECKS(input'high,
input'low,
UNSIGNED_2COMP_opt,
ROUND_TO_BIT_opt,
MAX_ERROR_PCT_opt,
MULTIPLICANDS);
constant NORM_OUT_HIGH : integer := real_const_mult_OH(ROUND_STYLE_opt,
ROUND_TO_BIT_opt,
MAX_ERROR_PCT_opt,
MULTIPLICANDS,
NORM_IN_HIGH,
NORM_IN_LOW,
not UNSIGNED_2COMP_opt);
constant NORM_OUT_LOW : integer := real_const_mult_OL(ROUND_STYLE_opt,
ROUND_TO_BIT_opt,
MAX_ERROR_PCT_opt,
MULTIPLICANDS,
NORM_IN_LOW,
not UNSIGNED_2COMP_opt);
constant OUT_HIGH : natural := NORM_OUT_HIGH + SULV_NEW_ZERO;
constant OUT_LOW : natural := NORM_OUT_LOW + SULV_NEW_ZERO;
signal aux_input_s : u_sfixed(NORM_IN_HIGH downto NORM_IN_LOW);
signal aux_output_s : u_sfixed_v(1 to MULTIPLICANDS'length)(NORM_OUT_HIGH downto NORM_OUT_LOW);
signal aux_input_u : u_ufixed(NORM_IN_HIGH downto NORM_IN_LOW);
signal aux_output_u : u_ufixed_v(1 to MULTIPLICANDS'length)(NORM_OUT_HIGH downto NORM_OUT_LOW);
/*================================================================================================*/
/*================================================================================================*/
begin
generate_debugging:
if DEBUGGING generate
msg_debug("real_const_mult : NORM_IN_HIGH: " & image(NORM_IN_HIGH));
msg_debug("real_const_mult : NORM_IN_LOW: " & image(NORM_IN_LOW));
msg_debug("real_const_mult : NORM_OUT_HIGH: " & image(NORM_OUT_HIGH));
msg_debug("real_const_mult : NORM_OUT_LOW: " & image(NORM_OUT_LOW));
end;
end generate;
generate_real_const_mult:
if UNSIGNED_2COMP_opt generate
constant MULTIPLICANDS_adjusted : real_v(1 to MULTIPLICANDS'length) := MULTIPLICANDS;
begin
aux_input_u <= to_ufixed(input, aux_input_u);
generate_output_members:
for i in 1 to MULTIPLICANDS'length generate
begin
output(i)(OUT_HIGH doWnto OUT_LOW) <= to_sulv(aux_output_u(i));
end generate;
real_const_mult_u_1:
entity work.real_const_mult_u
generic map(
SPEED_opt => SPEED_opt,
ROUND_STYLE_opt => ROUND_STYLE_opt,
ROUND_TO_BIT_opt => ROUND_TO_BIT_opt,
MAX_ERROR_PCT_opt => MAX_ERROR_PCT_opt,
MULTIPLICANDS => MULTIPLICANDS_adjusted
)
port map(
input => aux_input_u,
clk => clk,
valid_input => valid_input,
output => aux_output_u,
valid_output => valid_output
);
else generate
constant MULTIPLICANDS_adjusted : real_v(1 to MULTIPLICANDS'length) := MULTIPLICANDS;
begin
aux_input_s <= to_sfixed(input, aux_input_s);
generate_output_members:
for i in 1 to MULTIPLICANDS'length generate
begin
output(i)(OUT_HIGH doWnto OUT_LOW) <= to_sulv(aux_output_s(i));
end generate;
real_const_mult_s_1:
entity work.real_const_mult_s
generic map(
SPEED_opt => SPEED_opt,
ROUND_STYLE_opt => ROUND_STYLE_opt,
ROUND_TO_BIT_opt => ROUND_TO_BIT_opt,
MAX_ERROR_PCT_opt => MAX_ERROR_PCT_opt,
MULTIPLICANDS => MULTIPLICANDS_adjusted
)
port map(
input => aux_input_s,
clk => clk,
valid_input => valid_input,
output => aux_output_s,
valid_output => valid_output
);
end generate;
end architecture; | mit | 110c6901c49bf28dbe7ca47893c84584 | 0.44307 | 4.404776 | false | false | false | false |
ILoveSpeccy/Aeon-Lite | cores/speccy/src/clock/clock.vhd | 1 | 6,674 | -- file: clock.vhd
--
-- (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
------------------------------------------------------------------------------
-- User entered comments
------------------------------------------------------------------------------
-- None
--
------------------------------------------------------------------------------
-- "Output Output Phase Duty Pk-to-Pk Phase"
-- "Clock Freq (MHz) (degrees) Cycle (%) Jitter (ps) Error (ps)"
------------------------------------------------------------------------------
-- CLK_OUT1____56.000______0.000______50.0______557.143____150.000
-- CLK_OUT2____25.000______0.000______50.0______300.000____150.000
--
------------------------------------------------------------------------------
-- "Input Clock Freq (MHz) Input Jitter (UI)"
------------------------------------------------------------------------------
-- __primary______________50____________0.010
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
use ieee.numeric_std.all;
library unisim;
use unisim.vcomponents.all;
entity clock is
port
(-- Clock in ports
CLK50 : in std_logic;
-- Clock out ports
CLK : out std_logic;
VGA_CLK : out std_logic;
-- Status and control signals
LOCKED : out std_logic
);
end clock;
architecture xilinx of clock is
attribute CORE_GENERATION_INFO : string;
attribute CORE_GENERATION_INFO of xilinx : architecture is "clock,clk_wiz_v3_3,{component_name=clock,use_phase_alignment=true,use_min_o_jitter=false,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,feedback_source=FDBK_AUTO,primtype_sel=DCM_SP,num_out_clk=2,clkin1_period=20.0,clkin2_period=20.0,use_power_down=false,use_reset=false,use_locked=true,use_inclk_stopped=false,use_status=false,use_freeze=false,use_clk_valid=false,feedback_type=SINGLE,clock_mgr_type=AUTO,manual_override=false}";
-- Input clock buffering / unused connectors
signal clkin1 : std_logic;
-- Output clock buffering
signal clkfb : std_logic;
signal clk0 : std_logic;
signal clkfx : std_logic;
signal clkdv : std_logic;
signal clkfbout : std_logic;
signal locked_internal : std_logic;
signal status_internal : std_logic_vector(7 downto 0);
begin
-- Input buffering
--------------------------------------
clkin1_buf : IBUFG
port map
(O => clkin1,
I => CLK50);
-- Clocking primitive
--------------------------------------
-- Instantiation of the DCM primitive
-- * Unused inputs are tied off
-- * Unused outputs are labeled unused
dcm_sp_inst: DCM_SP
generic map
(CLKDV_DIVIDE => 2.000,
CLKFX_DIVIDE => 25,
CLKFX_MULTIPLY => 28,
CLKIN_DIVIDE_BY_2 => FALSE,
CLKIN_PERIOD => 20.0,
CLKOUT_PHASE_SHIFT => "NONE",
CLK_FEEDBACK => "1X",
DESKEW_ADJUST => "SYSTEM_SYNCHRONOUS",
PHASE_SHIFT => 0,
STARTUP_WAIT => FALSE)
port map
-- Input clock
(CLKIN => clkin1,
CLKFB => clkfb,
-- Output clocks
CLK0 => clk0,
CLK90 => open,
CLK180 => open,
CLK270 => open,
CLK2X => open,
CLK2X180 => open,
CLKFX => clkfx,
CLKFX180 => open,
CLKDV => clkdv,
-- Ports for dynamic phase shift
PSCLK => '0',
PSEN => '0',
PSINCDEC => '0',
PSDONE => open,
-- Other control and status signals
LOCKED => locked_internal,
STATUS => status_internal,
RST => '0',
-- Unused pin, tie low
DSSEN => '0');
LOCKED <= locked_internal;
-- Output buffering
-------------------------------------
clkf_buf : BUFG
port map
(O => clkfb,
I => clk0);
clkout1_buf : BUFG
port map
(O => CLK,
I => clkfx);
clkout2_buf : BUFG
port map
(O => VGA_CLK,
I => clkdv);
end xilinx;
| gpl-3.0 | 26e44d2dcad5b2a746e61107329b3e5b | 0.551993 | 4.240152 | false | false | false | false |
APastorG/APG | complex_const_multiplier/complex_const_mult_core_s.vhd | 1 | 13,521 | /***************************************************************************************************
/
/ Author: Antonio Pastor González
/ ¯¯¯¯¯¯
/
/ Date:
/ ¯¯¯¯
/
/ Version:
/ ¯¯¯¯¯¯¯
/
/ Notes:
/ ¯¯¯¯¯
/ This design makes use of some features from VHDL-2008, all of which have been implemented by
/ Altera and Xilinx in their software.
/ A 3 space tab is used throughout the document
/
/
/ Description:
/ ¯¯¯¯¯¯¯¯¯¯¯
/
/
**************************************************************************************************/
library ieee;
use ieee.numeric_std.all;
use ieee.std_logic_1164.all;
use ieee.math_real.all;
library work;
use work.fixed_generic_pkg.all;
use work.fixed_float_types.all;
use work.common_data_types_pkg.all;
use work.common_pkg.all;
use work.complex_const_mult_pkg.all;
use work.real_const_mult_pkg.all;
/*================================================================================================*/
/*================================================================================================*/
/*================================================================================================*/
entity complex_const_mult_core_s is
generic(
SPEED_opt : T_speed := t_exc;
ROUND_STYLE_opt : T_round_style := fixed_truncate;
ROUND_TO_BIT_opt : integer_exc := integer'low;
MAX_ERROR_PCT_opt : real_exc := real'low;
MIN_OUTPUT_BIT : integer := integer'low;
MAX_OUTPUT_BIT : integer := integer'low;
MULTIPLICAND_REAL : real;
MULTIPLICAND_IMAG : real;
INPUT_HIGH : integer;
INPUT_LOW : integer
);
port(
clk : in std_ulogic;
input_real : in u_sfixed;
input_imag : in u_sfixed;
valid_input : in std_ulogic;
output_real : out u_sfixed(complex_const_mult_OH(round_style_opt => ROUND_STYLE_OPT,
round_to_bit_opt => ROUND_TO_BIT_OPT,
max_error_pct_opt => MAX_ERROR_PCT_OPT,
max_output_bit => MAX_OUTPUT_BIT,
constants(0 to 1) => (MULTIPLICAND_REAL,
MULTIPLICAND_IMAG),
input_high => INPUT_HIGH,
input_low => INPUT_LOW,
is_signed => true)
downto
complex_const_mult_OL(round_style_opt => ROUND_STYLE_OPT,
round_to_bit_opt => ROUND_TO_BIT_OPT,
max_error_pct_opt => MAX_ERROR_PCT_OPT,
min_output_bit => MIN_OUTPUT_BIT,
constants(0 to 1) => (MULTIPLICAND_REAL,
MULTIPLICAND_IMAG),
input_low => INPUT_LOW,
is_signed => true)
);
output_imag : out u_sfixed(complex_const_mult_OH(round_style_opt => ROUND_STYLE_OPT,
round_to_bit_opt => ROUND_TO_BIT_OPT,
max_error_pct_opt => MAX_ERROR_PCT_OPT,
max_output_bit => MAX_OUTPUT_BIT,
constants(0 to 1) => (MULTIPLICAND_REAL,
MULTIPLICAND_IMAG),
input_high => INPUT_HIGH,
input_low => INPUT_LOW,
is_signed => true)
downto
complex_const_mult_OL(round_style_opt => ROUND_STYLE_OPT,
round_to_bit_opt => ROUND_TO_BIT_OPT,
max_error_pct_opt => MAX_ERROR_PCT_OPT,
min_output_bit => MIN_OUTPUT_BIT,
constants(0 to 1) => (MULTIPLICAND_REAL,
MULTIPLICAND_IMAG),
input_low => INPUT_LOW,
is_signed => true)
);
valid_output : out std_ulogic
);
end entity;
/*================================================================================================*/
/*================================================================================================*/
/*================================================================================================*/
architecture complex_const_mult_core_s_1 of complex_const_mult_core_s is
signal inter : u_sfixed_v(1 to 4)(real_const_mult_OH(round_style_opt => ROUND_STYLE_OPT,
round_to_bit_opt => ROUND_TO_BIT_OPT,
max_error_pct_opt => MAX_ERROR_PCT_OPT,
constants => (MULTIPLICAND_REAL,
MULTIPLICAND_IMAG),
input_high => INPUT_HIGH,
input_low => INPUT_LOW,
is_signed => true)
downto
real_const_mult_OL(round_style_opt => ROUND_STYLE_OPT,
round_to_bit_opt => ROUND_TO_BIT_OPT,
max_error_pct_opt => MAX_ERROR_PCT_OPT,
constants => (MULTIPLICAND_REAL,
MULTIPLICAND_IMAG),
input_low => INPUT_LOW,
is_signed => true)
);
signal valid_input_inter : std_ulogic;
/*================================================================================================*/
/*================================================================================================*/
begin
msg_debug("input_real'high: " & image(input_real'high));
msg_debug("input_real'low: " & image(input_real'low));
msg_debug("inter(1)'high: " & image(inter(1)'high));
msg_debug("inter(1)'low: " & image(inter(1)'low));
constants_are_zero_or_not:
if MULTIPLICAND_IMAG=0.0 and MULTIPLICAND_REAL=0.0 generate
begin
valid_output <= valid_input;
--output will be zero, so we leave both real_out and imag_out open
end;
elsif MULTIPLICAND_IMAG=0.0 xor MULTIPLICAND_REAL=0.0 generate
constant CONSTANTS : real_v := (1 => ite(MULTIPLICAND_REAL=0.0,
MULTIPLICAND_IMAG,
MULTIPLICAND_REAL));
begin
const_mult_real_part:
entity work.real_const_mult_s
generic map(
SPEED_opt => SPEED_opt,
ROUND_STYLE_opt => ROUND_STYLE_opt,
ROUND_TO_BIT_opt => ROUND_TO_BIT_opt,
MAX_ERROR_PCT_opt => MAX_ERROR_PCT_opt,
MULTIPLICANDS => CONSTANTS
)
port map(
input => input_real,
clk => clk,
valid_input => valid_input,
output(1) => inter(1),
valid_output => valid_input_inter
);
const_mult_imag_part:
entity work.real_const_mult_s
generic map(
SPEED_opt => SPEED_opt,
ROUND_STYLE_opt => ROUND_STYLE_opt,
ROUND_TO_BIT_opt => ROUND_TO_BIT_opt,
MAX_ERROR_PCT_opt => MAX_ERROR_PCT_opt,
MULTIPLICANDS => CONSTANTS
)
port map(
input => input_imag,
clk => clk,
valid_input => valid_input,
output(1) => inter(3),
valid_output => open
);
generate_addition:
if MULTIPLICAND_REAL=0.0 generate
begin
generate_output:
if is_pipelined(1, SPEED_opt, 1) generate
begin
process (clk) is
begin
if rising_edge(clk) then
output_imag <= resize(inter(1), output_imag);
output_real <= resize(-inter(3), output_real);
end if;
end process;
end;
else generate
begin
output_imag <= resize(inter(1), output_imag);
output_real <= resize(-inter(3), output_real);
end;
end generate;
control_logic:
entity work.pipelines
generic map(
LENGTH => number_of_pipelines(1, SPEED_opt)
)
port map(
clk => clk,
input => (1 => valid_input_inter),
output(1) => valid_output
);
end;
elsif MULTIPLICAND_IMAG=0.0 generate
begin
output_real <= resize(inter(1), output_real);
output_imag <= resize(inter(3), output_imag);
control_logic:
entity work.pipelines
generic map(
LENGTH => 0
)
port map(
clk => clk,
input => (1 => valid_input_inter),
output(1) => valid_output
);
end;
end generate;
end;
else generate
constant CONSTANTS : real_v := (MULTIPLICAND_REAL, MULTIPLICAND_IMAG);
begin
const_mult_real_part:
entity work.real_const_mult_s
generic map(
SPEED_opt => SPEED_opt,
ROUND_STYLE_opt => ROUND_STYLE_opt,
ROUND_TO_BIT_opt => ROUND_TO_BIT_opt,
MAX_ERROR_PCT_opt => MAX_ERROR_PCT_opt,
MULTIPLICANDS => CONSTANTS
)
port map(
input => input_real,
clk => clk,
valid_input => valid_input,
output(1 to 2) => inter(1 to 2),
valid_output => valid_input_inter
);
const_mult_imag_part:
entity work.real_const_mult_s
generic map(
SPEED_opt => SPEED_opt,
ROUND_STYLE_opt => ROUND_STYLE_opt,
ROUND_TO_BIT_opt => ROUND_TO_BIT_opt,
MAX_ERROR_PCT_opt => MAX_ERROR_PCT_opt,
MULTIPLICANDS => CONSTANTS
)
port map(
input => input_imag,
clk => clk,
valid_input => valid_input,
output => inter(3 to 4),
valid_output => open
);
generate_output:
if is_pipelined(1, SPEED_opt, 1) generate
begin
process (clk) is
begin
if rising_edge(clk) then
output_real <= resize(inter(1)-inter(4), output_real);
output_imag <= resize(inter(2)+inter(3), output_imag);
end if;
end process;
end;
else generate
begin
output_real <= resize(inter(1)-inter(4), output_real);
output_imag <= resize(inter(2)+inter(3), output_imag);
end;
end generate;
control_logic:
entity work.pipelines
generic map(
LENGTH => number_of_pipelines(1, SPEED_opt)
)
port map(
clk => clk,
input => (1 => valid_input_inter),
output(1) => valid_output
);
end;
end generate;
end architecture; | mit | 2f92983f77c5358395a5a74131c70e7a | 0.352339 | 5.231575 | false | false | false | false |
sonologic/gmzpu | vhdl/zwishbone/zwishbone.vhdl | 1 | 24,385 | ------------------------------------------------------------------------------
---- ----
---- ZWISHBONE gmZPU WISHBONE B4 controller ----
---- ----
---- http://github.com/sonologic/gmzpu ----
---- ----
---- Description: ----
---- Interface between the gmZPU zpu core and the WISHBONE B4 bus. ----
---- ----
---- Author: ----
---- - "Koen Martens" <gmc sonologic.nl> ----
---- ----
------------------------------------------------------------------------------
---- ----
---- Copyright (c) 2014 Koen Martens ----
---- ----
---- Distributed under the BSD license ----
---- ----
------------------------------------------------------------------------------
---- ----
---- Design unit: zwishbone_controller ----
---- File name: zwishbone.vhdl ----
---- Note: None ----
---- Limitations: None known ----
---- Errors: None known ----
---- Library: gmzpu ----
---- Dependencies: IEEE.std_logic_1164 ----
---- IEEE.numeric_std ----
---- Target FPGA: N/A ----
---- Language: VHDL ----
---- Wishbone: Yes ----
---- Synthesis tools: ModelSim ----
---- Simulation tools: ModelSim ----
---- Text editor: vim ----
---- ----
------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity zwishbone_c_regs is
generic(
ADR_WIDTH : natural:=15;
DATA_WIDTH : natural:=32
);
port (
-- syscon
clk_i : in std_logic;
rst_i : in std_logic;
irq_o : out std_logic;
-- memory control
re_i : in std_logic;
we_i : in std_logic;
adr_i : in unsigned(ADR_WIDTH-1 downto 0);
dat_i : in unsigned(DATA_WIDTH-1 downto 0);
dat_o : out unsigned(DATA_WIDTH-1 downto 0);
-- bus
to_inc_i : in std_logic;
to_rst_i : in std_logic;
to_o : out std_logic;
-- config register value (0x0000, for c_control)
cfg_o : out unsigned(DATA_WIDTH-1 downto 0);
-- status register value (0x0004, from c_control / bus)
err_i : in std_logic;
rty_i : in std_logic
);
end entity zwishbone_c_regs;
architecture rtl of zwishbone_c_regs is
-- registers
signal reg_config : unsigned(DATA_WIDTH-1 downto 0); -- := (others => '0');
signal reg_status : unsigned(DATA_WIDTH-1 downto 0); -- := (others => '0');
signal reg_to_cmp : unsigned(DATA_WIDTH-1 downto 0); -- := (others => '0');
signal reg_to_val : unsigned(DATA_WIDTH-1 downto 0); -- := (others => '0');
-- reg_status signals
signal to_r : std_logic;
signal to_rst_r : std_logic;
-- reg_config bits
constant R_CFG_PIPELINE_BIT : natural:=0;
constant R_CFG_BLOCK_BIT : natural:=1;
constant R_CFG_RMW_BIT : natural:=2;
-- reg_status bits
constant R_STATUS_ERR : natural:=0;
constant R_STATUS_RTY : natural:=1;
constant R_STATUS_TO : natural:=2;
constant R_STATUS_UNUSED : natural:=3;
-- memory control
signal reading_r : std_logic;
--signal ready_r : std_logic;
begin
-- export CONFIG register value
cfg_o <= reg_config;
irq_o <= err_i or rty_i or to_r;
process(clk_i,rst_i,to_rst_i,to_rst_r)
begin
if rst_i='1' or to_rst_i='1' or to_rst_r='1' then
reg_to_val <= x"00000000";
to_r <= '0';
elsif rising_edge(clk_i) then
if to_rst_i='0' then
if reg_to_val = reg_to_cmp then
to_r <= '1';
elsif to_inc_i='1' then
reg_to_val <= reg_to_val + 1;
end if;
else
reg_to_val <= (others => '0');
to_r <= '0';
end if;
end if;
end process;
to_o <= to_r;
process(clk_i,rst_i)
begin
if rst_i='1' then
reg_config <= (others => '0');
reg_config(R_CFG_PIPELINE_BIT) <= '1';
dat_o <= (others => '0');
reading_r <= '0';
reg_to_cmp <= x"0000000f";
reg_status <= (others => '0');
to_rst_r <= '0';
elsif rising_edge(clk_i) then
-- clock in status register
reg_status(R_STATUS_ERR) <= err_i;
reg_status(R_STATUS_RTY) <= rty_i;
reg_status(R_STATUS_TO) <= to_r;
reg_status(DATA_WIDTH-1 downto R_STATUS_UNUSED) <= (others => '0');
to_rst_r <= '0';
if re_i='1' then
reading_r <= '1';
case adr_i(3 downto 2) is
-- adr 0x0 (0000) : CONFIG register
-- adr 0x4 (0100) : STATUS register
-- adr 0x8 (1000) : TO_CMP register
-- adr 0xc (1100) : TO_VAL register
-- only two msb are relevant
when "00" =>
dat_o <= reg_config;
when "01" =>
dat_o <= reg_status;
when "10" =>
dat_o <= reg_to_cmp;
when others =>
dat_o <= reg_to_val;
end case;
elsif we_i='1' then
dat_o <= (others => 'Z');
case adr_i(3 downto 2) is
when "00" =>
reg_config <= (others => '0');
reg_config(R_CFG_PIPELINE_BIT) <= '1';
when "01" =>
-- writing 0 to bit R_STATUS_TO in status resets timeout counter
if dat_i(R_STATUS_TO)='0' then
to_rst_r <= '1';
end if;
when "10" =>
reg_to_cmp <= dat_i;
when others =>
-- writing to val resets timeout counter
to_rst_r <= '1';
end case;
else
-- deassert reading_r on the rising clock after assertion
if reading_r='1' then
reading_r <= '0';
dat_o <= (others => 'Z');
end if;
end if;
end if;
end process;
end architecture rtl;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
--
entity zwishbone_controller is
generic (
DATA_WIDTH : natural:=32; -- width of data bus
ADR_WIDTH : natural:=16;
BUSBIT_WIDTH: natural:=1;
CS_WIDTH : natural:=4
);
port (
-- SYSCON
clk_i : in std_logic;
rst_i : in std_logic;
-- zpu interface (non wishbone signal)
busy_o : out std_logic; -- controller busy
ready_o : out std_logic; -- read request ready
adr_i : in unsigned(ADR_WIDTH-1 downto 0);
we_i : in std_logic;
re_i : in std_logic; -- enable wb controller
dat_i : in unsigned(DATA_WIDTH-1 downto 0);
dat_o : out unsigned(DATA_WIDTH-1 downto 0);
irq_o : out std_logic;
-- I/O decoder
--cs_o : out std_logic_vector(CS_WIDTH-1 downto 0);
-- wishbone bus
wb_dat_i : in unsigned(DATA_WIDTH-1 downto 0);
wb_dat_o : out unsigned(DATA_WIDTH-1 downto 0);
wb_tgd_i : in unsigned(DATA_WIDTH-1 downto 0);
wb_tgd_o : out unsigned(DATA_WIDTH-1 downto 0);
wb_ack_i : in std_logic;
wb_adr_o : out unsigned(ADR_WIDTH-CS_WIDTH-2 downto 0);
wb_cyc_o : out std_logic;
wb_stall_i : in std_logic;
wb_err_i : in std_logic;
wb_lock_o : out std_logic;
wb_rty_i : in std_logic;
wb_sel_o : out std_logic_vector(DATA_WIDTH-1 downto 0);
wb_stb_o : out std_logic_vector((2**CS_WIDTH)-1 downto 0);
wb_tga_o : out unsigned(ADR_WIDTH-CS_WIDTH-2 downto 0);
wb_tgc_o : out unsigned(DATA_WIDTH-1 downto 0); -- size correct?
wb_we_o : out std_logic
);
end entity zwishbone_controller;
--
architecture rtl of zwishbone_controller is
component zwishbone_c_regs is
generic(
ADR_WIDTH : natural:=15;
DATA_WIDTH : natural:=32
);
port (
-- syscon
clk_i : in std_logic;
rst_i : in std_logic;
irq_o : out std_logic;
-- memory control
re_i : in std_logic;
we_i : in std_logic;
adr_i : in unsigned(ADR_WIDTH-1 downto 0);
dat_i : in unsigned(DATA_WIDTH-1 downto 0);
dat_o : out unsigned(DATA_WIDTH-1 downto 0);
-- bus
to_inc_i : in std_logic;
to_rst_i : in std_logic;
to_o : out std_logic;
-- config register value (0x0000, for c_control)
cfg_o : out unsigned(DATA_WIDTH-1 downto 0);
-- status register value (0x0004, from c_control / bus)
err_i : in std_logic;
rty_i : in std_logic
);
end component zwishbone_c_regs;
-- fsm
type state_type is (
idle,
write_start,
write_cycle,
write_terminate,
read_start,
read_cycle,
read_ready,
write_reg,
read_reg,
read_reg_ready
);
signal state : state_type;
signal next_state : state_type;
-- register component
signal reg_re_r : std_logic;
signal reg_we_r : std_logic;
signal reg_adr_ir : unsigned(ADR_WIDTH-BUSBIT_WIDTH-1 downto 0);
signal reg_dat_ir : unsigned(DATA_WIDTH-1 downto 0);
signal reg_dat_or : unsigned(DATA_WIDTH-1 downto 0);
signal to_r : std_logic;
signal to_inc_r : std_logic;
signal to_rst_r : std_logic;
-- inputs to status reg
signal err_r : std_logic;
signal rty_r : std_logic;
-- config exported from regs
signal config_r : unsigned(DATA_WIDTH-1 downto 0);
begin
registers: zwishbone_c_regs
generic map ( ADR_WIDTH => ADR_WIDTH-BUSBIT_WIDTH, DATA_WIDTH => DATA_WIDTH )
port map ( clk_i => clk_i, rst_i => rst_i, irq_o => irq_o,
re_i => reg_re_r, we_i => reg_we_r,
adr_i => reg_adr_ir,
dat_i => reg_dat_ir, dat_o => reg_dat_or,
to_inc_i => to_inc_r, to_rst_i => to_rst_r, to_o => to_r,
cfg_o => config_r, err_i => err_r, rty_i => rty_r);
err_r <= '0';
rty_r <= '0';
-- registered
transition:
process(clk_i,rst_i)
begin
if rst_i='1' then
state <= idle;
elsif rising_edge(clk_i) then
case state is
when write_start =>
if wb_ack_i='1' then
state <= write_terminate;
else
state <= write_cycle;
end if;
when write_cycle =>
if to_r='1' then
state <= idle;
elsif wb_ack_i='1' then
state <= write_terminate;
else
state <= write_cycle;
end if;
when write_terminate =>
state <= idle;
when read_start =>
if wb_ack_i='1' then
state <= read_ready;
else
state <= read_cycle;
end if;
when read_cycle =>
if to_r='1' then
state <= idle;
elsif wb_ack_i='1' then
state <= read_ready;
else
state <= read_cycle;
end if;
when read_ready =>
state <= idle;
when write_reg =>
state <= idle;
when read_reg =>
state <= read_reg_ready;
--when read_reg_ready =>
-- state <= idle;
when others => -- also read_reg_ready
-- others includes state idle
state <= idle;
-- active when MSB is 1 (0 means register access)
if adr_i(ADR_WIDTH-1)='1' then
if re_i='1' then
state <= read_start;
elsif we_i='1' then
state <= write_start;
end if;
else
-- register access
if re_i='1' then
state <= read_reg;
elsif we_i='1' then
state <= write_reg;
end if;
end if;
end case;
end if;
end process transition;
-- irq_o !
-- unregistered (no registers, no latches!)
process(state,adr_i,dat_i,reg_dat_or,to_r)
variable bus_adr_v : unsigned(ADR_WIDTH-BUSBIT_WIDTH-CS_WIDTH-1 downto 0);
variable reg_adr_v : unsigned(ADR_WIDTH-BUSBIT_WIDTH-1 downto 0);
variable cs_v : unsigned(CS_WIDTH-1 downto 0);
begin
-- split address in bus address and chip select (=stb_o bit)
bus_adr_v := adr_i(bus_adr_v'left downto 0);
reg_adr_v := adr_i(reg_adr_v'left downto 0);
cs_v := adr_i(ADR_WIDTH-BUSBIT_WIDTH-1 downto ADR_WIDTH-BUSBIT_WIDTH-CS_WIDTH);
case state is
when write_start =>
busy_o <= '1';
ready_o <= '0';
dat_o <= (others => 'Z');
--
wb_we_o <= '1';
wb_dat_o <= dat_i;
wb_tgd_o <= (others => '0');
wb_adr_o <= bus_adr_v;
wb_cyc_o <= '1';
wb_lock_o <= '0';
wb_sel_o <= (others => '1');
wb_stb_o <= (others => '0');
wb_stb_o((2**to_integer(cs_v))-1) <= '1';
wb_tga_o <= (others => '0');
wb_tgc_o <= (others => '0');
--
reg_re_r <= '0';
reg_we_r <= '0';
reg_dat_ir <= (others => '0');
reg_adr_ir <= (others => '0');
--
to_inc_r <= '0';
to_rst_r <= '1';
when write_cycle =>
busy_o <= '1';
ready_o <= '0';
dat_o <= (others => 'Z');
--
wb_we_o <= '0';
wb_cyc_o <= '1';
wb_lock_o <= '0';
wb_adr_o <= (others => 'Z');
wb_dat_o <= (others => 'Z');
wb_stb_o <= (others => '0');
wb_tgd_o <= (others => 'Z');
wb_sel_o <= (others => 'Z');
wb_tga_o <= (others => 'Z');
wb_tgc_o <= (others => 'Z');
--
reg_re_r <= '0';
reg_we_r <= '0';
reg_dat_ir <= (others => '0');
reg_adr_ir <= (others => '0');
--
to_inc_r <= to_r;
to_rst_r <= not to_r;
when write_terminate =>
busy_o <= '1';
ready_o <= '0';
dat_o <= (others => 'Z');
--
wb_we_o <= '0';
wb_cyc_o <= '1';
wb_lock_o <= '0';
wb_adr_o <= (others => 'Z');
wb_dat_o <= (others => 'Z');
wb_stb_o <= (others => '0');
wb_tgd_o <= (others => 'Z');
wb_sel_o <= (others => 'Z');
wb_tga_o <= (others => 'Z');
wb_tgc_o <= (others => 'Z');
--
reg_re_r <= '0';
reg_we_r <= '0';
reg_dat_ir <= (others => '0');
reg_adr_ir <= (others => '0');
--
to_inc_r <= to_r;
to_rst_r <= not to_r;
when read_start =>
busy_o <= '1';
ready_o <= '0';
dat_o <= (others => 'Z');
--
wb_we_o <= '0';
wb_cyc_o <= '1';
wb_lock_o <= '0';
wb_adr_o <= bus_adr_v;
wb_dat_o <= (others => 'Z');
wb_stb_o <= (others => '0');
wb_stb_o((2**to_integer(cs_v))-1) <= '1';
wb_tgd_o <= (others => '0');
wb_sel_o <= (others => '1');
wb_tga_o <= (others => '0');
wb_tgc_o <= (others => '0');
--
reg_re_r <= '0';
reg_we_r <= '0';
reg_dat_ir <= (others => '0');
reg_adr_ir <= (others => '0');
--
to_inc_r <= '0';
to_rst_r <= '1';
when read_cycle =>
busy_o <= '1';
ready_o <= '0';
dat_o <= (others => 'Z');
--
wb_we_o <= '0';
wb_cyc_o <= '1';
wb_lock_o <= '0';
wb_adr_o <= (others => 'Z');
wb_dat_o <= (others => 'Z');
wb_stb_o <= (others => '0');
wb_tgd_o <= (others => 'Z');
wb_sel_o <= (others => 'Z');
wb_tga_o <= (others => 'Z');
wb_tgc_o <= (others => 'Z');
--
reg_re_r <= '0';
reg_we_r <= '0';
reg_dat_ir <= (others => '0');
reg_adr_ir <= (others => '0');
--
to_inc_r <= '1';
to_rst_r <= '0';
when read_ready =>
busy_o <= '0';
ready_o <= '1';
dat_o <= wb_dat_i;
--
wb_we_o <= '0';
wb_cyc_o <= '1';
wb_lock_o <= '0';
wb_adr_o <= (others => 'Z');
wb_dat_o <= (others => 'Z');
wb_stb_o <= (others => '0');
wb_tgd_o <= (others => 'Z');
wb_sel_o <= (others => 'Z');
wb_tga_o <= (others => 'Z');
wb_tgc_o <= (others => 'Z');
--
reg_re_r <= '0';
reg_we_r <= '0';
reg_dat_ir <= (others => '0');
reg_adr_ir <= (others => '0');
--
to_inc_r <= to_r;
to_rst_r <= not to_r;
when write_reg =>
busy_o <= '0';
ready_o <= '0';
dat_o <= (others => 'Z');
--
wb_we_o <= '0';
wb_cyc_o <= '0';
wb_lock_o <= '0';
wb_adr_o <= (others => 'Z');
wb_dat_o <= (others => 'Z');
wb_stb_o <= (others => '0');
wb_tgd_o <= (others => 'Z');
wb_sel_o <= (others => 'Z');
wb_tga_o <= (others => 'Z');
wb_tgc_o <= (others => 'Z');
--
reg_re_r <= '0';
reg_we_r <= '1';
reg_dat_ir <= dat_i;
reg_adr_ir <= reg_adr_v;
--
to_inc_r <= to_r;
to_rst_r <= not to_r;
when read_reg =>
busy_o <= '1';
ready_o <= '0';
dat_o <= (others => 'Z');
--
wb_we_o <= '0';
wb_cyc_o <= '0';
wb_lock_o <= '0';
wb_adr_o <= (others => 'Z');
wb_dat_o <= (others => 'Z');
wb_stb_o <= (others => '0');
wb_tgd_o <= (others => 'Z');
wb_sel_o <= (others => 'Z');
wb_tga_o <= (others => 'Z');
wb_tgc_o <= (others => 'Z');
--
reg_re_r <= '1';
reg_we_r <= '0';
reg_dat_ir <= (others => '0');
reg_adr_ir <= (others => '0');
--
to_inc_r <= to_r;
to_rst_r <= not to_r;
when read_reg_ready =>
busy_o <= '0';
ready_o <= '1';
dat_o <= reg_dat_or;
--
wb_we_o <= '0';
wb_cyc_o <= '0';
wb_lock_o <= '0';
wb_adr_o <= (others => 'Z');
wb_dat_o <= (others => 'Z');
wb_stb_o <= (others => '0');
wb_tgd_o <= (others => 'Z');
wb_sel_o <= (others => 'Z');
wb_tga_o <= (others => 'Z');
wb_tgc_o <= (others => 'Z');
--
reg_re_r <= '0';
reg_we_r <= '0';
reg_dat_ir <= (others => '0');
reg_adr_ir <= reg_adr_v;
--
to_inc_r <= to_r;
to_rst_r <= not to_r;
when others =>
-- others includes state idle
busy_o <= '0';
ready_o <= '0';
dat_o <= (others => 'Z');
--
wb_we_o <= '0';
wb_dat_o <= (others => 'Z');
wb_tgd_o <= (others => 'Z');
wb_adr_o <= (others => 'Z');
wb_cyc_o <= '0';
wb_lock_o <= '0';
wb_sel_o <= (others => 'Z');
wb_stb_o <= (others => '0');
wb_tga_o <= (others => 'Z');
wb_tgc_o <= (others => 'Z');
--
reg_re_r <= '0';
reg_we_r <= '0';
reg_dat_ir <= (others => '0');
reg_adr_ir <= (others => '0');
--
to_inc_r <= to_r;
to_rst_r <= not to_r;
end case;
end process;
end architecture rtl;
| bsd-3-clause | 89c926b703db6c8117af778787181bee | 0.34267 | 4.083906 | false | false | false | false |
223323/lab2 | HDL/source/rtl/vhdl/graphics_mem.vhd | 1 | 3,308 | -------------------------------------------------------------------------------
-- Department of Computer Engineering and Communications
-- Author: LPRS2 <[email protected]>
--
-- Module Name: graphics_mem
--
-- Description:
--
-- Dual-port RAM for graphics
--
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity graphics_mem is
generic(
MEM_ADDR_WIDTH : natural := 32;
MEM_DATA_WIDTH : natural := 32;
MEM_SIZE : natural := 4800
);
port(
clk_i : in std_logic;
reset_n_i : in std_logic;
wr_addr_i : in std_logic_vector(MEM_ADDR_WIDTH-1 downto 0); -- write address input
rd_addr_i : in std_logic_vector(MEM_ADDR_WIDTH-1 downto 0); -- read address input
wr_data_i : in std_logic_vector(MEM_DATA_WIDTH-1 downto 0); -- Write data output
we_i : in std_logic; -- 1 - write transaction
rd_data_o : out std_logic -- read data output
);
end entity;
architecture arc_graphics_mem of graphics_mem is
type t_graphics_mem is array (0 to MEM_SIZE/MEM_DATA_WIDTH-1) of std_logic_vector(MEM_DATA_WIDTH-1 downto 0);
signal graphics_mem : t_graphics_mem := (
-- 0 => "000000",
-- 1 => "000001",
-- 2 => "000010",
others => (others => '0')
);
signal mem_up_addr : std_logic_vector(MEM_ADDR_WIDTH-1 downto 0);
signal mem_lo_addr : std_logic_vector(5-1 downto 0);
signal rd_value : std_logic_vector(MEM_DATA_WIDTH-1 downto 0);
signal index_0_t : natural;
signal index_0 : natural;
signal index_1_t : natural;
signal index_1 : natural;
signal index_2_t : natural;
signal index_2 : natural;
begin
-- get address for graphics mem based on memory format
mem_up_addr <= "000" & rd_addr_i(MEM_ADDR_WIDTH-1 downto 3) when (MEM_DATA_WIDTH = 8) else
"0000" & rd_addr_i(MEM_ADDR_WIDTH-1 downto 4) when (MEM_DATA_WIDTH = 16) else
"00000" & rd_addr_i(MEM_ADDR_WIDTH-1 downto 5);
mem_lo_addr <= "00" & rd_addr_i(3-1 downto 0) when (MEM_DATA_WIDTH = 8) else
'0' & rd_addr_i(4-1 downto 0) when (MEM_DATA_WIDTH = 16) else
rd_addr_i(5-1 downto 0);
DP_GRAPHICS_MEM : process (clk_i) begin
if (rising_edge(clk_i)) then
if (we_i = '1') then
graphics_mem(index_2) <= wr_data_i;
end if;
--rd_value <= graphics_mem(conv_integer(index_0));
end if;
end process;
DP_GRAPHICS_MEM_RD : process (clk_i) begin
if (rising_edge(clk_i)) then
rd_value <= graphics_mem(conv_integer(index_0));
end if;
end process;
rd_data_o <= rd_value(conv_integer(index_1));
index_0_t <= conv_integer(mem_up_addr);
index_0 <= index_0_t when (index_0_t < graphics_mem'length) else 0;
index_1_t <= conv_integer(mem_lo_addr);
index_1 <= index_1_t when (index_1_t < graphics_mem'length) else 0;
index_2_t <= conv_integer(wr_addr_i);
index_2 <= index_2_t when (index_2_t < graphics_mem'length) else 0;
end arc_graphics_mem; | mit | 265a3b92ad4cb1547949b5d21cc6312f | 0.548065 | 3.199226 | false | false | false | false |
benjmarshall/hls_scratchpad | hls_cmd_line_testing/hls_gui_proj/hls_sin_proj/solution1/.autopilot/db/ip_tmp/prjsrcs/sources_1/ip/sin_taylor_series_ap_sitodp_4_no_dsp_32/hdl/xbip_utils_v3_0_vh_rfs.vhd | 20 | 168,945 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2015"
`protect key_keyowner = "Cadence Design Systems.", key_keyname = "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
AFl2kw3wjuupeEJWAVRMjvI4n2F9ZwKYCyTdtTbrj99jYEYTJx3fm7Ch7UNHIYnYCZk+hug4a3M6
XIrSFOf3lw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname = "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
kJIX1i40eaci6RDbcVVzg1fYaa68r2QTZ19EbYvWyiO0MSVCOi3GfcyJJxOR52/mcv4FD0GrKyok
p1d2616K9ikEjuEHDsOkFkQxSSfEgbSNAEkwJoywFb1NEza/LgnXq4wCMserYGd0Ho12V4osIEdI
exWoz7u39lGc9ZiaBS4=
`protect key_keyowner = "Synopsys", key_keyname = "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
2kMqoMFPLn7FsBBTsV6uCri7uN+peyfxKN5B0t+cAsrbL+lDiZoUrv6niJBSapyempvdNVVmTzxI
0OOKA0SUZL7oQT5S7r5QAMg9q0wHtWdtsxsKxFyZXOcUUs3IkLwLNJ9fExPXmVlCDUNWWyZ/Qtik
1q9ZynUcX4DCv1pUeRs=
`protect key_keyowner = "Aldec", key_keyname = "ALDEC15_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
uW1nShxn5xYxSfsiNvMbC6cL7GFjn45B3GrJxFfTPdqHxW6l/7kPGVqMN4yc97bwWb5swAmg1/ia
P9L6G5Lmjygww+NIedzfhB4znXCEs1F+LwtP/Eo4UZuH4rQ55XUhLKrRNEqAJ5lTqYxfdIa1JIeg
6YgrU98QHKeOeZUeearBuTROZ6q9d2QFGZhc5MxjU8pwV5JQ++j3EkUIuMZJi3DVdwnYj2d1DzSG
tEt9nWmDzn5rqjvrP0c2GlNBg1tCMJxGfC7y54n+J8H6ETagMe97uL4QvKLTEhjArVfHkKedz6Hw
BLtL2VPOf8fCVrM+AsqxA6SscLteiE3Y/tcuqg==
`protect key_keyowner = "ATRENTA", key_keyname = "ATR-SG-2015-RSA-3", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
IV462y1jHGYFO6K2VU9zTKlfXJZ4kSNvewSr8uczSbz2qRhu1urkppbYmZyNPMNjUUiJfr+4xl1K
sPX+MN8CN040mI1y/WRE8sMEH4yPflkbYjeDH/AX8AZf0f51eUS3cIc3p5KYvECdG8h6xmZ6jH0F
7BqDcSAL8OaSnIetqzLPr2v1rtYXH+RRlVWmvCK2nFZ02kt8pCYkp8L5RKceyNIKFWCdOT5JdjZY
4tvHtPn6P1gVHsYV30mBGWhvJczj5zrLjwFlzuRt1FBD773q8FliSEnvM5VLjXeYVAshW6krIgcC
JRjJFfG2fLeH0eKFVJ7kqIzwNNYB0nt3Mho0og==
`protect key_keyowner = "Xilinx", key_keyname = "xilinx_2016_05", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
gxKMu2AB/FASbqvyKO7D61/XUsdMsjazR7APgUWhLu8z6ePEw4il1OmWHsQOCjylECfctRxhrNKA
ZkqobGwUpbLybNlM8OLmxSq7gFftkFYAAbUlTfr+gHIvTw0OHQ1EytNPCAXJ3C16VMRZRtIOMhuu
qWzd7JSTNzsFNMOGqUkbAJ25aM5fSFIBT9RqdtK6aDtxz+XRgnizFyeXsqGdP7bY03KX5HgTMa9w
sW64LQcPjQEuBBRxSfYreUKE1jQbO9XuIjtoOmZGDsEtv2KkhTAdFbwHWRiiIzAO+Bx945pksp3X
TfC9rKLaXHs75mi0HkNR/3vshocjTDFwp+bJaA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 122928)
`protect data_block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`protect end_protected
| mit | 361b627371eece967f7032b0debbe0a2 | 0.954322 | 1.812851 | false | false | false | false |
ILoveSpeccy/Aeon-Lite | cores/lvov-pk01/src/video/video_with_cache.vhd | 1 | 6,162 | library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
entity video is
Port (
CLK : in std_logic; -- Pixel clock 32.5MHz
RESET : in std_logic; -- Reset (active low)
CACHE_SWAP : out std_logic; -- Active buffer
CACHE_A : out std_logic_vector(5 downto 0); -- Cache address
CACHE_D : in std_logic_vector(7 downto 0); -- Cache data
CURRENT_LINE : out std_logic_vector(7 downto 0); -- Current line to read in cache
COLORS : in std_logic_vector(6 downto 0);
R : out std_logic_vector(3 downto 0); -- Red
G : out std_logic_vector(3 downto 0); -- Green
B : out std_logic_vector(3 downto 0); -- Blue
HSYNC : out std_logic; -- Hor. sync
VSYNC : out std_logic -- Ver. sync
);
end video;
architecture BEHAVIORAL of video is
-- VGA timing constants (XGA - 1024x768@60) (512x768@60)
-- HOR
constant HSIZE : INTEGER := 512; -- Visible area
constant HFP : INTEGER := 12; -- Front porch
constant HS : INTEGER := 68; -- HSync pulse
constant HB : INTEGER := 80; -- Back porch
constant HOFFSET : INTEGER := 0; -- HSync offset
-- VER
constant VSIZE : INTEGER := 768; -- Visible area
constant VFP : INTEGER := 3; -- Front porch
constant VS : INTEGER := 6; -- VSync pulse
constant VB : INTEGER := 29; -- Back porch
constant VOFFSET : INTEGER := 0; -- VSync offset
------------------------------------------------------------
signal H_COUNTER : UNSIGNED(9 downto 0); -- Horizontal Counter
signal V_COUNTER : UNSIGNED(9 downto 0); -- Vertical Counter
signal THREE_ROW_CNT : UNSIGNED(1 downto 0); -- 3 Row Counter
signal ROW_COUNTER : UNSIGNED(7 downto 0); -- Korvet Row Counter
signal PAPER : STD_LOGIC; -- Paper zone
signal PAPER_L : STD_LOGIC; -- Paper zone latched
signal COLOR_R : STD_LOGIC;
signal COLOR_G : STD_LOGIC;
signal COLOR_B : STD_LOGIC;
signal PIX0 : STD_LOGIC_VECTOR(3 downto 0);
signal PIX1 : STD_LOGIC_VECTOR(3 downto 0);
begin
u_COLOR_MUX : entity work.clr_mux
port map(
color => PIX1(3 - to_integer(H_COUNTER(2 downto 1))) & PIX0(3 - to_integer(H_COUNTER(2 downto 1))),
portb => COLORS,
out_r => COLOR_R,
out_g => COLOR_G,
out_b => COLOR_B );
CURRENT_LINE <= std_logic_vector(ROW_COUNTER);
process (CLK) -- H/V Counters
begin
if rising_edge(CLK) then
if RESET = '0' then
H_COUNTER <= (others=>'0');
V_COUNTER <= (others=>'0');
else
H_COUNTER <= H_COUNTER + 1;
if H_COUNTER = (HSIZE + HFP + HS + HB - 1) then
H_COUNTER <= (others=>'0');
V_COUNTER <= V_COUNTER + 1;
if V_COUNTER = (VSIZE + VFP + VS + VB - 1) then
V_COUNTER <= (others=>'0');
end if;
end if;
end if;
end if;
end process;
process (CLK)
begin
if rising_edge(CLK) then
if RESET = '0' then
THREE_ROW_CNT <= (others=>'0');
ROW_COUNTER <= (others=>'0');
CACHE_SWAP <= '0';
else
CACHE_SWAP <= '0';
if H_COUNTER = 544 then
if V_COUNTER < 768 then
THREE_ROW_CNT <= THREE_ROW_CNT + 1;
if THREE_ROW_CNT = 2 then
THREE_ROW_CNT <= (others=>'0');
ROW_COUNTER <= ROW_COUNTER + 1;
CACHE_SWAP <= '1';
end if;
else
ROW_COUNTER <= (others=>'0');
THREE_ROW_CNT <= (others=>'0');
end if;
end if;
end if;
end if;
end process;
process (CLK)
begin
if rising_edge(CLK) then
HSYNC <= '1';
VSYNC <= '1';
PAPER <= '0';
if H_COUNTER >= (HSIZE + HOFFSET + HFP) and H_COUNTER < (HSIZE + HOFFSET + HFP + HS) then
HSYNC <= '0';
end if;
if V_COUNTER >= (VSIZE + VOFFSET + VFP) and V_COUNTER < (VSIZE + VOFFSET + VFP + VS) then
VSYNC <= '0';
end if;
if H_COUNTER < HSIZE and V_COUNTER < VSIZE then
PAPER <= '1';
end if;
end if;
end process;
process (CLK)
begin
if rising_edge(CLK) then
case H_COUNTER(2 downto 0) is
when "001" =>
CACHE_A <= std_logic_vector(H_COUNTER(8 downto 3));
when "111" =>
PIX0 <= CACHE_D(3 downto 0);
PIX1 <= CACHE_D(7 downto 4);
PAPER_L <= PAPER;
when OTHERS =>
null;
end case;
end if;
end process;
process (CLK)
begin
if rising_edge(CLK) then
if PAPER_L = '1' then
if THREE_ROW_CNT = "01" then
R <= COLOR_R & COLOR_R & COLOR_R & COLOR_R;
G <= COLOR_G & COLOR_G & COLOR_G & COLOR_G;
B <= COLOR_B & COLOR_B & COLOR_B & COLOR_B;
else
R <= COLOR_R & "000";
G <= COLOR_G & "000";
B <= COLOR_B & "000";
end if;
else
R <= (others=>'0');
G <= (others=>'0');
B <= (others=>'0');
end if;
end if;
end process;
end BEHAVIORAL;
| gpl-3.0 | 41f849bccd3684adce4216185023afa1 | 0.426972 | 4.13557 | false | false | false | false |
ILoveSpeccy/Aeon-Lite | cores/atari800xl/src/components/spi_master.vhd | 1 | 8,820 | --------------------------------------------------------------------------------
--
-- FileName: spi_master.vhd
-- Dependencies: none
-- Design Software: Quartus II Version 9.0 Build 132 SJ Full Version
--
-- HDL CODE IS PROVIDED "AS IS." DIGI-KEY EXPRESSLY DISCLAIMS ANY
-- WARRANTY OF ANY KIND, WHETHER EXPRESS OR IMPLIED, INCLUDING BUT NOT
-- LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY, FITNESS FOR A
-- PARTICULAR PURPOSE, OR NON-INFRINGEMENT. IN NO EVENT SHALL DIGI-KEY
-- BE LIABLE FOR ANY INCIDENTAL, SPECIAL, INDIRECT OR CONSEQUENTIAL
-- DAMAGES, LOST PROFITS OR LOST DATA, HARM TO YOUR EQUIPMENT, COST OF
-- PROCUREMENT OF SUBSTITUTE GOODS, TECHNOLOGY OR SERVICES, ANY CLAIMS
-- BY THIRD PARTIES (INCLUDING BUT NOT LIMITED TO ANY DEFENSE THEREOF),
-- ANY CLAIMS FOR INDEMNITY OR CONTRIBUTION, OR OTHER SIMILAR COSTS.
--
-- Version History
-- Version 1.0 7/23/2010 Scott Larson
-- Initial Public Release
-- Version 1.1 4/11/2013 Scott Larson
-- Corrected ModelSim simulation error (explicitly reset clk_toggles signal)
--
--------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_arith.all;
USE ieee.std_logic_unsigned.all;
ENTITY spi_master IS
GENERIC(
slaves : INTEGER := 4; --number of spi slaves
d_width : INTEGER := 2); --data bus width
PORT(
clock : IN STD_LOGIC; --system clock
reset_n : IN STD_LOGIC; --asynchronous reset
enable : IN STD_LOGIC; --initiate transaction
cpol : IN STD_LOGIC; --spi clock polarity
cpha : IN STD_LOGIC; --spi clock phase
cont : IN STD_LOGIC; --continuous mode command
clk_div : IN INTEGER; --system clock cycles per 1/2 period of sclk
addr : IN INTEGER; --address of slave
tx_data : IN STD_LOGIC_VECTOR(d_width-1 DOWNTO 0); --data to transmit
miso : IN STD_LOGIC; --master in, slave out
sclk : BUFFER STD_LOGIC; --spi clock
ss_n : BUFFER STD_LOGIC_VECTOR(slaves-1 DOWNTO 0); --slave select
mosi : OUT STD_LOGIC; --master out, slave in
busy : OUT STD_LOGIC; --busy / data ready signal
rx_data : OUT STD_LOGIC_VECTOR(d_width-1 DOWNTO 0)); --data received
END spi_master;
ARCHITECTURE logic OF spi_master IS
TYPE machine IS(ready, execute); --state machine data type
SIGNAL state : machine; --current state
SIGNAL slave : INTEGER; --slave selected for current transaction
SIGNAL clk_ratio : INTEGER; --current clk_div
SIGNAL count : INTEGER; --counter to trigger sclk from system clock
SIGNAL clk_toggles : INTEGER RANGE 0 TO d_width*2 + 1; --count spi clock toggles
SIGNAL assert_data : STD_LOGIC; --'1' is tx sclk toggle, '0' is rx sclk toggle
SIGNAL continue : STD_LOGIC; --flag to continue transaction
SIGNAL rx_buffer : STD_LOGIC_VECTOR(d_width-1 DOWNTO 0); --receive data buffer
SIGNAL tx_buffer : STD_LOGIC_VECTOR(d_width-1 DOWNTO 0); --transmit data buffer
SIGNAL last_bit_rx : INTEGER RANGE 0 TO d_width*2; --last rx data bit location
BEGIN
PROCESS(clock, reset_n)
BEGIN
IF(reset_n = '0') THEN --reset system
busy <= '1'; --set busy signal
ss_n <= (OTHERS => '1'); --deassert all slave select lines
mosi <= 'Z'; --set master out to high impedance
rx_data <= (OTHERS => '0'); --clear receive data port
state <= ready; --go to ready state when reset is exited
ELSIF(clock'EVENT AND clock = '1') THEN
CASE state IS --state machine
WHEN ready =>
busy <= '0'; --clock out not busy signal
ss_n <= (OTHERS => '1'); --set all slave select outputs high
mosi <= 'Z'; --set mosi output high impedance
continue <= '0'; --clear continue flag
--user input to initiate transaction
IF(enable = '1') THEN
busy <= '1'; --set busy signal
IF(addr < slaves) THEN --check for valid slave address
slave <= addr; --clock in current slave selection if valid
ELSE
slave <= 0; --set to first slave if not valid
END IF;
IF(clk_div = 0) THEN --check for valid spi speed
clk_ratio <= 1; --set to maximum speed if zero
count <= 1; --initiate system-to-spi clock counter
ELSE
clk_ratio <= clk_div; --set to input selection if valid
count <= clk_div; --initiate system-to-spi clock counter
END IF;
sclk <= cpol; --set spi clock polarity
assert_data <= NOT cpha; --set spi clock phase
tx_buffer <= tx_data; --clock in data for transmit into buffer
clk_toggles <= 0; --initiate clock toggle counter
last_bit_rx <= d_width*2 + conv_integer(cpha) - 1; --set last rx data bit
state <= execute; --proceed to execute state
ELSE
state <= ready; --remain in ready state
END IF;
WHEN execute =>
busy <= '1'; --set busy signal
ss_n(slave) <= '0'; --set proper slave select output
--system clock to sclk ratio is met
IF(count = clk_ratio) THEN
count <= 1; --reset system-to-spi clock counter
assert_data <= NOT assert_data; --switch transmit/receive indicator
IF(clk_toggles = d_width*2 + 1) THEN
clk_toggles <= 0; --reset spi clock toggles counter
ELSE
clk_toggles <= clk_toggles + 1; --increment spi clock toggles counter
END IF;
--spi clock toggle needed
IF(clk_toggles <= d_width*2 AND ss_n(slave) = '0') THEN
sclk <= NOT sclk; --toggle spi clock
END IF;
--receive spi clock toggle
IF(assert_data = '0' AND clk_toggles < last_bit_rx + 1 AND ss_n(slave) = '0') THEN
rx_buffer <= rx_buffer(d_width-2 DOWNTO 0) & miso; --shift in received bit
END IF;
--transmit spi clock toggle
IF(assert_data = '1' AND clk_toggles < last_bit_rx) THEN
mosi <= tx_buffer(d_width-1); --clock out data bit
tx_buffer <= tx_buffer(d_width-2 DOWNTO 0) & '0'; --shift data transmit buffer
END IF;
--last data receive, but continue
IF(clk_toggles = last_bit_rx AND cont = '1') THEN
tx_buffer <= tx_data; --reload transmit buffer
clk_toggles <= last_bit_rx - d_width*2 + 1; --reset spi clock toggle counter
continue <= '1'; --set continue flag
END IF;
--normal end of transaction, but continue
IF(continue = '1') THEN
continue <= '0'; --clear continue flag
busy <= '0'; --clock out signal that first receive data is ready
rx_data <= rx_buffer; --clock out received data to output port
END IF;
--end of transaction
IF((clk_toggles = d_width*2 + 1) AND cont = '0') THEN
busy <= '0'; --clock out not busy signal
ss_n <= (OTHERS => '1'); --set all slave selects high
mosi <= 'Z'; --set mosi output high impedance
rx_data <= rx_buffer; --clock out received data to output port
state <= ready; --return to ready state
ELSE --not end of transaction
state <= execute; --remain in execute state
END IF;
ELSE --system clock to sclk ratio not met
count <= count + 1; --increment counter
state <= execute; --remain in execute state
END IF;
END CASE;
END IF;
END PROCESS;
END logic;
| gpl-3.0 | 97cbde5e1cb32192db5173ccdaed3faf | 0.508617 | 4.59854 | false | false | false | false |
ILoveSpeccy/Aeon-Lite | cores/lvov-pk02-mips/src/host/plasma v3.0/mult.vhd | 1 | 7,173 | ---------------------------------------------------------------------
-- TITLE: Multiplication and Division Unit
-- AUTHORS: Steve Rhoads ([email protected])
-- DATE CREATED: 1/31/01
-- FILENAME: mult.vhd
-- PROJECT: Plasma CPU core
-- COPYRIGHT: Software placed into the public domain by the author.
-- Software 'as is' without warranty. Author liable for nothing.
-- DESCRIPTION:
-- Implements the multiplication and division unit in 32 clocks.
--
-- MULTIPLICATION
-- long64 answer = 0
-- for(i = 0; i < 32; ++i)
-- {
-- answer = (answer >> 1) + (((b&1)?a:0) << 31);
-- b = b >> 1;
-- }
--
-- DIVISION
-- long upper=a, lower=0;
-- a = b << 31;
-- for(i = 0; i < 32; ++i)
-- {
-- lower = lower << 1;
-- if(upper >= a && a && b < 2)
-- {
-- upper = upper - a;
-- lower |= 1;
-- }
-- a = ((b&2) << 30) | (a >> 1);
-- b = b >> 1;
-- }
---------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use IEEE.std_logic_arith.all;
use work.mlite_pack.all;
entity mult is
generic(mult_type : string := "DEFAULT");
port(clk : in std_logic;
reset_in : in std_logic;
a, b : in std_logic_vector(31 downto 0);
mult_func : in mult_function_type;
c_mult : out std_logic_vector(31 downto 0);
pause_out : out std_logic);
end; --entity mult
architecture logic of mult is
constant MODE_MULT : std_logic := '1';
constant MODE_DIV : std_logic := '0';
signal mode_reg : std_logic;
signal negate_reg : std_logic;
signal sign_reg : std_logic;
signal sign2_reg : std_logic;
signal count_reg : std_logic_vector(5 downto 0);
signal aa_reg : std_logic_vector(31 downto 0);
signal bb_reg : std_logic_vector(31 downto 0);
signal upper_reg : std_logic_vector(31 downto 0);
signal lower_reg : std_logic_vector(31 downto 0);
signal a_neg : std_logic_vector(31 downto 0);
signal b_neg : std_logic_vector(31 downto 0);
signal sum : std_logic_vector(32 downto 0);
begin
-- Result
c_mult <= lower_reg when mult_func = MULT_READ_LO and negate_reg = '0' else
bv_negate(lower_reg) when mult_func = MULT_READ_LO
and negate_reg = '1' else
upper_reg when mult_func = MULT_READ_HI else
ZERO;
pause_out <= '1' when (count_reg /= "000000") and
(mult_func = MULT_READ_LO or mult_func = MULT_READ_HI) else '0';
-- ABS and remainder signals
a_neg <= bv_negate(a);
b_neg <= bv_negate(b);
sum <= bv_adder(upper_reg, aa_reg, mode_reg);
--multiplication/division unit
mult_proc: process(clk, reset_in, a, b, mult_func,
a_neg, b_neg, sum, sign_reg, mode_reg, negate_reg,
count_reg, aa_reg, bb_reg, upper_reg, lower_reg)
variable count : std_logic_vector(2 downto 0);
begin
count := "001";
if reset_in = '1' then
mode_reg <= '0';
negate_reg <= '0';
sign_reg <= '0';
sign2_reg <= '0';
count_reg <= "000000";
aa_reg <= ZERO;
bb_reg <= ZERO;
upper_reg <= ZERO;
lower_reg <= ZERO;
elsif rising_edge(clk) then
case mult_func is
when MULT_WRITE_LO =>
lower_reg <= a;
negate_reg <= '0';
when MULT_WRITE_HI =>
upper_reg <= a;
negate_reg <= '0';
when MULT_MULT =>
mode_reg <= MODE_MULT;
aa_reg <= a;
bb_reg <= b;
upper_reg <= ZERO;
count_reg <= "100000";
negate_reg <= '0';
sign_reg <= '0';
sign2_reg <= '0';
when MULT_SIGNED_MULT =>
mode_reg <= MODE_MULT;
if b(31) = '0' then
aa_reg <= a;
bb_reg <= b;
sign_reg <= a(31);
else
aa_reg <= a_neg;
bb_reg <= b_neg;
sign_reg <= a_neg(31);
end if;
sign2_reg <= '0';
upper_reg <= ZERO;
count_reg <= "100000";
negate_reg <= '0';
when MULT_DIVIDE =>
mode_reg <= MODE_DIV;
aa_reg <= b(0) & ZERO(30 downto 0);
bb_reg <= b;
upper_reg <= a;
count_reg <= "100000";
negate_reg <= '0';
when MULT_SIGNED_DIVIDE =>
mode_reg <= MODE_DIV;
if b(31) = '0' then
aa_reg(31) <= b(0);
bb_reg <= b;
else
aa_reg(31) <= b_neg(0);
bb_reg <= b_neg;
end if;
if a(31) = '0' then
upper_reg <= a;
else
upper_reg <= a_neg;
end if;
aa_reg(30 downto 0) <= ZERO(30 downto 0);
count_reg <= "100000";
negate_reg <= a(31) xor b(31);
when others =>
if count_reg /= "000000" then
if mode_reg = MODE_MULT then
-- Multiplication
if bb_reg(0) = '1' then
upper_reg <= (sign_reg xor sum(32)) & sum(31 downto 1);
lower_reg <= sum(0) & lower_reg(31 downto 1);
sign2_reg <= sign2_reg or sign_reg;
sign_reg <= '0';
bb_reg <= '0' & bb_reg(31 downto 1);
-- The following six lines are optional for speedup
elsif bb_reg(3 downto 0) = "0000" and sign2_reg = '0' and
count_reg(5 downto 2) /= "0000" then
upper_reg <= "0000" & upper_reg(31 downto 4);
lower_reg <= upper_reg(3 downto 0) & lower_reg(31 downto 4);
count := "100";
bb_reg <= "0000" & bb_reg(31 downto 4);
else
upper_reg <= sign2_reg & upper_reg(31 downto 1);
lower_reg <= upper_reg(0) & lower_reg(31 downto 1);
bb_reg <= '0' & bb_reg(31 downto 1);
end if;
else
-- Division
if sum(32) = '0' and aa_reg /= ZERO and
bb_reg(31 downto 1) = ZERO(31 downto 1) then
upper_reg <= sum(31 downto 0);
lower_reg(0) <= '1';
else
lower_reg(0) <= '0';
end if;
aa_reg <= bb_reg(1) & aa_reg(31 downto 1);
lower_reg(31 downto 1) <= lower_reg(30 downto 0);
bb_reg <= '0' & bb_reg(31 downto 1);
end if;
count_reg <= count_reg - count;
end if; --count
end case;
end if;
end process;
end; --architecture logic
| gpl-3.0 | 17d4a9e6633becd8ef5bbcbb51a356fb | 0.436498 | 3.747649 | false | false | false | false |
ILoveSpeccy/Aeon-Lite | cores/lvov-pk01/src/rom/rom.vhd | 1 | 5,472 | --------------------------------------------------------------------------------
-- This file is owned and controlled by Xilinx and must be used solely --
-- for design, simulation, implementation and creation of design files --
-- limited to Xilinx devices or technologies. Use with non-Xilinx --
-- devices or technologies is expressly prohibited and immediately --
-- terminates your license. --
-- --
-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY --
-- FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY --
-- PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE --
-- IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS --
-- MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY --
-- CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY --
-- RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY --
-- DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE --
-- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR --
-- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF --
-- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A --
-- PARTICULAR PURPOSE. --
-- --
-- Xilinx products are not intended for use in life support appliances, --
-- devices, or systems. Use in such applications are expressly --
-- prohibited. --
-- --
-- (c) Copyright 1995-2015 Xilinx, Inc. --
-- All rights reserved. --
--------------------------------------------------------------------------------
--------------------------------------------------------------------------------
-- You must compile the wrapper file rom.vhd when simulating
-- the core, rom. When compiling the wrapper file, be sure to
-- reference the XilinxCoreLib VHDL simulation library. For detailed
-- instructions, please refer to the "CORE Generator Help".
-- The synthesis directives "translate_off/translate_on" specified
-- below are supported by Xilinx, Mentor Graphics and Synplicity
-- synthesis tools. Ensure they are correct for your synthesis tool(s).
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- synthesis translate_off
LIBRARY XilinxCoreLib;
-- synthesis translate_on
ENTITY rom IS
PORT (
clka : IN STD_LOGIC;
addra : IN STD_LOGIC_VECTOR(13 DOWNTO 0);
douta : OUT STD_LOGIC_VECTOR(7 DOWNTO 0)
);
END rom;
ARCHITECTURE rom_a OF rom IS
-- synthesis translate_off
COMPONENT wrapped_rom
PORT (
clka : IN STD_LOGIC;
addra : IN STD_LOGIC_VECTOR(13 DOWNTO 0);
douta : OUT STD_LOGIC_VECTOR(7 DOWNTO 0)
);
END COMPONENT;
-- Configuration specification
FOR ALL : wrapped_rom USE ENTITY XilinxCoreLib.blk_mem_gen_v7_3(behavioral)
GENERIC MAP (
c_addra_width => 14,
c_addrb_width => 14,
c_algorithm => 1,
c_axi_id_width => 4,
c_axi_slave_type => 0,
c_axi_type => 1,
c_byte_size => 9,
c_common_clk => 0,
c_default_data => "0",
c_disable_warn_bhv_coll => 0,
c_disable_warn_bhv_range => 0,
c_enable_32bit_address => 0,
c_family => "spartan6",
c_has_axi_id => 0,
c_has_ena => 0,
c_has_enb => 0,
c_has_injecterr => 0,
c_has_mem_output_regs_a => 0,
c_has_mem_output_regs_b => 0,
c_has_mux_output_regs_a => 0,
c_has_mux_output_regs_b => 0,
c_has_regcea => 0,
c_has_regceb => 0,
c_has_rsta => 0,
c_has_rstb => 0,
c_has_softecc_input_regs_a => 0,
c_has_softecc_output_regs_b => 0,
c_init_file => "BlankString",
c_init_file_name => "rom.mif",
c_inita_val => "0",
c_initb_val => "0",
c_interface_type => 0,
c_load_init_file => 1,
c_mem_type => 3,
c_mux_pipeline_stages => 0,
c_prim_type => 1,
c_read_depth_a => 16384,
c_read_depth_b => 16384,
c_read_width_a => 8,
c_read_width_b => 8,
c_rst_priority_a => "CE",
c_rst_priority_b => "CE",
c_rst_type => "SYNC",
c_rstram_a => 0,
c_rstram_b => 0,
c_sim_collision_check => "ALL",
c_use_bram_block => 0,
c_use_byte_wea => 0,
c_use_byte_web => 0,
c_use_default_data => 0,
c_use_ecc => 0,
c_use_softecc => 0,
c_wea_width => 1,
c_web_width => 1,
c_write_depth_a => 16384,
c_write_depth_b => 16384,
c_write_mode_a => "WRITE_FIRST",
c_write_mode_b => "WRITE_FIRST",
c_write_width_a => 8,
c_write_width_b => 8,
c_xdevicefamily => "spartan6"
);
-- synthesis translate_on
BEGIN
-- synthesis translate_off
U0 : wrapped_rom
PORT MAP (
clka => clka,
addra => addra,
douta => douta
);
-- synthesis translate_on
END rom_a;
| gpl-3.0 | 2e6314e5bee32030ab41f74aa56e4165 | 0.51261 | 3.968093 | false | false | false | false |
sonologic/gmzpu | vhdl/devices/rx_unit.vhdl | 1 | 5,790 | ------------------------------------------------------------------------------
---- ----
---- RS-232 simple Rx module ----
---- ----
---- http://www.opencores.org/ ----
---- ----
---- Description: ----
---- Implements a simple 8N1 rx module for RS-232. ----
---- ----
---- To Do: ----
---- - ----
---- ----
---- Author: ----
---- - Philippe Carton, philippe.carton2 libertysurf.fr ----
---- - Juan Pablo Daniel Borgna, jpdborgna gmail.com ----
---- - Salvador E. Tropea, salvador inti.gob.ar ----
---- ----
------------------------------------------------------------------------------
---- ----
---- Copyright (c) 2001-2003 Philippe Carton ----
---- Copyright (c) 2005 Juan Pablo Daniel Borgna ----
---- Copyright (c) 2005-2008 Salvador E. Tropea ----
---- Copyright (c) 2005-2008 Instituto Nacional de Tecnología Industrial ----
---- ----
---- Distributed under the GPL license ----
---- ----
------------------------------------------------------------------------------
---- ----
---- Design unit: RxUnit(Behaviour) (Entity and architecture) ----
---- File name: rx_unit.vhdl ----
---- Note: None ----
---- Limitations: None known ----
---- Errors: None known ----
---- Library: zpu ----
---- Dependencies: IEEE.std_logic_1164 ----
---- Target FPGA: Spartan ----
---- Language: VHDL ----
---- Wishbone: No ----
---- Synthesis tools: Xilinx Release 9.2.03i - xst J.39 ----
---- Simulation tools: GHDL [Sokcho edition] (0.2x) ----
---- Text editor: SETEdit 0.5.x ----
---- ----
------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
entity RxUnit is
port(
clk_i : in std_logic; -- System clock signal
reset_i : in std_logic; -- Reset input (sync)
enable_i : in std_logic; -- Enable input (rate*4)
read_i : in std_logic; -- Received Byte Read
rxd_i : in std_logic; -- RS-232 data input
rxav_o : out std_logic; -- Byte available
datao_o : out std_logic_vector(7 downto 0)); -- Byte received
end entity RxUnit;
architecture Behaviour of RxUnit is
signal r_r : std_logic_vector(7 downto 0); -- Receive register
signal bavail_r : std_logic:='0'; -- Byte received
begin
rxav_o <= bavail_r;
-- Rx Process
RxProc:
process (clk_i)
variable bitpos : integer range 0 to 10; -- Position of the bit in the frame
variable samplecnt : integer range 0 to 3; -- Count from 0 to 3 in each bit
begin
if rising_edge(clk_i) then
if reset_i='1' then
bavail_r <= '0';
bitpos:=0;
else -- reset_i='0'
if read_i='1' then
bavail_r <= '0';
end if;
if enable_i='1' then
case bitpos is
when 0 => -- idle
bavail_r <= '0';
if rxd_i='0' then -- Start Bit
samplecnt:=0;
bitpos:=1;
end if;
when 10 => -- Stop Bit
bitpos:=0; -- next is idle
bavail_r <= '1'; -- Indicate byte received
datao_o <= r_r; -- Store received byte
when others =>
if samplecnt=1 and bitpos>=2 then -- Sample RxD on 1
r_r(bitpos-2) <= rxd_i; -- Deserialisation
end if;
if samplecnt=3 then -- Increment BitPos on 3
bitpos:=bitpos+1;
end if;
end case;
if samplecnt=3 then
samplecnt:=0;
else
samplecnt:=samplecnt+1;
end if;
end if; -- enable_i='1'
end if; -- reset_i='0'
end if; -- rising_edge(clk_i)
end process RxProc;
end architecture Behaviour;
| bsd-3-clause | 31afc5bdc12f6b4073d994654df20ac2 | 0.303972 | 5.63778 | false | false | false | false |
ILoveSpeccy/Aeon-Lite | cores/atari800xl/src/zpu/zpupkg.vhd | 1 | 15,050 | ------------------------------------------------------------------------------
---- ----
---- ZPU Package ----
---- ----
---- http://www.opencores.org/ ----
---- ----
---- Description: ----
---- ZPU is a 32 bits small stack cpu. This is the package. ----
---- ----
---- To Do: ----
---- - ----
---- ----
---- Author: ----
---- - Øyvind Harboe, oyvind.harboe zylin.com ----
---- - Salvador E. Tropea, salvador inti.gob.ar ----
---- ----
------------------------------------------------------------------------------
---- ----
---- Copyright (c) 2008 Øyvind Harboe <oyvind.harboe zylin.com> ----
---- Copyright (c) 2008 Salvador E. Tropea <salvador inti.gob.ar> ----
---- Copyright (c) 2008 Instituto Nacional de Tecnología Industrial ----
---- ----
---- Distributed under the BSD license ----
---- ----
------------------------------------------------------------------------------
---- ----
---- Design unit: zpupkg, UART (Package) ----
---- File name: zpu_medium.vhdl ----
---- Note: None ----
---- Limitations: None known ----
---- Errors: None known ----
---- Library: zpu ----
---- Dependencies: IEEE.std_logic_1164 ----
---- IEEE.numeric_std ----
---- Target FPGA: Spartan 3 (XC3S400-4-FT256) ----
---- Language: VHDL ----
---- Wishbone: No ----
---- Synthesis tools: Xilinx Release 9.2.03i - xst J.39 ----
---- Simulation tools: GHDL [Sokcho edition] (0.2x) ----
---- Text editor: SETEdit 0.5.x ----
---- ----
------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
package zpupkg is
constant OPCODE_W : integer:=8;
-- Debug structure, currently only for the trace module
type zpu_dbgo_t is record
b_inst : std_logic;
opcode : unsigned(OPCODE_W-1 downto 0);
pc : unsigned(31 downto 0);
sp : unsigned(31 downto 0);
stk_a : unsigned(31 downto 0);
stk_b : unsigned(31 downto 0);
end record;
component Trace is
generic(
LOG_FILE : string:="trace.txt"; -- Name of the trace file
ADDR_W : integer:=16; -- Address width
WORD_SIZE : integer:=32); -- 16/32
port(
clk_i : in std_logic;
dbg_i : in zpu_dbgo_t;
stop_i : in std_logic;
busy_i : in std_logic
);
end component Trace;
component ZPUSmallCore is
generic(
WORD_SIZE : integer:=32; -- Data width 16/32
ADDR_W : integer:=16; -- Total address space width (incl. I/O)
MEM_W : integer:=15; -- Memory (prog+data+stack) width
D_CARE_VAL : std_logic:='X'); -- Value used to fill the unsused bits
port(
clk_i : in std_logic; -- System Clock
reset_i : in std_logic; -- Synchronous Reset
interrupt_i : in std_logic; -- Interrupt
break_o : out std_logic; -- Breakpoint opcode executed
dbg_o : out zpu_dbgo_t; -- Debug outputs (i.e. trace log)
-- BRAM (text, data, bss and stack)
a_we_o : out std_logic; -- BRAM A port Write Enable
a_addr_o : out unsigned(MEM_W-1 downto WORD_SIZE/16):=(others => '0'); -- BRAM A Address
a_o : out unsigned(WORD_SIZE-1 downto 0):=(others => '0'); -- Data to BRAM A port
a_i : in unsigned(WORD_SIZE-1 downto 0); -- Data from BRAM A port
b_we_o : out std_logic; -- BRAM B port Write Enable
b_addr_o : out unsigned(MEM_W-1 downto WORD_SIZE/16):=(others => '0'); -- BRAM B Address
b_o : out unsigned(WORD_SIZE-1 downto 0):=(others => '0'); -- Data to BRAM B port
b_i : in unsigned(WORD_SIZE-1 downto 0); -- Data from BRAM B port
-- Memory mapped I/O
mem_busy_i : in std_logic;
data_i : in unsigned(WORD_SIZE-1 downto 0);
data_o : out unsigned(WORD_SIZE-1 downto 0);
addr_o : out unsigned(ADDR_W-1 downto 0);
write_en_o : out std_logic;
read_en_o : out std_logic);
end component ZPUSmallCore;
component ZPUMediumCore is
generic(
WORD_SIZE : integer:=32; -- Data width 16/32
ADDR_W : integer:=16; -- Total address space width (incl. I/O)
MEM_W : integer:=15; -- Memory (prog+data+stack) width
D_CARE_VAL : std_logic:='X'; -- Value used to fill the unsused bits
MULT_PIPE : boolean:=false; -- Pipeline multiplication
BINOP_PIPE : integer range 0 to 2:=0; -- Pipeline binary operations (-, =, < and <=)
ENA_LEVEL0 : boolean:=true; -- eq, loadb, neqbranch and pushspadd
ENA_LEVEL1 : boolean:=true; -- lessthan, ulessthan, mult, storeb, callpcrel and sub
ENA_LEVEL2 : boolean:=false; -- lessthanorequal, ulessthanorequal, call and poppcrel
ENA_LSHR : boolean:=true; -- lshiftright
ENA_IDLE : boolean:=false; -- Enable the enable_i input
FAST_FETCH : boolean:=true); -- Merge the st_fetch with the st_execute states
port(
clk_i : in std_logic; -- CPU Clock
reset_i : in std_logic; -- Sync Reset
enable_i : in std_logic; -- Hold the CPU (after reset)
break_o : out std_logic; -- Break instruction executed
dbg_o : out zpu_dbgo_t; -- Debug outputs (i.e. trace log)
-- Memory interface
mem_busy_i : in std_logic; -- Memory is busy
data_i : in unsigned(WORD_SIZE-1 downto 0); -- Data from mem
data_o : out unsigned(WORD_SIZE-1 downto 0); -- Data to mem
addr_o : out unsigned(ADDR_W-1 downto 0); -- Memory address
write_en_o : out std_logic; -- Memory write enable
read_en_o : out std_logic); -- Memory read enable
end component ZPUMediumCore;
component Timer is
port(
clk_i : in std_logic;
reset_i : in std_logic;
we_i : in std_logic;
data_i : in unsigned(31 downto 0);
addr_i : in unsigned(0 downto 0);
data_o : out unsigned(31 downto 0));
end component Timer;
component gpio is
port(
clk_i : in std_logic;
reset_i : in std_logic;
--
we_i : in std_logic;
data_i : in unsigned(31 downto 0);
addr_i : in unsigned( 0 downto 0);
data_o : out unsigned(31 downto 0);
--
port_in : in std_logic_vector(31 downto 0);
port_out : out std_logic_vector(31 downto 0);
port_dir : out std_logic_vector(31 downto 0)
);
end component gpio;
component ZPUPhiIO is
generic(
BRDIVISOR : positive:=1; -- Baud rate divisor i.e. br_clk/9600/4
ENA_LOG : boolean:=true; -- Enable log
LOG_FILE : string:="log.txt"); -- Name for the log file
port(
clk_i : in std_logic; -- System Clock
reset_i : in std_logic; -- Synchronous Reset
busy_o : out std_logic; -- I/O is busy
we_i : in std_logic; -- Write Enable
re_i : in std_logic; -- Read Enable
data_i : in unsigned(31 downto 0);
data_o : out unsigned(31 downto 0);
addr_i : in unsigned(2 downto 0); -- Address bits 4-2
--
rs232_rx_i : in std_logic; -- UART Rx input
rs232_tx_o : out std_logic; -- UART Tx output
br_clk_i : in std_logic; -- UART base clock (enable)
--
gpio_in : in std_logic_vector(31 downto 0);
gpio_out : out std_logic_vector(31 downto 0);
gpio_dir : out std_logic_vector(31 downto 0)
);
end component ZPUPhiIO;
-- Opcode decode constants
-- Note: these are the basic opcodes, always implemented using hardware.
constant OPCODE_IM : unsigned(7 downto 7):="1";
constant OPCODE_STORESP : unsigned(7 downto 5):="010";
constant OPCODE_LOADSP : unsigned(7 downto 5):="011";
constant OPCODE_EMULATE : unsigned(7 downto 5):="001";
constant OPCODE_ADDSP : unsigned(7 downto 4):="0001";
constant OPCODE_SHORT : unsigned(7 downto 4):="0000";
constant OPCODE_BREAK : unsigned(3 downto 0):="0000";
constant OPCODE_SHIFTLEFT : unsigned(3 downto 0):="0001";
constant OPCODE_PUSHSP : unsigned(3 downto 0):="0010";
constant OPCODE_PUSHINT : unsigned(3 downto 0):="0011";
constant OPCODE_POPPC : unsigned(3 downto 0):="0100";
constant OPCODE_ADD : unsigned(3 downto 0):="0101";
constant OPCODE_AND : unsigned(3 downto 0):="0110";
constant OPCODE_OR : unsigned(3 downto 0):="0111";
constant OPCODE_LOAD : unsigned(3 downto 0):="1000";
constant OPCODE_NOT : unsigned(3 downto 0):="1001";
constant OPCODE_FLIP : unsigned(3 downto 0):="1010";
constant OPCODE_NOP : unsigned(3 downto 0):="1011";
constant OPCODE_STORE : unsigned(3 downto 0):="1100";
constant OPCODE_POPSP : unsigned(3 downto 0):="1101";
constant OPCODE_COMPARE : unsigned(3 downto 0):="1110";
constant OPCODE_POPINT : unsigned(3 downto 0):="1111";
-- The following instructions are emulated in the small version and
-- implemented as hardware in the full version.
-- The constants correpond to the "emulated" instruction number.
-- Enabled by the ENA_LEVEL0 generic:
constant OPCODE_EQ : unsigned(5 downto 0):=to_unsigned(46,6);
constant OPCODE_LOADB : unsigned(5 downto 0):=to_unsigned(51,6);
constant OPCODE_NEQBRANCH : unsigned(5 downto 0):=to_unsigned(56,6);
constant OPCODE_PUSHSPADD : unsigned(5 downto 0):=to_unsigned(61,6);
-- Enabled by the ENA_LEVEL1 generic:
constant OPCODE_LESSTHAN : unsigned(5 downto 0):=to_unsigned(36,6);
constant OPCODE_ULESSTHAN : unsigned(5 downto 0):=to_unsigned(38,6);
constant OPCODE_MULT : unsigned(5 downto 0):=to_unsigned(41,6);
constant OPCODE_STOREB : unsigned(5 downto 0):=to_unsigned(52,6);
constant OPCODE_CALLPCREL : unsigned(5 downto 0):=to_unsigned(63,6);
constant OPCODE_SUB : unsigned(5 downto 0):=to_unsigned(49,6);
-- Enabled by the ENA_LEVEL2 generic:
constant OPCODE_LESSTHANOREQUAL : unsigned(5 downto 0):=to_unsigned(37,6);
constant OPCODE_ULESSTHANOREQUAL : unsigned(5 downto 0):=to_unsigned(39,6);
constant OPCODE_CALL : unsigned(5 downto 0):=to_unsigned(45,6);
constant OPCODE_POPPCREL : unsigned(5 downto 0):=to_unsigned(57,6);
-- Enabled by the ENA_LSHR generic:
constant OPCODE_LSHIFTRIGHT : unsigned(5 downto 0):=to_unsigned(42,6);
-- The following opcodes are always emulated.
constant OPCODE_LOADH : unsigned(5 downto 0):=to_unsigned(34,6);
constant OPCODE_STOREH : unsigned(5 downto 0):=to_unsigned(35,6);
constant OPCODE_ASHIFTLEFT : unsigned(5 downto 0):=to_unsigned(43,6);
constant OPCODE_ASHIFTRIGHT : unsigned(5 downto 0):=to_unsigned(44,6);
constant OPCODE_NEQ : unsigned(5 downto 0):=to_unsigned(47,6);
constant OPCODE_NEG : unsigned(5 downto 0):=to_unsigned(48,6);
constant OPCODE_XOR : unsigned(5 downto 0):=to_unsigned(50,6);
constant OPCODE_DIV : unsigned(5 downto 0):=to_unsigned(53,6);
constant OPCODE_MOD : unsigned(5 downto 0):=to_unsigned(54,6);
constant OPCODE_EQBRANCH : unsigned(5 downto 0):=to_unsigned(55,6);
constant OPCODE_CONFIG : unsigned(5 downto 0):=to_unsigned(58,6);
constant OPCODE_PUSHPC : unsigned(5 downto 0):=to_unsigned(59,6);
end package zpupkg;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
package UART is
----------------------
-- Very simple UART --
----------------------
component RxUnit is
port(
clk_i : in std_logic; -- System clock signal
reset_i : in std_logic; -- Reset input (sync)
enable_i : in std_logic; -- Enable input (rate*4)
read_i : in std_logic; -- Received Byte Read
rxd_i : in std_logic; -- RS-232 data input
rxav_o : out std_logic; -- Byte available
datao_o : out std_logic_vector(7 downto 0)); -- Byte received
end component RxUnit;
component TxUnit is
port (
clk_i : in std_logic; -- Clock signal
reset_i : in std_logic; -- Reset input
enable_i : in std_logic; -- Enable input
load_i : in std_logic; -- Load input
txd_o : out std_logic; -- RS-232 data output
busy_o : out std_logic; -- Tx Busy
datai_i : in std_logic_vector(7 downto 0)); -- Byte to transmit
end component TxUnit;
component BRGen is
generic(
COUNT : integer range 0 to 65535);-- Count revolution
port (
clk_i : in std_logic; -- Clock
reset_i : in std_logic; -- Reset input
ce_i : in std_logic; -- Chip Enable
o_o : out std_logic); -- Output
end component BRGen;
end package UART;
| gpl-3.0 | f3d9d8c8cccccce6d82d2ecf46c7bf4f | 0.488602 | 4.070057 | false | false | false | false |
sonologic/gmzpu | vhdl/roms/hello.vhdl | 1 | 66,252 | ------------------------------------------------------------------------------
---- ----
---- Single Port RAM that maps to a Xilinx BRAM ----
---- ----
---- http://www.opencores.org/ ----
---- ----
---- Description: ----
---- This is a program+data memory for the ZPU. It maps to a Xilinx BRAM ----
---- ----
---- To Do: ----
---- - ----
---- ----
---- Author: ----
---- - Salvador E. Tropea, salvador inti.gob.ar ----
---- ----
------------------------------------------------------------------------------
---- ----
---- Copyright (c) 2008 Salvador E. Tropea <salvador inti.gob.ar> ----
---- Copyright (c) 2008 Instituto Nacional de Tecnología Industrial ----
---- ----
---- Distributed under the BSD license ----
---- ----
------------------------------------------------------------------------------
---- ----
---- Design unit: SinglePortRAM(Xilinx) (Entity and architecture) ----
---- File name: rom_s.in.vhdl (template used) ----
---- Note: None ----
---- Limitations: None known ----
---- Errors: None known ----
---- Library: work ----
---- Dependencies: IEEE.std_logic_1164 ----
---- IEEE.numeric_std ----
---- Target FPGA: Spartan 3 (XC3S1500-4-FG456) ----
---- Language: VHDL ----
---- Wishbone: No ----
---- Synthesis tools: Xilinx Release 9.2.03i - xst J.39 ----
---- Simulation tools: GHDL [Sokcho edition] (0.2x) ----
---- Text editor: SETEdit 0.5.x ----
---- ----
------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity SinglePortRAM is
generic(
WORD_SIZE : integer:=32; -- Word Size 16/32
BYTE_BITS : integer:=2; -- Bits used to address bytes
BRAM_W : integer:=15); -- Address Width
port(
clk_i : in std_logic;
we_i : in std_logic;
re_i : in std_logic;
addr_i : in unsigned(BRAM_W-1 downto BYTE_BITS);
write_i : in unsigned(WORD_SIZE-1 downto 0);
read_o : out unsigned(WORD_SIZE-1 downto 0);
busy_o : out std_logic);
end entity SinglePortRAM;
architecture Xilinx of SinglePortRAM is
type ram_type is array(natural range 0 to ((2**BRAM_W)/4)-1) of unsigned(WORD_SIZE-1 downto 0);
signal addr_r : unsigned(BRAM_W-1 downto BYTE_BITS);
signal ram : ram_type :=
(
0 => x"0b0b0b0b",
1 => x"82700b0b",
2 => x"80cdec0c",
3 => x"3a0b0b80",
4 => x"c5e80400",
5 => x"00000000",
6 => x"00000000",
7 => x"00000000",
8 => x"0b0b0b89",
9 => x"90040000",
10 => x"00000000",
11 => x"00000000",
12 => x"00000000",
13 => x"00000000",
14 => x"00000000",
15 => x"00000000",
16 => x"71fd0608",
17 => x"72830609",
18 => x"81058205",
19 => x"832b2a83",
20 => x"ffff0652",
21 => x"04000000",
22 => x"00000000",
23 => x"00000000",
24 => x"71fd0608",
25 => x"83ffff73",
26 => x"83060981",
27 => x"05820583",
28 => x"2b2b0906",
29 => x"7383ffff",
30 => x"0b0b0b0b",
31 => x"83a70400",
32 => x"72098105",
33 => x"72057373",
34 => x"09060906",
35 => x"73097306",
36 => x"070a8106",
37 => x"53510400",
38 => x"00000000",
39 => x"00000000",
40 => x"72722473",
41 => x"732e0753",
42 => x"51040000",
43 => x"00000000",
44 => x"00000000",
45 => x"00000000",
46 => x"00000000",
47 => x"00000000",
48 => x"71737109",
49 => x"71068106",
50 => x"30720a10",
51 => x"0a720a10",
52 => x"0a31050a",
53 => x"81065151",
54 => x"53510400",
55 => x"00000000",
56 => x"72722673",
57 => x"732e0753",
58 => x"51040000",
59 => x"00000000",
60 => x"00000000",
61 => x"00000000",
62 => x"00000000",
63 => x"00000000",
64 => x"00000000",
65 => x"00000000",
66 => x"00000000",
67 => x"00000000",
68 => x"00000000",
69 => x"00000000",
70 => x"00000000",
71 => x"00000000",
72 => x"0b0b0b88",
73 => x"c4040000",
74 => x"00000000",
75 => x"00000000",
76 => x"00000000",
77 => x"00000000",
78 => x"00000000",
79 => x"00000000",
80 => x"720a722b",
81 => x"0a535104",
82 => x"00000000",
83 => x"00000000",
84 => x"00000000",
85 => x"00000000",
86 => x"00000000",
87 => x"00000000",
88 => x"72729f06",
89 => x"0981050b",
90 => x"0b0b88a7",
91 => x"05040000",
92 => x"00000000",
93 => x"00000000",
94 => x"00000000",
95 => x"00000000",
96 => x"72722aff",
97 => x"739f062a",
98 => x"0974090a",
99 => x"8106ff05",
100 => x"06075351",
101 => x"04000000",
102 => x"00000000",
103 => x"00000000",
104 => x"71715351",
105 => x"020d0406",
106 => x"73830609",
107 => x"81058205",
108 => x"832b0b2b",
109 => x"0772fc06",
110 => x"0c515104",
111 => x"00000000",
112 => x"72098105",
113 => x"72050970",
114 => x"81050906",
115 => x"0a810653",
116 => x"51040000",
117 => x"00000000",
118 => x"00000000",
119 => x"00000000",
120 => x"72098105",
121 => x"72050970",
122 => x"81050906",
123 => x"0a098106",
124 => x"53510400",
125 => x"00000000",
126 => x"00000000",
127 => x"00000000",
128 => x"71098105",
129 => x"52040000",
130 => x"00000000",
131 => x"00000000",
132 => x"00000000",
133 => x"00000000",
134 => x"00000000",
135 => x"00000000",
136 => x"72720981",
137 => x"05055351",
138 => x"04000000",
139 => x"00000000",
140 => x"00000000",
141 => x"00000000",
142 => x"00000000",
143 => x"00000000",
144 => x"72097206",
145 => x"73730906",
146 => x"07535104",
147 => x"00000000",
148 => x"00000000",
149 => x"00000000",
150 => x"00000000",
151 => x"00000000",
152 => x"71fc0608",
153 => x"72830609",
154 => x"81058305",
155 => x"1010102a",
156 => x"81ff0652",
157 => x"04000000",
158 => x"00000000",
159 => x"00000000",
160 => x"71fc0608",
161 => x"0b0b80cd",
162 => x"a0738306",
163 => x"10100508",
164 => x"060b0b0b",
165 => x"88aa0400",
166 => x"00000000",
167 => x"00000000",
168 => x"0b0b0b88",
169 => x"f8040000",
170 => x"00000000",
171 => x"00000000",
172 => x"00000000",
173 => x"00000000",
174 => x"00000000",
175 => x"00000000",
176 => x"0b0b0b88",
177 => x"e0040000",
178 => x"00000000",
179 => x"00000000",
180 => x"00000000",
181 => x"00000000",
182 => x"00000000",
183 => x"00000000",
184 => x"72097081",
185 => x"0509060a",
186 => x"8106ff05",
187 => x"70547106",
188 => x"73097274",
189 => x"05ff0506",
190 => x"07515151",
191 => x"04000000",
192 => x"72097081",
193 => x"0509060a",
194 => x"098106ff",
195 => x"05705471",
196 => x"06730972",
197 => x"7405ff05",
198 => x"06075151",
199 => x"51040000",
200 => x"05ff0504",
201 => x"00000000",
202 => x"00000000",
203 => x"00000000",
204 => x"00000000",
205 => x"00000000",
206 => x"00000000",
207 => x"00000000",
208 => x"810b0b0b",
209 => x"80cde80c",
210 => x"51040000",
211 => x"00000000",
212 => x"00000000",
213 => x"00000000",
214 => x"00000000",
215 => x"00000000",
216 => x"71810552",
217 => x"04000000",
218 => x"00000000",
219 => x"00000000",
220 => x"00000000",
221 => x"00000000",
222 => x"00000000",
223 => x"00000000",
224 => x"00000000",
225 => x"00000000",
226 => x"00000000",
227 => x"00000000",
228 => x"00000000",
229 => x"00000000",
230 => x"00000000",
231 => x"00000000",
232 => x"02840572",
233 => x"10100552",
234 => x"04000000",
235 => x"00000000",
236 => x"00000000",
237 => x"00000000",
238 => x"00000000",
239 => x"00000000",
240 => x"00000000",
241 => x"00000000",
242 => x"00000000",
243 => x"00000000",
244 => x"00000000",
245 => x"00000000",
246 => x"00000000",
247 => x"00000000",
248 => x"717105ff",
249 => x"05715351",
250 => x"020d0400",
251 => x"00000000",
252 => x"00000000",
253 => x"00000000",
254 => x"00000000",
255 => x"00000000",
256 => x"83863f80",
257 => x"c4ec3f04",
258 => x"10101010",
259 => x"10101010",
260 => x"10101010",
261 => x"10101010",
262 => x"10101010",
263 => x"10101010",
264 => x"10101010",
265 => x"10101053",
266 => x"51047381",
267 => x"ff067383",
268 => x"06098105",
269 => x"83051010",
270 => x"102b0772",
271 => x"fc060c51",
272 => x"51043c04",
273 => x"72728072",
274 => x"8106ff05",
275 => x"09720605",
276 => x"71105272",
277 => x"0a100a53",
278 => x"72ed3851",
279 => x"51535104",
280 => x"b008b408",
281 => x"b8087575",
282 => x"8d982d50",
283 => x"50b00856",
284 => x"b80cb40c",
285 => x"b00c5104",
286 => x"b008b408",
287 => x"b8087575",
288 => x"8be62d50",
289 => x"50b00856",
290 => x"b80cb40c",
291 => x"b00c5104",
292 => x"b008b408",
293 => x"b80880c6",
294 => x"af2db80c",
295 => x"b40cb00c",
296 => x"04fe3d0d",
297 => x"0b0b80dd",
298 => x"d4085384",
299 => x"13087088",
300 => x"2a708106",
301 => x"51525270",
302 => x"802ef038",
303 => x"7181ff06",
304 => x"b00c843d",
305 => x"0d04ff3d",
306 => x"0d0b0b80",
307 => x"ddd40852",
308 => x"71087088",
309 => x"2a813270",
310 => x"81065151",
311 => x"5170f138",
312 => x"73720c83",
313 => x"3d0d0480",
314 => x"cde80880",
315 => x"2ea43880",
316 => x"cdec0882",
317 => x"2ebd3883",
318 => x"80800b0b",
319 => x"0b80ddd4",
320 => x"0c82a080",
321 => x"0b80ddd8",
322 => x"0c829080",
323 => x"0b80dddc",
324 => x"0c04f880",
325 => x"8080a40b",
326 => x"0b0b80dd",
327 => x"d40cf880",
328 => x"8082800b",
329 => x"80ddd80c",
330 => x"f8808084",
331 => x"800b80dd",
332 => x"dc0c0480",
333 => x"c0a8808c",
334 => x"0b0b0b80",
335 => x"ddd40c80",
336 => x"c0a88094",
337 => x"0b80ddd8",
338 => x"0c80cdb0",
339 => x"0b80dddc",
340 => x"0c04ff3d",
341 => x"0d80dde0",
342 => x"335170a7",
343 => x"3880cdf4",
344 => x"08700852",
345 => x"5270802e",
346 => x"94388412",
347 => x"80cdf40c",
348 => x"702d80cd",
349 => x"f4087008",
350 => x"525270ee",
351 => x"38810b80",
352 => x"dde03483",
353 => x"3d0d0404",
354 => x"803d0d0b",
355 => x"0b80ddd0",
356 => x"08802e8e",
357 => x"380b0b0b",
358 => x"0b800b80",
359 => x"2e098106",
360 => x"8538823d",
361 => x"0d040b0b",
362 => x"80ddd051",
363 => x"0b0b0bf4",
364 => x"cf3f823d",
365 => x"0d0404fe",
366 => x"3d0d8953",
367 => x"80cdb451",
368 => x"85f93f80",
369 => x"cdc45185",
370 => x"f23f810a",
371 => x"0b80ddec",
372 => x"0cff0b80",
373 => x"ddf00cff",
374 => x"13537280",
375 => x"25de3872",
376 => x"b00c843d",
377 => x"0d04bc08",
378 => x"02bc0cf9",
379 => x"3d0d800b",
380 => x"bc08fc05",
381 => x"0cbc0888",
382 => x"05088025",
383 => x"ab38bc08",
384 => x"88050830",
385 => x"bc088805",
386 => x"0c800bbc",
387 => x"08f4050c",
388 => x"bc08fc05",
389 => x"08883881",
390 => x"0bbc08f4",
391 => x"050cbc08",
392 => x"f40508bc",
393 => x"08fc050c",
394 => x"bc088c05",
395 => x"088025ab",
396 => x"38bc088c",
397 => x"050830bc",
398 => x"088c050c",
399 => x"800bbc08",
400 => x"f0050cbc",
401 => x"08fc0508",
402 => x"8838810b",
403 => x"bc08f005",
404 => x"0cbc08f0",
405 => x"0508bc08",
406 => x"fc050c80",
407 => x"53bc088c",
408 => x"050852bc",
409 => x"08880508",
410 => x"5181a73f",
411 => x"b00870bc",
412 => x"08f8050c",
413 => x"54bc08fc",
414 => x"0508802e",
415 => x"8c38bc08",
416 => x"f8050830",
417 => x"bc08f805",
418 => x"0cbc08f8",
419 => x"050870b0",
420 => x"0c54893d",
421 => x"0dbc0c04",
422 => x"bc0802bc",
423 => x"0cfb3d0d",
424 => x"800bbc08",
425 => x"fc050cbc",
426 => x"08880508",
427 => x"80259338",
428 => x"bc088805",
429 => x"0830bc08",
430 => x"88050c81",
431 => x"0bbc08fc",
432 => x"050cbc08",
433 => x"8c050880",
434 => x"258c38bc",
435 => x"088c0508",
436 => x"30bc088c",
437 => x"050c8153",
438 => x"bc088c05",
439 => x"0852bc08",
440 => x"88050851",
441 => x"ad3fb008",
442 => x"70bc08f8",
443 => x"050c54bc",
444 => x"08fc0508",
445 => x"802e8c38",
446 => x"bc08f805",
447 => x"0830bc08",
448 => x"f8050cbc",
449 => x"08f80508",
450 => x"70b00c54",
451 => x"873d0dbc",
452 => x"0c04bc08",
453 => x"02bc0cfd",
454 => x"3d0d810b",
455 => x"bc08fc05",
456 => x"0c800bbc",
457 => x"08f8050c",
458 => x"bc088c05",
459 => x"08bc0888",
460 => x"050827ac",
461 => x"38bc08fc",
462 => x"0508802e",
463 => x"a338800b",
464 => x"bc088c05",
465 => x"08249938",
466 => x"bc088c05",
467 => x"0810bc08",
468 => x"8c050cbc",
469 => x"08fc0508",
470 => x"10bc08fc",
471 => x"050cc939",
472 => x"bc08fc05",
473 => x"08802e80",
474 => x"c938bc08",
475 => x"8c0508bc",
476 => x"08880508",
477 => x"26a138bc",
478 => x"08880508",
479 => x"bc088c05",
480 => x"0831bc08",
481 => x"88050cbc",
482 => x"08f80508",
483 => x"bc08fc05",
484 => x"0807bc08",
485 => x"f8050cbc",
486 => x"08fc0508",
487 => x"812abc08",
488 => x"fc050cbc",
489 => x"088c0508",
490 => x"812abc08",
491 => x"8c050cff",
492 => x"af39bc08",
493 => x"90050880",
494 => x"2e8f38bc",
495 => x"08880508",
496 => x"70bc08f4",
497 => x"050c518d",
498 => x"39bc08f8",
499 => x"050870bc",
500 => x"08f4050c",
501 => x"51bc08f4",
502 => x"0508b00c",
503 => x"853d0dbc",
504 => x"0c04fc3d",
505 => x"0d767079",
506 => x"7b555555",
507 => x"558f7227",
508 => x"8c387275",
509 => x"07830651",
510 => x"70802ea7",
511 => x"38ff1252",
512 => x"71ff2e98",
513 => x"38727081",
514 => x"05543374",
515 => x"70810556",
516 => x"34ff1252",
517 => x"71ff2e09",
518 => x"8106ea38",
519 => x"74b00c86",
520 => x"3d0d0474",
521 => x"51727084",
522 => x"05540871",
523 => x"70840553",
524 => x"0c727084",
525 => x"05540871",
526 => x"70840553",
527 => x"0c727084",
528 => x"05540871",
529 => x"70840553",
530 => x"0c727084",
531 => x"05540871",
532 => x"70840553",
533 => x"0cf01252",
534 => x"718f26c9",
535 => x"38837227",
536 => x"95387270",
537 => x"84055408",
538 => x"71708405",
539 => x"530cfc12",
540 => x"52718326",
541 => x"ed387054",
542 => x"ff8339f7",
543 => x"3d0d7c70",
544 => x"525380c8",
545 => x"3f7254b0",
546 => x"085580cd",
547 => x"d4568157",
548 => x"b0088105",
549 => x"5a8b3de4",
550 => x"11595382",
551 => x"59f41352",
552 => x"7b881108",
553 => x"52538183",
554 => x"3fb00830",
555 => x"70b00807",
556 => x"9f2c8a07",
557 => x"b00c538b",
558 => x"3d0d04ff",
559 => x"3d0d7352",
560 => x"80cdf808",
561 => x"51ffb43f",
562 => x"833d0d04",
563 => x"fd3d0d75",
564 => x"70718306",
565 => x"53555270",
566 => x"b8387170",
567 => x"087009f7",
568 => x"fbfdff12",
569 => x"0670f884",
570 => x"82818006",
571 => x"51515253",
572 => x"709d3884",
573 => x"13700870",
574 => x"09f7fbfd",
575 => x"ff120670",
576 => x"f8848281",
577 => x"80065151",
578 => x"52537080",
579 => x"2ee53872",
580 => x"52713351",
581 => x"70802e8a",
582 => x"38811270",
583 => x"33525270",
584 => x"f8387174",
585 => x"31b00c85",
586 => x"3d0d04f2",
587 => x"3d0d6062",
588 => x"88110870",
589 => x"57575f5a",
590 => x"74802e81",
591 => x"8f388c1a",
592 => x"2270832a",
593 => x"81327081",
594 => x"06515558",
595 => x"73863890",
596 => x"1a089138",
597 => x"795190a1",
598 => x"3fff54b0",
599 => x"0880ed38",
600 => x"8c1a2258",
601 => x"7d085780",
602 => x"7883ffff",
603 => x"0670812a",
604 => x"70810651",
605 => x"56575573",
606 => x"752e80d7",
607 => x"38749038",
608 => x"76088418",
609 => x"08881959",
610 => x"56597480",
611 => x"2ef23874",
612 => x"54888075",
613 => x"27843888",
614 => x"80547353",
615 => x"78529c1a",
616 => x"0851a41a",
617 => x"0854732d",
618 => x"800bb008",
619 => x"2582e638",
620 => x"b0081975",
621 => x"b008317f",
622 => x"880508b0",
623 => x"08317061",
624 => x"88050c56",
625 => x"565973ff",
626 => x"b4388054",
627 => x"73b00c90",
628 => x"3d0d0475",
629 => x"81327081",
630 => x"06764151",
631 => x"5473802e",
632 => x"81c13874",
633 => x"90387608",
634 => x"84180888",
635 => x"19595659",
636 => x"74802ef2",
637 => x"38881a08",
638 => x"7883ffff",
639 => x"0670892a",
640 => x"70810651",
641 => x"56595673",
642 => x"802e82fa",
643 => x"38757527",
644 => x"8d387787",
645 => x"2a708106",
646 => x"51547382",
647 => x"b5387476",
648 => x"27833874",
649 => x"56755378",
650 => x"52790851",
651 => x"85823f88",
652 => x"1a087631",
653 => x"881b0c79",
654 => x"08167a0c",
655 => x"74567519",
656 => x"7577317f",
657 => x"88050878",
658 => x"31706188",
659 => x"050c5656",
660 => x"5973802e",
661 => x"fef4388c",
662 => x"1a2258ff",
663 => x"86397778",
664 => x"5479537b",
665 => x"525684c8",
666 => x"3f881a08",
667 => x"7831881b",
668 => x"0c790818",
669 => x"7a0c7c76",
670 => x"315d7c8e",
671 => x"3879518f",
672 => x"db3fb008",
673 => x"818f38b0",
674 => x"085f7519",
675 => x"7577317f",
676 => x"88050878",
677 => x"31706188",
678 => x"050c5656",
679 => x"5973802e",
680 => x"fea83874",
681 => x"81833876",
682 => x"08841808",
683 => x"88195956",
684 => x"5974802e",
685 => x"f2387453",
686 => x"8a527851",
687 => x"82d33fb0",
688 => x"08793181",
689 => x"055db008",
690 => x"84388115",
691 => x"5d815f7c",
692 => x"58747d27",
693 => x"83387458",
694 => x"941a0888",
695 => x"1b081157",
696 => x"5c807a08",
697 => x"5c54901a",
698 => x"087b2783",
699 => x"38815475",
700 => x"78258438",
701 => x"73ba387b",
702 => x"7824fee2",
703 => x"387b5378",
704 => x"529c1a08",
705 => x"51a41a08",
706 => x"54732db0",
707 => x"0856b008",
708 => x"8024fee2",
709 => x"388c1a22",
710 => x"80c00754",
711 => x"738c1b23",
712 => x"ff5473b0",
713 => x"0c903d0d",
714 => x"047effa3",
715 => x"38ff8739",
716 => x"75537852",
717 => x"7a5182f8",
718 => x"3f790816",
719 => x"7a0c7951",
720 => x"8e9a3fb0",
721 => x"08cf387c",
722 => x"76315d7c",
723 => x"febc38fe",
724 => x"ac39901a",
725 => x"087a0871",
726 => x"31761170",
727 => x"565a5752",
728 => x"80cdf808",
729 => x"51848c3f",
730 => x"b008802e",
731 => x"ffa738b0",
732 => x"08901b0c",
733 => x"b008167a",
734 => x"0c77941b",
735 => x"0c74881b",
736 => x"0c7456fd",
737 => x"99397908",
738 => x"58901a08",
739 => x"78278338",
740 => x"81547575",
741 => x"27843873",
742 => x"b338941a",
743 => x"08567575",
744 => x"2680d338",
745 => x"75537852",
746 => x"9c1a0851",
747 => x"a41a0854",
748 => x"732db008",
749 => x"56b00880",
750 => x"24fd8338",
751 => x"8c1a2280",
752 => x"c0075473",
753 => x"8c1b23ff",
754 => x"54fed739",
755 => x"75537852",
756 => x"775181dc",
757 => x"3f790816",
758 => x"7a0c7951",
759 => x"8cfe3fb0",
760 => x"08802efc",
761 => x"d9388c1a",
762 => x"2280c007",
763 => x"54738c1b",
764 => x"23ff54fe",
765 => x"ad397475",
766 => x"54795378",
767 => x"525681b0",
768 => x"3f881a08",
769 => x"7531881b",
770 => x"0c790815",
771 => x"7a0cfcae",
772 => x"39fa3d0d",
773 => x"7a790288",
774 => x"05a70533",
775 => x"56525383",
776 => x"73278a38",
777 => x"70830652",
778 => x"71802ea8",
779 => x"38ff1353",
780 => x"72ff2e97",
781 => x"38703352",
782 => x"73722e91",
783 => x"388111ff",
784 => x"14545172",
785 => x"ff2e0981",
786 => x"06eb3880",
787 => x"5170b00c",
788 => x"883d0d04",
789 => x"70725755",
790 => x"83517582",
791 => x"802914ff",
792 => x"12525670",
793 => x"8025f338",
794 => x"837327bf",
795 => x"38740876",
796 => x"327009f7",
797 => x"fbfdff12",
798 => x"0670f884",
799 => x"82818006",
800 => x"51515170",
801 => x"802e9938",
802 => x"74518052",
803 => x"70335773",
804 => x"772effb9",
805 => x"38811181",
806 => x"13535183",
807 => x"7227ed38",
808 => x"fc138416",
809 => x"56537283",
810 => x"26c33874",
811 => x"51fefe39",
812 => x"fa3d0d78",
813 => x"7a7c7272",
814 => x"72575757",
815 => x"59565674",
816 => x"7627b238",
817 => x"76155175",
818 => x"7127aa38",
819 => x"707717ff",
820 => x"14545553",
821 => x"71ff2e96",
822 => x"38ff14ff",
823 => x"14545472",
824 => x"337434ff",
825 => x"125271ff",
826 => x"2e098106",
827 => x"ec3875b0",
828 => x"0c883d0d",
829 => x"04768f26",
830 => x"9738ff12",
831 => x"5271ff2e",
832 => x"ed387270",
833 => x"81055433",
834 => x"74708105",
835 => x"5634eb39",
836 => x"74760783",
837 => x"065170e2",
838 => x"38757554",
839 => x"51727084",
840 => x"05540871",
841 => x"70840553",
842 => x"0c727084",
843 => x"05540871",
844 => x"70840553",
845 => x"0c727084",
846 => x"05540871",
847 => x"70840553",
848 => x"0c727084",
849 => x"05540871",
850 => x"70840553",
851 => x"0cf01252",
852 => x"718f26c9",
853 => x"38837227",
854 => x"95387270",
855 => x"84055408",
856 => x"71708405",
857 => x"530cfc12",
858 => x"52718326",
859 => x"ed387054",
860 => x"ff8839ef",
861 => x"3d0d6365",
862 => x"67405d42",
863 => x"7b802e84",
864 => x"fa386151",
865 => x"a5b43ff8",
866 => x"1c708412",
867 => x"0870fc06",
868 => x"70628b05",
869 => x"70f80641",
870 => x"59455b5c",
871 => x"41579674",
872 => x"2782c338",
873 => x"807b247e",
874 => x"7c260759",
875 => x"80547874",
876 => x"2e098106",
877 => x"82a93877",
878 => x"7b2581fc",
879 => x"38771780",
880 => x"d5b40b88",
881 => x"05085e56",
882 => x"7c762e84",
883 => x"bd388416",
884 => x"0870fe06",
885 => x"17841108",
886 => x"81065155",
887 => x"5573828b",
888 => x"3874fc06",
889 => x"597c762e",
890 => x"84dd3877",
891 => x"195f7e7b",
892 => x"2581fd38",
893 => x"79810654",
894 => x"7382bf38",
895 => x"76770831",
896 => x"841108fc",
897 => x"06565a75",
898 => x"802e9138",
899 => x"7c762e84",
900 => x"ea387419",
901 => x"1859787b",
902 => x"25848938",
903 => x"79802e82",
904 => x"99387715",
905 => x"567a7624",
906 => x"8290388c",
907 => x"1a08881b",
908 => x"08718c12",
909 => x"0c88120c",
910 => x"55797659",
911 => x"57881761",
912 => x"fc055759",
913 => x"75a42685",
914 => x"ef387b79",
915 => x"55559376",
916 => x"2780c938",
917 => x"7b708405",
918 => x"5d087c56",
919 => x"790c7470",
920 => x"84055608",
921 => x"8c180c90",
922 => x"17549b76",
923 => x"27ae3874",
924 => x"70840556",
925 => x"08740c74",
926 => x"70840556",
927 => x"0894180c",
928 => x"981754a3",
929 => x"76279538",
930 => x"74708405",
931 => x"5608740c",
932 => x"74708405",
933 => x"56089c18",
934 => x"0ca01754",
935 => x"74708405",
936 => x"56087470",
937 => x"8405560c",
938 => x"74708405",
939 => x"56087470",
940 => x"8405560c",
941 => x"7408740c",
942 => x"777b3156",
943 => x"758f2680",
944 => x"c9388417",
945 => x"08810678",
946 => x"0784180c",
947 => x"77178411",
948 => x"08810784",
949 => x"120c5461",
950 => x"51a2e03f",
951 => x"88175473",
952 => x"b00c933d",
953 => x"0d04905b",
954 => x"fdba3978",
955 => x"56fe8539",
956 => x"8c160888",
957 => x"1708718c",
958 => x"120c8812",
959 => x"0c557e70",
960 => x"7c315758",
961 => x"8f7627ff",
962 => x"b9387a17",
963 => x"84180881",
964 => x"067c0784",
965 => x"190c7681",
966 => x"0784120c",
967 => x"76118411",
968 => x"08810784",
969 => x"120c5588",
970 => x"05526151",
971 => x"8cf63f61",
972 => x"51a2883f",
973 => x"881754ff",
974 => x"a6397d52",
975 => x"615194f5",
976 => x"3fb00859",
977 => x"b008802e",
978 => x"81a338b0",
979 => x"08f80560",
980 => x"840508fe",
981 => x"06610555",
982 => x"5776742e",
983 => x"83e638fc",
984 => x"185675a4",
985 => x"2681aa38",
986 => x"7bb00855",
987 => x"55937627",
988 => x"80d83874",
989 => x"70840556",
990 => x"08b00870",
991 => x"8405b00c",
992 => x"0cb00875",
993 => x"70840557",
994 => x"08717084",
995 => x"05530c54",
996 => x"9b7627b6",
997 => x"38747084",
998 => x"05560874",
999 => x"70840556",
1000 => x"0c747084",
1001 => x"05560874",
1002 => x"70840556",
1003 => x"0ca37627",
1004 => x"99387470",
1005 => x"84055608",
1006 => x"74708405",
1007 => x"560c7470",
1008 => x"84055608",
1009 => x"74708405",
1010 => x"560c7470",
1011 => x"84055608",
1012 => x"74708405",
1013 => x"560c7470",
1014 => x"84055608",
1015 => x"74708405",
1016 => x"560c7408",
1017 => x"740c7b52",
1018 => x"61518bb8",
1019 => x"3f6151a0",
1020 => x"ca3f7854",
1021 => x"73b00c93",
1022 => x"3d0d047d",
1023 => x"52615193",
1024 => x"b43fb008",
1025 => x"b00c933d",
1026 => x"0d048416",
1027 => x"0855fbd1",
1028 => x"3975537b",
1029 => x"52b00851",
1030 => x"efc83f7b",
1031 => x"5261518b",
1032 => x"833fca39",
1033 => x"8c160888",
1034 => x"1708718c",
1035 => x"120c8812",
1036 => x"0c558c1a",
1037 => x"08881b08",
1038 => x"718c120c",
1039 => x"88120c55",
1040 => x"79795957",
1041 => x"fbf73977",
1042 => x"19901c55",
1043 => x"55737524",
1044 => x"fba2387a",
1045 => x"177080d5",
1046 => x"b40b8805",
1047 => x"0c757c31",
1048 => x"81078412",
1049 => x"0c5d8417",
1050 => x"0881067b",
1051 => x"0784180c",
1052 => x"61519fc7",
1053 => x"3f881754",
1054 => x"fce53974",
1055 => x"1918901c",
1056 => x"555d737d",
1057 => x"24fb9538",
1058 => x"8c1a0888",
1059 => x"1b08718c",
1060 => x"120c8812",
1061 => x"0c55881a",
1062 => x"61fc0557",
1063 => x"5975a426",
1064 => x"81ae387b",
1065 => x"79555593",
1066 => x"762780c9",
1067 => x"387b7084",
1068 => x"055d087c",
1069 => x"56790c74",
1070 => x"70840556",
1071 => x"088c1b0c",
1072 => x"901a549b",
1073 => x"7627ae38",
1074 => x"74708405",
1075 => x"5608740c",
1076 => x"74708405",
1077 => x"5608941b",
1078 => x"0c981a54",
1079 => x"a3762795",
1080 => x"38747084",
1081 => x"05560874",
1082 => x"0c747084",
1083 => x"0556089c",
1084 => x"1b0ca01a",
1085 => x"54747084",
1086 => x"05560874",
1087 => x"70840556",
1088 => x"0c747084",
1089 => x"05560874",
1090 => x"70840556",
1091 => x"0c740874",
1092 => x"0c7a1a70",
1093 => x"80d5b40b",
1094 => x"88050c7d",
1095 => x"7c318107",
1096 => x"84120c54",
1097 => x"841a0881",
1098 => x"067b0784",
1099 => x"1b0c6151",
1100 => x"9e893f78",
1101 => x"54fdbd39",
1102 => x"75537b52",
1103 => x"7851eda2",
1104 => x"3ffaf539",
1105 => x"841708fc",
1106 => x"06186058",
1107 => x"58fae939",
1108 => x"75537b52",
1109 => x"7851ed8a",
1110 => x"3f7a1a70",
1111 => x"80d5b40b",
1112 => x"88050c7d",
1113 => x"7c318107",
1114 => x"84120c54",
1115 => x"841a0881",
1116 => x"067b0784",
1117 => x"1b0cffb6",
1118 => x"39fa3d0d",
1119 => x"7880cdf8",
1120 => x"085455b8",
1121 => x"1308802e",
1122 => x"81b5388c",
1123 => x"15227083",
1124 => x"ffff0670",
1125 => x"832a8132",
1126 => x"70810651",
1127 => x"55555672",
1128 => x"802e80dc",
1129 => x"3873842a",
1130 => x"81328106",
1131 => x"57ff5376",
1132 => x"80f63873",
1133 => x"822a7081",
1134 => x"06515372",
1135 => x"802eb938",
1136 => x"b0150854",
1137 => x"73802e9c",
1138 => x"3880c015",
1139 => x"5373732e",
1140 => x"8f387352",
1141 => x"80cdf808",
1142 => x"5187c93f",
1143 => x"8c152256",
1144 => x"76b0160c",
1145 => x"75db0653",
1146 => x"728c1623",
1147 => x"800b8416",
1148 => x"0c901508",
1149 => x"750c7256",
1150 => x"75880753",
1151 => x"728c1623",
1152 => x"90150880",
1153 => x"2e80c038",
1154 => x"8c152270",
1155 => x"81065553",
1156 => x"739d3872",
1157 => x"812a7081",
1158 => x"06515372",
1159 => x"85389415",
1160 => x"08547388",
1161 => x"160c8053",
1162 => x"72b00c88",
1163 => x"3d0d0480",
1164 => x"0b88160c",
1165 => x"94150830",
1166 => x"98160c80",
1167 => x"53ea3972",
1168 => x"5182fb3f",
1169 => x"fec53974",
1170 => x"518ce83f",
1171 => x"8c152270",
1172 => x"81065553",
1173 => x"73802eff",
1174 => x"ba38d439",
1175 => x"f83d0d7a",
1176 => x"5877802e",
1177 => x"81993880",
1178 => x"cdf80854",
1179 => x"b8140880",
1180 => x"2e80ed38",
1181 => x"8c182270",
1182 => x"902b7090",
1183 => x"2c70832a",
1184 => x"81328106",
1185 => x"5c515754",
1186 => x"7880cd38",
1187 => x"90180857",
1188 => x"76802e80",
1189 => x"c3387708",
1190 => x"77317779",
1191 => x"0c768306",
1192 => x"7a585555",
1193 => x"73853894",
1194 => x"18085675",
1195 => x"88190c80",
1196 => x"7525a538",
1197 => x"74537652",
1198 => x"9c180851",
1199 => x"a4180854",
1200 => x"732d800b",
1201 => x"b0082580",
1202 => x"c938b008",
1203 => x"1775b008",
1204 => x"31565774",
1205 => x"8024dd38",
1206 => x"800bb00c",
1207 => x"8a3d0d04",
1208 => x"735181da",
1209 => x"3f8c1822",
1210 => x"70902b70",
1211 => x"902c7083",
1212 => x"2a813281",
1213 => x"065c5157",
1214 => x"5478dd38",
1215 => x"ff8e39a4",
1216 => x"dc5280cd",
1217 => x"f8085189",
1218 => x"f13fb008",
1219 => x"b00c8a3d",
1220 => x"0d048c18",
1221 => x"2280c007",
1222 => x"54738c19",
1223 => x"23ff0bb0",
1224 => x"0c8a3d0d",
1225 => x"04803d0d",
1226 => x"72518071",
1227 => x"0c800b84",
1228 => x"120c800b",
1229 => x"88120c02",
1230 => x"8e05228c",
1231 => x"12230292",
1232 => x"05228e12",
1233 => x"23800b90",
1234 => x"120c800b",
1235 => x"94120c80",
1236 => x"0b98120c",
1237 => x"709c120c",
1238 => x"80c0f00b",
1239 => x"a0120c80",
1240 => x"c1bc0ba4",
1241 => x"120c80c2",
1242 => x"b80ba812",
1243 => x"0c80c389",
1244 => x"0bac120c",
1245 => x"823d0d04",
1246 => x"fa3d0d79",
1247 => x"7080dc29",
1248 => x"8c11547a",
1249 => x"5356578c",
1250 => x"ac3fb008",
1251 => x"b0085556",
1252 => x"b008802e",
1253 => x"a238b008",
1254 => x"8c055480",
1255 => x"0bb0080c",
1256 => x"76b00884",
1257 => x"050c73b0",
1258 => x"0888050c",
1259 => x"74538052",
1260 => x"735197f7",
1261 => x"3f755473",
1262 => x"b00c883d",
1263 => x"0d04fc3d",
1264 => x"0d76a9d1",
1265 => x"0bbc120c",
1266 => x"55810bb8",
1267 => x"160c800b",
1268 => x"84dc160c",
1269 => x"830b84e0",
1270 => x"160c84e8",
1271 => x"1584e416",
1272 => x"0c745480",
1273 => x"53845284",
1274 => x"150851fe",
1275 => x"b83f7454",
1276 => x"81538952",
1277 => x"88150851",
1278 => x"feab3f74",
1279 => x"5482538a",
1280 => x"528c1508",
1281 => x"51fe9e3f",
1282 => x"863d0d04",
1283 => x"f93d0d79",
1284 => x"80cdf808",
1285 => x"5457b813",
1286 => x"08802e80",
1287 => x"c83884dc",
1288 => x"13568816",
1289 => x"08841708",
1290 => x"ff055555",
1291 => x"8074249f",
1292 => x"388c1522",
1293 => x"70902b70",
1294 => x"902c5154",
1295 => x"5872802e",
1296 => x"80ca3880",
1297 => x"dc15ff15",
1298 => x"55557380",
1299 => x"25e33875",
1300 => x"08537280",
1301 => x"2e9f3872",
1302 => x"56881608",
1303 => x"841708ff",
1304 => x"055555c8",
1305 => x"397251fe",
1306 => x"d53f80cd",
1307 => x"f80884dc",
1308 => x"0556ffae",
1309 => x"39845276",
1310 => x"51fdfd3f",
1311 => x"b008760c",
1312 => x"b008802e",
1313 => x"80c038b0",
1314 => x"0856ce39",
1315 => x"810b8c16",
1316 => x"2372750c",
1317 => x"7288160c",
1318 => x"7284160c",
1319 => x"7290160c",
1320 => x"7294160c",
1321 => x"7298160c",
1322 => x"ff0b8e16",
1323 => x"2372b016",
1324 => x"0c72b416",
1325 => x"0c7280c4",
1326 => x"160c7280",
1327 => x"c8160c74",
1328 => x"b00c893d",
1329 => x"0d048c77",
1330 => x"0c800bb0",
1331 => x"0c893d0d",
1332 => x"04ff3d0d",
1333 => x"a4dc5273",
1334 => x"51869f3f",
1335 => x"833d0d04",
1336 => x"803d0d80",
1337 => x"cdf80851",
1338 => x"e83f823d",
1339 => x"0d04fb3d",
1340 => x"0d777052",
1341 => x"5696c33f",
1342 => x"80d5b40b",
1343 => x"88050884",
1344 => x"1108fc06",
1345 => x"707b319f",
1346 => x"ef05e080",
1347 => x"06e08005",
1348 => x"565653a0",
1349 => x"80742494",
1350 => x"38805275",
1351 => x"51969d3f",
1352 => x"80d5bc08",
1353 => x"155372b0",
1354 => x"082e8f38",
1355 => x"7551968b",
1356 => x"3f805372",
1357 => x"b00c873d",
1358 => x"0d047330",
1359 => x"52755195",
1360 => x"fb3fb008",
1361 => x"ff2ea838",
1362 => x"80d5b40b",
1363 => x"88050875",
1364 => x"75318107",
1365 => x"84120c53",
1366 => x"80d4f808",
1367 => x"743180d4",
1368 => x"f80c7551",
1369 => x"95d53f81",
1370 => x"0bb00c87",
1371 => x"3d0d0480",
1372 => x"52755195",
1373 => x"c73f80d5",
1374 => x"b40b8805",
1375 => x"08b00871",
1376 => x"3156538f",
1377 => x"7525ffa4",
1378 => x"38b00880",
1379 => x"d5a80831",
1380 => x"80d4f80c",
1381 => x"74810784",
1382 => x"140c7551",
1383 => x"959d3f80",
1384 => x"53ff9039",
1385 => x"f63d0d7c",
1386 => x"7e545b72",
1387 => x"802e8283",
1388 => x"387a5195",
1389 => x"853ff813",
1390 => x"84110870",
1391 => x"fe067013",
1392 => x"841108fc",
1393 => x"065d5859",
1394 => x"545880d5",
1395 => x"bc08752e",
1396 => x"82de3878",
1397 => x"84160c80",
1398 => x"73810654",
1399 => x"5a727a2e",
1400 => x"81d53878",
1401 => x"15841108",
1402 => x"81065153",
1403 => x"72a03878",
1404 => x"17577981",
1405 => x"e6388815",
1406 => x"08537280",
1407 => x"d5bc2e82",
1408 => x"f9388c15",
1409 => x"08708c15",
1410 => x"0c738812",
1411 => x"0c567681",
1412 => x"0784190c",
1413 => x"76187771",
1414 => x"0c537981",
1415 => x"913883ff",
1416 => x"772781c8",
1417 => x"3876892a",
1418 => x"77832a56",
1419 => x"5372802e",
1420 => x"bf387686",
1421 => x"2ab80555",
1422 => x"847327b4",
1423 => x"3880db13",
1424 => x"55947327",
1425 => x"ab38768c",
1426 => x"2a80ee05",
1427 => x"5580d473",
1428 => x"279e3876",
1429 => x"8f2a80f7",
1430 => x"055582d4",
1431 => x"73279138",
1432 => x"76922a80",
1433 => x"fc05558a",
1434 => x"d4732784",
1435 => x"3880fe55",
1436 => x"74101010",
1437 => x"80d5b405",
1438 => x"88110855",
1439 => x"5673762e",
1440 => x"82b33884",
1441 => x"1408fc06",
1442 => x"53767327",
1443 => x"8d388814",
1444 => x"08547376",
1445 => x"2e098106",
1446 => x"ea388c14",
1447 => x"08708c1a",
1448 => x"0c74881a",
1449 => x"0c788812",
1450 => x"0c56778c",
1451 => x"150c7a51",
1452 => x"93893f8c",
1453 => x"3d0d0477",
1454 => x"08787131",
1455 => x"59770588",
1456 => x"19085457",
1457 => x"7280d5bc",
1458 => x"2e80e038",
1459 => x"8c180870",
1460 => x"8c150c73",
1461 => x"88120c56",
1462 => x"fe893988",
1463 => x"15088c16",
1464 => x"08708c13",
1465 => x"0c578817",
1466 => x"0cfea339",
1467 => x"76832a70",
1468 => x"54558075",
1469 => x"24819838",
1470 => x"72822c81",
1471 => x"712b80d5",
1472 => x"b8080780",
1473 => x"d5b40b84",
1474 => x"050c5374",
1475 => x"10101080",
1476 => x"d5b40588",
1477 => x"11085556",
1478 => x"758c190c",
1479 => x"7388190c",
1480 => x"7788170c",
1481 => x"778c150c",
1482 => x"ff843981",
1483 => x"5afdb439",
1484 => x"78177381",
1485 => x"06545772",
1486 => x"98387708",
1487 => x"78713159",
1488 => x"77058c19",
1489 => x"08881a08",
1490 => x"718c120c",
1491 => x"88120c57",
1492 => x"57768107",
1493 => x"84190c77",
1494 => x"80d5b40b",
1495 => x"88050c80",
1496 => x"d5b00877",
1497 => x"26fec738",
1498 => x"80d5ac08",
1499 => x"527a51fa",
1500 => x"fd3f7a51",
1501 => x"91c53ffe",
1502 => x"ba398178",
1503 => x"8c150c78",
1504 => x"88150c73",
1505 => x"8c1a0c73",
1506 => x"881a0c5a",
1507 => x"fd803983",
1508 => x"1570822c",
1509 => x"81712b80",
1510 => x"d5b80807",
1511 => x"80d5b40b",
1512 => x"84050c51",
1513 => x"53741010",
1514 => x"1080d5b4",
1515 => x"05881108",
1516 => x"5556fee4",
1517 => x"39745380",
1518 => x"7524a738",
1519 => x"72822c81",
1520 => x"712b80d5",
1521 => x"b8080780",
1522 => x"d5b40b84",
1523 => x"050c5375",
1524 => x"8c190c73",
1525 => x"88190c77",
1526 => x"88170c77",
1527 => x"8c150cfd",
1528 => x"cd398315",
1529 => x"70822c81",
1530 => x"712b80d5",
1531 => x"b8080780",
1532 => x"d5b40b84",
1533 => x"050c5153",
1534 => x"d639f93d",
1535 => x"0d797b58",
1536 => x"53800b80",
1537 => x"cdf80853",
1538 => x"5672722e",
1539 => x"80c03884",
1540 => x"dc135574",
1541 => x"762eb738",
1542 => x"88150884",
1543 => x"1608ff05",
1544 => x"54548073",
1545 => x"249d388c",
1546 => x"14227090",
1547 => x"2b70902c",
1548 => x"51535871",
1549 => x"80d83880",
1550 => x"dc14ff14",
1551 => x"54547280",
1552 => x"25e53874",
1553 => x"085574d0",
1554 => x"3880cdf8",
1555 => x"085284dc",
1556 => x"12557480",
1557 => x"2eb13888",
1558 => x"15088416",
1559 => x"08ff0554",
1560 => x"54807324",
1561 => x"9c388c14",
1562 => x"2270902b",
1563 => x"70902c51",
1564 => x"535871ad",
1565 => x"3880dc14",
1566 => x"ff145454",
1567 => x"728025e6",
1568 => x"38740855",
1569 => x"74d13875",
1570 => x"b00c893d",
1571 => x"0d047351",
1572 => x"762d75b0",
1573 => x"080780dc",
1574 => x"15ff1555",
1575 => x"5556ff9e",
1576 => x"39735176",
1577 => x"2d75b008",
1578 => x"0780dc15",
1579 => x"ff155555",
1580 => x"56ca39ea",
1581 => x"3d0d688c",
1582 => x"11227081",
1583 => x"2a810657",
1584 => x"58567480",
1585 => x"e4388e16",
1586 => x"2270902b",
1587 => x"70902c51",
1588 => x"55588074",
1589 => x"24b13898",
1590 => x"3dc40553",
1591 => x"735280cd",
1592 => x"f8085192",
1593 => x"ac3f800b",
1594 => x"b0082497",
1595 => x"387983e0",
1596 => x"80065473",
1597 => x"80c0802e",
1598 => x"818f3873",
1599 => x"8280802e",
1600 => x"8191388c",
1601 => x"16225776",
1602 => x"90800754",
1603 => x"738c1723",
1604 => x"88805280",
1605 => x"cdf80851",
1606 => x"819b3fb0",
1607 => x"089d388c",
1608 => x"16228207",
1609 => x"54738c17",
1610 => x"2380c316",
1611 => x"70770c90",
1612 => x"170c810b",
1613 => x"94170c98",
1614 => x"3d0d0480",
1615 => x"cdf808a9",
1616 => x"d10bbc12",
1617 => x"0c548c16",
1618 => x"22818007",
1619 => x"54738c17",
1620 => x"23b00876",
1621 => x"0cb00890",
1622 => x"170c8880",
1623 => x"0b94170c",
1624 => x"74802ed3",
1625 => x"388e1622",
1626 => x"70902b70",
1627 => x"902c5355",
1628 => x"5898a43f",
1629 => x"b008802e",
1630 => x"ffbd388c",
1631 => x"16228107",
1632 => x"54738c17",
1633 => x"23983d0d",
1634 => x"04810b8c",
1635 => x"17225855",
1636 => x"fef539a8",
1637 => x"160880c2",
1638 => x"b82e0981",
1639 => x"06fee438",
1640 => x"8c162288",
1641 => x"80075473",
1642 => x"8c172388",
1643 => x"800b80cc",
1644 => x"170cfedc",
1645 => x"39f33d0d",
1646 => x"7f618b11",
1647 => x"70f8065c",
1648 => x"55555e72",
1649 => x"96268338",
1650 => x"90598079",
1651 => x"24747a26",
1652 => x"07538054",
1653 => x"72742e09",
1654 => x"810680cb",
1655 => x"387d518c",
1656 => x"d93f7883",
1657 => x"f72680c6",
1658 => x"3878832a",
1659 => x"70101010",
1660 => x"80d5b405",
1661 => x"8c110859",
1662 => x"595a7678",
1663 => x"2e83b038",
1664 => x"841708fc",
1665 => x"06568c17",
1666 => x"08881808",
1667 => x"718c120c",
1668 => x"88120c58",
1669 => x"75178411",
1670 => x"08810784",
1671 => x"120c537d",
1672 => x"518c983f",
1673 => x"88175473",
1674 => x"b00c8f3d",
1675 => x"0d047889",
1676 => x"2a79832a",
1677 => x"5b537280",
1678 => x"2ebf3878",
1679 => x"862ab805",
1680 => x"5a847327",
1681 => x"b43880db",
1682 => x"135a9473",
1683 => x"27ab3878",
1684 => x"8c2a80ee",
1685 => x"055a80d4",
1686 => x"73279e38",
1687 => x"788f2a80",
1688 => x"f7055a82",
1689 => x"d4732791",
1690 => x"3878922a",
1691 => x"80fc055a",
1692 => x"8ad47327",
1693 => x"843880fe",
1694 => x"5a791010",
1695 => x"1080d5b4",
1696 => x"058c1108",
1697 => x"58557675",
1698 => x"2ea33884",
1699 => x"1708fc06",
1700 => x"707a3155",
1701 => x"56738f24",
1702 => x"88d53873",
1703 => x"8025fee6",
1704 => x"388c1708",
1705 => x"5776752e",
1706 => x"098106df",
1707 => x"38811a5a",
1708 => x"80d5c408",
1709 => x"577680d5",
1710 => x"bc2e82c0",
1711 => x"38841708",
1712 => x"fc06707a",
1713 => x"31555673",
1714 => x"8f2481f9",
1715 => x"3880d5bc",
1716 => x"0b80d5c8",
1717 => x"0c80d5bc",
1718 => x"0b80d5c4",
1719 => x"0c738025",
1720 => x"feb23883",
1721 => x"ff762783",
1722 => x"df387589",
1723 => x"2a76832a",
1724 => x"55537280",
1725 => x"2ebf3875",
1726 => x"862ab805",
1727 => x"54847327",
1728 => x"b43880db",
1729 => x"13549473",
1730 => x"27ab3875",
1731 => x"8c2a80ee",
1732 => x"055480d4",
1733 => x"73279e38",
1734 => x"758f2a80",
1735 => x"f7055482",
1736 => x"d4732791",
1737 => x"3875922a",
1738 => x"80fc0554",
1739 => x"8ad47327",
1740 => x"843880fe",
1741 => x"54731010",
1742 => x"1080d5b4",
1743 => x"05881108",
1744 => x"56587478",
1745 => x"2e86cf38",
1746 => x"841508fc",
1747 => x"06537573",
1748 => x"278d3888",
1749 => x"15085574",
1750 => x"782e0981",
1751 => x"06ea388c",
1752 => x"150880d5",
1753 => x"b40b8405",
1754 => x"08718c1a",
1755 => x"0c76881a",
1756 => x"0c788813",
1757 => x"0c788c18",
1758 => x"0c5d5879",
1759 => x"53807a24",
1760 => x"83e63872",
1761 => x"822c8171",
1762 => x"2b5c537a",
1763 => x"7c268198",
1764 => x"387b7b06",
1765 => x"537282f1",
1766 => x"3879fc06",
1767 => x"84055a7a",
1768 => x"10707d06",
1769 => x"545b7282",
1770 => x"e038841a",
1771 => x"5af13988",
1772 => x"178c1108",
1773 => x"58587678",
1774 => x"2e098106",
1775 => x"fcc23882",
1776 => x"1a5afdec",
1777 => x"39781779",
1778 => x"81078419",
1779 => x"0c7080d5",
1780 => x"c80c7080",
1781 => x"d5c40c80",
1782 => x"d5bc0b8c",
1783 => x"120c8c11",
1784 => x"0888120c",
1785 => x"74810784",
1786 => x"120c7411",
1787 => x"75710c51",
1788 => x"537d5188",
1789 => x"c63f8817",
1790 => x"54fcac39",
1791 => x"80d5b40b",
1792 => x"8405087a",
1793 => x"545c7980",
1794 => x"25fef838",
1795 => x"82da397a",
1796 => x"097c0670",
1797 => x"80d5b40b",
1798 => x"84050c5c",
1799 => x"7a105b7a",
1800 => x"7c268538",
1801 => x"7a85b838",
1802 => x"80d5b40b",
1803 => x"88050870",
1804 => x"841208fc",
1805 => x"06707c31",
1806 => x"7c72268f",
1807 => x"72250757",
1808 => x"575c5d55",
1809 => x"72802e80",
1810 => x"db38797a",
1811 => x"1680d5ac",
1812 => x"081b9011",
1813 => x"5a55575b",
1814 => x"80d5a808",
1815 => x"ff2e8838",
1816 => x"a08f13e0",
1817 => x"80065776",
1818 => x"527d5187",
1819 => x"cf3fb008",
1820 => x"54b008ff",
1821 => x"2e9038b0",
1822 => x"08762782",
1823 => x"99387480",
1824 => x"d5b42e82",
1825 => x"913880d5",
1826 => x"b40b8805",
1827 => x"08558415",
1828 => x"08fc0670",
1829 => x"7a317a72",
1830 => x"268f7225",
1831 => x"07525553",
1832 => x"7283e638",
1833 => x"74798107",
1834 => x"84170c79",
1835 => x"167080d5",
1836 => x"b40b8805",
1837 => x"0c758107",
1838 => x"84120c54",
1839 => x"7e525786",
1840 => x"fa3f8817",
1841 => x"54fae039",
1842 => x"75832a70",
1843 => x"54548074",
1844 => x"24819b38",
1845 => x"72822c81",
1846 => x"712b80d5",
1847 => x"b8080770",
1848 => x"80d5b40b",
1849 => x"84050c75",
1850 => x"10101080",
1851 => x"d5b40588",
1852 => x"1108585a",
1853 => x"5d53778c",
1854 => x"180c7488",
1855 => x"180c7688",
1856 => x"190c768c",
1857 => x"160cfcf3",
1858 => x"39797a10",
1859 => x"101080d5",
1860 => x"b4057057",
1861 => x"595d8c15",
1862 => x"08577675",
1863 => x"2ea33884",
1864 => x"1708fc06",
1865 => x"707a3155",
1866 => x"56738f24",
1867 => x"83ca3873",
1868 => x"80258481",
1869 => x"388c1708",
1870 => x"5776752e",
1871 => x"098106df",
1872 => x"38881581",
1873 => x"1b708306",
1874 => x"555b5572",
1875 => x"c9387c83",
1876 => x"06537280",
1877 => x"2efdb838",
1878 => x"ff1df819",
1879 => x"595d8818",
1880 => x"08782eea",
1881 => x"38fdb539",
1882 => x"831a53fc",
1883 => x"96398314",
1884 => x"70822c81",
1885 => x"712b80d5",
1886 => x"b8080770",
1887 => x"80d5b40b",
1888 => x"84050c76",
1889 => x"10101080",
1890 => x"d5b40588",
1891 => x"1108595b",
1892 => x"5e5153fe",
1893 => x"e13980d4",
1894 => x"f8081758",
1895 => x"b008762e",
1896 => x"818d3880",
1897 => x"d5a808ff",
1898 => x"2e83ec38",
1899 => x"73763118",
1900 => x"80d4f80c",
1901 => x"73870670",
1902 => x"57537280",
1903 => x"2e883888",
1904 => x"73317015",
1905 => x"55567614",
1906 => x"9fff06a0",
1907 => x"80713117",
1908 => x"70547f53",
1909 => x"575384e4",
1910 => x"3fb00853",
1911 => x"b008ff2e",
1912 => x"81a03880",
1913 => x"d4f80816",
1914 => x"7080d4f8",
1915 => x"0c747580",
1916 => x"d5b40b88",
1917 => x"050c7476",
1918 => x"31187081",
1919 => x"07515556",
1920 => x"587b80d5",
1921 => x"b42e839c",
1922 => x"38798f26",
1923 => x"82cb3881",
1924 => x"0b84150c",
1925 => x"841508fc",
1926 => x"06707a31",
1927 => x"7a72268f",
1928 => x"72250752",
1929 => x"55537280",
1930 => x"2efcf938",
1931 => x"80db39b0",
1932 => x"089fff06",
1933 => x"5372feeb",
1934 => x"387780d4",
1935 => x"f80c80d5",
1936 => x"b40b8805",
1937 => x"087b1881",
1938 => x"0784120c",
1939 => x"5580d5a4",
1940 => x"08782786",
1941 => x"387780d5",
1942 => x"a40c80d5",
1943 => x"a0087827",
1944 => x"fcac3877",
1945 => x"80d5a00c",
1946 => x"841508fc",
1947 => x"06707a31",
1948 => x"7a72268f",
1949 => x"72250752",
1950 => x"55537280",
1951 => x"2efca538",
1952 => x"88398074",
1953 => x"5456fedb",
1954 => x"397d5183",
1955 => x"ae3f800b",
1956 => x"b00c8f3d",
1957 => x"0d047353",
1958 => x"807424a9",
1959 => x"3872822c",
1960 => x"81712b80",
1961 => x"d5b80807",
1962 => x"7080d5b4",
1963 => x"0b84050c",
1964 => x"5d53778c",
1965 => x"180c7488",
1966 => x"180c7688",
1967 => x"190c768c",
1968 => x"160cf9b7",
1969 => x"39831470",
1970 => x"822c8171",
1971 => x"2b80d5b8",
1972 => x"08077080",
1973 => x"d5b40b84",
1974 => x"050c5e51",
1975 => x"53d4397b",
1976 => x"7b065372",
1977 => x"fca33884",
1978 => x"1a7b105c",
1979 => x"5af139ff",
1980 => x"1a811151",
1981 => x"5af7b939",
1982 => x"78177981",
1983 => x"0784190c",
1984 => x"8c180888",
1985 => x"1908718c",
1986 => x"120c8812",
1987 => x"0c597080",
1988 => x"d5c80c70",
1989 => x"80d5c40c",
1990 => x"80d5bc0b",
1991 => x"8c120c8c",
1992 => x"11088812",
1993 => x"0c748107",
1994 => x"84120c74",
1995 => x"1175710c",
1996 => x"5153f9bd",
1997 => x"39751784",
1998 => x"11088107",
1999 => x"84120c53",
2000 => x"8c170888",
2001 => x"1808718c",
2002 => x"120c8812",
2003 => x"0c587d51",
2004 => x"81e93f88",
2005 => x"1754f5cf",
2006 => x"39728415",
2007 => x"0cf41af8",
2008 => x"0670841e",
2009 => x"08810607",
2010 => x"841e0c70",
2011 => x"1d545b85",
2012 => x"0b84140c",
2013 => x"850b8814",
2014 => x"0c8f7b27",
2015 => x"fdcf3888",
2016 => x"1c527d51",
2017 => x"ec9e3f80",
2018 => x"d5b40b88",
2019 => x"050880d4",
2020 => x"f8085955",
2021 => x"fdb73977",
2022 => x"80d4f80c",
2023 => x"7380d5a8",
2024 => x"0cfc9139",
2025 => x"7284150c",
2026 => x"fda339fc",
2027 => x"3d0d7679",
2028 => x"71028c05",
2029 => x"9f053357",
2030 => x"55535583",
2031 => x"72278a38",
2032 => x"74830651",
2033 => x"70802ea2",
2034 => x"38ff1252",
2035 => x"71ff2e93",
2036 => x"38737370",
2037 => x"81055534",
2038 => x"ff125271",
2039 => x"ff2e0981",
2040 => x"06ef3874",
2041 => x"b00c863d",
2042 => x"0d047474",
2043 => x"882b7507",
2044 => x"7071902b",
2045 => x"07515451",
2046 => x"8f7227a5",
2047 => x"38727170",
2048 => x"8405530c",
2049 => x"72717084",
2050 => x"05530c72",
2051 => x"71708405",
2052 => x"530c7271",
2053 => x"70840553",
2054 => x"0cf01252",
2055 => x"718f26dd",
2056 => x"38837227",
2057 => x"90387271",
2058 => x"70840553",
2059 => x"0cfc1252",
2060 => x"718326f2",
2061 => x"387053ff",
2062 => x"90390404",
2063 => x"fd3d0d80",
2064 => x"0b80ddf4",
2065 => x"0c765184",
2066 => x"ee3fb008",
2067 => x"53b008ff",
2068 => x"2e883872",
2069 => x"b00c853d",
2070 => x"0d0480dd",
2071 => x"f4085473",
2072 => x"802ef038",
2073 => x"7574710c",
2074 => x"5272b00c",
2075 => x"853d0d04",
2076 => x"f93d0d79",
2077 => x"7c557b54",
2078 => x"8e112270",
2079 => x"902b7090",
2080 => x"2c555780",
2081 => x"cdf80853",
2082 => x"585683f3",
2083 => x"3fb00857",
2084 => x"800bb008",
2085 => x"24933880",
2086 => x"d01608b0",
2087 => x"080580d0",
2088 => x"170c76b0",
2089 => x"0c893d0d",
2090 => x"048c1622",
2091 => x"83dfff06",
2092 => x"55748c17",
2093 => x"2376b00c",
2094 => x"893d0d04",
2095 => x"fa3d0d78",
2096 => x"8c112270",
2097 => x"882a7081",
2098 => x"06515758",
2099 => x"5674a938",
2100 => x"8c162283",
2101 => x"dfff0655",
2102 => x"748c1723",
2103 => x"7a547953",
2104 => x"8e162270",
2105 => x"902b7090",
2106 => x"2c545680",
2107 => x"cdf80852",
2108 => x"5681b23f",
2109 => x"883d0d04",
2110 => x"82548053",
2111 => x"8e162270",
2112 => x"902b7090",
2113 => x"2c545680",
2114 => x"cdf80852",
2115 => x"5782b83f",
2116 => x"8c162283",
2117 => x"dfff0655",
2118 => x"748c1723",
2119 => x"7a547953",
2120 => x"8e162270",
2121 => x"902b7090",
2122 => x"2c545680",
2123 => x"cdf80852",
2124 => x"5680f23f",
2125 => x"883d0d04",
2126 => x"f93d0d79",
2127 => x"7c557b54",
2128 => x"8e112270",
2129 => x"902b7090",
2130 => x"2c555780",
2131 => x"cdf80853",
2132 => x"585681f3",
2133 => x"3fb00857",
2134 => x"b008ff2e",
2135 => x"99388c16",
2136 => x"22a08007",
2137 => x"55748c17",
2138 => x"23b00880",
2139 => x"d0170c76",
2140 => x"b00c893d",
2141 => x"0d048c16",
2142 => x"2283dfff",
2143 => x"0655748c",
2144 => x"172376b0",
2145 => x"0c893d0d",
2146 => x"04fe3d0d",
2147 => x"748e1122",
2148 => x"70902b70",
2149 => x"902c5551",
2150 => x"515380cd",
2151 => x"f80851bd",
2152 => x"3f843d0d",
2153 => x"04fb3d0d",
2154 => x"800b80dd",
2155 => x"f40c7a53",
2156 => x"79527851",
2157 => x"82fc3fb0",
2158 => x"0855b008",
2159 => x"ff2e8838",
2160 => x"74b00c87",
2161 => x"3d0d0480",
2162 => x"ddf40856",
2163 => x"75802ef0",
2164 => x"38777671",
2165 => x"0c5474b0",
2166 => x"0c873d0d",
2167 => x"04fd3d0d",
2168 => x"800b80dd",
2169 => x"f40c7651",
2170 => x"84c63fb0",
2171 => x"0853b008",
2172 => x"ff2e8838",
2173 => x"72b00c85",
2174 => x"3d0d0480",
2175 => x"ddf40854",
2176 => x"73802ef0",
2177 => x"38757471",
2178 => x"0c5272b0",
2179 => x"0c853d0d",
2180 => x"04fc3d0d",
2181 => x"800b80dd",
2182 => x"f40c7852",
2183 => x"775186ae",
2184 => x"3fb00854",
2185 => x"b008ff2e",
2186 => x"883873b0",
2187 => x"0c863d0d",
2188 => x"0480ddf4",
2189 => x"08557480",
2190 => x"2ef03876",
2191 => x"75710c53",
2192 => x"73b00c86",
2193 => x"3d0d04fb",
2194 => x"3d0d800b",
2195 => x"80ddf40c",
2196 => x"7a537952",
2197 => x"7851848a",
2198 => x"3fb00855",
2199 => x"b008ff2e",
2200 => x"883874b0",
2201 => x"0c873d0d",
2202 => x"0480ddf4",
2203 => x"08567580",
2204 => x"2ef03877",
2205 => x"76710c54",
2206 => x"74b00c87",
2207 => x"3d0d04fb",
2208 => x"3d0d800b",
2209 => x"80ddf40c",
2210 => x"7a537952",
2211 => x"78518296",
2212 => x"3fb00855",
2213 => x"b008ff2e",
2214 => x"883874b0",
2215 => x"0c873d0d",
2216 => x"0480ddf4",
2217 => x"08567580",
2218 => x"2ef03877",
2219 => x"76710c54",
2220 => x"74b00c87",
2221 => x"3d0d04fe",
2222 => x"3d0d80dd",
2223 => x"e4085170",
2224 => x"8a3880dd",
2225 => x"f87080dd",
2226 => x"e40c5170",
2227 => x"75125252",
2228 => x"ff537087",
2229 => x"fb808026",
2230 => x"88387080",
2231 => x"dde40c71",
2232 => x"5372b00c",
2233 => x"843d0d04",
2234 => x"fd3d0d80",
2235 => x"0b80cdec",
2236 => x"08545472",
2237 => x"812e9b38",
2238 => x"7380dde8",
2239 => x"0cc3e83f",
2240 => x"c1fe3f80",
2241 => x"ddbc5281",
2242 => x"51c5ac3f",
2243 => x"b0085185",
2244 => x"bd3f7280",
2245 => x"dde80cc3",
2246 => x"ce3fc1e4",
2247 => x"3f80ddbc",
2248 => x"528151c5",
2249 => x"923fb008",
2250 => x"5185a33f",
2251 => x"00ff3900",
2252 => x"ff39f53d",
2253 => x"0d7e6080",
2254 => x"dde80870",
2255 => x"5b585b5b",
2256 => x"7580c238",
2257 => x"777a25a1",
2258 => x"38771b70",
2259 => x"337081ff",
2260 => x"06585859",
2261 => x"758a2e98",
2262 => x"387681ff",
2263 => x"0651c2e6",
2264 => x"3f811858",
2265 => x"797824e1",
2266 => x"3879b00c",
2267 => x"8d3d0d04",
2268 => x"8d51c2d2",
2269 => x"3f783370",
2270 => x"81ff0652",
2271 => x"57c2c73f",
2272 => x"811858e0",
2273 => x"3979557a",
2274 => x"547d5385",
2275 => x"528d3dfc",
2276 => x"0551c1ae",
2277 => x"3fb00856",
2278 => x"84ad3f7b",
2279 => x"b0080c75",
2280 => x"b00c8d3d",
2281 => x"0d04f63d",
2282 => x"0d7d7f80",
2283 => x"dde80870",
2284 => x"5b585a5a",
2285 => x"7580c138",
2286 => x"777925b3",
2287 => x"38c1e23f",
2288 => x"b00881ff",
2289 => x"06708d32",
2290 => x"7030709f",
2291 => x"2a515157",
2292 => x"57768a2e",
2293 => x"80c33875",
2294 => x"802ebe38",
2295 => x"771a5676",
2296 => x"76347651",
2297 => x"c1e03f81",
2298 => x"18587878",
2299 => x"24cf3877",
2300 => x"5675b00c",
2301 => x"8c3d0d04",
2302 => x"78557954",
2303 => x"7c538452",
2304 => x"8c3dfc05",
2305 => x"51c0bb3f",
2306 => x"b0085683",
2307 => x"ba3f7ab0",
2308 => x"080c75b0",
2309 => x"0c8c3d0d",
2310 => x"04771a56",
2311 => x"8a763481",
2312 => x"18588d51",
2313 => x"c1a03f8a",
2314 => x"51c19b3f",
2315 => x"7756c239",
2316 => x"fb3d0d80",
2317 => x"dde80870",
2318 => x"56547388",
2319 => x"3874b00c",
2320 => x"873d0d04",
2321 => x"77538352",
2322 => x"873dfc05",
2323 => x"51ffbff2",
2324 => x"3fb00854",
2325 => x"82f13f75",
2326 => x"b0080c73",
2327 => x"b00c873d",
2328 => x"0d04fa3d",
2329 => x"0d80dde8",
2330 => x"08802ea3",
2331 => x"387a5579",
2332 => x"54785386",
2333 => x"52883dfc",
2334 => x"0551ffbf",
2335 => x"c53fb008",
2336 => x"5682c43f",
2337 => x"76b0080c",
2338 => x"75b00c88",
2339 => x"3d0d0482",
2340 => x"b63f9d0b",
2341 => x"b0080cff",
2342 => x"0bb00c88",
2343 => x"3d0d04fb",
2344 => x"3d0d7779",
2345 => x"56568070",
2346 => x"54547375",
2347 => x"259f3874",
2348 => x"101010f8",
2349 => x"05527216",
2350 => x"70337074",
2351 => x"2b760781",
2352 => x"16f81656",
2353 => x"56565151",
2354 => x"747324ea",
2355 => x"3873b00c",
2356 => x"873d0d04",
2357 => x"fc3d0d76",
2358 => x"785555bc",
2359 => x"53805273",
2360 => x"51f5c83f",
2361 => x"84527451",
2362 => x"ffb53fb0",
2363 => x"08742384",
2364 => x"52841551",
2365 => x"ffa93fb0",
2366 => x"08821523",
2367 => x"84528815",
2368 => x"51ff9c3f",
2369 => x"b0088415",
2370 => x"0c84528c",
2371 => x"1551ff8f",
2372 => x"3fb00888",
2373 => x"15238452",
2374 => x"901551ff",
2375 => x"823fb008",
2376 => x"8a152384",
2377 => x"52941551",
2378 => x"fef53fb0",
2379 => x"088c1523",
2380 => x"84529815",
2381 => x"51fee83f",
2382 => x"b0088e15",
2383 => x"2388529c",
2384 => x"1551fedb",
2385 => x"3fb00890",
2386 => x"150c863d",
2387 => x"0d04e93d",
2388 => x"0d6a80dd",
2389 => x"e8085757",
2390 => x"75933880",
2391 => x"c0800b84",
2392 => x"180c75ac",
2393 => x"180c75b0",
2394 => x"0c993d0d",
2395 => x"04893d70",
2396 => x"556a5455",
2397 => x"8a52993d",
2398 => x"ffbc0551",
2399 => x"ffbdc33f",
2400 => x"b0087753",
2401 => x"755256fe",
2402 => x"cb3fbc3f",
2403 => x"77b0080c",
2404 => x"75b00c99",
2405 => x"3d0d04fc",
2406 => x"3d0d8154",
2407 => x"80dde808",
2408 => x"883873b0",
2409 => x"0c863d0d",
2410 => x"04765397",
2411 => x"b952863d",
2412 => x"fc0551ff",
2413 => x"bd8c3fb0",
2414 => x"08548c3f",
2415 => x"74b0080c",
2416 => x"73b00c86",
2417 => x"3d0d0480",
2418 => x"cdf808b0",
2419 => x"0c04f73d",
2420 => x"0d7b80cd",
2421 => x"f80882c8",
2422 => x"11085a54",
2423 => x"5a77802e",
2424 => x"80da3881",
2425 => x"88188419",
2426 => x"08ff0581",
2427 => x"712b5955",
2428 => x"59807424",
2429 => x"80ea3880",
2430 => x"7424b538",
2431 => x"73822b78",
2432 => x"11880556",
2433 => x"56818019",
2434 => x"08770653",
2435 => x"72802eb6",
2436 => x"38781670",
2437 => x"08535379",
2438 => x"51740853",
2439 => x"722dff14",
2440 => x"fc17fc17",
2441 => x"79812c5a",
2442 => x"57575473",
2443 => x"8025d638",
2444 => x"77085877",
2445 => x"ffad3880",
2446 => x"cdf80853",
2447 => x"bc1308a5",
2448 => x"387951f9",
2449 => x"e73f7408",
2450 => x"53722dff",
2451 => x"14fc17fc",
2452 => x"1779812c",
2453 => x"5a575754",
2454 => x"738025ff",
2455 => x"a838d139",
2456 => x"8057ff93",
2457 => x"397251bc",
2458 => x"13085372",
2459 => x"2d7951f9",
2460 => x"bb3fff3d",
2461 => x"0d80ddc4",
2462 => x"0bfc0570",
2463 => x"08525270",
2464 => x"ff2e9138",
2465 => x"702dfc12",
2466 => x"70085252",
2467 => x"70ff2e09",
2468 => x"8106f138",
2469 => x"833d0d04",
2470 => x"04ffbdb6",
2471 => x"3f040000",
2472 => x"00ffffff",
2473 => x"ff00ffff",
2474 => x"ffff00ff",
2475 => x"ffffff00",
2476 => x"00000040",
2477 => x"48656c6c",
2478 => x"6f20776f",
2479 => x"726c6420",
2480 => x"310a0000",
2481 => x"48656c6c",
2482 => x"6f20776f",
2483 => x"726c6420",
2484 => x"320a0000",
2485 => x"0a000000",
2486 => x"43000000",
2487 => x"64756d6d",
2488 => x"792e6578",
2489 => x"65000000",
2490 => x"00000000",
2491 => x"00000000",
2492 => x"00000000",
2493 => x"00002ecc",
2494 => x"000026fc",
2495 => x"00000000",
2496 => x"00002964",
2497 => x"000029c0",
2498 => x"00002a1c",
2499 => x"00000000",
2500 => x"00000000",
2501 => x"00000000",
2502 => x"00000000",
2503 => x"00000000",
2504 => x"00000000",
2505 => x"00000000",
2506 => x"00000000",
2507 => x"00000000",
2508 => x"000026d8",
2509 => x"00000000",
2510 => x"00000000",
2511 => x"00000000",
2512 => x"00000000",
2513 => x"00000000",
2514 => x"00000000",
2515 => x"00000000",
2516 => x"00000000",
2517 => x"00000000",
2518 => x"00000000",
2519 => x"00000000",
2520 => x"00000000",
2521 => x"00000000",
2522 => x"00000000",
2523 => x"00000000",
2524 => x"00000000",
2525 => x"00000000",
2526 => x"00000000",
2527 => x"00000000",
2528 => x"00000000",
2529 => x"00000000",
2530 => x"00000000",
2531 => x"00000000",
2532 => x"00000000",
2533 => x"00000000",
2534 => x"00000000",
2535 => x"00000000",
2536 => x"00000000",
2537 => x"00000001",
2538 => x"330eabcd",
2539 => x"1234e66d",
2540 => x"deec0005",
2541 => x"000b0000",
2542 => x"00000000",
2543 => x"00000000",
2544 => x"00000000",
2545 => x"00000000",
2546 => x"00000000",
2547 => x"00000000",
2548 => x"00000000",
2549 => x"00000000",
2550 => x"00000000",
2551 => x"00000000",
2552 => x"00000000",
2553 => x"00000000",
2554 => x"00000000",
2555 => x"00000000",
2556 => x"00000000",
2557 => x"00000000",
2558 => x"00000000",
2559 => x"00000000",
2560 => x"00000000",
2561 => x"00000000",
2562 => x"00000000",
2563 => x"00000000",
2564 => x"00000000",
2565 => x"00000000",
2566 => x"00000000",
2567 => x"00000000",
2568 => x"00000000",
2569 => x"00000000",
2570 => x"00000000",
2571 => x"00000000",
2572 => x"00000000",
2573 => x"00000000",
2574 => x"00000000",
2575 => x"00000000",
2576 => x"00000000",
2577 => x"00000000",
2578 => x"00000000",
2579 => x"00000000",
2580 => x"00000000",
2581 => x"00000000",
2582 => x"00000000",
2583 => x"00000000",
2584 => x"00000000",
2585 => x"00000000",
2586 => x"00000000",
2587 => x"00000000",
2588 => x"00000000",
2589 => x"00000000",
2590 => x"00000000",
2591 => x"00000000",
2592 => x"00000000",
2593 => x"00000000",
2594 => x"00000000",
2595 => x"00000000",
2596 => x"00000000",
2597 => x"00000000",
2598 => x"00000000",
2599 => x"00000000",
2600 => x"00000000",
2601 => x"00000000",
2602 => x"00000000",
2603 => x"00000000",
2604 => x"00000000",
2605 => x"00000000",
2606 => x"00000000",
2607 => x"00000000",
2608 => x"00000000",
2609 => x"00000000",
2610 => x"00000000",
2611 => x"00000000",
2612 => x"00000000",
2613 => x"00000000",
2614 => x"00000000",
2615 => x"00000000",
2616 => x"00000000",
2617 => x"00000000",
2618 => x"00000000",
2619 => x"00000000",
2620 => x"00000000",
2621 => x"00000000",
2622 => x"00000000",
2623 => x"00000000",
2624 => x"00000000",
2625 => x"00000000",
2626 => x"00000000",
2627 => x"00000000",
2628 => x"00000000",
2629 => x"00000000",
2630 => x"00000000",
2631 => x"00000000",
2632 => x"00000000",
2633 => x"00000000",
2634 => x"00000000",
2635 => x"00000000",
2636 => x"00000000",
2637 => x"00000000",
2638 => x"00000000",
2639 => x"00000000",
2640 => x"00000000",
2641 => x"00000000",
2642 => x"00000000",
2643 => x"00000000",
2644 => x"00000000",
2645 => x"00000000",
2646 => x"00000000",
2647 => x"00000000",
2648 => x"00000000",
2649 => x"00000000",
2650 => x"00000000",
2651 => x"00000000",
2652 => x"00000000",
2653 => x"00000000",
2654 => x"00000000",
2655 => x"00000000",
2656 => x"00000000",
2657 => x"00000000",
2658 => x"00000000",
2659 => x"00000000",
2660 => x"00000000",
2661 => x"00000000",
2662 => x"00000000",
2663 => x"00000000",
2664 => x"00000000",
2665 => x"00000000",
2666 => x"00000000",
2667 => x"00000000",
2668 => x"00000000",
2669 => x"00000000",
2670 => x"00000000",
2671 => x"00000000",
2672 => x"00000000",
2673 => x"00000000",
2674 => x"00000000",
2675 => x"00000000",
2676 => x"00000000",
2677 => x"00000000",
2678 => x"00000000",
2679 => x"00000000",
2680 => x"00000000",
2681 => x"00000000",
2682 => x"00000000",
2683 => x"00000000",
2684 => x"00000000",
2685 => x"00000000",
2686 => x"00000000",
2687 => x"00000000",
2688 => x"00000000",
2689 => x"00000000",
2690 => x"00000000",
2691 => x"00000000",
2692 => x"00000000",
2693 => x"00000000",
2694 => x"00000000",
2695 => x"00000000",
2696 => x"00000000",
2697 => x"00000000",
2698 => x"00000000",
2699 => x"00000000",
2700 => x"00000000",
2701 => x"00000000",
2702 => x"00000000",
2703 => x"00000000",
2704 => x"00000000",
2705 => x"00000000",
2706 => x"00000000",
2707 => x"00000000",
2708 => x"00000000",
2709 => x"00000000",
2710 => x"00000000",
2711 => x"00000000",
2712 => x"00000000",
2713 => x"00000000",
2714 => x"00000000",
2715 => x"00000000",
2716 => x"00000000",
2717 => x"00000000",
2718 => x"00000000",
2719 => x"00000000",
2720 => x"00000000",
2721 => x"00000000",
2722 => x"00000000",
2723 => x"00000000",
2724 => x"00000000",
2725 => x"00000000",
2726 => x"00000000",
2727 => x"00000000",
2728 => x"00000000",
2729 => x"00000000",
2730 => x"ffffffff",
2731 => x"00000000",
2732 => x"00020000",
2733 => x"00000000",
2734 => x"00000000",
2735 => x"00002ab4",
2736 => x"00002ab4",
2737 => x"00002abc",
2738 => x"00002abc",
2739 => x"00002ac4",
2740 => x"00002ac4",
2741 => x"00002acc",
2742 => x"00002acc",
2743 => x"00002ad4",
2744 => x"00002ad4",
2745 => x"00002adc",
2746 => x"00002adc",
2747 => x"00002ae4",
2748 => x"00002ae4",
2749 => x"00002aec",
2750 => x"00002aec",
2751 => x"00002af4",
2752 => x"00002af4",
2753 => x"00002afc",
2754 => x"00002afc",
2755 => x"00002b04",
2756 => x"00002b04",
2757 => x"00002b0c",
2758 => x"00002b0c",
2759 => x"00002b14",
2760 => x"00002b14",
2761 => x"00002b1c",
2762 => x"00002b1c",
2763 => x"00002b24",
2764 => x"00002b24",
2765 => x"00002b2c",
2766 => x"00002b2c",
2767 => x"00002b34",
2768 => x"00002b34",
2769 => x"00002b3c",
2770 => x"00002b3c",
2771 => x"00002b44",
2772 => x"00002b44",
2773 => x"00002b4c",
2774 => x"00002b4c",
2775 => x"00002b54",
2776 => x"00002b54",
2777 => x"00002b5c",
2778 => x"00002b5c",
2779 => x"00002b64",
2780 => x"00002b64",
2781 => x"00002b6c",
2782 => x"00002b6c",
2783 => x"00002b74",
2784 => x"00002b74",
2785 => x"00002b7c",
2786 => x"00002b7c",
2787 => x"00002b84",
2788 => x"00002b84",
2789 => x"00002b8c",
2790 => x"00002b8c",
2791 => x"00002b94",
2792 => x"00002b94",
2793 => x"00002b9c",
2794 => x"00002b9c",
2795 => x"00002ba4",
2796 => x"00002ba4",
2797 => x"00002bac",
2798 => x"00002bac",
2799 => x"00002bb4",
2800 => x"00002bb4",
2801 => x"00002bbc",
2802 => x"00002bbc",
2803 => x"00002bc4",
2804 => x"00002bc4",
2805 => x"00002bcc",
2806 => x"00002bcc",
2807 => x"00002bd4",
2808 => x"00002bd4",
2809 => x"00002bdc",
2810 => x"00002bdc",
2811 => x"00002be4",
2812 => x"00002be4",
2813 => x"00002bec",
2814 => x"00002bec",
2815 => x"00002bf4",
2816 => x"00002bf4",
2817 => x"00002bfc",
2818 => x"00002bfc",
2819 => x"00002c04",
2820 => x"00002c04",
2821 => x"00002c0c",
2822 => x"00002c0c",
2823 => x"00002c14",
2824 => x"00002c14",
2825 => x"00002c1c",
2826 => x"00002c1c",
2827 => x"00002c24",
2828 => x"00002c24",
2829 => x"00002c2c",
2830 => x"00002c2c",
2831 => x"00002c34",
2832 => x"00002c34",
2833 => x"00002c3c",
2834 => x"00002c3c",
2835 => x"00002c44",
2836 => x"00002c44",
2837 => x"00002c4c",
2838 => x"00002c4c",
2839 => x"00002c54",
2840 => x"00002c54",
2841 => x"00002c5c",
2842 => x"00002c5c",
2843 => x"00002c64",
2844 => x"00002c64",
2845 => x"00002c6c",
2846 => x"00002c6c",
2847 => x"00002c74",
2848 => x"00002c74",
2849 => x"00002c7c",
2850 => x"00002c7c",
2851 => x"00002c84",
2852 => x"00002c84",
2853 => x"00002c8c",
2854 => x"00002c8c",
2855 => x"00002c94",
2856 => x"00002c94",
2857 => x"00002c9c",
2858 => x"00002c9c",
2859 => x"00002ca4",
2860 => x"00002ca4",
2861 => x"00002cac",
2862 => x"00002cac",
2863 => x"00002cb4",
2864 => x"00002cb4",
2865 => x"00002cbc",
2866 => x"00002cbc",
2867 => x"00002cc4",
2868 => x"00002cc4",
2869 => x"00002ccc",
2870 => x"00002ccc",
2871 => x"00002cd4",
2872 => x"00002cd4",
2873 => x"00002cdc",
2874 => x"00002cdc",
2875 => x"00002ce4",
2876 => x"00002ce4",
2877 => x"00002cec",
2878 => x"00002cec",
2879 => x"00002cf4",
2880 => x"00002cf4",
2881 => x"00002cfc",
2882 => x"00002cfc",
2883 => x"00002d04",
2884 => x"00002d04",
2885 => x"00002d0c",
2886 => x"00002d0c",
2887 => x"00002d14",
2888 => x"00002d14",
2889 => x"00002d1c",
2890 => x"00002d1c",
2891 => x"00002d24",
2892 => x"00002d24",
2893 => x"00002d2c",
2894 => x"00002d2c",
2895 => x"00002d34",
2896 => x"00002d34",
2897 => x"00002d3c",
2898 => x"00002d3c",
2899 => x"00002d44",
2900 => x"00002d44",
2901 => x"00002d4c",
2902 => x"00002d4c",
2903 => x"00002d54",
2904 => x"00002d54",
2905 => x"00002d5c",
2906 => x"00002d5c",
2907 => x"00002d64",
2908 => x"00002d64",
2909 => x"00002d6c",
2910 => x"00002d6c",
2911 => x"00002d74",
2912 => x"00002d74",
2913 => x"00002d7c",
2914 => x"00002d7c",
2915 => x"00002d84",
2916 => x"00002d84",
2917 => x"00002d8c",
2918 => x"00002d8c",
2919 => x"00002d94",
2920 => x"00002d94",
2921 => x"00002d9c",
2922 => x"00002d9c",
2923 => x"00002da4",
2924 => x"00002da4",
2925 => x"00002dac",
2926 => x"00002dac",
2927 => x"00002db4",
2928 => x"00002db4",
2929 => x"00002dbc",
2930 => x"00002dbc",
2931 => x"00002dc4",
2932 => x"00002dc4",
2933 => x"00002dcc",
2934 => x"00002dcc",
2935 => x"00002dd4",
2936 => x"00002dd4",
2937 => x"00002ddc",
2938 => x"00002ddc",
2939 => x"00002de4",
2940 => x"00002de4",
2941 => x"00002dec",
2942 => x"00002dec",
2943 => x"00002df4",
2944 => x"00002df4",
2945 => x"00002dfc",
2946 => x"00002dfc",
2947 => x"00002e04",
2948 => x"00002e04",
2949 => x"00002e0c",
2950 => x"00002e0c",
2951 => x"00002e14",
2952 => x"00002e14",
2953 => x"00002e1c",
2954 => x"00002e1c",
2955 => x"00002e24",
2956 => x"00002e24",
2957 => x"00002e2c",
2958 => x"00002e2c",
2959 => x"00002e34",
2960 => x"00002e34",
2961 => x"00002e3c",
2962 => x"00002e3c",
2963 => x"00002e44",
2964 => x"00002e44",
2965 => x"00002e4c",
2966 => x"00002e4c",
2967 => x"00002e54",
2968 => x"00002e54",
2969 => x"00002e5c",
2970 => x"00002e5c",
2971 => x"00002e64",
2972 => x"00002e64",
2973 => x"00002e6c",
2974 => x"00002e6c",
2975 => x"00002e74",
2976 => x"00002e74",
2977 => x"00002e7c",
2978 => x"00002e7c",
2979 => x"00002e84",
2980 => x"00002e84",
2981 => x"00002e8c",
2982 => x"00002e8c",
2983 => x"00002e94",
2984 => x"00002e94",
2985 => x"00002e9c",
2986 => x"00002e9c",
2987 => x"00002ea4",
2988 => x"00002ea4",
2989 => x"00002eac",
2990 => x"00002eac",
2991 => x"000026dc",
2992 => x"ffffffff",
2993 => x"00000000",
2994 => x"ffffffff",
2995 => x"00000000",
others => x"00000000"
);
begin
busy_o <= re_i; -- we're done on the cycle after we serve the read request
do_ram:
process (clk_i)
variable iaddr : integer;
begin
if rising_edge(clk_i) then
if we_i='1' then
ram(to_integer(addr_i)) <= write_i;
end if;
addr_r <= addr_i;
end if;
end process do_ram;
read_o <= ram(to_integer(addr_r));
end architecture Xilinx; -- Entity: SinglePortRAM
| bsd-3-clause | 5fc0de5ea32ccbb662ace3d6e317bc28 | 0.592012 | 2.281169 | false | false | false | false |
ILoveSpeccy/Aeon-Lite | cores/atari800xl/src/a8core/address_decoder.vhdl | 1 | 27,380 | ---------------------------------------------------------------------------
-- (c) 2013 mark watson
-- I am happy for anyone to use this for non-commercial use.
-- If my vhdl files are used commercially or otherwise sold,
-- please contact me for explicit permission at scrameta (gmail).
-- This applies for source and binary form and derived works.
---------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use IEEE.STD_LOGIC_MISC.all;
ENTITY address_decoder IS
GENERIC
(
low_memory : integer := 1 -- if 0, we assume 8MB SDRAM, if 1, we assume 1MB 'SDRAM'.
);
PORT
(
CLK : IN STD_LOGIC;
-- bus masters - either CPU or antic
-- antic has priority and is slected when ANTIC_FETCH high
CPU_ADDR : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
CPU_FETCH : in std_logic;
CPU_WRITE_N : IN STD_LOGIC;
CPU_WRITE_DATA : in std_logic_vector(7 downto 0);
ANTIC_ADDR : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
ANTIC_FETCH : IN STD_LOGIC;
DMA_ADDR : in std_logic_vector(23 downto 0);
DMA_FETCH : in std_logic;
DMA_READ_ENABLE : in std_logic;
DMA_32BIT_WRITE_ENABLE : in std_logic; -- common case
DMA_16BIT_WRITE_ENABLE : in std_logic; -- for sram
DMA_8BIT_WRITE_ENABLE : in std_logic; -- for hardware regs
DMA_WRITE_DATA : in std_logic_vector(31 downto 0);
-- sources of data
ROM_DATA : IN STD_LOGIC_VECTOR(7 downto 0); -- flash rom
GTIA_DATA : IN STD_LOGIC_VECTOR(7 downto 0);
CACHE_GTIA_DATA : IN STD_LOGIC_VECTOR(7 downto 0);
POKEY_DATA : IN STD_LOGIC_VECTOR(7 downto 0);
CACHE_POKEY_DATA : IN STD_LOGIC_VECTOR(7 downto 0);
POKEY2_DATA : IN STD_LOGIC_VECTOR(7 downto 0);
CACHE_POKEY2_DATA : IN STD_LOGIC_VECTOR(7 downto 0);
ANTIC_DATA : IN STD_LOGIC_VECTOR(7 downto 0);
CACHE_ANTIC_DATA : IN STD_LOGIC_VECTOR(7 downto 0);
PIA_DATA : IN STD_LOGIC_VECTOR(7 downto 0);
RAM_DATA : IN STD_LOGIC_VECTOR(15 downto 0);
CART_ROM_DATA : in std_logic_Vector(7 downto 0);
-- completion flags
RAM_REQUEST_COMPLETE : IN STD_LOGIC;
ROM_REQUEST_COMPLETE : IN STD_LOGIC;
CART_REQUEST_COMPLETE : IN STD_LOGIC;
-- configuration options
PORTB : IN STD_LOGIC_VECTOR(7 downto 0);
reset_n : in std_logic;
rom_in_ram : in std_logic;
rom_select : in std_logic_vector(5 downto 0);
cart_select : in std_logic_vector(6 downto 0);
cart_activate : in std_logic;
ram_select : in std_logic_vector(2 downto 0);
CART_RD4 : in std_logic;
CART_RD5 : in std_logic;
use_sdram : in std_logic;
-- Memory read mux output
MEMORY_DATA : OUT STD_LOGIC_VECTOR(31 downto 0);
-- Flash and internal RAM take 2 cycles to access. SRAM takes 1 cycle.
-- Allow us to say we're not ready for a cycle
MEMORY_READY_ANTIC : OUT STD_LOGIC;
MEMORY_READY_DMA : OUT STD_LOGIC;
MEMORY_READY_CPU : out std_logic;
-- Each chip does not have whole address bus, so several are addressed at once
-- For reads not an issue, but for writes we need to only write to a single place!
-- these all take 1 cycle, so fine to leave device selected in general
GTIA_WR_ENABLE : OUT STD_LOGIC;
POKEY_WR_ENABLE : OUT STD_LOGIC;
POKEY2_WR_ENABLE : OUT STD_LOGIC;
ANTIC_WR_ENABLE : OUT STD_LOGIC;
PIA_WR_ENABLE : OUT STD_LOGIC;
PIA_RD_ENABLE : OUT STD_LOGIC; -- ... except PIA takes action on reads!
RAM_WR_ENABLE : OUT STD_LOGIC;
PBI_WR_ENABLE : OUT STD_LOGIC;
D6_WR_ENABLE : OUT STD_LOGIC;
-- ROM and RAM have extended address busses to allow for bank switching etc.
ROM_ADDR : OUT STD_LOGIC_VECTOR(21 downto 0);
RAM_ADDR : OUT STD_LOGIC_VECTOR(18 downto 0);
PBI_ADDR : out std_logic_vector(15 downto 0);
RAM_REQUEST : out std_logic;
ROM_REQUEST : out std_logic;
CART_REQUEST : out std_logic;
CART_S4_n : out std_logic;
CART_S5_n : out std_logic;
CART_CCTL_n : out std_logic;
-- width of access
WIDTH_8bit_ACCESS : out std_logic;
WIDTH_16bit_ACCESS : out std_logic;
WIDTH_32bit_ACCESS : out std_logic;
-- interface as though SRAM - this module can take care of caching/write combining etc etc. For first cut... nothing. TODO: What extra info would help me here?
SDRAM_ADDR : out std_logic_vector(22 downto 0); -- 1 extra bit for byte alignment
SDRAM_READ_EN : out std_logic; -- if no reads pending may be a good time to do a refresh
SDRAM_WRITE_EN : out std_logic;
--SDRAM_REQUEST : out std_logic; -- Toggle this to issue a new request
SDRAM_REQUEST : out std_logic; -- Usual pattern
--SDRAM_REPLY : in std_logic; -- This matches the request once complete
SDRAM_REQUEST_COMPLETE : in std_logic;
SDRAM_DATA : in std_logic_vector(31 downto 0);
WRITE_DATA : out std_logic_vector(31 downto 0)
);
END address_decoder;
ARCHITECTURE vhdl OF address_decoder IS
signal ADDR_next : std_logic_vector(23 downto 0);
signal ADDR_reg : std_logic_vector(23 downto 0);
signal DATA_WRITE_next : std_logic_vector(31 downto 0);
signal DATA_WRITE_reg : std_logic_vector(31 downto 0);
signal width_8bit_next : std_logic;
signal width_16bit_next : std_logic;
signal width_32bit_next : std_logic;
signal write_enable_next : std_logic;
signal width_8bit_reg : std_logic;
signal width_16bit_reg : std_logic;
signal width_32bit_reg : std_logic;
signal write_enable_reg : std_logic;
signal request_complete : std_logic;
signal notify_antic : std_logic;
signal notify_DMA : std_logic;
signal notify_cpu : std_logic;
signal start_request : std_logic;
signal extended_access_addr : std_logic;
signal extended_access_cpu_or_antic : std_logic;
signal extended_access_antic : std_logic;
signal extended_access_cpu: std_logic; -- 130XE and compy shop switch antic seperately
signal extended_access_either: std_logic; -- RAMBO switches both together using CPU bit
signal extended_self_test : std_logic;
signal extended_bank : std_logic_vector(8 downto 0); -- ONLY "000" - "103" valid...
-- even though we have 3 targets (flash, ram, rom) and 3 masters, only allow access to one a a time - simpler.
signal state_next : std_logic_vector(1 downto 0);
signal state_reg : std_logic_vector(1 downto 0);
constant state_idle : std_logic_vector(1 downto 0) := "00";
constant state_waiting_cpu : std_logic_vector(1 downto 0) := "01";
constant state_waiting_DMA : std_logic_vector(1 downto 0) := "10";
constant state_waiting_antic : std_logic_vector(1 downto 0) := "11";
signal ram_chip_select : std_logic;
signal sdram_chip_select : std_logic;
-- signal sdram_request_next : std_logic;
-- signal sdram_request_reg : std_logic;
-- signal SDRAM_REQUEST_COMPLETE : std_logic;
signal fetch_priority : std_logic_vector(2 downto 0);
signal fetch_wait_next : std_logic_vector(8 downto 0);
signal fetch_wait_reg : std_logic_vector(8 downto 0);
signal antic_fetch_real_next : std_logic;
signal antic_fetch_real_reg : std_logic;
signal cpu_fetch_real_next : std_logic;
signal cpu_fetch_real_reg : std_logic;
signal SDRAM_CART_ADDR : std_logic_vector(22 downto 0);
signal SDRAM_BASIC_ROM_ADDR : std_logic_vector(22 downto 0);
signal SDRAM_OS_ROM_ADDR : std_logic_vector(22 downto 0);
signal sdram_only_bank : std_logic;
BEGIN
-- register
process(clk,reset_n)
begin
if (reset_n='0') then
addr_reg <= (others=>'0');
state_reg <= state_idle;
width_8bit_reg <= '0';
width_16bit_reg <= '0';
width_32bit_reg <= '0';
write_enable_reg <= '0';
data_write_reg <= (others=> '0');
--sdram_request_reg <= '0';
fetch_wait_reg <= (others=>'0');
cpu_fetch_real_reg <= '0';
antic_fetch_real_reg <= '0';
elsif (clk'event and clk='1') then
addr_reg <= addr_next;
state_reg <= state_next;
width_8bit_reg <= width_8bit_next;
width_16bit_reg <= width_16bit_next;
width_32bit_reg <= width_32bit_next;
write_enable_reg <= write_enable_next;
data_write_reg <= data_WRITE_next;
--sdram_request_reg <= sdram_request_next;
fetch_wait_reg <= fetch_wait_next;
cpu_fetch_real_reg <= cpu_fetch_real_next;
antic_fetch_real_reg <= antic_fetch_real_next;
end if;
end process;
-- ANTIC FETCH
-- concept
-- bus master sends request - antic or cpu
-- antic has priority
-- cpu may be idle
-- once request complete MEMORY_READY is set
-- if request interrupted then results are LOST - memory ready not set until priority request satisfied
-- so
-- memory_ready <= device_ready;
-- problem
-- request -> device access -> interrupt -> device finishes -> ignored? -> device access
-- state machine
-- state machine impl
fetch_priority <= ANTIC_FETCH&DMA_FETCH&CPU_FETCH;
process(fetch_wait_reg, state_reg, addr_reg, data_write_reg, width_8bit_reg, width_16bit_reg, width_32bit_reg, write_enable_reg, fetch_priority, antic_addr, DMA_addr, cpu_addr, request_complete, DMA_8bit_write_enable,DMA_16bit_write_enable,DMA_32bit_write_enable,DMA_read_enable, cpu_write_n, CPU_WRITE_DATA, DMA_WRITE_DATA, antic_fetch_real_reg, cpu_fetch_real_reg)
begin
start_request <= '0';
notify_antic <= '0';
notify_cpu <= '0';
notify_DMA <= '0';
state_next <= state_reg;
fetch_wait_next <= std_logic_vector(unsigned(fetch_wait_reg) +1);
addr_next <= addr_reg;
data_WRITE_next <= data_WRITE_reg;
width_8bit_next <= width_8bit_reg;
width_16bit_next <= width_16bit_reg;
width_32bit_next <= width_32bit_reg;
write_enable_next <= write_enable_reg;
antic_fetch_real_next <= antic_fetch_real_reg;
cpu_fetch_real_next <= cpu_fetch_real_reg;
case state_reg is
when state_idle =>
fetch_wait_next <= (others=>'0');
write_enable_next <= '0';
width_8bit_next <= '0';
width_16bit_next <= '0';
width_32bit_next <= '0';
data_WRITE_next <= (others => '0');
addr_next <= DMA_ADDR(23 downto 16)&cpu_ADDR(15 downto 0);
case fetch_priority is
when "100"|"101"|"110"|"111" => -- antic wins
start_request <= '1';
addr_next <= "00000000"&antic_ADDR;
width_8bit_next <= '1';
if (request_complete = '1') then
notify_antic <= '1';
else
state_next <= state_waiting_antic;
end if;
antic_fetch_real_next <= '1';
when "010"|"011" => -- DMA wins (DMA usually accesses own ROM memory - this is NOT a DMA_fetch)
-- TODO, lower priority than 6502, except on first request in block...
start_request <= '1';
addr_next <= DMA_ADDR;
data_WRITE_next <= DMA_WRITE_DATA;
width_8bit_next <= DMA_8BIT_WRITE_ENABLE or (DMA_READ_ENABLE and (DMA_addr(0) or DMA_addr(1)));
width_16bit_next <= DMA_16BIT_WRITE_ENABLE;
width_32bit_next <= DMA_32BIT_WRITE_ENABLE or (DMA_READ_ENABLE and not(DMA_addr(0) or DMA_addr(1))); -- narrower devices just return 8 bits on read
write_enable_next <= not(DMA_READ_ENABLE);
if (request_complete = '1') then
notify_DMA <= '1';
else
state_next <= state_waiting_DMA;
end if;
when "001" => -- 6502 wins
start_request <= '1';
addr_next <= "00000000"&cpu_ADDR;
data_WRITE_next(7 downto 0) <= cpu_WRITE_DATA;
width_8bit_next <= '1';
write_enable_next <= not(cpu_WRITE_N);
if (request_complete = '1') then
notify_cpu <= '1';
else
state_next <= state_waiting_cpu;
end if;
cpu_fetch_real_next <= '1';
when "000" =>
-- no requests
when others =>
-- nop
end case;
when state_waiting_antic =>
if (request_complete = '1') then
notify_antic <= '1';
state_next <= state_idle;
end if;
when state_waiting_DMA =>
if (request_complete = '1') then
notify_DMA <= '1';
state_next <= state_idle;
end if;
when state_waiting_cpu =>
if (request_complete = '1') then
notify_cpu <= '1';
state_next <= state_idle;
end if;
when others =>
-- NOP
end case;
end process;
-- output
MEMORY_READY_ANTIC <= notify_antic;
MEMORY_READY_DMA <= notify_DMA;
MEMORY_READY_CPU <= notify_cpu;
RAM_REQUEST <= ram_chip_select;
SDRAM_REQUEST <= sdram_chip_select;
--SDRAM_REQUEST <= sdram_request_next;
SDRAM_READ_EN <= not(write_enable_next);
SDRAM_WRITE_EN <= write_enable_next;
WIDTH_8bit_ACCESS <= width_8bit_next;
WIDTH_16bit_ACCESS <= width_16bit_next;
WIDTH_32bit_ACCESS <= width_32bit_next;
WRITE_DATA <= DATA_WRITE_next;
-- a little sdram glue - move to sdram wrapper? TODO
--SDRAM_REQUEST_COMPLETE <= (SDRAM_REPLY xnor sdram_request_reg) and not(start_request);
--sdram_request_next <= sdram_request_reg xor sdram_chip_select;
-- Calculate which memory area to use
extended_access_addr <= addr_next(14) and not(addr_next(15)); --0x4000 to 0x7fff
extended_access_cpu_or_antic <= extended_access_antic or extended_access_cpu;
extended_access_antic <= (extended_access_addr and antic_fetch_real_next and not(portb(5)));
extended_access_cpu <= (extended_access_addr and cpu_fetch_real_next and not(portb(4)));
extended_access_either <= extended_access_addr and not(portb(4));
sdram_only_bank <= or_reduce(extended_bank(8 downto 5));
process(extended_access_cpu_or_antic,extended_access_either,extended_access_addr,addr_next,ram_select,portb)
begin
extended_bank <= "0000000"&addr_next(15 downto 14);
extended_self_test <= '1';
case ram_select is
when "000" => -- 64k
-- default
when "001" => -- 128k
if (extended_access_cpu_or_antic='1') then
extended_bank(2 downto 0) <= '1'&portb(3 downto 2);
end if;
when "010" => -- 320k compy shop
if (extended_access_cpu_or_antic='1') then
extended_bank(4 downto 0) <= '1'&portb(7 downto 6)&portb(3 downto 2);
extended_self_test <= '0';
end if;
when "011" => -- 320k rambo
if (extended_access_either='1')then
extended_bank(4 downto 0) <= '1'&portb(6 downto 5)&portb(3 downto 2);
end if;
when "100" => -- 576k compy shop
if (extended_access_cpu_or_antic='1') then
extended_bank(4 downto 0) <= portb(7 downto 6)&portb(3 downto 1);
extended_bank(5) <= not(or_reduce(portb(7 downto 6)&portb(3)));
extended_self_test <= '0';
end if;
when "101" => -- 576k rambo
if (extended_access_either='1') then
extended_bank(4 downto 0) <= portb(6 downto 5)&portb(3 downto 1);
extended_bank(5) <= not(or_reduce(portb(6 downto 5)&portb(3)));
end if;
when "110" => -- 1088k rambo
if (extended_access_either='1') then
extended_bank(5 downto 0) <= portb(7 downto 5)&portb(3 downto 1);
extended_bank(6) <= not(or_reduce(portb(7 downto 5)&portb(3)));
extended_self_test <= '0';
end if;
when "111" => -- 4MB!
if (extended_access_addr='1') then
extended_bank(7 downto 0) <= portb(7 downto 0);
extended_bank(8) <= not(or_reduce(portb(7 downto 2)));
extended_self_test <= and_reduce(portb(6 downto 4)); -- which means self-test is in the middle of half the banks - euuugh, oh well!
end if;
when others =>
-- TODO - portc!
end case;
end process;
gen_normal_memory : if low_memory=0 generate
-- SRAM memory map (512k)
-- base 64k RAM - banks 0-3 "000 0000 1111 1111 1111 1111" (TOP)
-- to 512k RAM - banks 4-31 "000 0111 1111 1111 1111 1111" (TOP)
-- SDRAM memory map (8MB)
-- base 64k RAM - banks 0-3 "000 0000 1111 1111 1111 1111" (TOP)
-- to 512k RAM - banks 4-31 "000 0111 1111 1111 1111 1111" (TOP)
-- to 4MB RAM - banks 32-255 "011 1111 1111 1111 1111 1111" (TOP)
-- +64k - banks 256-259"100 0000 0000 1111 1111 1111" (TOP)
-- SCRATCH - 4MB+64k-5MB
-- CARTS - "101 YYYY YYY0 0000 0000 0000" (BOT) - 2MB! 8kb banks
SDRAM_CART_ADDR <= "101"&cart_select& "0000000000000";
-- BASIC/OS ROM - "111 XXXX XX00 0000 0000 0000" (BOT) (BASIC IN SLOT 0!), 2nd to last 512K
SDRAM_BASIC_ROM_ADDR <= "111"&"000000" &"00000000000000";
SDRAM_OS_ROM_ADDR <= "111"&rom_select &"00000000000000";
-- SYSTEM - "111 1000 0000 0000 0000 0000" (BOT) - LAST 512K
end generate;
gen_low_memory : if low_memory=1 generate
-- SRAM memory map (1024k) for Aeon Lite
SDRAM_CART_ADDR <= "000" & "111" & cart_select(3 downto 0) & "0000000000000";
SDRAM_BASIC_ROM_ADDR <= "000" & "110" & "00000000000000000";
SDRAM_OS_ROM_ADDR <= "000" & "110" & rom_select(2 downto 0) & "00000000000000";
end generate;
process(
-- address and writing absolutely points us at a device
ADDR_next,WRITE_enable_next,
-- except for these additional special address bits
portb,
antic_fetch,
rom_select,
ram_select,cart_rd4,cart_rd5,
use_sdram,
-- input data from n sources
GTIA_DATA,POKEY_DATA,POKEY2_DATA,PIA_DATA,ANTIC_DATA,CART_ROM_DATA,ROM_DATA,RAM_DATA,SDRAM_DATA,
CACHE_GTIA_DATA,CACHE_POKEY_DATA,CACHE_POKEY2_DATA,CACHE_ANTIC_DATA,
-- input data from n sources complete?
-- hardware regs take 1 cycle, so always complete
ram_request_complete,sdram_request_complete,rom_request_complete,cart_request_complete,
-- on new access this is set - we must select the appropriate device - for this cycle only
start_request,
rom_in_ram,
-- SDRAM base addresses
extended_self_test,extended_bank,sdram_only_bank,
SDRAM_BASIC_ROM_ADDR,SDRAM_CART_ADDR,SDRAM_OS_ROM_ADDR
)
begin
MEMORY_DATA <= (others => '1');
ROM_ADDR <= (others=>'0');
RAM_ADDR <= addr_next(18 downto 0);
SDRAM_ADDR <= addr_next(22 downto 0);
PBI_ADDR <= ADDR_next(15 downto 0);
request_complete <= '0';
GTIA_WR_ENABLE <= '0';
POKEY_WR_ENABLE <= '0';
POKEY2_WR_ENABLE <= '0';
ANTIC_WR_ENABLE <= '0';
PIA_WR_ENABLE <= '0';
PIA_RD_ENABLE <= '0';
PBI_WR_ENABLE <= '0';
D6_WR_ENABLE <= '0';
RAM_WR_ENABLE <= write_enable_next;
SDRAM_WRITE_EN <= write_enable_next;
CART_S4_n <= '1';
CART_S5_n <= '1';
CART_CCTL_n <= '1';
rom_request <= '0';
cart_request <= '0';
ram_chip_select <= '0';
sdram_chip_select <= '0';
-- if (addr_next(23 downto 17) = "0000000" ) then -- bit 16 left out on purpose, so the Atari 64k is available as 64k-128k for zpu. The zpu has rom at 0-64k...
if (or_reduce(addr_next(23 downto 18)) = '0' ) then -- bit 16,17 left out on purpose, so the Atari 64k is available as 64k-128k for zpu. The zpu has rom at 0-64k...
SDRAM_ADDR(13 downto 0) <= addr_next(13 downto 0);
SDRAM_ADDR(22 downto 14) <= extended_bank;
RAM_ADDR(13 downto 0) <= addr_next(13 downto 0);
RAM_ADDR(18 downto 14) <= extended_bank(4 downto 0);
if ((use_sdram or sdram_only_bank)='1') then
MEMORY_DATA(7 downto 0) <= SDRAM_DATA(7 downto 0);
sdram_chip_select <= start_request;
request_complete <= sdram_request_COMPLETE;
else
MEMORY_DATA(7 downto 0) <= RAM_DATA(7 downto 0);
ram_chip_select <= start_request;
request_complete <= ram_request_COMPLETE;
end if;
case addr_next(15 downto 8) is
-- GTIA
when X"D0" =>
GTIA_WR_ENABLE <= write_enable_next;
MEMORY_DATA(7 downto 0) <= GTIA_DATA;
MEMORY_DATA(15 downto 8) <= CACHE_GTIA_DATA;
request_complete <= '1';
sdram_chip_select <= '0';
ram_chip_select <= '0';
-- POKEY
when X"D2" =>
if (addr_next(4) = '0') then
POKEY_WR_ENABLE <= write_enable_next;
MEMORY_DATA(7 downto 0) <= POKEY_DATA;
MEMORY_DATA(15 downto 8) <= CACHE_POKEY_DATA;
else
POKEY2_WR_ENABLE <= write_enable_next;
MEMORY_DATA(7 downto 0) <= POKEY2_DATA;
MEMORY_DATA(15 downto 8) <= CACHE_POKEY2_DATA;
end if;
request_complete <= '1';
sdram_chip_select <= '0';
ram_chip_select <= '0';
-- PIA
when X"D3" =>
PIA_WR_ENABLE <= write_enable_next;
PIA_RD_ENABLE <= '1';
MEMORY_DATA(7 downto 0) <= PIA_DATA;
request_complete <= '1';
sdram_chip_select <= '0';
ram_chip_select <= '0';
-- ANTIC
when X"D4" =>
ANTIC_WR_ENABLE <= write_enable_next;
MEMORY_DATA(7 downto 0) <= ANTIC_DATA;
MEMORY_DATA(15 downto 8) <= CACHE_ANTIC_DATA;
request_complete <= '1';
sdram_chip_select <= '0';
ram_chip_select <= '0';
-- CART_CONFIG -- TODO - wait for n cycles (for now non-turbo mode should work?)
when X"D5" =>
sdram_chip_select <= '0';
ram_chip_select <= '0';
if ((CART_RD4 or CART_RD5) = '1') then
PBI_WR_ENABLE <= write_enable_next;
MEMORY_DATA(7 downto 0) <= CART_ROM_DATA;
cart_request <= start_request;
CART_CCTL_n <= '0';
request_complete <= CART_REQUEST_COMPLETE;
else
MEMORY_DATA(7 downto 0) <= X"FF";
request_complete <= '1';
end if;
when X"D6" =>
D6_WR_ENABLE <= write_enable_next;
-- TODO - should this still have RAM with covox here?
-- SELF TEST ROM 0x5000->0x57ff and XE RAM
when
X"50"|X"51"|X"52"|X"53"|X"54"|X"55"|X"56"|X"57" =>
if (portb(7) = '0' and portb(0) = '1' and extended_self_test = '1') then
sdram_chip_select <= '0';
ram_chip_select <= '0';
if (rom_in_ram = '1') then
MEMORY_DATA(7 downto 0) <= SDRAM_DATA(7 downto 0);
else
MEMORY_DATA(7 downto 0) <= ROM_DATA;
end if;
if (write_enable_next = '1') then
request_complete <= '1';
else
if (rom_in_ram = '1') then
request_complete <= sdram_request_COMPLETE;
sdram_chip_select <= start_request;
else
request_complete <= rom_request_COMPLETE;
rom_request <= start_request;
end if;
end if;
--ROM_ADDR <= "000000"&"00010"&ADDR(10 downto 0); -- x01000 based 2k (i.e. self test is 4k in - usually under hardware regs)
SDRAM_ADDR <= SDRAM_OS_ROM_ADDR;
SDRAM_ADDR(13 downto 0) <= "010"&ADDR_next(10 downto 0);
ROM_ADDR <= "000000"&"00"&"010"&ADDR_next(10 downto 0); -- x01000 based 2k
end if;
-- 0x80 cart
when
X"80"|X"81"|X"82"|X"83"|X"84"|X"85"|X"86"|X"87"|X"88"|X"89"|X"8A"|X"8B"|X"8C"|X"8D"|X"8E"|X"8F"
|X"90"|X"91"|X"92"|X"93"|X"94"|X"95"|X"96"|X"97"|X"98"|X"99"|X"9A"|X"9B"|X"9C"|X"9D"|X"9E"|X"9F" =>
if (cart_rd4 = '1') then
MEMORY_DATA(7 downto 0) <= CART_ROM_DATA;
rom_request <= start_request;
CART_S4_n <= '0';
request_complete <= CART_REQUEST_COMPLETE;
sdram_chip_select <= '0';
ram_chip_select <= '0';
end if;
-- 0xa0 cart (BASIC ROM 0xa000 - 0xbfff (8k))
when
X"A0"|X"A1"|X"A2"|X"A3"|X"A4"|X"A5"|X"A6"|X"A7"|X"A8"|X"A9"|X"AA"|X"AB"|X"AC"|X"AD"|X"AE"|X"AF"
|X"B0"|X"B1"|X"B2"|X"B3"|X"B4"|X"B5"|X"B6"|X"B7"|X"B8"|X"B9"|X"BA"|X"BB"|X"BC"|X"BD"|X"BE"|X"BF" =>
if (cart_rd5 = '1') then
MEMORY_DATA(7 downto 0) <= CART_ROM_DATA;
cart_request <= start_request;
CART_S5_n <= '0';
request_complete <= CART_REQUEST_COMPLETE;
sdram_chip_select <= '0';
ram_chip_select <= '0';
else
if (portb(1) = '0') then
sdram_chip_select <= '0';
ram_chip_select <= '0';
--request_complete <= ROM_REQUEST_COMPLETE;
--MEMORY_DATA(7 downto 0) <= ROM_DATA;
--rom_request <= start_request;
if (rom_in_ram = '1') then
MEMORY_DATA(7 downto 0) <= SDRAM_DATA(7 downto 0);
else
MEMORY_DATA(7 downto 0) <= ROM_DATA;
end if;
if (write_enable_next = '1') then
request_complete <= '1';
else
if (rom_in_ram = '1') then
request_complete <= sdram_request_COMPLETE;
sdram_chip_select <= start_request;
else
request_complete <= rom_request_COMPLETE;
rom_request <= start_request;
end if;
end if;
ROM_ADDR <= "000000"&"110"&ADDR_next(12 downto 0); -- x0C000 based 8k
SDRAM_ADDR <= SDRAM_BASIC_ROM_ADDR;
SDRAM_ADDR(12 downto 0) <= ADDR_next(12 downto 0); -- x0C000 based 8k
end if;
end if;
-- OS ROM 0xc00->0xcff
-- OS ROM d800->0xfff
when
X"C0"|X"C1"|X"C2"|X"C3"|X"C4"|X"C5"|X"C6"|X"C7"|X"C8"|X"C9"|X"CA"|X"CB"|X"CC"|X"CD"|X"CE"|X"CF"
|X"D8"|X"D9"|X"DA"|X"DB"|X"DC"|X"DD"|X"DE"|X"DF"
|X"E0"|X"E1"|X"E2"|X"E3"|X"E4"|X"E5"|X"E6"|X"E7"|X"E8"|X"E9"|X"EA"|X"EB"|X"EC"|X"ED"|X"EE"|X"EF"
|X"F0"|X"F1"|X"F2"|X"F3"|X"F4"|X"F5"|X"F6"|X"F7"|X"F8"|X"F9"|X"FA"|X"FB"|X"FC"|X"FD"|X"FE"|X"FF" =>
if (portb(0) = '1') then
sdram_chip_select <= '0';
ram_chip_select <= '0';
--request_complete <= ROM_REQUEST_COMPLETE;
--MEMORY_DATA(7 downto 0) <= ROM_DATA;
--rom_request <= start_request;
if (rom_in_ram = '1') then
MEMORY_DATA(7 downto 0) <= SDRAM_DATA(7 downto 0);
else
MEMORY_DATA(7 downto 0) <= ROM_DATA;
end if;
if (write_enable_next = '1') then
request_complete <= '1';
else
if (rom_in_ram = '1') then
request_complete <= sdram_request_COMPLETE;
sdram_chip_select <= start_request;
else
request_complete <= rom_request_COMPLETE;
rom_request <= start_request;
end if;
end if;
ROM_ADDR <= "000000"&"00"&ADDR_next(13 downto 0); -- x00000 based 16k
SDRAM_ADDR <= SDRAM_OS_ROM_ADDR;
SDRAM_ADDR(13 downto 0) <= ADDR_next(13 downto 0);
end if;
when others =>
end case;
else
sdram_chip_select <= '0';
ram_chip_select <= '0';
case addr_next(23 downto 21) is
when "000" =>
-- internal area for zpu, never happens!
when "001" => -- sram, 512K
MEMORY_DATA(15 downto 0) <= RAM_DATA;
ram_chip_select <= start_request;
request_complete <= ram_request_COMPLETE;
RAM_ADDR <= addr_next(18 downto 0);
when "010"|"011" => -- flash rom, 4MB
request_complete <= ROM_REQUEST_COMPLETE;
MEMORY_DATA(7 downto 0) <= ROM_DATA;
rom_request <= start_request;
ROM_ADDR <= addr_next(21 downto 0);
when "100"|"101"|"110"|"111" => -- sdram, 8MB
MEMORY_DATA <= SDRAM_DATA;
sdram_chip_select <= start_request;
request_complete <= sdram_request_COMPLETE;
SDRAM_ADDR <= addr_next(22 downto 0);
when others =>
-- NOP
end case;
end if;
-- case addr_next(15 downto 0) is
-- when X"FFFC" =>
-- MEMORY_DATA(7 downto 0) <= X"00";
-- when X"FFFD" =>
-- MEMORY_DATA(7 downto 0) <= X"06";
-- when X"0600" => --JSR 0610
-- MEMORY_DATA(7 downto 0) <= X"20";
-- when X"0601" =>
-- MEMORY_DATA(7 downto 0) <= X"10";
-- when X"0602" =>
-- MEMORY_DATA(7 downto 0) <= X"06";
-- when X"0603" => --JMP
-- MEMORY_DATA(7 downto 0) <= X"4C";
-- when X"0604" =>
-- MEMORY_DATA(7 downto 0) <= X"00";
-- when X"0605" =>
-- MEMORY_DATA(7 downto 0) <= X"06";
-- when X"0610" => --LDA RANDOM, STA 0x10, LDA 0x10, RTS
-- MEMORY_DATA(7 downto 0) <= X"AD";
-- when X"0611" =>
-- MEMORY_DATA(7 downto 0) <= X"0A";
-- when X"0612" =>
-- MEMORY_DATA(7 downto 0) <= X"D2";
-- when X"0613" =>
-- MEMORY_DATA(7 downto 0) <= X"85";
-- when X"0614" =>
-- MEMORY_DATA(7 downto 0) <= X"10";
-- when X"0615" =>
-- MEMORY_DATA(7 downto 0) <= X"44";
-- when X"0616" =>
-- MEMORY_DATA(7 downto 0) <= X"10";
-- when X"0617" =>
-- MEMORY_DATA(7 downto 0) <= X"60";
-- when others =>
-- end case;
end process;
END vhdl;
| gpl-3.0 | 89353e31a7892a32ab5f4bae7c8327b8 | 0.609569 | 2.855057 | false | false | false | false |
ILoveSpeccy/Aeon-Lite | cores/lvov-pk02-mips/src/t80-latest/T80_MCode.vhd | 1 | 53,999 | -- ****
-- T80(b) core. In an effort to merge and maintain bug fixes ....
--
--
-- Ver 303 add undocumented DDCB and FDCB opcodes by TobiFlex 20.04.2010
-- Ver 302 fixed IO cycle timing, tested thanks to Alessandro.
-- Ver 300 started tidyup
-- MikeJ March 2005
-- Latest version from www.fpgaarcade.com (original www.opencores.org)
--
-- ****
--
-- Z80 compatible microprocessor core
--
-- Version : 0242
--
-- Copyright (c) 2001-2002 Daniel Wallner ([email protected])
--
-- All rights reserved
--
-- Redistribution and use in source and synthezised forms, with or without
-- modification, are permitted provided that the following conditions are met:
--
-- Redistributions of source code must retain the above copyright notice,
-- this list of conditions and the following disclaimer.
--
-- Redistributions in synthesized form must reproduce the above copyright
-- notice, this list of conditions and the following disclaimer in the
-- documentation and/or other materials provided with the distribution.
--
-- Neither the name of the author nor the names of other contributors may
-- be used to endorse or promote products derived from this software without
-- specific prior written permission.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
-- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE
-- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR
-- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF
-- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS
-- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN
-- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
-- POSSIBILITY OF SUCH DAMAGE.
--
-- Please report bugs to the author, but before you do so, please
-- make sure that this is not a derivative work and that
-- you have the latest version of this file.
--
-- The latest version of this file can be found at:
-- http://www.opencores.org/cvsweb.shtml/t80/
--
-- Limitations :
--
-- File history :
--
-- 0208 : First complete release
--
-- 0211 : Fixed IM 1
--
-- 0214 : Fixed mostly flags, only the block instructions now fail the zex regression test
--
-- 0235 : Added IM 2 fix by Mike Johnson
--
-- 0238 : Added NoRead signal
--
-- 0238b: Fixed instruction timing for POP and DJNZ
--
-- 0240 : Added (IX/IY+d) states, removed op-codes from mode 2 and added all remaining mode 3 op-codes
-- 0240mj1 fix for HL inc/dec for INI, IND, INIR, INDR, OUTI, OUTD, OTIR, OTDR
--
-- 0242 : Fixed I/O instruction timing, cleanup
--
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.T80_Pack.all;
entity T80_MCode is
generic(
Mode : integer := 0;
Flag_C : integer := 0;
Flag_N : integer := 1;
Flag_P : integer := 2;
Flag_X : integer := 3;
Flag_H : integer := 4;
Flag_Y : integer := 5;
Flag_Z : integer := 6;
Flag_S : integer := 7
);
port(
IR : in std_logic_vector(7 downto 0);
ISet : in std_logic_vector(1 downto 0);
MCycle : in std_logic_vector(2 downto 0);
F : in std_logic_vector(7 downto 0);
NMICycle : in std_logic;
IntCycle : in std_logic;
XY_State : in std_logic_vector(1 downto 0);
MCycles : out std_logic_vector(2 downto 0);
TStates : out std_logic_vector(2 downto 0);
Prefix : out std_logic_vector(1 downto 0); -- None,CB,ED,DD/FD
Inc_PC : out std_logic;
Inc_WZ : out std_logic;
IncDec_16 : out std_logic_vector(3 downto 0); -- BC,DE,HL,SP 0 is inc
Read_To_Reg : out std_logic;
Read_To_Acc : out std_logic;
Set_BusA_To : out std_logic_vector(3 downto 0); -- B,C,D,E,H,L,DI/DB,A,SP(L),SP(M),0,F
Set_BusB_To : out std_logic_vector(3 downto 0); -- B,C,D,E,H,L,DI,A,SP(L),SP(M),1,F,PC(L),PC(M),0
ALU_Op : out std_logic_vector(3 downto 0);
-- ADD, ADC, SUB, SBC, AND, XOR, OR, CP, ROT, BIT, SET, RES, DAA, RLD, RRD, None
Save_ALU : out std_logic;
PreserveC : out std_logic;
Arith16 : out std_logic;
Set_Addr_To : out std_logic_vector(2 downto 0); -- aNone,aXY,aIOA,aSP,aBC,aDE,aZI
IORQ : out std_logic;
Jump : out std_logic;
JumpE : out std_logic;
JumpXY : out std_logic;
Call : out std_logic;
RstP : out std_logic;
LDZ : out std_logic;
LDW : out std_logic;
LDSPHL : out std_logic;
Special_LD : out std_logic_vector(2 downto 0); -- A,I;A,R;I,A;R,A;None
ExchangeDH : out std_logic;
ExchangeRp : out std_logic;
ExchangeAF : out std_logic;
ExchangeRS : out std_logic;
I_DJNZ : out std_logic;
I_CPL : out std_logic;
I_CCF : out std_logic;
I_SCF : out std_logic;
I_RETN : out std_logic;
I_BT : out std_logic;
I_BC : out std_logic;
I_BTR : out std_logic;
I_RLD : out std_logic;
I_RRD : out std_logic;
I_INRC : out std_logic;
SetDI : out std_logic;
SetEI : out std_logic;
IMode : out std_logic_vector(1 downto 0);
Halt : out std_logic;
NoRead : out std_logic;
Write : out std_logic;
XYbit_undoc : out std_logic
);
end T80_MCode;
architecture rtl of T80_MCode is
constant aNone : std_logic_vector(2 downto 0) := "111";
constant aBC : std_logic_vector(2 downto 0) := "000";
constant aDE : std_logic_vector(2 downto 0) := "001";
constant aXY : std_logic_vector(2 downto 0) := "010";
constant aIOA : std_logic_vector(2 downto 0) := "100";
constant aSP : std_logic_vector(2 downto 0) := "101";
constant aZI : std_logic_vector(2 downto 0) := "110";
function is_cc_true(
F : std_logic_vector(7 downto 0);
cc : bit_vector(2 downto 0)
) return boolean is
begin
if Mode = 3 then
case cc is
when "000" => return F(7) = '0'; -- NZ
when "001" => return F(7) = '1'; -- Z
when "010" => return F(4) = '0'; -- NC
when "011" => return F(4) = '1'; -- C
when "100" => return false;
when "101" => return false;
when "110" => return false;
when "111" => return false;
end case;
else
case cc is
when "000" => return F(6) = '0'; -- NZ
when "001" => return F(6) = '1'; -- Z
when "010" => return F(0) = '0'; -- NC
when "011" => return F(0) = '1'; -- C
when "100" => return F(2) = '0'; -- PO
when "101" => return F(2) = '1'; -- PE
when "110" => return F(7) = '0'; -- P
when "111" => return F(7) = '1'; -- M
end case;
end if;
end;
begin
process (IR, ISet, MCycle, F, NMICycle, IntCycle)
variable DDD : std_logic_vector(2 downto 0);
variable SSS : std_logic_vector(2 downto 0);
variable DPair : std_logic_vector(1 downto 0);
variable IRB : bit_vector(7 downto 0);
begin
DDD := IR(5 downto 3);
SSS := IR(2 downto 0);
DPair := IR(5 downto 4);
IRB := to_bitvector(IR);
MCycles <= "001";
if MCycle = "001" then
TStates <= "100";
else
TStates <= "011";
end if;
Prefix <= "00";
Inc_PC <= '0';
Inc_WZ <= '0';
IncDec_16 <= "0000";
Read_To_Acc <= '0';
Read_To_Reg <= '0';
Set_BusB_To <= "0000";
Set_BusA_To <= "0000";
ALU_Op <= "0" & IR(5 downto 3);
Save_ALU <= '0';
PreserveC <= '0';
Arith16 <= '0';
IORQ <= '0';
Set_Addr_To <= aNone;
Jump <= '0';
JumpE <= '0';
JumpXY <= '0';
Call <= '0';
RstP <= '0';
LDZ <= '0';
LDW <= '0';
LDSPHL <= '0';
Special_LD <= "000";
ExchangeDH <= '0';
ExchangeRp <= '0';
ExchangeAF <= '0';
ExchangeRS <= '0';
I_DJNZ <= '0';
I_CPL <= '0';
I_CCF <= '0';
I_SCF <= '0';
I_RETN <= '0';
I_BT <= '0';
I_BC <= '0';
I_BTR <= '0';
I_RLD <= '0';
I_RRD <= '0';
I_INRC <= '0';
SetDI <= '0';
SetEI <= '0';
IMode <= "11";
Halt <= '0';
NoRead <= '0';
Write <= '0';
XYbit_undoc <= '0';
case ISet is
when "00" =>
------------------------------------------------------------------------------
--
-- Unprefixed instructions
--
------------------------------------------------------------------------------
case IRB is
-- 8 BIT LOAD GROUP
when "01000000"|"01000001"|"01000010"|"01000011"|"01000100"|"01000101"|"01000111"
|"01001000"|"01001001"|"01001010"|"01001011"|"01001100"|"01001101"|"01001111"
|"01010000"|"01010001"|"01010010"|"01010011"|"01010100"|"01010101"|"01010111"
|"01011000"|"01011001"|"01011010"|"01011011"|"01011100"|"01011101"|"01011111"
|"01100000"|"01100001"|"01100010"|"01100011"|"01100100"|"01100101"|"01100111"
|"01101000"|"01101001"|"01101010"|"01101011"|"01101100"|"01101101"|"01101111"
|"01111000"|"01111001"|"01111010"|"01111011"|"01111100"|"01111101"|"01111111" =>
-- LD r,r'
Set_BusB_To(2 downto 0) <= SSS;
ExchangeRp <= '1';
Set_BusA_To(2 downto 0) <= DDD;
Read_To_Reg <= '1';
when "00000110"|"00001110"|"00010110"|"00011110"|"00100110"|"00101110"|"00111110" =>
-- LD r,n
MCycles <= "010";
case to_integer(unsigned(MCycle)) is
when 2 =>
Inc_PC <= '1';
Set_BusA_To(2 downto 0) <= DDD;
Read_To_Reg <= '1';
when others => null;
end case;
when "01000110"|"01001110"|"01010110"|"01011110"|"01100110"|"01101110"|"01111110" =>
-- LD r,(HL)
MCycles <= "010";
case to_integer(unsigned(MCycle)) is
when 1 =>
Set_Addr_To <= aXY;
when 2 =>
Set_BusA_To(2 downto 0) <= DDD;
Read_To_Reg <= '1';
when others => null;
end case;
when "01110000"|"01110001"|"01110010"|"01110011"|"01110100"|"01110101"|"01110111" =>
-- LD (HL),r
MCycles <= "010";
case to_integer(unsigned(MCycle)) is
when 1 =>
Set_Addr_To <= aXY;
Set_BusB_To(2 downto 0) <= SSS;
Set_BusB_To(3) <= '0';
when 2 =>
Write <= '1';
when others => null;
end case;
when "00110110" =>
-- LD (HL),n
MCycles <= "011";
case to_integer(unsigned(MCycle)) is
when 2 =>
Inc_PC <= '1';
Set_Addr_To <= aXY;
Set_BusB_To(2 downto 0) <= SSS;
Set_BusB_To(3) <= '0';
when 3 =>
Write <= '1';
when others => null;
end case;
when "00001010" =>
-- LD A,(BC)
MCycles <= "010";
case to_integer(unsigned(MCycle)) is
when 1 =>
Set_Addr_To <= aBC;
when 2 =>
Read_To_Acc <= '1';
when others => null;
end case;
when "00011010" =>
-- LD A,(DE)
MCycles <= "010";
case to_integer(unsigned(MCycle)) is
when 1 =>
Set_Addr_To <= aDE;
when 2 =>
Read_To_Acc <= '1';
when others => null;
end case;
when "00111010" =>
if Mode = 3 then
-- LDD A,(HL)
MCycles <= "010";
case to_integer(unsigned(MCycle)) is
when 1 =>
Set_Addr_To <= aXY;
when 2 =>
Read_To_Acc <= '1';
IncDec_16 <= "1110";
when others => null;
end case;
else
-- LD A,(nn)
MCycles <= "100";
case to_integer(unsigned(MCycle)) is
when 2 =>
Inc_PC <= '1';
LDZ <= '1';
when 3 =>
Set_Addr_To <= aZI;
Inc_PC <= '1';
when 4 =>
Read_To_Acc <= '1';
when others => null;
end case;
end if;
when "00000010" =>
-- LD (BC),A
MCycles <= "010";
case to_integer(unsigned(MCycle)) is
when 1 =>
Set_Addr_To <= aBC;
Set_BusB_To <= "0111";
when 2 =>
Write <= '1';
when others => null;
end case;
when "00010010" =>
-- LD (DE),A
MCycles <= "010";
case to_integer(unsigned(MCycle)) is
when 1 =>
Set_Addr_To <= aDE;
Set_BusB_To <= "0111";
when 2 =>
Write <= '1';
when others => null;
end case;
when "00110010" =>
if Mode = 3 then
-- LDD (HL),A
MCycles <= "010";
case to_integer(unsigned(MCycle)) is
when 1 =>
Set_Addr_To <= aXY;
Set_BusB_To <= "0111";
when 2 =>
Write <= '1';
IncDec_16 <= "1110";
when others => null;
end case;
else
-- LD (nn),A
MCycles <= "100";
case to_integer(unsigned(MCycle)) is
when 2 =>
Inc_PC <= '1';
LDZ <= '1';
when 3 =>
Set_Addr_To <= aZI;
Inc_PC <= '1';
Set_BusB_To <= "0111";
when 4 =>
Write <= '1';
when others => null;
end case;
end if;
-- 16 BIT LOAD GROUP
when "00000001"|"00010001"|"00100001"|"00110001" =>
-- LD dd,nn
MCycles <= "011";
case to_integer(unsigned(MCycle)) is
when 2 =>
Inc_PC <= '1';
Read_To_Reg <= '1';
if DPAIR = "11" then
Set_BusA_To(3 downto 0) <= "1000";
else
Set_BusA_To(2 downto 1) <= DPAIR;
Set_BusA_To(0) <= '1';
end if;
when 3 =>
Inc_PC <= '1';
Read_To_Reg <= '1';
if DPAIR = "11" then
Set_BusA_To(3 downto 0) <= "1001";
else
Set_BusA_To(2 downto 1) <= DPAIR;
Set_BusA_To(0) <= '0';
end if;
when others => null;
end case;
when "00101010" =>
if Mode = 3 then
-- LDI A,(HL)
MCycles <= "010";
case to_integer(unsigned(MCycle)) is
when 1 =>
Set_Addr_To <= aXY;
when 2 =>
Read_To_Acc <= '1';
IncDec_16 <= "0110";
when others => null;
end case;
else
-- LD HL,(nn)
MCycles <= "101";
case to_integer(unsigned(MCycle)) is
when 2 =>
Inc_PC <= '1';
LDZ <= '1';
when 3 =>
Set_Addr_To <= aZI;
Inc_PC <= '1';
LDW <= '1';
when 4 =>
Set_BusA_To(2 downto 0) <= "101"; -- L
Read_To_Reg <= '1';
Inc_WZ <= '1';
Set_Addr_To <= aZI;
when 5 =>
Set_BusA_To(2 downto 0) <= "100"; -- H
Read_To_Reg <= '1';
when others => null;
end case;
end if;
when "00100010" =>
if Mode = 3 then
-- LDI (HL),A
MCycles <= "010";
case to_integer(unsigned(MCycle)) is
when 1 =>
Set_Addr_To <= aXY;
Set_BusB_To <= "0111";
when 2 =>
Write <= '1';
IncDec_16 <= "0110";
when others => null;
end case;
else
-- LD (nn),HL
MCycles <= "101";
case to_integer(unsigned(MCycle)) is
when 2 =>
Inc_PC <= '1';
LDZ <= '1';
when 3 =>
Set_Addr_To <= aZI;
Inc_PC <= '1';
LDW <= '1';
Set_BusB_To <= "0101"; -- L
when 4 =>
Inc_WZ <= '1';
Set_Addr_To <= aZI;
Write <= '1';
Set_BusB_To <= "0100"; -- H
when 5 =>
Write <= '1';
when others => null;
end case;
end if;
when "11111001" =>
-- LD SP,HL
TStates <= "110";
LDSPHL <= '1';
when "11000101"|"11010101"|"11100101"|"11110101" =>
-- PUSH qq
MCycles <= "011";
case to_integer(unsigned(MCycle)) is
when 1 =>
TStates <= "101";
IncDec_16 <= "1111";
Set_Addr_TO <= aSP;
if DPAIR = "11" then
Set_BusB_To <= "0111";
else
Set_BusB_To(2 downto 1) <= DPAIR;
Set_BusB_To(0) <= '0';
Set_BusB_To(3) <= '0';
end if;
when 2 =>
IncDec_16 <= "1111";
Set_Addr_To <= aSP;
if DPAIR = "11" then
Set_BusB_To <= "1011";
else
Set_BusB_To(2 downto 1) <= DPAIR;
Set_BusB_To(0) <= '1';
Set_BusB_To(3) <= '0';
end if;
Write <= '1';
when 3 =>
Write <= '1';
when others => null;
end case;
when "11000001"|"11010001"|"11100001"|"11110001" =>
-- POP qq
MCycles <= "011";
case to_integer(unsigned(MCycle)) is
when 1 =>
Set_Addr_To <= aSP;
when 2 =>
IncDec_16 <= "0111";
Set_Addr_To <= aSP;
Read_To_Reg <= '1';
if DPAIR = "11" then
Set_BusA_To(3 downto 0) <= "1011";
else
Set_BusA_To(2 downto 1) <= DPAIR;
Set_BusA_To(0) <= '1';
end if;
when 3 =>
IncDec_16 <= "0111";
Read_To_Reg <= '1';
if DPAIR = "11" then
Set_BusA_To(3 downto 0) <= "0111";
else
Set_BusA_To(2 downto 1) <= DPAIR;
Set_BusA_To(0) <= '0';
end if;
when others => null;
end case;
-- EXCHANGE, BLOCK TRANSFER AND SEARCH GROUP
when "11101011" =>
if Mode /= 3 then
-- EX DE,HL
ExchangeDH <= '1';
end if;
when "00001000" =>
if Mode = 3 then
-- LD (nn),SP
MCycles <= "101";
case to_integer(unsigned(MCycle)) is
when 2 =>
Inc_PC <= '1';
LDZ <= '1';
when 3 =>
Set_Addr_To <= aZI;
Inc_PC <= '1';
LDW <= '1';
Set_BusB_To <= "1000";
when 4 =>
Inc_WZ <= '1';
Set_Addr_To <= aZI;
Write <= '1';
Set_BusB_To <= "1001";
when 5 =>
Write <= '1';
when others => null;
end case;
elsif Mode < 2 then
-- EX AF,AF'
ExchangeAF <= '1';
end if;
when "11011001" =>
if Mode = 3 then
-- RETI
MCycles <= "011";
case to_integer(unsigned(MCycle)) is
when 1 =>
Set_Addr_TO <= aSP;
when 2 =>
IncDec_16 <= "0111";
Set_Addr_To <= aSP;
LDZ <= '1';
when 3 =>
Jump <= '1';
IncDec_16 <= "0111";
I_RETN <= '1';
SetEI <= '1';
when others => null;
end case;
elsif Mode < 2 then
-- EXX
ExchangeRS <= '1';
end if;
when "11100011" =>
if Mode /= 3 then
-- EX (SP),HL
MCycles <= "101";
case to_integer(unsigned(MCycle)) is
when 1 =>
Set_Addr_To <= aSP;
when 2 =>
Read_To_Reg <= '1';
Set_BusA_To <= "0101";
Set_BusB_To <= "0101";
Set_Addr_To <= aSP;
when 3 =>
IncDec_16 <= "0111";
Set_Addr_To <= aSP;
TStates <= "100";
Write <= '1';
when 4 =>
Read_To_Reg <= '1';
Set_BusA_To <= "0100";
Set_BusB_To <= "0100";
Set_Addr_To <= aSP;
when 5 =>
IncDec_16 <= "1111";
TStates <= "101";
Write <= '1';
when others => null;
end case;
end if;
-- 8 BIT ARITHMETIC AND LOGICAL GROUP
when "10000000"|"10000001"|"10000010"|"10000011"|"10000100"|"10000101"|"10000111"
|"10001000"|"10001001"|"10001010"|"10001011"|"10001100"|"10001101"|"10001111"
|"10010000"|"10010001"|"10010010"|"10010011"|"10010100"|"10010101"|"10010111"
|"10011000"|"10011001"|"10011010"|"10011011"|"10011100"|"10011101"|"10011111"
|"10100000"|"10100001"|"10100010"|"10100011"|"10100100"|"10100101"|"10100111"
|"10101000"|"10101001"|"10101010"|"10101011"|"10101100"|"10101101"|"10101111"
|"10110000"|"10110001"|"10110010"|"10110011"|"10110100"|"10110101"|"10110111"
|"10111000"|"10111001"|"10111010"|"10111011"|"10111100"|"10111101"|"10111111" =>
-- ADD A,r
-- ADC A,r
-- SUB A,r
-- SBC A,r
-- AND A,r
-- OR A,r
-- XOR A,r
-- CP A,r
Set_BusB_To(2 downto 0) <= SSS;
Set_BusA_To(2 downto 0) <= "111";
Read_To_Reg <= '1';
Save_ALU <= '1';
when "10000110"|"10001110"|"10010110"|"10011110"|"10100110"|"10101110"|"10110110"|"10111110" =>
-- ADD A,(HL)
-- ADC A,(HL)
-- SUB A,(HL)
-- SBC A,(HL)
-- AND A,(HL)
-- OR A,(HL)
-- XOR A,(HL)
-- CP A,(HL)
MCycles <= "010";
case to_integer(unsigned(MCycle)) is
when 1 =>
Set_Addr_To <= aXY;
when 2 =>
Read_To_Reg <= '1';
Save_ALU <= '1';
Set_BusB_To(2 downto 0) <= SSS;
Set_BusA_To(2 downto 0) <= "111";
when others => null;
end case;
when "11000110"|"11001110"|"11010110"|"11011110"|"11100110"|"11101110"|"11110110"|"11111110" =>
-- ADD A,n
-- ADC A,n
-- SUB A,n
-- SBC A,n
-- AND A,n
-- OR A,n
-- XOR A,n
-- CP A,n
MCycles <= "010";
if MCycle = "010" then
Inc_PC <= '1';
Read_To_Reg <= '1';
Save_ALU <= '1';
Set_BusB_To(2 downto 0) <= SSS;
Set_BusA_To(2 downto 0) <= "111";
end if;
when "00000100"|"00001100"|"00010100"|"00011100"|"00100100"|"00101100"|"00111100" =>
-- INC r
Set_BusB_To <= "1010";
Set_BusA_To(2 downto 0) <= DDD;
Read_To_Reg <= '1';
Save_ALU <= '1';
PreserveC <= '1';
ALU_Op <= "0000";
when "00110100" =>
-- INC (HL)
MCycles <= "011";
case to_integer(unsigned(MCycle)) is
when 1 =>
Set_Addr_To <= aXY;
when 2 =>
TStates <= "100";
Set_Addr_To <= aXY;
Read_To_Reg <= '1';
Save_ALU <= '1';
PreserveC <= '1';
ALU_Op <= "0000";
Set_BusB_To <= "1010";
Set_BusA_To(2 downto 0) <= DDD;
when 3 =>
Write <= '1';
when others => null;
end case;
when "00000101"|"00001101"|"00010101"|"00011101"|"00100101"|"00101101"|"00111101" =>
-- DEC r
Set_BusB_To <= "1010";
Set_BusA_To(2 downto 0) <= DDD;
Read_To_Reg <= '1';
Save_ALU <= '1';
PreserveC <= '1';
ALU_Op <= "0010";
when "00110101" =>
-- DEC (HL)
MCycles <= "011";
case to_integer(unsigned(MCycle)) is
when 1 =>
Set_Addr_To <= aXY;
when 2 =>
TStates <= "100";
Set_Addr_To <= aXY;
ALU_Op <= "0010";
Read_To_Reg <= '1';
Save_ALU <= '1';
PreserveC <= '1';
Set_BusB_To <= "1010";
Set_BusA_To(2 downto 0) <= DDD;
when 3 =>
Write <= '1';
when others => null;
end case;
-- GENERAL PURPOSE ARITHMETIC AND CPU CONTROL GROUPS
when "00100111" =>
-- DAA
Set_BusA_To(2 downto 0) <= "111";
Read_To_Reg <= '1';
ALU_Op <= "1100";
Save_ALU <= '1';
when "00101111" =>
-- CPL
I_CPL <= '1';
when "00111111" =>
-- CCF
I_CCF <= '1';
when "00110111" =>
-- SCF
I_SCF <= '1';
when "00000000" =>
if NMICycle = '1' then
-- NMI
MCycles <= "011";
case to_integer(unsigned(MCycle)) is
when 1 =>
TStates <= "101";
IncDec_16 <= "1111";
Set_Addr_To <= aSP;
Set_BusB_To <= "1101";
when 2 =>
TStates <= "100";
Write <= '1';
IncDec_16 <= "1111";
Set_Addr_To <= aSP;
Set_BusB_To <= "1100";
when 3 =>
TStates <= "100";
Write <= '1';
when others => null;
end case;
elsif IntCycle = '1' then
-- INT (IM 2)
MCycles <= "101";
case to_integer(unsigned(MCycle)) is
when 1 =>
LDZ <= '1';
TStates <= "101";
IncDec_16 <= "1111";
Set_Addr_To <= aSP;
Set_BusB_To <= "1101";
when 2 =>
TStates <= "100";
Write <= '1';
IncDec_16 <= "1111";
Set_Addr_To <= aSP;
Set_BusB_To <= "1100";
when 3 =>
TStates <= "100";
Write <= '1';
when 4 =>
Inc_PC <= '1';
LDZ <= '1';
when 5 =>
Jump <= '1';
when others => null;
end case;
else
-- NOP
end if;
when "01110110" =>
-- HALT
Halt <= '1';
when "11110011" =>
-- DI
SetDI <= '1';
when "11111011" =>
-- EI
SetEI <= '1';
-- 16 BIT ARITHMETIC GROUP
when "00001001"|"00011001"|"00101001"|"00111001" =>
-- ADD HL,ss
MCycles <= "011";
case to_integer(unsigned(MCycle)) is
when 2 =>
NoRead <= '1';
ALU_Op <= "0000";
Read_To_Reg <= '1';
Save_ALU <= '1';
Set_BusA_To(2 downto 0) <= "101";
case to_integer(unsigned(IR(5 downto 4))) is
when 0|1|2 =>
Set_BusB_To(2 downto 1) <= IR(5 downto 4);
Set_BusB_To(0) <= '1';
when others =>
Set_BusB_To <= "1000";
end case;
TStates <= "100";
Arith16 <= '1';
when 3 =>
NoRead <= '1';
Read_To_Reg <= '1';
Save_ALU <= '1';
ALU_Op <= "0001";
Set_BusA_To(2 downto 0) <= "100";
case to_integer(unsigned(IR(5 downto 4))) is
when 0|1|2 =>
Set_BusB_To(2 downto 1) <= IR(5 downto 4);
when others =>
Set_BusB_To <= "1001";
end case;
Arith16 <= '1';
when others =>
end case;
when "00000011"|"00010011"|"00100011"|"00110011" =>
-- INC ss
TStates <= "110";
IncDec_16(3 downto 2) <= "01";
IncDec_16(1 downto 0) <= DPair;
when "00001011"|"00011011"|"00101011"|"00111011" =>
-- DEC ss
TStates <= "110";
IncDec_16(3 downto 2) <= "11";
IncDec_16(1 downto 0) <= DPair;
-- ROTATE AND SHIFT GROUP
when "00000111"
-- RLCA
|"00010111"
-- RLA
|"00001111"
-- RRCA
|"00011111" =>
-- RRA
Set_BusA_To(2 downto 0) <= "111";
ALU_Op <= "1000";
Read_To_Reg <= '1';
Save_ALU <= '1';
-- JUMP GROUP
when "11000011" =>
-- JP nn
MCycles <= "011";
case to_integer(unsigned(MCycle)) is
when 2 =>
Inc_PC <= '1';
LDZ <= '1';
when 3 =>
Inc_PC <= '1';
Jump <= '1';
when others => null;
end case;
when "11000010"|"11001010"|"11010010"|"11011010"|"11100010"|"11101010"|"11110010"|"11111010" =>
if IR(5) = '1' and Mode = 3 then
case IRB(4 downto 3) is
when "00" =>
-- LD ($FF00+C),A
MCycles <= "010";
case to_integer(unsigned(MCycle)) is
when 1 =>
Set_Addr_To <= aBC;
Set_BusB_To <= "0111";
when 2 =>
Write <= '1';
IORQ <= '1';
when others =>
end case;
when "01" =>
-- LD (nn),A
MCycles <= "100";
case to_integer(unsigned(MCycle)) is
when 2 =>
Inc_PC <= '1';
LDZ <= '1';
when 3 =>
Set_Addr_To <= aZI;
Inc_PC <= '1';
Set_BusB_To <= "0111";
when 4 =>
Write <= '1';
when others => null;
end case;
when "10" =>
-- LD A,($FF00+C)
MCycles <= "010";
case to_integer(unsigned(MCycle)) is
when 1 =>
Set_Addr_To <= aBC;
when 2 =>
Read_To_Acc <= '1';
IORQ <= '1';
when others =>
end case;
when "11" =>
-- LD A,(nn)
MCycles <= "100";
case to_integer(unsigned(MCycle)) is
when 2 =>
Inc_PC <= '1';
LDZ <= '1';
when 3 =>
Set_Addr_To <= aZI;
Inc_PC <= '1';
when 4 =>
Read_To_Acc <= '1';
when others => null;
end case;
end case;
else
-- JP cc,nn
MCycles <= "011";
case to_integer(unsigned(MCycle)) is
when 2 =>
Inc_PC <= '1';
LDZ <= '1';
when 3 =>
Inc_PC <= '1';
if is_cc_true(F, to_bitvector(IR(5 downto 3))) then
Jump <= '1';
end if;
when others => null;
end case;
end if;
when "00011000" =>
if Mode /= 2 then
-- JR e
MCycles <= "011";
case to_integer(unsigned(MCycle)) is
when 2 =>
Inc_PC <= '1';
when 3 =>
NoRead <= '1';
JumpE <= '1';
TStates <= "101";
when others => null;
end case;
end if;
when "00111000" =>
if Mode /= 2 then
-- JR C,e
MCycles <= "011";
case to_integer(unsigned(MCycle)) is
when 2 =>
Inc_PC <= '1';
if F(Flag_C) = '0' then
MCycles <= "010";
end if;
when 3 =>
NoRead <= '1';
JumpE <= '1';
TStates <= "101";
when others => null;
end case;
end if;
when "00110000" =>
if Mode /= 2 then
-- JR NC,e
MCycles <= "011";
case to_integer(unsigned(MCycle)) is
when 2 =>
Inc_PC <= '1';
if F(Flag_C) = '1' then
MCycles <= "010";
end if;
when 3 =>
NoRead <= '1';
JumpE <= '1';
TStates <= "101";
when others => null;
end case;
end if;
when "00101000" =>
if Mode /= 2 then
-- JR Z,e
MCycles <= "011";
case to_integer(unsigned(MCycle)) is
when 2 =>
Inc_PC <= '1';
if F(Flag_Z) = '0' then
MCycles <= "010";
end if;
when 3 =>
NoRead <= '1';
JumpE <= '1';
TStates <= "101";
when others => null;
end case;
end if;
when "00100000" =>
if Mode /= 2 then
-- JR NZ,e
MCycles <= "011";
case to_integer(unsigned(MCycle)) is
when 2 =>
Inc_PC <= '1';
if F(Flag_Z) = '1' then
MCycles <= "010";
end if;
when 3 =>
NoRead <= '1';
JumpE <= '1';
TStates <= "101";
when others => null;
end case;
end if;
when "11101001" =>
-- JP (HL)
JumpXY <= '1';
when "00010000" =>
if Mode = 3 then
I_DJNZ <= '1';
elsif Mode < 2 then
-- DJNZ,e
MCycles <= "011";
case to_integer(unsigned(MCycle)) is
when 1 =>
TStates <= "101";
I_DJNZ <= '1';
Set_BusB_To <= "1010";
Set_BusA_To(2 downto 0) <= "000";
Read_To_Reg <= '1';
Save_ALU <= '1';
ALU_Op <= "0010";
when 2 =>
I_DJNZ <= '1';
Inc_PC <= '1';
when 3 =>
NoRead <= '1';
JumpE <= '1';
TStates <= "101";
when others => null;
end case;
end if;
-- CALL AND RETURN GROUP
when "11001101" =>
-- CALL nn
MCycles <= "101";
case to_integer(unsigned(MCycle)) is
when 2 =>
Inc_PC <= '1';
LDZ <= '1';
when 3 =>
IncDec_16 <= "1111";
Inc_PC <= '1';
TStates <= "100";
Set_Addr_To <= aSP;
LDW <= '1';
Set_BusB_To <= "1101";
when 4 =>
Write <= '1';
IncDec_16 <= "1111";
Set_Addr_To <= aSP;
Set_BusB_To <= "1100";
when 5 =>
Write <= '1';
Call <= '1';
when others => null;
end case;
when "11000100"|"11001100"|"11010100"|"11011100"|"11100100"|"11101100"|"11110100"|"11111100" =>
if IR(5) = '0' or Mode /= 3 then
-- CALL cc,nn
MCycles <= "101";
case to_integer(unsigned(MCycle)) is
when 2 =>
Inc_PC <= '1';
LDZ <= '1';
when 3 =>
Inc_PC <= '1';
LDW <= '1';
if is_cc_true(F, to_bitvector(IR(5 downto 3))) then
IncDec_16 <= "1111";
Set_Addr_TO <= aSP;
TStates <= "100";
Set_BusB_To <= "1101";
else
MCycles <= "011";
end if;
when 4 =>
Write <= '1';
IncDec_16 <= "1111";
Set_Addr_To <= aSP;
Set_BusB_To <= "1100";
when 5 =>
Write <= '1';
Call <= '1';
when others => null;
end case;
end if;
when "11001001" =>
-- RET
MCycles <= "011";
case to_integer(unsigned(MCycle)) is
when 1 =>
Set_Addr_TO <= aSP;
when 2 =>
IncDec_16 <= "0111";
Set_Addr_To <= aSP;
LDZ <= '1';
when 3 =>
Jump <= '1';
IncDec_16 <= "0111";
when others => null;
end case;
when "11000000"|"11001000"|"11010000"|"11011000"|"11100000"|"11101000"|"11110000"|"11111000" =>
if IR(5) = '1' and Mode = 3 then
case IRB(4 downto 3) is
when "00" =>
-- LD ($FF00+nn),A
MCycles <= "011";
case to_integer(unsigned(MCycle)) is
when 2 =>
Inc_PC <= '1';
Set_Addr_To <= aIOA;
Set_BusB_To <= "0111";
when 3 =>
Write <= '1';
when others => null;
end case;
when "01" =>
-- ADD SP,n
MCycles <= "011";
case to_integer(unsigned(MCycle)) is
when 2 =>
ALU_Op <= "0000";
Inc_PC <= '1';
Read_To_Reg <= '1';
Save_ALU <= '1';
Set_BusA_To <= "1000";
Set_BusB_To <= "0110";
when 3 =>
NoRead <= '1';
Read_To_Reg <= '1';
Save_ALU <= '1';
ALU_Op <= "0001";
Set_BusA_To <= "1001";
Set_BusB_To <= "1110"; -- Incorrect unsigned !!!!!!!!!!!!!!!!!!!!!
when others =>
end case;
when "10" =>
-- LD A,($FF00+nn)
MCycles <= "011";
case to_integer(unsigned(MCycle)) is
when 2 =>
Inc_PC <= '1';
Set_Addr_To <= aIOA;
when 3 =>
Read_To_Acc <= '1';
when others => null;
end case;
when "11" =>
-- LD HL,SP+n -- Not correct !!!!!!!!!!!!!!!!!!!
MCycles <= "101";
case to_integer(unsigned(MCycle)) is
when 2 =>
Inc_PC <= '1';
LDZ <= '1';
when 3 =>
Set_Addr_To <= aZI;
Inc_PC <= '1';
LDW <= '1';
when 4 =>
Set_BusA_To(2 downto 0) <= "101"; -- L
Read_To_Reg <= '1';
Inc_WZ <= '1';
Set_Addr_To <= aZI;
when 5 =>
Set_BusA_To(2 downto 0) <= "100"; -- H
Read_To_Reg <= '1';
when others => null;
end case;
end case;
else
-- RET cc
MCycles <= "011";
case to_integer(unsigned(MCycle)) is
when 1 =>
if is_cc_true(F, to_bitvector(IR(5 downto 3))) then
Set_Addr_TO <= aSP;
else
MCycles <= "001";
end if;
TStates <= "101";
when 2 =>
IncDec_16 <= "0111";
Set_Addr_To <= aSP;
LDZ <= '1';
when 3 =>
Jump <= '1';
IncDec_16 <= "0111";
when others => null;
end case;
end if;
when "11000111"|"11001111"|"11010111"|"11011111"|"11100111"|"11101111"|"11110111"|"11111111" =>
-- RST p
MCycles <= "011";
case to_integer(unsigned(MCycle)) is
when 1 =>
TStates <= "101";
IncDec_16 <= "1111";
Set_Addr_To <= aSP;
Set_BusB_To <= "1101";
when 2 =>
Write <= '1';
IncDec_16 <= "1111";
Set_Addr_To <= aSP;
Set_BusB_To <= "1100";
when 3 =>
Write <= '1';
RstP <= '1';
when others => null;
end case;
-- INPUT AND OUTPUT GROUP
when "11011011" =>
if Mode /= 3 then
-- IN A,(n)
MCycles <= "011";
case to_integer(unsigned(MCycle)) is
when 2 =>
Inc_PC <= '1';
Set_Addr_To <= aIOA;
when 3 =>
Read_To_Acc <= '1';
IORQ <= '1';
TStates <= "100"; -- MIKEJ should be 4 for IO cycle
when others => null;
end case;
end if;
when "11010011" =>
if Mode /= 3 then
-- OUT (n),A
MCycles <= "011";
case to_integer(unsigned(MCycle)) is
when 2 =>
Inc_PC <= '1';
Set_Addr_To <= aIOA;
Set_BusB_To <= "0111";
when 3 =>
Write <= '1';
IORQ <= '1';
TStates <= "100"; -- MIKEJ should be 4 for IO cycle
when others => null;
end case;
end if;
------------------------------------------------------------------------------
------------------------------------------------------------------------------
-- MULTIBYTE INSTRUCTIONS
------------------------------------------------------------------------------
------------------------------------------------------------------------------
when "11001011" =>
if Mode /= 2 then
Prefix <= "01";
end if;
when "11101101" =>
if Mode < 2 then
Prefix <= "10";
end if;
when "11011101"|"11111101" =>
if Mode < 2 then
Prefix <= "11";
end if;
end case;
when "01" =>
------------------------------------------------------------------------------
--
-- CB prefixed instructions
--
------------------------------------------------------------------------------
Set_BusA_To(2 downto 0) <= IR(2 downto 0);
Set_BusB_To(2 downto 0) <= IR(2 downto 0);
case IRB is
when "00000000"|"00000001"|"00000010"|"00000011"|"00000100"|"00000101"|"00000111"
|"00010000"|"00010001"|"00010010"|"00010011"|"00010100"|"00010101"|"00010111"
|"00001000"|"00001001"|"00001010"|"00001011"|"00001100"|"00001101"|"00001111"
|"00011000"|"00011001"|"00011010"|"00011011"|"00011100"|"00011101"|"00011111"
|"00100000"|"00100001"|"00100010"|"00100011"|"00100100"|"00100101"|"00100111"
|"00101000"|"00101001"|"00101010"|"00101011"|"00101100"|"00101101"|"00101111"
|"00110000"|"00110001"|"00110010"|"00110011"|"00110100"|"00110101"|"00110111"
|"00111000"|"00111001"|"00111010"|"00111011"|"00111100"|"00111101"|"00111111" =>
-- RLC r
-- RL r
-- RRC r
-- RR r
-- SLA r
-- SRA r
-- SRL r
-- SLL r (Undocumented) / SWAP r
if XY_State="00" then
if MCycle = "001" then
ALU_Op <= "1000";
Read_To_Reg <= '1';
Save_ALU <= '1';
end if;
else
-- R/S (IX+d),Reg, undocumented
MCycles <= "011";
XYbit_undoc <= '1';
case to_integer(unsigned(MCycle)) is
when 1 | 7=>
Set_Addr_To <= aXY;
when 2 =>
ALU_Op <= "1000";
Read_To_Reg <= '1';
Save_ALU <= '1';
Set_Addr_To <= aXY;
TStates <= "100";
when 3 =>
Write <= '1';
when others => null;
end case;
end if;
when "00000110"|"00010110"|"00001110"|"00011110"|"00101110"|"00111110"|"00100110"|"00110110" =>
-- RLC (HL)
-- RL (HL)
-- RRC (HL)
-- RR (HL)
-- SRA (HL)
-- SRL (HL)
-- SLA (HL)
-- SLL (HL) (Undocumented) / SWAP (HL)
MCycles <= "011";
case to_integer(unsigned(MCycle)) is
when 1 | 7 =>
Set_Addr_To <= aXY;
when 2 =>
ALU_Op <= "1000";
Read_To_Reg <= '1';
Save_ALU <= '1';
Set_Addr_To <= aXY;
TStates <= "100";
when 3 =>
Write <= '1';
when others =>
end case;
when "01000000"|"01000001"|"01000010"|"01000011"|"01000100"|"01000101"|"01000111"
|"01001000"|"01001001"|"01001010"|"01001011"|"01001100"|"01001101"|"01001111"
|"01010000"|"01010001"|"01010010"|"01010011"|"01010100"|"01010101"|"01010111"
|"01011000"|"01011001"|"01011010"|"01011011"|"01011100"|"01011101"|"01011111"
|"01100000"|"01100001"|"01100010"|"01100011"|"01100100"|"01100101"|"01100111"
|"01101000"|"01101001"|"01101010"|"01101011"|"01101100"|"01101101"|"01101111"
|"01110000"|"01110001"|"01110010"|"01110011"|"01110100"|"01110101"|"01110111"
|"01111000"|"01111001"|"01111010"|"01111011"|"01111100"|"01111101"|"01111111" =>
-- BIT b,r
if XY_State="00" then
if MCycle = "001" then
Set_BusB_To(2 downto 0) <= IR(2 downto 0);
ALU_Op <= "1001";
end if;
else
-- BIT b,(IX+d), undocumented
MCycles <= "010";
XYbit_undoc <= '1';
case to_integer(unsigned(MCycle)) is
when 1 | 7=>
Set_Addr_To <= aXY;
when 2 =>
ALU_Op <= "1001";
TStates <= "100";
when others => null;
end case;
end if;
when "01000110"|"01001110"|"01010110"|"01011110"|"01100110"|"01101110"|"01110110"|"01111110" =>
-- BIT b,(HL)
MCycles <= "010";
case to_integer(unsigned(MCycle)) is
when 1 | 7=>
Set_Addr_To <= aXY;
when 2 =>
ALU_Op <= "1001";
TStates <= "100";
when others => null;
end case;
when "11000000"|"11000001"|"11000010"|"11000011"|"11000100"|"11000101"|"11000111"
|"11001000"|"11001001"|"11001010"|"11001011"|"11001100"|"11001101"|"11001111"
|"11010000"|"11010001"|"11010010"|"11010011"|"11010100"|"11010101"|"11010111"
|"11011000"|"11011001"|"11011010"|"11011011"|"11011100"|"11011101"|"11011111"
|"11100000"|"11100001"|"11100010"|"11100011"|"11100100"|"11100101"|"11100111"
|"11101000"|"11101001"|"11101010"|"11101011"|"11101100"|"11101101"|"11101111"
|"11110000"|"11110001"|"11110010"|"11110011"|"11110100"|"11110101"|"11110111"
|"11111000"|"11111001"|"11111010"|"11111011"|"11111100"|"11111101"|"11111111" =>
-- SET b,r
if XY_State="00" then
if MCycle = "001" then
ALU_Op <= "1010";
Read_To_Reg <= '1';
Save_ALU <= '1';
end if;
else
-- SET b,(IX+d),Reg, undocumented
MCycles <= "011";
XYbit_undoc <= '1';
case to_integer(unsigned(MCycle)) is
when 1 | 7=>
Set_Addr_To <= aXY;
when 2 =>
ALU_Op <= "1010";
Read_To_Reg <= '1';
Save_ALU <= '1';
Set_Addr_To <= aXY;
TStates <= "100";
when 3 =>
Write <= '1';
when others => null;
end case;
end if;
when "11000110"|"11001110"|"11010110"|"11011110"|"11100110"|"11101110"|"11110110"|"11111110" =>
-- SET b,(HL)
MCycles <= "011";
case to_integer(unsigned(MCycle)) is
when 1 | 7=>
Set_Addr_To <= aXY;
when 2 =>
ALU_Op <= "1010";
Read_To_Reg <= '1';
Save_ALU <= '1';
Set_Addr_To <= aXY;
TStates <= "100";
when 3 =>
Write <= '1';
when others => null;
end case;
when "10000000"|"10000001"|"10000010"|"10000011"|"10000100"|"10000101"|"10000111"
|"10001000"|"10001001"|"10001010"|"10001011"|"10001100"|"10001101"|"10001111"
|"10010000"|"10010001"|"10010010"|"10010011"|"10010100"|"10010101"|"10010111"
|"10011000"|"10011001"|"10011010"|"10011011"|"10011100"|"10011101"|"10011111"
|"10100000"|"10100001"|"10100010"|"10100011"|"10100100"|"10100101"|"10100111"
|"10101000"|"10101001"|"10101010"|"10101011"|"10101100"|"10101101"|"10101111"
|"10110000"|"10110001"|"10110010"|"10110011"|"10110100"|"10110101"|"10110111"
|"10111000"|"10111001"|"10111010"|"10111011"|"10111100"|"10111101"|"10111111" =>
-- RES b,r
if XY_State="00" then
if MCycle = "001" then
ALU_Op <= "1011";
Read_To_Reg <= '1';
Save_ALU <= '1';
end if;
else
-- RES b,(IX+d),Reg, undocumented
MCycles <= "011";
XYbit_undoc <= '1';
case to_integer(unsigned(MCycle)) is
when 1 | 7=>
Set_Addr_To <= aXY;
when 2 =>
ALU_Op <= "1011";
Read_To_Reg <= '1';
Save_ALU <= '1';
Set_Addr_To <= aXY;
TStates <= "100";
when 3 =>
Write <= '1';
when others => null;
end case;
end if;
when "10000110"|"10001110"|"10010110"|"10011110"|"10100110"|"10101110"|"10110110"|"10111110" =>
-- RES b,(HL)
MCycles <= "011";
case to_integer(unsigned(MCycle)) is
when 1 | 7 =>
Set_Addr_To <= aXY;
when 2 =>
ALU_Op <= "1011";
Read_To_Reg <= '1';
Save_ALU <= '1';
Set_Addr_To <= aXY;
TStates <= "100";
when 3 =>
Write <= '1';
when others => null;
end case;
end case;
when others =>
------------------------------------------------------------------------------
--
-- ED prefixed instructions
--
------------------------------------------------------------------------------
case IRB is
when "00000000"|"00000001"|"00000010"|"00000011"|"00000100"|"00000101"|"00000110"|"00000111"
|"00001000"|"00001001"|"00001010"|"00001011"|"00001100"|"00001101"|"00001110"|"00001111"
|"00010000"|"00010001"|"00010010"|"00010011"|"00010100"|"00010101"|"00010110"|"00010111"
|"00011000"|"00011001"|"00011010"|"00011011"|"00011100"|"00011101"|"00011110"|"00011111"
|"00100000"|"00100001"|"00100010"|"00100011"|"00100100"|"00100101"|"00100110"|"00100111"
|"00101000"|"00101001"|"00101010"|"00101011"|"00101100"|"00101101"|"00101110"|"00101111"
|"00110000"|"00110001"|"00110010"|"00110011"|"00110100"|"00110101"|"00110110"|"00110111"
|"00111000"|"00111001"|"00111010"|"00111011"|"00111100"|"00111101"|"00111110"|"00111111"
|"10000000"|"10000001"|"10000010"|"10000011"|"10000100"|"10000101"|"10000110"|"10000111"
|"10001000"|"10001001"|"10001010"|"10001011"|"10001100"|"10001101"|"10001110"|"10001111"
|"10010000"|"10010001"|"10010010"|"10010011"|"10010100"|"10010101"|"10010110"|"10010111"
|"10011000"|"10011001"|"10011010"|"10011011"|"10011100"|"10011101"|"10011110"|"10011111"
| "10100100"|"10100101"|"10100110"|"10100111"
| "10101100"|"10101101"|"10101110"|"10101111"
| "10110100"|"10110101"|"10110110"|"10110111"
| "10111100"|"10111101"|"10111110"|"10111111"
|"11000000"|"11000001"|"11000010"|"11000011"|"11000100"|"11000101"|"11000110"|"11000111"
|"11001000"|"11001001"|"11001010"|"11001011"|"11001100"|"11001101"|"11001110"|"11001111"
|"11010000"|"11010001"|"11010010"|"11010011"|"11010100"|"11010101"|"11010110"|"11010111"
|"11011000"|"11011001"|"11011010"|"11011011"|"11011100"|"11011101"|"11011110"|"11011111"
|"11100000"|"11100001"|"11100010"|"11100011"|"11100100"|"11100101"|"11100110"|"11100111"
|"11101000"|"11101001"|"11101010"|"11101011"|"11101100"|"11101101"|"11101110"|"11101111"
|"11110000"|"11110001"|"11110010"|"11110011"|"11110100"|"11110101"|"11110110"|"11110111"
|"11111000"|"11111001"|"11111010"|"11111011"|"11111100"|"11111101"|"11111110"|"11111111" =>
null; -- NOP, undocumented
when "01111110"|"01111111" =>
-- NOP, undocumented
null;
-- 8 BIT LOAD GROUP
when "01010111" =>
-- LD A,I
Special_LD <= "100";
TStates <= "101";
when "01011111" =>
-- LD A,R
Special_LD <= "101";
TStates <= "101";
when "01000111" =>
-- LD I,A
Special_LD <= "110";
TStates <= "101";
when "01001111" =>
-- LD R,A
Special_LD <= "111";
TStates <= "101";
-- 16 BIT LOAD GROUP
when "01001011"|"01011011"|"01101011"|"01111011" =>
-- LD dd,(nn)
MCycles <= "101";
case to_integer(unsigned(MCycle)) is
when 2 =>
Inc_PC <= '1';
LDZ <= '1';
when 3 =>
Set_Addr_To <= aZI;
Inc_PC <= '1';
LDW <= '1';
when 4 =>
Read_To_Reg <= '1';
if IR(5 downto 4) = "11" then
Set_BusA_To <= "1000";
else
Set_BusA_To(2 downto 1) <= IR(5 downto 4);
Set_BusA_To(0) <= '1';
end if;
Inc_WZ <= '1';
Set_Addr_To <= aZI;
when 5 =>
Read_To_Reg <= '1';
if IR(5 downto 4) = "11" then
Set_BusA_To <= "1001";
else
Set_BusA_To(2 downto 1) <= IR(5 downto 4);
Set_BusA_To(0) <= '0';
end if;
when others => null;
end case;
when "01000011"|"01010011"|"01100011"|"01110011" =>
-- LD (nn),dd
MCycles <= "101";
case to_integer(unsigned(MCycle)) is
when 2 =>
Inc_PC <= '1';
LDZ <= '1';
when 3 =>
Set_Addr_To <= aZI;
Inc_PC <= '1';
LDW <= '1';
if IR(5 downto 4) = "11" then
Set_BusB_To <= "1000";
else
Set_BusB_To(2 downto 1) <= IR(5 downto 4);
Set_BusB_To(0) <= '1';
Set_BusB_To(3) <= '0';
end if;
when 4 =>
Inc_WZ <= '1';
Set_Addr_To <= aZI;
Write <= '1';
if IR(5 downto 4) = "11" then
Set_BusB_To <= "1001";
else
Set_BusB_To(2 downto 1) <= IR(5 downto 4);
Set_BusB_To(0) <= '0';
Set_BusB_To(3) <= '0';
end if;
when 5 =>
Write <= '1';
when others => null;
end case;
when "10100000" | "10101000" | "10110000" | "10111000" =>
-- LDI, LDD, LDIR, LDDR
MCycles <= "100";
case to_integer(unsigned(MCycle)) is
when 1 =>
Set_Addr_To <= aXY;
IncDec_16 <= "1100"; -- BC
when 2 =>
Set_BusB_To <= "0110";
Set_BusA_To(2 downto 0) <= "111";
ALU_Op <= "0000";
Set_Addr_To <= aDE;
if IR(3) = '0' then
IncDec_16 <= "0110"; -- IX
else
IncDec_16 <= "1110";
end if;
when 3 =>
I_BT <= '1';
TStates <= "101";
Write <= '1';
if IR(3) = '0' then
IncDec_16 <= "0101"; -- DE
else
IncDec_16 <= "1101";
end if;
when 4 =>
NoRead <= '1';
TStates <= "101";
when others => null;
end case;
when "10100001" | "10101001" | "10110001" | "10111001" =>
-- CPI, CPD, CPIR, CPDR
MCycles <= "100";
case to_integer(unsigned(MCycle)) is
when 1 =>
Set_Addr_To <= aXY;
IncDec_16 <= "1100"; -- BC
when 2 =>
Set_BusB_To <= "0110";
Set_BusA_To(2 downto 0) <= "111";
ALU_Op <= "0111";
Save_ALU <= '1';
PreserveC <= '1';
if IR(3) = '0' then
IncDec_16 <= "0110";
else
IncDec_16 <= "1110";
end if;
when 3 =>
NoRead <= '1';
I_BC <= '1';
TStates <= "101";
when 4 =>
NoRead <= '1';
TStates <= "101";
when others => null;
end case;
when "01000100"|"01001100"|"01010100"|"01011100"|"01100100"|"01101100"|"01110100"|"01111100" =>
-- NEG
Alu_OP <= "0010";
Set_BusB_To <= "0111";
Set_BusA_To <= "1010";
Read_To_Acc <= '1';
Save_ALU <= '1';
when "01000110"|"01001110"|"01100110"|"01101110" =>
-- IM 0
IMode <= "00";
when "01010110"|"01110110" =>
-- IM 1
IMode <= "01";
when "01011110"|"01110111" =>
-- IM 2
IMode <= "10";
-- 16 bit arithmetic
when "01001010"|"01011010"|"01101010"|"01111010" =>
-- ADC HL,ss
MCycles <= "011";
case to_integer(unsigned(MCycle)) is
when 2 =>
NoRead <= '1';
ALU_Op <= "0001";
Read_To_Reg <= '1';
Save_ALU <= '1';
Set_BusA_To(2 downto 0) <= "101";
case to_integer(unsigned(IR(5 downto 4))) is
when 0|1|2 =>
Set_BusB_To(2 downto 1) <= IR(5 downto 4);
Set_BusB_To(0) <= '1';
when others =>
Set_BusB_To <= "1000";
end case;
TStates <= "100";
when 3 =>
NoRead <= '1';
Read_To_Reg <= '1';
Save_ALU <= '1';
ALU_Op <= "0001";
Set_BusA_To(2 downto 0) <= "100";
case to_integer(unsigned(IR(5 downto 4))) is
when 0|1|2 =>
Set_BusB_To(2 downto 1) <= IR(5 downto 4);
Set_BusB_To(0) <= '0';
when others =>
Set_BusB_To <= "1001";
end case;
when others =>
end case;
when "01000010"|"01010010"|"01100010"|"01110010" =>
-- SBC HL,ss
MCycles <= "011";
case to_integer(unsigned(MCycle)) is
when 2 =>
NoRead <= '1';
ALU_Op <= "0011";
Read_To_Reg <= '1';
Save_ALU <= '1';
Set_BusA_To(2 downto 0) <= "101";
case to_integer(unsigned(IR(5 downto 4))) is
when 0|1|2 =>
Set_BusB_To(2 downto 1) <= IR(5 downto 4);
Set_BusB_To(0) <= '1';
when others =>
Set_BusB_To <= "1000";
end case;
TStates <= "100";
when 3 =>
NoRead <= '1';
ALU_Op <= "0011";
Read_To_Reg <= '1';
Save_ALU <= '1';
Set_BusA_To(2 downto 0) <= "100";
case to_integer(unsigned(IR(5 downto 4))) is
when 0|1|2 =>
Set_BusB_To(2 downto 1) <= IR(5 downto 4);
when others =>
Set_BusB_To <= "1001";
end case;
when others =>
end case;
when "01101111" =>
-- RLD
MCycles <= "100";
case to_integer(unsigned(MCycle)) is
when 2 =>
NoRead <= '1';
Set_Addr_To <= aXY;
when 3 =>
Read_To_Reg <= '1';
Set_BusB_To(2 downto 0) <= "110";
Set_BusA_To(2 downto 0) <= "111";
ALU_Op <= "1101";
TStates <= "100";
Set_Addr_To <= aXY;
Save_ALU <= '1';
when 4 =>
I_RLD <= '1';
Write <= '1';
when others =>
end case;
when "01100111" =>
-- RRD
MCycles <= "100";
case to_integer(unsigned(MCycle)) is
when 2 =>
Set_Addr_To <= aXY;
when 3 =>
Read_To_Reg <= '1';
Set_BusB_To(2 downto 0) <= "110";
Set_BusA_To(2 downto 0) <= "111";
ALU_Op <= "1110";
TStates <= "100";
Set_Addr_To <= aXY;
Save_ALU <= '1';
when 4 =>
I_RRD <= '1';
Write <= '1';
when others =>
end case;
when "01000101"|"01001101"|"01010101"|"01011101"|"01100101"|"01101101"|"01110101"|"01111101" =>
-- RETI, RETN
MCycles <= "011";
case to_integer(unsigned(MCycle)) is
when 1 =>
Set_Addr_TO <= aSP;
when 2 =>
IncDec_16 <= "0111";
Set_Addr_To <= aSP;
LDZ <= '1';
when 3 =>
Jump <= '1';
IncDec_16 <= "0111";
I_RETN <= '1';
when others => null;
end case;
when "01000000"|"01001000"|"01010000"|"01011000"|"01100000"|"01101000"|"01110000"|"01111000" =>
-- IN r,(C)
MCycles <= "010";
case to_integer(unsigned(MCycle)) is
when 1 =>
Set_Addr_To <= aBC;
when 2 =>
TStates <= "100"; -- MIKEJ should be 4 for IO cycle
IORQ <= '1';
if IR(5 downto 3) /= "110" then
Read_To_Reg <= '1';
Set_BusA_To(2 downto 0) <= IR(5 downto 3);
end if;
I_INRC <= '1';
when others =>
end case;
when "01000001"|"01001001"|"01010001"|"01011001"|"01100001"|"01101001"|"01110001"|"01111001" =>
-- OUT (C),r
-- OUT (C),0
MCycles <= "010";
case to_integer(unsigned(MCycle)) is
when 1 =>
Set_Addr_To <= aBC;
Set_BusB_To(2 downto 0) <= IR(5 downto 3);
if IR(5 downto 3) = "110" then
Set_BusB_To(3) <= '1';
end if;
when 2 =>
TStates <= "100"; -- MIKEJ should be 4 for IO cycle
Write <= '1';
IORQ <= '1';
when others =>
end case;
when "10100010" | "10101010" | "10110010" | "10111010" =>
-- INI, IND, INIR, INDR
-- note B is decremented AFTER being put on the bus
MCycles <= "100";
case to_integer(unsigned(MCycle)) is
when 1 =>
Set_Addr_To <= aBC;
Set_BusB_To <= "1010";
Set_BusA_To <= "0000";
Read_To_Reg <= '1';
Save_ALU <= '1';
ALU_Op <= "0010";
when 2 =>
TStates <= "100"; -- MIKEJ should be 4 for IO cycle
IORQ <= '1';
Set_BusB_To <= "0110";
Set_Addr_To <= aXY;
when 3 =>
if IR(3) = '0' then
--IncDec_16 <= "0010";
IncDec_16 <= "0110";
else
--IncDec_16 <= "1010";
IncDec_16 <= "1110";
end if;
TStates <= "100";
Write <= '1';
I_BTR <= '1';
when 4 =>
NoRead <= '1';
TStates <= "101";
when others => null;
end case;
when "10100011" | "10101011" | "10110011" | "10111011" =>
-- OUTI, OUTD, OTIR, OTDR
-- note B is decremented BEFORE being put on the bus.
-- mikej fix for hl inc
MCycles <= "100";
case to_integer(unsigned(MCycle)) is
when 1 =>
TStates <= "101";
Set_Addr_To <= aXY;
Set_BusB_To <= "1010";
Set_BusA_To <= "0000";
Read_To_Reg <= '1';
Save_ALU <= '1';
ALU_Op <= "0010";
when 2 =>
Set_BusB_To <= "0110";
Set_Addr_To <= aBC;
when 3 =>
if IR(3) = '0' then
IncDec_16 <= "0110"; -- mikej
else
IncDec_16 <= "1110"; -- mikej
end if;
TStates <= "100"; -- MIKEJ should be 4 for IO cycle
IORQ <= '1';
Write <= '1';
I_BTR <= '1';
when 4 =>
NoRead <= '1';
TStates <= "101";
when others => null;
end case;
end case;
end case;
if Mode = 1 then
if MCycle = "001" then
-- TStates <= "100";
else
TStates <= "011";
end if;
end if;
if Mode = 3 then
if MCycle = "001" then
-- TStates <= "100";
else
TStates <= "100";
end if;
end if;
if Mode < 2 then
if MCycle = "110" then
Inc_PC <= '1';
if Mode = 1 then
Set_Addr_To <= aXY;
TStates <= "100";
Set_BusB_To(2 downto 0) <= SSS;
Set_BusB_To(3) <= '0';
end if;
if IRB = "00110110" or IRB = "11001011" then
Set_Addr_To <= aNone;
end if;
end if;
if MCycle = "111" then
if Mode = 0 then
TStates <= "101";
end if;
if ISet /= "01" then
Set_Addr_To <= aXY;
end if;
Set_BusB_To(2 downto 0) <= SSS;
Set_BusB_To(3) <= '0';
if IRB = "00110110" or ISet = "01" then
-- LD (HL),n
Inc_PC <= '1';
else
NoRead <= '1';
end if;
end if;
end if;
end process;
end;
| gpl-3.0 | 8ac96798219a1ccde85d8ced55a917a2 | 0.508954 | 3.046144 | false | false | false | false |
ILoveSpeccy/Aeon-Lite | cores/atari800xl/src/a8core/internalromram.vhd | 1 | 3,597 | LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.std_logic_arith.all;
USE ieee.std_logic_unsigned.all;
ENTITY internalromram IS
GENERIC
(
internal_rom : integer := 1;
internal_ram : integer := 16384
);
PORT(
clock : IN STD_LOGIC; --system clock
reset_n : IN STD_LOGIC; --asynchronous reset
ROM_ADDR : in STD_LOGIC_VECTOR(21 downto 0);
ROM_REQUEST_COMPLETE : out STD_LOGIC;
ROM_REQUEST : in std_logic;
ROM_DATA : out std_logic_vector(7 downto 0);
RAM_ADDR : in STD_LOGIC_VECTOR(18 downto 0);
RAM_WR_ENABLE : in std_logic;
RAM_DATA_IN : in STD_LOGIC_VECTOR(7 downto 0);
RAM_REQUEST_COMPLETE : out STD_LOGIC;
RAM_REQUEST : in std_logic;
RAM_DATA : out std_logic_vector(7 downto 0)
);
END internalromram;
architecture vhdl of internalromram is
signal rom_request_reg : std_logic;
signal ram_request_reg : std_logic;
signal ROM16_DATA : std_logic_vector(7 downto 0);
signal ROM8_DATA : std_logic_vector(7 downto 0);
signal ROM2_DATA : std_logic_vector(7 downto 0);
signal BASIC_DATA : std_logic_vector(7 downto 0);
begin
process(clock,reset_n)
begin
if (reset_n ='0') then
rom_request_reg <= '0';
ram_request_reg <= '0';
elsif (clock'event and clock='1') then
rom_request_reg <= rom_request;
ram_request_reg <= ram_request;
end if;
end process;
gen_internal_os_b : if internal_rom=3 generate
-- d800 to dfff (2k)
rom2 : entity work.os2
PORT MAP(clock => clock,
address => rom_addr(10 downto 0),
q => ROM2_data
);
-- e000 to ffff (8k)
rom10 : entity work.os8
PORT MAP(clock => clock,
address => rom_addr(12 downto 0),
q => ROM8_data
);
process(rom_addr)
begin
case rom_addr(13 downto 11) is
when "011" =>
ROM_DATA <= ROM2_data;
when "100"|"101"|"110"|"111" =>
ROM_DATA <= ROM8_data;
when others=>
ROM_DATA <= x"ff";
end case;
end process;
rom_request_complete <= rom_request_reg;
end generate;
gen_internal_os_loop : if internal_rom=2 generate
rom16a : entity work.os16_loop
PORT MAP(clock => clock,
address => rom_addr(13 downto 0),
q => ROM16_data
);
ROM_DATA <= ROM16_DATA;
rom_request_complete <= rom_request_reg;
end generate;
gen_internal_os : if internal_rom=1 generate
rom16a : entity work.os16
PORT MAP(clock => clock,
address => rom_addr(13 downto 0),
q => ROM16_data
);
basic1 : entity work.basic
PORT MAP(clock => clock,
address => rom_addr(12 downto 0),
q => BASIC_data
);
process(rom16_data,basic_data, rom_addr(15 downto 0))
begin
ROM_DATA <= ROM16_DATA;
if (rom_addr(15)='1') then
ROM_DATA <= BASIC_DATA;
end if;
end process;
rom_request_complete <= rom_request_reg;
end generate;
gen_no_internal_os : if internal_rom=0 generate
ROM16_data <= (others=>'0');
rom_request_complete <= '0';
end generate;
gen_internal_ram: if internal_ram>0 generate
ramint1 : entity work.generic_ram_infer
generic map
(
ADDRESS_WIDTH => 19,
SPACE => internal_ram,
DATA_WIDTH =>8
)
PORT MAP(clock => clock,
address => ram_addr,
data => ram_data_in(7 downto 0),
we => RAM_WR_ENABLE,
q => ram_data
);
ram_request_complete <= ram_request_reg;
end generate;
gen_no_internal_ram : if internal_ram=0 generate
ram_request_complete <='1';
ram_data <= (others=>'1');
end generate;
end vhdl;
| gpl-3.0 | 514400c8ead20e5a44765c82cd64151a | 0.604671 | 3.048305 | false | false | false | false |
ILoveSpeccy/Aeon-Lite | cores/atari800xl/src/zpu/zpucore.vhd | 1 | 6,750 | ---------------------------------------------------------------------------
-- (c) 2013 mark watson
-- I am happy for anyone to use this for non-commercial use.
-- If my vhdl files are used commercially or otherwise sold,
-- please contact me for explicit permission at scrameta (gmail).
-- This applies for source and binary form and derived works.
---------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.all;
use ieee.numeric_std.all;
LIBRARY work;
ENTITY zpucore IS
GENERIC
(
platform : integer := 1; -- So ROM can detect which type of system...
spi_clock_div : integer := 4 -- see notes on zpu_config_regs
);
PORT
(
-- standard...
CLK : in std_logic;
RESET_N : in std_logic;
-- dma bus master (with many waitstates...)
ZPU_ADDR_FETCH : out std_logic_vector(23 downto 0);
ZPU_DATA_OUT : out std_logic_vector(31 downto 0);
ZPU_FETCH : out std_logic;
ZPU_32BIT_WRITE_ENABLE : out std_logic;
ZPU_16BIT_WRITE_ENABLE : out std_logic;
ZPU_8BIT_WRITE_ENABLE : out std_logic;
ZPU_READ_ENABLE : out std_logic;
ZPU_MEMORY_READY : in std_logic;
ZPU_MEMORY_DATA : in std_logic_vector(31 downto 0);
-- rom bus master
-- data on next cycle after addr
ZPU_ADDR_ROM : out std_logic_vector(15 downto 0);
ZPU_ROM_DATA : in std_logic_vector(31 downto 0);
ZPU_ROM_WREN : out std_logic;
-- spi master
-- Too painful to bit bang spi from zpu, so we have a hardware master in here
ZPU_SD_DAT0 : IN STD_LOGIC;
ZPU_SD_CLK : OUT STD_LOGIC;
ZPU_SD_CMD : OUT STD_LOGIC;
ZPU_SD_DAT3 : OUT STD_LOGIC;
-- SIO
-- Ditto for speaking to Atari, we have a built in Pokey
ZPU_POKEY_ENABLE : in std_logic; -- if run at 1.79MHz we can use standard dividers...
ZPU_SIO_TXD : out std_logic;
ZPU_SIO_RXD : in std_logic;
ZPU_SIO_COMMAND : in std_logic;
-- external control
-- switches etc. sector DMA blah blah.
ZPU_IN1 : in std_logic_vector(31 downto 0);
ZPU_IN2 : in std_logic_vector(31 downto 0);
ZPU_IN3 : in std_logic_vector(31 downto 0);
ZPU_IN4 : in std_logic_vector(31 downto 0);
-- ouputs - e.g. Atari system control, halt, throttle, rom select
ZPU_OUT1 : out std_logic_vector(31 downto 0);
ZPU_OUT2 : out std_logic_vector(31 downto 0);
ZPU_OUT3 : out std_logic_vector(31 downto 0);
ZPU_OUT4 : out std_logic_vector(31 downto 0)
);
END zpucore;
ARCHITECTURE vhdl OF zpucore IS
signal ZPU_PAUSE : std_logic;
signal ZPU_RESET : std_logic;
signal ZPU_DO : std_logic_vector(31 downto 0);
signal ZPU_ADDR_ROM_RAM : std_logic_vector(15 downto 0);
signal ZPU_RAM_DATA : std_logic_vector(31 downto 0);
signal ZPU_STACK_WRITE : std_logic_vector(3 downto 0);
signal ZPU_CONFIG_DO : std_logic_vector(31 downto 0);
signal ZPU_CONFIG_WRITE_ENABLE : std_logic;
signal ZPU_SD_DMA_ADDR : std_logic_vector(15 downto 0);
signal ZPU_SD_DMA_DATA : std_logic_vector(7 downto 0);
signal ZPU_SD_DMA_WRITE : std_logic;
signal ZPU_SD_DMA_WRITE_BITS : std_logic_vector(3 downto 0);
signal ZPU_ADDR_ROM_RAM_DMA : std_logic_vector(15 downto 0);
signal ZPU_DO_DMA : std_logic_vector(31 downto 0);
signal ZPU_STACK_WRITE_DMA : std_logic_vector(3 downto 0);
BEGIN
ZPU_RESET <= not(reset_n);
zpu_and_glue : entity work.zpu_glue
PORT MAP(CLK => CLK,
RESET => ZPU_RESET,
PAUSE => ZPU_PAUSE,
MEMORY_READY => ZPU_MEMORY_READY,
ZPU_CONFIG_DI => ZPU_CONFIG_DO,
ZPU_DI => ZPU_MEMORY_DATA,
ZPU_RAM_DI => ZPU_RAM_DATA,
ZPU_ROM_DI => ZPU_ROM_DATA,
MEMORY_FETCH => ZPU_FETCH,
ZPU_READ_ENABLE => ZPU_READ_ENABLE,
ZPU_32BIT_WRITE_ENABLE => ZPU_32BIT_WRITE_ENABLE,
ZPU_16BIT_WRITE_ENABLE => ZPU_16BIT_WRITE_ENABLE,
ZPU_8BIT_WRITE_ENABLE => ZPU_8BIT_WRITE_ENABLE,
ZPU_CONFIG_WRITE => ZPU_CONFIG_WRITE_ENABLE,
ZPU_ADDR_FETCH => ZPU_ADDR_FETCH,
ZPU_ADDR_ROM_RAM => ZPU_ADDR_ROM_RAM,
ZPU_DO => ZPU_DO,
ZPU_STACK_WRITE => ZPU_STACK_WRITE,
ZPU_ROM_WREN => ZPU_ROM_WREN);
config_regs : entity work.zpu_config_regs
GENERIC MAP (
platform => platform,
spi_clock_div => spi_clock_div
)
PORT MAP (
CLK => CLK,
RESET_N => RESET_N,
POKEY_ENABLE => ZPU_POKEY_ENABLE,
ADDR => ZPU_ADDR_ROM_RAM(6 DOWNTO 2),
CPU_DATA_IN => ZPU_DO,
WR_EN => ZPU_CONFIG_WRITE_ENABLE,
IN1 => ZPU_IN1,
IN2 => ZPU_IN2,
IN3 => ZPU_IN3,
IN4 => ZPU_IN4,
OUT1 => ZPU_OUT1,
OUT2 => ZPU_OUT2,
OUT3 => ZPU_OUT3,
OUT4 => ZPU_OUT4,
SDCARD_DAT => ZPU_SD_DAT0,
SDCARD_CLK => ZPU_SD_CLK,
SDCARD_CMD => ZPU_SD_CMD,
SDCARD_DAT3 => ZPU_SD_DAT3,
SIO_DATA_IN => ZPU_SIO_TXD,
SIO_DATA_OUT => ZPU_SIO_RXD,
SIO_COMMAND => ZPU_SIO_COMMAND,
sd_addr => ZPU_SD_DMA_ADDR,
sd_data => ZPU_SD_DMA_DATA,
sd_write => ZPU_SD_DMA_WRITE,
DATA_OUT => ZPU_CONFIG_DO,
PAUSE_ZPU => ZPU_PAUSE
);
decode_addr1 : entity work.complete_address_decoder
generic map(width=>2)
port map (addr_in=>ZPU_SD_DMA_ADDR(1 downto 0), addr_decoded=>ZPU_SD_DMA_WRITE_BITS);
process(ZPU_DO, ZPU_ADDR_ROM_RAM, ZPU_STACK_WRITE, ZPU_SD_DMA_ADDR, ZPU_SD_DMA_DATA, ZPU_SD_DMA_WRITE, ZPU_SD_DMA_WRITE_BITS)
begin
ZPU_DO_DMA <= ZPU_DO;
ZPU_ADDR_ROM_RAM_DMA <= ZPU_ADDR_ROM_RAM;
ZPU_STACK_WRITE_DMA <= ZPU_STACK_WRITE;
if (ZPU_SD_DMA_WRITE = '1') then
ZPU_DO_DMA <= ZPU_SD_DMA_DATA&ZPU_SD_DMA_DATA&ZPU_SD_DMA_DATA&ZPU_SD_DMA_DATA;
ZPU_ADDR_ROM_RAM_DMA <= ZPU_SD_DMA_ADDR(15 downto 2)&"00";
ZPU_STACK_WRITE_DMA <= ZPU_SD_DMA_WRITE_BITS(0)&ZPU_SD_DMA_WRITE_BITS(1)&ZPU_SD_DMA_WRITE_BITS(2)&ZPU_SD_DMA_WRITE_BITS(3);
end if;
end process;
ram_31_24 : entity work.generic_ram_infer
GENERIC MAP
(
ADDRESS_WIDTH => 10,
SPACE => 1024,
DATA_WIDTH => 8
)
PORT MAP
(
we => ZPU_STACK_WRITE_DMA(3),
clock => CLK,
address => ZPU_ADDR_ROM_RAM_DMA(11 DOWNTO 2),
data => ZPU_DO_DMA(31 DOWNTO 24),
q => ZPU_RAM_DATA(31 DOWNTO 24)
);
ram23_16 : entity work.generic_ram_infer
GENERIC MAP
(
ADDRESS_WIDTH => 10,
SPACE => 1024,
DATA_WIDTH => 8
)
PORT MAP
(
we => ZPU_STACK_WRITE_DMA(2),
clock => CLK,
address => ZPU_ADDR_ROM_RAM_DMA(11 DOWNTO 2),
data => ZPU_DO_DMA(23 DOWNTO 16),
q => ZPU_RAM_DATA(23 DOWNTO 16)
);
ram_15_8 : entity work.generic_ram_infer
GENERIC MAP
(
ADDRESS_WIDTH => 10,
SPACE => 1024,
DATA_WIDTH => 8
)
PORT MAP
(
we => ZPU_STACK_WRITE_DMA(1),
clock => CLK,
address => ZPU_ADDR_ROM_RAM_DMA(11 DOWNTO 2),
data => ZPU_DO_DMA(15 DOWNTO 8),
q => ZPU_RAM_DATA(15 DOWNTO 8)
);
ram_7_0 : entity work.generic_ram_infer
GENERIC MAP
(
ADDRESS_WIDTH => 10,
SPACE => 1024,
DATA_WIDTH => 8
)
PORT MAP
(
we => ZPU_STACK_WRITE_DMA(0),
clock => CLK,
address => ZPU_ADDR_ROM_RAM_DMA(11 DOWNTO 2),
data => ZPU_DO_DMA(7 DOWNTO 0),
q => ZPU_RAM_DATA(7 DOWNTO 0)
);
-- OUTPUT
ZPU_ADDR_ROM <= ZPU_ADDR_ROM_RAM;
ZPU_DATA_OUT <= ZPU_DO;
end vhdl;
| gpl-3.0 | 30ff522e072a98b0ad77d12c29a051e5 | 0.646074 | 2.565564 | false | false | false | false |
sonologic/gmzpu | vhdl/gmzpu/zwc.vhdl | 1 | 5,205 | library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
library gmzpu;
use gmzpu.zwishbone.all;
library zetaio;
use zetaio.pic.all;
use zetaio.tim.all;
entity zwc is
generic (
DATA_WIDTH : natural:=32;
ADR_WIDTH : natural:=16;
CS_WIDTH : natural:=4
);
port (
-- SYSCON
clk_i : in std_logic;
rst_i : in std_logic;
-- zpu interface (non wishbone signal)
busy_o : out std_logic; -- controller busy
ready_o : out std_logic; -- read request ready
adr_i : in unsigned(ADR_WIDTH-1 downto 0);
re_i : in std_logic;
we_i : in std_logic;
dat_i : in unsigned(DATA_WIDTH-1 downto 0);
dat_o : out unsigned(DATA_WIDTH-1 downto 0);
int_i : in std_logic; -- external int
-- interrupts
-- wishbone controller int
irq_o : out std_logic
);
end entity zwc;
architecture rtl of zwc is
-- wishbone bus
signal wb_dat_i : unsigned(DATA_WIDTH-1 downto 0);
signal wb_dat_o : unsigned(DATA_WIDTH-1 downto 0);
signal wb_tgd_i : unsigned(DATA_WIDTH-1 downto 0);
signal wb_tgd_o : unsigned(DATA_WIDTH-1 downto 0);
signal wb_ack_i : std_logic;
signal wb_adr_o : unsigned(ADR_WIDTH-CS_WIDTH-2 downto 0);
signal wb_cyc_o : std_logic;
signal wb_stall_i : std_logic;
signal wb_err_i : std_logic;
signal wb_lock_o : std_logic;
signal wb_rty_i : std_logic;
signal wb_sel_o : std_logic_vector(DATA_WIDTH-1 downto 0);
signal wb_stb_o : std_logic_vector((2**CS_WIDTH)-1 downto 0);
signal wb_tga_o : unsigned(ADR_WIDTH-CS_WIDTH-2 downto 0);
signal wb_tgc_o : unsigned(DATA_WIDTH-1 downto 0); -- size correct?
signal wb_we_o : std_logic;
-- interrupt lines
signal int_ctrl_r : std_logic;
signal int_pic_r : std_logic;
signal int_timer_r : std_logic;
signal int_r : std_logic_vector(DATA_WIDTH-1 downto 0);
-- PIC interrupt mapping
constant PIC_INT_EXT : natural:=0;
constant PIC_INT_ZWC : natural:=1;
constant PIC_INT_TIMER : natural:=2;
constant PIC_INT_UNUSED : natural:=3;
-- devices on the bus
constant WB_CS_PIC : natural:=0;
constant WB_CS_TIM : natural:=1;
begin
-- unused interrupt lines
int_r(DATA_WIDTH-1 downto PIC_INT_UNUSED) <= (others => '0');
-- external interrupt line
int_r(PIC_INT_EXT) <= int_i;
-- master
controller: zwishbone_controller
generic map(
DATA_WIDTH => DATA_WIDTH, ADR_WIDTH => ADR_WIDTH, CS_WIDTH => CS_WIDTH
)
port map(
-- syscon
clk_i => clk_i, rst_i => rst_i, busy_o => busy_o, ready_o => ready_o,
-- interrupt
irq_o => int_r(PIC_INT_ZWC),
adr_i => adr_i, re_i => re_i, we_i => we_i, dat_i => dat_i, dat_o => dat_o,
-- chip select
wb_stb_o => wb_stb_o,
-- wishbone bus (master)
wb_dat_i => wb_dat_i, wb_dat_o => wb_dat_o,
wb_tgd_i => wb_tgd_i, wb_tgd_o => wb_tgd_o,
wb_ack_i => wb_ack_i, wb_adr_o => wb_adr_o,
wb_cyc_o => wb_cyc_o, wb_stall_i => wb_stall_i, wb_err_i => wb_err_i, wb_lock_o => wb_lock_o, wb_rty_i => wb_rty_i,
wb_sel_o => wb_sel_o,
wb_tga_o => wb_tga_o,
wb_tgc_o => wb_tgc_o,
wb_we_o => wb_we_o
);
-- slave 0: PIC
pic: interrupt_controller
generic map(ADR_WIDTH => ADR_WIDTH-CS_WIDTH-1, DATA_WIDTH => DATA_WIDTH, N_BANKS => 1)
port map(
-- syscon
rst_i => rst_i, clk_i => clk_i,
-- interrupt
int_i => int_r,
irq_o => irq_o,
-- chip select
wb_stb_i => wb_stb_o(WB_CS_PIC),
-- wishbone
wb_dat_o => wb_dat_i, wb_dat_i => wb_dat_o, wb_tgd_o => wb_tgd_i, wb_tgd_i => wb_tgd_o,
wb_ack_o => wb_ack_i, wb_adr_i => wb_adr_o, wb_cyc_i => wb_cyc_o,
wb_stall_o => wb_stall_i, wb_err_o => wb_err_i, wb_lock_i => wb_lock_o, wb_rty_o => wb_rty_i,
wb_sel_i => wb_sel_o,
wb_tga_i => wb_tga_o, wb_tgc_i => wb_tgc_o,
wb_we_i => wb_we_o
);
-- slave 1: TIMER
timrs: timers
generic map(DATA_WIDTH => DATA_WIDTH, ADR_WIDTH => ADR_WIDTH-CS_WIDTH-1, N_TIMERS => 4)
port map(
-- syscon
rst_i => rst_i, clk_i => clk_i,
-- interrupt
irq_o => int_r(PIC_INT_TIMER),
-- chip select
wb_stb_i => wb_stb_o(WB_CS_TIM),
-- wishbone
wb_dat_o => wb_dat_i, wb_dat_i => wb_dat_o, wb_tgd_o => wb_tgd_i, wb_tgd_i => wb_tgd_o,
wb_ack_o => wb_ack_i, wb_adr_i => wb_adr_o, wb_cyc_i => wb_cyc_o,
wb_stall_o => wb_stall_i, wb_err_o => wb_err_i, wb_lock_i => wb_lock_o, wb_rty_o => wb_rty_i,
wb_sel_i => wb_sel_o,
wb_tga_i => wb_tga_o, wb_tgc_i => wb_tgc_o,
wb_we_i => wb_we_o
);
end architecture rtl;
| bsd-3-clause | a9f6523801aaa2a1d35f2eb7a8815a09 | 0.509126 | 2.974286 | false | false | false | false |
ILoveSpeccy/Aeon-Lite | cores/atari800xl/src/components/sdram_statemachine.vhdl | 1 | 21,602 | ---------------------------------------------------------------------------
-- (c) 2013 mark watson
-- I am happy for anyone to use this for non-commercial use.
-- If my vhdl files are used commercially or otherwise sold,
-- please contact me for explicit permission at scrameta (gmail).
-- This applies for source and binary form and derived works.
---------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.all;
use ieee.numeric_std.all;
ENTITY sdram_statemachine IS
generic
(
ADDRESS_WIDTH : natural := 22;
ROW_WIDTH : natural := 12;
AP_BIT : natural := 10;
COLUMN_WIDTH : natural := 8
);
PORT
(
CLK_SYSTEM : IN STD_LOGIC;
CLK_SDRAM : IN STD_LOGIC; -- this is a exact multiple of system clock
RESET_N : in STD_LOGIC;
-- interface as though SRAM - this module can take care of caching/write combining etc etc. For first cut... nothing. TODO: What extra info would help me here?
DATA_IN : in std_logic_vector(31 downto 0);
ADDRESS_IN : in std_logic_vector(ADDRESS_WIDTH downto 0); -- 1 extra bit for byte alignment
READ_EN : in std_logic; -- if no reads pending may be a good time to do a refresh
WRITE_EN : in std_logic;
REQUEST : in std_logic; -- set true to request
BYTE_ACCESS : in std_logic; -- ldqm/udqm set based on a(0) - if 0=0111, if 1=1011. Data fields valid:7 downto 0.
WORD_ACCESS : in std_logic; -- ldqm/udqm set based on a(0) - if 0=0011, if 1=1001. Data fields valid:15 downto 0.
LONGWORD_ACCESS : in std_logic; -- a(0) ignored. lqdm/udqm mask is 0000
REFRESH : in std_logic;
COMPLETE : out std_logic;
DATA_OUT : out std_logic_vector(31 downto 0);
-- sdram itself
SDRAM_ADDR : out std_logic_vector(ROW_WIDTH-1 downto 0);
SDRAM_DQ : inout std_logic_vector(15 downto 0);
SDRAM_BA0 : out std_logic;
SDRAM_BA1 : out std_logic;
SDRAM_CKE : out std_logic;
SDRAM_CS_N : out std_logic;
SDRAM_RAS_N : out std_logic;
SDRAM_CAS_N : out std_logic;
SDRAM_WE_N : out std_logic;
SDRAM_ldqm : out std_logic; -- low enable, high disable - for byte addressing - NB, cas latency applies to reads
SDRAM_udqm : out std_logic;
reset_client_n : out std_logic
);
END sdram_statemachine;
ARCHITECTURE vhdl OF sdram_statemachine IS
function repeat(N: natural; B: std_logic)
return std_logic_vector
is
variable result: std_logic_vector(1 to N);
begin
for i in 1 to N loop
result(i) := B;
end loop;
return result;
end;
-- bits are: CS_n, RAS_n, CAS_n,WE_n
constant sdram_command_inhibit : std_logic_vector(3 downto 0) := "1000";
constant sdram_command_no_operation : std_logic_vector(3 downto 0) := "0111";
constant sdram_command_device_burst_stop : std_logic_vector(3 downto 0) := "0110";
constant sdram_command_read : std_logic_vector(3 downto 0) := "0101";
constant sdram_command_write : std_logic_vector(3 downto 0) := "0100";
constant sdram_command_bank_activate : std_logic_vector(3 downto 0) := "0011"; -- activate copies cells to buffer for reading/writing
constant sdram_command_precharge : std_logic_vector(3 downto 0) := "0010"; -- precharge copies cells from buffer back to dram
constant sdram_command_mode_register : std_logic_vector(3 downto 0) := "0000"; -- e.g. burst length etc
constant sdram_command_refresh : std_logic_vector(3 downto 0) := "0001"; -- must be in idle state - call 4096 times in 64ms
signal command_next : std_logic_vector(3 downto 0);
-- constant bank_state_idle : std_logic_vector(3 downto 0) := "0000";
-- constant bank_state_row_active : std_logic_vector(3 downto 0) := "0001";
-- constant bank_state_read : std_logic_vector(3 downto 0) := "0010";
-- constant bank_state_write : std_logic_vector(3 downto 0) := "0011";
-- constant bank_state_read_pre : std_logic_vector(3 downto 0) := "0100";
-- constant bank_state_write_pre : std_logic_vector(3 downto 0) := "0101";
-- constant bank_state_precharging : std_logic_vector(3 downto 0) := "0111";
-- constant bank_state_row_activating : std_logic_vector(3 downto 0) := "1000";
-- constant bank_state_write_recovering : std_logic_vector(3 downto 0) := "1001";
-- constant bank_state_write_recovering_pre : std_logic_vector(3 downto 0) := "1010";
-- constant bank_state_refresh : std_logic_vector(3 downto 0) := "1011";
-- constant bank_state_mode_access : std_logic_vector(3 downto 0) := "1100";
-- constant bank_state_init : std_logic_vector(3 downto 0) := "1101";
-- Banks each have their own state, but since read/write lines are shared they are not truly independent
-- Also for auto-refresh all banks must be in idle state
constant sdram_state_powerup : std_logic_vector(2 downto 0) := "000"; -- requires standard init
constant sdram_state_init : std_logic_vector(2 downto 0) := "001"; -- requires standard init
constant sdram_state_idle : std_logic_vector(2 downto 0) := "010"; -- ready to start a new request
constant sdram_state_refresh : std_logic_vector(2 downto 0) := "011"; -- processing a refresh
constant sdram_state_read : std_logic_vector(2 downto 0) := "100"; -- processing a read request
constant sdram_state_write : std_logic_vector(2 downto 0) := "101"; -- processing a write request
constant sdram_state_init_precharge : std_logic_vector(2 downto 0) := "110";
signal sdram_state_next : std_logic_vector(2 downto 0);
signal sdram_state_reg : std_logic_vector(2 downto 0);
signal delay_next : std_logic_vector(13 downto 0);
signal delay_reg : std_logic_vector(13 downto 0);
signal cycles_since_refresh_next : std_logic_vector(10 downto 0);
signal cycles_since_refresh_reg : std_logic_vector(10 downto 0); -- we expect a refresh about every 2000 cycles (approx 8ns each) - if this overflows we store the pending refresh below
signal refresh_pending_next : std_logic_vector(11 downto 0);
signal refresh_pending_reg : std_logic_vector(11 downto 0); -- valid to do all 4096 once per 64ms
signal suggest_refresh : std_logic; -- i.e. do we have any pending?
signal force_refresh : std_logic; -- i.e. do we NEED to refresh - up to 64ms...
signal require_refresh : std_logic; -- i.e. we NEED to refresh or we have some pending and the client says it is a good time
signal refreshing_now : std_logic;
signal idle_priority : std_logic_vector(3 downto 0);
signal data_out_next : std_logic_vector(31 downto 0);
signal data_out_reg : std_logic_vector(31 downto 0);
signal reply_next : std_logic;
signal reply_reg : std_logic;
-- track the active bank
-- Since we're often processing the same 512 bytes can keep the bank active and just read/write within it?
-- CAS,NOP,NOP,DATA
-- Perhaps if we're smart this can be access in one system clk cycle (4x slower than our one)
--signal bank_row_next : array( downto 0) of std_logic_vector(ROW_WIDTH-1 downto 0);
--signal bank_row_reg : array( downto 0) of std_logic_vector(ROW_WIDTH-1 downto 0);
-- capture inputs
signal DATA_IN_snext : std_logic_vector(31 downto 0);
signal DATA_IN_sreg : std_logic_vector(31 downto 0);
signal ADDRESS_IN_snext : std_logic_vector(ADDRESS_WIDTH-1 downto 0);
signal ADDRESS_IN_sreg : std_logic_vector(ADDRESS_WIDTH-1 downto 0);
signal READ_EN_snext : std_logic; -- if no reads pending may be a good time to do a refresh
signal READ_EN_sreg : std_logic; -- if no reads pending may be a good time to do a refresh
signal WRITE_EN_snext : std_logic;
signal WRITE_EN_sreg : std_logic;
signal dqm_mask_snext : std_logic_vector(3 downto 0);
signal dqm_mask_sreg : std_logic_vector(3 downto 0);
signal request_snext : std_logic;
signal request_sreg : std_logic;
signal refresh_snext : std_logic;
signal refresh_sreg : std_logic;
-- slow clock output regs
signal DATA_OUT_snext : std_logic_vector(31 downto 0);
signal DATA_OUT_sreg : std_logic_vector(31 downto 0);
signal reply_snext : std_logic;
signal reply_sreg : std_logic;
-- sdram output registers
signal addr_next : std_logic_vector(ROW_WIDTH-1 downto 0);
signal dq_out_next : std_logic_vector(15 downto 0);
signal dq_output_next : std_logic;
signal dq_in_next : std_logic_vector(15 downto 0);
signal ba_next : std_logic_vector(1 downto 0);
signal cs_n_next : std_logic;
signal ras_n_next : std_logic;
signal cas_n_next : std_logic;
signal we_n_next : std_logic;
signal ldqm_next : std_logic;
signal udqm_next : std_logic;
signal cke_next : std_logic;
signal addr_reg : std_logic_vector(ROW_WIDTH-1 downto 0);
signal dq_out_reg : std_logic_vector(15 downto 0);
signal dq_output_reg : std_logic;
signal ba_reg : std_logic_vector(1 downto 0);
signal cs_n_reg : std_logic;
signal ras_n_reg : std_logic;
signal cas_n_reg : std_logic;
signal we_n_reg : std_logic;
signal ldqm_reg : std_logic;
signal udqm_reg : std_logic;
signal cke_reg : std_logic;
signal sdram_request_reg : std_logic;
signal sdram_request_next : std_logic;
signal reset_client_n_reg : std_logic;
signal reset_client_n_next : std_logic;
BEGIN
-- register
process(CLK_SDRAM,reset_n)
begin
if (reset_N = '0') then
sdram_state_reg <= sdram_state_init;
delay_reg <= (others=>'0');
refresh_pending_reg <= (others=>'0');
cycles_since_refresh_reg <= (others=>'0');
data_out_reg <= (others=>'0');
reply_reg <= '0';
addr_reg <= (others => '0');
dq_out_reg <= (others=> '0');
dq_output_reg <= '0';
ba_reg <= (others=>'0');
cs_n_reg <= '0';
ras_n_reg <= '0';
cas_n_reg <= '0';
we_n_reg <= '0';
ldqm_reg <= '0';
udqm_reg <= '0';
cke_reg <= '0';
--bank_row_reg <= (others=>(others=>'0'));
elsif (CLK_SDRAM'event and CLK_SDRAM='1') then
sdram_state_reg <= sdram_state_next;
delay_reg <= delay_next;
refresh_pending_reg <= refresh_pending_next;
cycles_since_refresh_reg <= cycles_since_refresh_next;
data_out_reg <= data_out_next;
reply_reg <= reply_next;
addr_reg <= addr_next;
dq_out_reg <= dq_out_next;
dq_output_reg <= dq_output_next;
ba_reg <= ba_next;
cs_n_reg <= cs_n_next;
ras_n_reg <= ras_n_next;
cas_n_reg <= cas_n_next;
we_n_reg <= we_n_next;
ldqm_reg <=ldqm_next;
udqm_reg <= udqm_next;
cke_reg <= cke_next;
--bank_row_reg <= bank_row_next;
end if;
end process;
-- register request
process(CLK_SYSTEM,reset_n)
begin
if (reset_N = '0') then
data_in_sreg <= (others=>'0');
address_in_sreg <= (others=>'0');
read_en_sreg <= '0';
write_en_sreg <= '0';
request_sreg <= '0';
dqm_mask_sreg <= (others=>'1');
refresh_sreg <= '0';
data_out_sreg <= (others=>'0');
reply_sreg <= '0';
sdram_request_reg <= '0';
reset_client_n_reg <= '0';
elsif (CLK_SYSTEM'event and CLK_SYSTEM='1') then
data_in_sreg <= data_in_snext;
address_in_sreg <= address_in_snext;
read_en_sreg <= read_en_snext;
write_en_sreg <= write_en_snext;
request_sreg <= request_snext;
dqm_mask_sreg <= dqm_mask_snext;
refresh_sreg <= refresh_snext;
data_out_sreg <= data_out_snext;
reply_sreg <= reply_snext;
sdram_request_reg <= sdram_request_next;
reset_client_n_reg <= reset_client_n_next;
end if;
end process;
-- Inputs - NB, clocked at a smaller multiple
process(data_in_sreg, address_in_sreg, read_en_sreg, write_en_sreg, request_sreg, dqm_mask_sreg, refresh_sreg, data_in, address_in, read_en, write_en, sdram_request_next, byte_access, word_access, longword_access, refresh)
begin
data_in_snext <= data_in_sreg;
address_in_snext <= address_in_sreg;
read_en_snext <= read_en_sreg;
write_en_snext <= write_en_sreg;
request_snext <= request_sreg;
dqm_mask_snext <= dqm_mask_sreg;
refresh_snext <= refresh; -- independent of memory requests
-- only snap inputs on new request
-- in theory I could keep the requests in a fifo so I can handle several without waiting - processed in order
if ((sdram_request_next xor request_sreg) = '1') then
data_in_snext <= data_in;
address_in_snext <= address_in(ADDRESS_WIDTH downto 1);
read_en_snext <= read_en;
write_en_snext <= write_en;
request_snext <= sdram_request_next;
dqm_mask_snext(0) <= (byte_access or word_access) and address_in(0); -- masked on misaligned byte or word
dqm_mask_snext(1) <= (byte_access) and not(address_in(0)); -- masked on aligned byte only
dqm_mask_snext(2) <= byte_access or (word_access and not(address_in(0))); -- masked on aligned word or byte
dqm_mask_snext(3) <= not(longword_access); -- masked for everything except long word access
end if;
end process;
-- refresh counters
process(cycles_since_refresh_reg, refresh_pending_reg, refresh_sreg, refreshing_now, force_refresh, suggest_refresh)
begin
cycles_since_refresh_next <= std_logic_vector(unsigned(cycles_since_refresh_reg)+1);
refresh_pending_next <= refresh_pending_reg;
suggest_refresh <= '0';
force_refresh <= '0';
if (refresh_pending_reg > X"000") then -- refresh_pending updates before refresh completes
suggest_refresh <= '1';
end if;
if (refresh_pending_reg = X"FFF") then
force_refresh <= '1';
end if;
require_refresh <= force_refresh or (suggest_refresh and refresh_sreg);
if (refreshing_now = '1') then
-- refreshing right now
cycles_since_refresh_next <= (others=>'0');
-- This is one of our pending refreshes (if we have any)
if (suggest_refresh = '1') then
refresh_pending_next <= std_logic_vector(unsigned(refresh_pending_reg) -1);
end if;
else
if (cycles_since_refresh_reg = "11111111111") then
refresh_pending_next <= std_logic_vector(unsigned(refresh_pending_reg) +1);
cycles_since_refresh_next <= (others=>'0');
end if;
end if;
end process;
--
process(reset_client_n_reg,sdram_state_reg,delay_reg, idle_priority, data_out_reg, read_en_sreg, write_en_sreg, address_in_sreg, data_in_sreg, reply_reg, require_refresh, dq_in_next, dqm_mask_sreg, request_sreg)
begin
idle_priority <= (others=>'0');
refreshing_now <= '0';
reset_client_n_next <= reset_client_n_reg;
sdram_state_next <= sdram_state_reg;
command_next <= sdram_command_no_operation;
delay_next <= std_logic_vector(unsigned(delay_reg) + 1);
data_out_next <= data_out_reg;
reply_next <= reply_reg;
-- Set some default for when we're sending NOP
dq_out_next <= (others=>'0');
dq_output_next <= '0';
cke_next <= '1';
ldqm_next <= '1';
udqm_next <= '1';
ba_next <= (others=>'0');
addr_next <= (others=>'1');
-- TODO - use bank states!!
-- TODO - MUCH MORE SMART STUFF!
-- Lets do them once we have Hello World running...
case sdram_state_reg is
when sdram_state_powerup =>
-- wait 100us (min)
if (delay_reg(13) = '1') then
sdram_state_next <= sdram_state_init_precharge;
delay_next <= (others=>'0');
end if;
when sdram_state_init =>
case delay_reg(5 downto 3)&delay_reg(0) is
when "0001" =>
command_next <= sdram_command_precharge;
addr_next(AP_BIT) <= '1'; --all banks
when "0010" =>
command_next <= sdram_command_refresh;
-- cke still high, so auto refresh
when "0100" =>
command_next <= sdram_command_refresh;
-- cke still high, so auto refresh
when "1000" =>
command_next <= sdram_command_mode_register;
--addr_next(2 downto 0) <= (others=>'0'); -- burst single cycle for now
addr_next(2 downto 0) <= "001"; -- two cycle burst to fetch word-aligned 32-bit, misaligned 16-bit, misaligned 8-bit
addr_next(3) <= '0'; -- sequential
addr_next(6 downto 4) <= "011"; -- cas latency 3 cycles
addr_next(8 downto 7) <= "00"; -- standard operation
addr_next(9) <= '0'; -- programmed burst access - of single cycle!
addr_next(11 downto 10) <= "00"; -- reserved
when "1010" =>
sdram_state_next <= sdram_state_idle;
delay_next <= (others=>'0');
when others =>
-- nop
end case;
when sdram_state_idle =>
reset_client_n_next <= '1';
delay_next <= (others=>'0');
idle_priority <= (request_sreg xor reply_reg)&require_refresh&write_en_sreg&read_en_sreg;
case idle_priority is -- priority encoder...
when "0100"|"0101"|"0110"|"0111"|"1100"|"1101"|"1110"|"1111" =>
sdram_state_next <= sdram_state_refresh;
when "1010"|"1011" =>
sdram_state_next <= sdram_state_write;
when "1001" =>
sdram_state_next <= sdram_state_read;
when others =>
-- stay here
end case;
when sdram_state_read =>
-- TODO - if same bank we can save some time... ?
-- Only do precharge on switching bank?
case delay_reg(3 downto 0) is
when X"0" =>
command_next <= sdram_command_bank_activate;
ba_next <= address_in_sreg(ADDRESS_WIDTH-1 downto ADDRESS_WIDTH-2);
addr_next <= address_in_sreg(ADDRESS_WIDTH-3 downto ADDRESS_WIDTH-3-ROW_WIDTH+1);
when X"3" => -- after t_rcd (18ns issi, 21ns psc) i.e. 3 cycles - beware of t_ras (6 cycles before auto-precharge)
command_next <= sdram_command_read;
ba_next <= address_in_sreg(ADDRESS_WIDTH-1 downto ADDRESS_WIDTH-2);
addr_next(COLUMN_WIDTH-1 downto 0) <= address_in_sreg(ADDRESS_WIDTH-3-ROW_WIDTH downto 0);
addr_next(AP_BIT) <= '1'; -- auto-precharge
when X"4" => -- command actually sent now
ldqm_next <= dqm_mask_sreg(0); -- for first read
udqm_next <= dqm_mask_sreg(1);
when X"5" => -- dqm for 1st read is sent
ldqm_next <= dqm_mask_sreg(2); -- for 2nd read
udqm_next <= dqm_mask_sreg(3);
when X"7" =>
data_out_next(7 downto 0) <= (dq_in_next(7 downto 0) and not(repeat(8,dqm_mask_sreg(0)))) or (dq_in_next(15 downto 8) and repeat(8,dqm_mask_sreg(0)));
data_out_next(15 downto 8) <= dq_in_next(15 downto 8);
when X"8" => -- auto-precharge starts here after cas cycles (at this speed issi can do 2, psc can do 3 -> use slowest)
data_out_next(15 downto 8) <= (dq_in_next(7 downto 0) and repeat(8,dqm_mask_sreg(0))) or (data_out_reg(15 downto 8) and not(repeat(8,dqm_mask_sreg(0))));
data_out_next(31 downto 16) <= dq_in_next(15 downto 0);
reply_next <= request_sreg;
sdram_state_next <= sdram_state_idle;
-- after 3 cycles we can do the next ACT (21 ns psc)
-- TODO - directly switch to next action so as not to waste a cycle
when others =>
end case;
when sdram_state_write =>
case delay_reg(3 downto 0) is
when X"0" =>
command_next <= sdram_command_bank_activate;
ba_next <= address_in_sreg(ADDRESS_WIDTH-1 downto ADDRESS_WIDTH-2);
addr_next <= address_in_sreg(ADDRESS_WIDTH-3 downto ADDRESS_WIDTH-3-ROW_WIDTH+1);
when X"3" => -- after t_rcd (18ns issi, 21ns psc) i.e. 3 cycles - before of t_ras (6 cycles before auto-precharge)
command_next <= sdram_command_write;
ba_next <= address_in_sreg(ADDRESS_WIDTH-1 downto ADDRESS_WIDTH-2);
addr_next(COLUMN_WIDTH-1 downto 0) <= address_in_sreg(ADDRESS_WIDTH-3-ROW_WIDTH downto 0);
addr_next(AP_BIT) <= '1'; -- auto-precharge
dq_output_next <= '1';
dq_out_next(7 downto 0) <= data_in_sreg(7 downto 0);
dq_out_next(15 downto 8) <= (data_in_sreg(15 downto 8) and not(repeat(8,dqm_mask_sreg(0)))) or (data_in_sreg(7 downto 0) and repeat(8,dqm_mask_sreg(0)));
ldqm_next <= dqm_mask_sreg(0);
udqm_next <= dqm_mask_sreg(1);
when X"4" =>
dq_output_next <= '1';
dq_out_next(7 downto 0) <= (data_in_sreg(23 downto 16) and not(repeat(8,dqm_mask_sreg(0)))) or (data_in_sreg(15 downto 8) and repeat(8,dqm_mask_sreg(0)));
dq_out_next(15 downto 8) <= data_in_sreg(31 downto 24);
ldqm_next <= dqm_mask_sreg(2);
udqm_next <= dqm_mask_sreg(3);
reply_next <= request_sreg;
when X"6" => -- after 3 cycles we can do the next ACT (21 ns psc)
sdram_state_next <= sdram_state_idle;
-- TODO - directly switch to next action to match read
when others =>
end case;
when sdram_state_refresh =>
case delay_reg(3 downto 0) is
when X"0" =>
command_next <= sdram_command_refresh;
-- cke still high, so auto refresh
refreshing_now <= '1';
when X"8" =>
sdram_state_next <= sdram_state_idle;
when others =>
end case;
when others =>
sdram_state_next <= sdram_state_init;
end case;
end process;
-- command_next directly translates to lines to send
-- NB command_next is send ON NEXT CLOCK - so we get a clean clk->q with no combinational logic
process(command_next)
begin
cs_n_next <= command_next(3);
ras_n_next <= command_next(2);
cas_n_next <= command_next(1);
we_n_next <= command_next(0);
end process;
-- outputs to SDRAM - because timing is tight these SHOULD ALL BE DIRECT FROM REGISTERS
SDRAM_ADDR <= addr_reg;
SDRAM_DQ <= dq_out_reg when dq_output_reg='1' else (others=>'Z');
SDRAM_BA0 <= ba_reg(0);
SDRAM_BA1 <= ba_reg(1);
SDRAM_CS_N <= cs_n_reg;
SDRAM_RAS_N <= ras_n_reg;
SDRAM_CAS_N <= cas_n_reg;
SDRAM_WE_N <= we_n_reg;
SDRAM_ldqm <= ldqm_reg;
SDRAM_udqm <= udqm_reg;
SDRAM_CKE <= cke_reg;
-- inputs from SDRAM
dq_in_next <= SDRAM_DQ;
-- back to slower clock
reply_snext <= reply_reg;
data_out_snext <= data_out_reg;
-- outputs to rest of system
--REPLY <= reply_sreg;
DATA_OUT <= DATA_OUT_sreg;
-- a little sdram glue - move to sdram wrapper?
COMPLETE <= (reply_sreg xnor sdram_request_reg) and not(request);
sdram_request_next <= sdram_request_reg xor request;
reset_client_n <= reset_client_n_reg;
END vhdl;
| gpl-3.0 | 16fa87cb2a6f163f5234605a26d0d9cc | 0.64707 | 3.018304 | false | false | false | false |
APastorG/APG | adder/adder_core_s.vhd | 1 | 30,691 | /***************************************************************************************************
/
/ Author: Antonio Pastor González
/ ¯¯¯¯¯¯
/
/ Date:
/ ¯¯¯¯
/
/ Version:
/ ¯¯¯¯¯¯¯
/
/ Notes:
/ ¯¯¯¯¯
/ This design makes use of some features from VHDL-2008, all of which have been implemented in
/ Vivado by Xilinx
/ A 3 space tab is used throughout the document
/
/
/ Description:
/ ¯¯¯¯¯¯¯¯¯¯¯
/ This is a design of a parameterized adder which allows the addition of signed numbers
/ with high fexibility and control over the way data is introduced and the level of pipelining it
/ will be used
/ ┌ ┌───────┐
/ │ │ i e a │
/ input: P│ │ j f b │ _______
/ │ │ k g c │ ----> | adder | ----> result
/ │ │ l h d │ ───────
/ └ └───────┘
/ └───────┘
/ S
/ The clock cycles it takes to produce a result from the input can also be specified with the
/ SPEED_opt parameter. The higher this parameter, the shorter, in general, the delay path
/ between each register and thus, the higher the frequency the design is able to reach.
/
**************************************************************************************************/
library ieee;
use ieee.numeric_std.all;
use ieee.std_logic_1164.all;
use ieee.math_real.all;
library work;
use work.common_data_types_pkg.all;
use work.common_pkg.all;
use work.adder_pkg.all;
use work.counter_pkg.all;
use work.fixed_generic_pkg.all;
/*================================================================================================*/
/*================================================================================================*/
/*================================================================================================*/
entity adder_core_s is
generic(
DATA_IMM_AFTER_START_opt : boolean := false; --default
SPEED_opt : T_speed := t_min; --exception: value not set
MAX_POSSIBLE_BIT_opt : integer_exc := integer'low; --exception: value not set
TRUNCATE_TO_BIT_opt : integer_exc := integer'low; --exception: value not set
S : positive; --compulsory
P : positive; --compulsory
input_high : integer;
input_low : integer
);
port(
input : in u_sfixed_v(1 to P); --unconstrained array
clk : in std_ulogic;
start : in std_ulogic;
valid_input : in std_ulogic;
output : out u_sfixed(adder_OH(MAX_POSSIBLE_BIT_opt,
S,
P,
input_high)
downto
adder_OL(TRUNCATE_TO_BIT_opt,
input_low)
);
valid_output : out std_ulogic
);
end entity;
/*================================================================================================*/
/*================================================================================================*/
/*================================================================================================*/
architecture adder_core_s_1 of adder_core_s is
/* corrected generics and internal/external ports' sizes */
/**************************************************************************************************/
constant CHECKS : integer := adder_CHECKS(MAX_POSSIBLE_BIT_opt,
TRUNCATE_TO_BIT_opt,
S,
P,
input(1)'high,
input(1)'low);
constant DATA_WIDTH : positive := input(1)'length;
constant DATA_HIGH : integer := input(1)'high;
constant DATA_LOW : integer := input(1)'low;
constant OUTPUT_HIGH : integer := adder_OH(MAX_POSSIBLE_BIT_opt,
S,
P,
DATA_HIGH);
constant OUTPUT_LOW : integer := adder_OL(TRUNCATE_TO_BIT_opt,
DATA_LOW);
constant DATA_IMM_AFTER_START : boolean := DATA_IMM_AFTER_START_opt;
constant MAX_POSSIBLE_BIT : integer := ite(MAX_POSSIBLE_BIT_opt=integer'low,
integer'high - SULV_NEW_ZERO,
MAX_POSSIBLE_BIT_opt);
constant ADD_LEVELS : positive := 1 + log2ceil(P);
constant PIPELINE_POSITIONS : natural := ite(S = 1,
ADD_LEVELS,
ADD_LEVELS + 1);
constant PIPELINES_2_INTRODUCE : natural := number_of_pipelines(PIPELINE_POSITIONS,
SPEED_opt);
constant CONDITION_EXC : boolean := (not DATA_IMM_AFTER_START)
and
((S = 1 and PIPELINES_2_INTRODUCE=0)
or
PIPELINES_2_INTRODUCE < 2);
function is_pipelines_exc(
s : positive;
pipeline_positions : natural)
return boolean_v is
variable result : boolean_v(1 to pipeline_positions) := (others => false);
begin
result(ite(s = 1,
pipeline_positions,
pipeline_positions-1)) := true;
return result;
end function;
constant IS_PIPELINED_EXC : boolean_v := is_pipelines_exc(S,
PIPELINE_POSITIONS);
constant IS_PIPELINED : boolean_v := ite(CONDITION_EXC,
IS_PIPELINED_EXC,
generate_pipelines(PIPELINE_POSITIONS,
SPEED_opt)
);
constant OUTPUT_BUFFER : boolean := ite(S = 1,
false,
ite(CONDITION_EXC,
false,
IS_PIPELINED(PIPELINE_POSITIONS))
);
constant ACC_PIPELINES : natural := S - 1;
constant ADD_PIPELINES : natural := ite(CONDITION_EXC,
1,
PIPELINES_2_INTRODUCE - ite(OUTPUT_BUFFER, 1, 0)
);
constant PIPELINES : natural := ADD_PIPELINES + ACC_PIPELINES + ite(OUTPUT_BUFFER,
1,
0);
/* other constants */
/**************************************************************************************************/
constant INTER_HIGH : integer := minimum(DATA_HIGH + log2ceil(P),
MAX_POSSIBLE_BIT);
/* data signals */
/**************************************************************************************************/
signal input_resolved : sfixed_v(1 to P)(input(1)'range); --where the input is converted to resolved
signal inter : u_sfixed(INTER_HIGH downto DATA_LOW);
signal output_inter : u_sfixed(OUTPUT_HIGH downto DATA_LOW);
signal start_sh : std_ulogic_vector(1 to ADD_PIPELINES);
signal valid_input_sh : std_ulogic_vector(1 to ADD_PIPELINES);
/* control signals */
/**************************************************************************************************/
signal start_delayed : std_ulogic;
signal valid_input_delayed : std_ulogic;
signal counter_out : std_ulogic;
/* structures to store and manipulate data of the adder tree (ADD) */
/**************************************************************************************************/
function T_ADD_state_data_high(
index : natural)
return integer is
begin
if DATA_HIGH+index > MAX_POSSIBLE_BIT then
return MAX_POSSIBLE_BIT;
else
return DATA_HIGH + index;
end if;
end function;
type T_ADD_state is record
level0 : sfixed_v(1 to signals_per_level(P, 0 ))(T_ADD_state_data_high(0) downto DATA_LOW);
level1 : sfixed_v(1 to signals_per_level(P, 1 ))(T_ADD_state_data_high(1) downto DATA_LOW);
level2 : sfixed_v(1 to signals_per_level(P, 2 ))(T_ADD_state_data_high(2) downto DATA_LOW);
level3 : sfixed_v(1 to signals_per_level(P, 3 ))(T_ADD_state_data_high(3) downto DATA_LOW);
level4 : sfixed_v(1 to signals_per_level(P, 4 ))(T_ADD_state_data_high(4) downto DATA_LOW);
level5 : sfixed_v(1 to signals_per_level(P, 5 ))(T_ADD_state_data_high(5) downto DATA_LOW);
level6 : sfixed_v(1 to signals_per_level(P, 6 ))(T_ADD_state_data_high(6) downto DATA_LOW);
level7 : sfixed_v(1 to signals_per_level(P, 7 ))(T_ADD_state_data_high(7) downto DATA_LOW);
level8 : sfixed_v(1 to signals_per_level(P, 8 ))(T_ADD_state_data_high(8) downto DATA_LOW);
level9 : sfixed_v(1 to signals_per_level(P, 9 ))(T_ADD_state_data_high(9) downto DATA_LOW);
level10 : sfixed_v(1 to signals_per_level(P, 10))(T_ADD_state_data_high(10)downto DATA_LOW);
level11 : sfixed_v(1 to signals_per_level(P, 11))(T_ADD_state_data_high(11)downto DATA_LOW);
end record;
-- in Vivado and ModelSim
-- this constant is used because even driving only one member of a structure implies driving the
-- whole structure. So with resolved signals the analysis takes places without problems and the
-- subsequent synthesis generates the desired structure.
constant ADD_Z : T_ADD_state:=(level0 => (others=>(others=>'Z')),
level1 => (others=>(others=>'Z')),
level2 => (others=>(others=>'Z')),
level3 => (others=>(others=>'Z')),
level4 => (others=>(others=>'Z')),
level5 => (others=>(others=>'Z')),
level6 => (others=>(others=>'Z')),
level7 => (others=>(others=>'Z')),
level8 => (others=>(others=>'Z')),
level9 => (others=>(others=>'Z')),
level10 => (others=>(others=>'Z')),
level11 => (others=>(others=>'Z')));
-- ADD_in stores the signals entering the levels of the adder tree
-- ADD_out stores the signals leaving
signal ADD_in : T_ADD_state := ADD_Z;
signal ADD_out : T_ADD_state := ADD_Z;
/* functions to read and procedures to write from/to T_ADD_state */
/**************************************************************************************************/
function T_ADD_state_read(
state : T_ADD_state;
level : natural)
return sfixed_v is
begin
case level is
when 0 => return state.level0;
when 1 => return state.level1;
when 2 => return state.level2;
when 3 => return state.level3;
when 4 => return state.level4;
when 5 => return state.level5;
when 6 => return state.level6;
when 7 => return state.level7;
when 8 => return state.level8;
when 9 => return state.level9;
when 10 => return state.level10;
when others => return state.level11;
end case;
end function;
function T_ADD_state_read(
state : T_ADD_state;
level : natural;
signal_number : integer)
return u_sfixed is
begin
case level is
when 0 => return state.level0(signal_number);
when 1 => return state.level1(signal_number);
when 2 => return state.level2(signal_number);
when 3 => return state.level3(signal_number);
when 4 => return state.level4(signal_number);
when 5 => return state.level5(signal_number);
when 6 => return state.level6(signal_number);
when 7 => return state.level7(signal_number);
when 8 => return state.level8(signal_number);
when 9 => return state.level9(signal_number);
when 10 => return state.level10(signal_number);
when others => return state.level11(signal_number);
end case;
end function;
procedure T_ADD_state_write(
signal state : inout T_ADD_state;
constant level : in natural;
constant new_value : in sfixed_v) is
begin
--for loop introduced because of obscure error in Active-HDL:
--Error DAGGEN_0700: Fatal error : INTERNAL CODE GENERATOR ERROR
for i in new_value'range loop
case level is
when 0 => state.level0(i) <= new_value(i);
when 1 => state.level1(i) <= new_value(i);
when 2 => state.level2(i) <= new_value(i);
when 3 => state.level3(i) <= new_value(i);
when 4 => state.level4(i) <= new_value(i);
when 5 => state.level5(i) <= new_value(i);
when 6 => state.level6(i) <= new_value(i);
when 7 => state.level7(i) <= new_value(i);
when 8 => state.level8(i) <= new_value(i);
when 9 => state.level9(i) <= new_value(i);
when 10 => state.level10(i) <= new_value(i);
when others => state.level11(i) <= new_value(i);
end case;
end loop;
end procedure;
procedure T_ADD_state_write(
signal state : inout T_ADD_state;
constant level : in natural;
constant signal_number : in integer;
constant new_value : in sfixed) is
begin
case level is
when 0 => state.level0(signal_number) <= new_value;
when 1 => state.level1(signal_number) <= new_value;
when 2 => state.level2(signal_number) <= new_value;
when 3 => state.level3(signal_number) <= new_value;
when 4 => state.level4(signal_number) <= new_value;
when 5 => state.level5(signal_number) <= new_value;
when 6 => state.level6(signal_number) <= new_value;
when 7 => state.level7(signal_number) <= new_value;
when 8 => state.level8(signal_number) <= new_value;
when 9 => state.level9(signal_number) <= new_value;
when 10 => state.level10(signal_number) <= new_value;
when others => state.level11(signal_number) <= new_value;
end case;
end procedure;
procedure T_ADD_state_copy(
signal from_state : in T_ADD_state;
signal to_state : inout T_ADD_state;
constant level : in natural) is
begin
case level is
when 0 => to_state.level0 <= from_state.level0;
when 1 => to_state.level1 <= from_state.level1;
when 2 => to_state.level2 <= from_state.level2;
when 3 => to_state.level3 <= from_state.level3;
when 4 => to_state.level4 <= from_state.level4;
when 5 => to_state.level5 <= from_state.level5;
when 6 => to_state.level6 <= from_state.level6;
when 7 => to_state.level7 <= from_state.level7;
when 8 => to_state.level8 <= from_state.level8;
when 9 => to_state.level9 <= from_state.level9;
when 10 => to_state.level10 <= from_state.level10;
when others => to_state.level11 <= from_state.level11;
end case;
end procedure;
/*================================================================================================*/
/*================================================================================================*/
begin
msg_debug("adder_core_s : OUTPUT_HIGH: " & image(OUTPUT_HIGH));
msg_debug("adder_core_s : OUTPUT_LOW: " & image(OUTPUT_LOW));
msg_debug("adder_core_s : DATA_HIGH: " & image(DATA_HIGH));
msg_debug("adder_core_s : DATA_LOW: " & image(DATA_LOW));
msg_debug("adder_core_s : MAX_POSSIBLE_BIT_opt: " & image(MAX_POSSIBLE_BIT_opt));
msg_debug("adder_core_s : MAX_POSSIBLE_BIT: " & image(MAX_POSSIBLE_BIT));
/* Introduction of the input to the ADD structure, and extraction of the signal inter from it */
/**************************************************************************************************/
generate_input_resolved_signal:
for i in 1 to P generate
begin
input_resolved(i) <= input(i);
end;
end generate;
T_ADD_state_write(ADD_in, 0, input_resolved);
inter <= T_ADD_state_read(ADD_out, ADD_LEVELS-1, 1);
/* Generation and management of the control signals */
/**************************************************************************************************/
generate_start_control:
if ADD_PIPELINES>0 generate
begin
start_delayed <= start_sh(ADD_PIPELINES);
process (clk) is
begin
if rising_edge(clk) then
start_sh(1) <= start;
if ADD_PIPELINES>1 then
start_sh(2 to ADD_PIPELINES) <= start_sh(1 to ADD_PIPELINES-1);
end if;
end if;
end process;
end;
else generate
begin
start_delayed <= start;
end;
end generate;
generate_valid_input_control:
if DATA_IMM_AFTER_START=false generate
begin
when_ADD_PIPELINES_is_0:
if ADD_PIPELINES=0 generate
begin
valid_input_delayed<= valid_input;
end;
else generate
begin
valid_input_delayed <= valid_input_sh(ADD_PIPELINES);
process (clk) is
begin
if rising_edge(clk) then
valid_input_sh(1) <= valid_input;
if ADD_PIPELINES>1 then
valid_input_sh(2 to ADD_PIPELINES) <= valid_input_sh(1 to ADD_PIPELINES-1);
end if;
end if;
end process;
end;
end generate;
end;
end generate;
when_ACC_PIPELINES_greater_than_0:
if S>1 generate
begin
generate_counter:
if DATA_IMM_AFTER_START generate
signal aux : std_ulogic;
signal count : std_ulogic_vector(counter_CW(true, --UNSIGNED_2COMP_opt,
0, --COUNTER_WIDTH_dep,
true, --TARGET_MODE,
ACC_PIPELINES, --TARGET_dep,
true, --TARGET_WITH_COUNT_opt = t_true,
true, --USE_SET,
1) --SET_TO_dep)
downto 1);
begin
aux <= unsigned(count) ?/= 0;
counter:
entity work.counter
generic map(
UNSIGNED_2COMP_opt => true,
OVERFLOW_BEHAVIOR_opt => t_wrap,
--COUNT_MODE_opt => t_up,
--COUNTER_WIDTH_dep => ,
TARGET_MODE => true,
TARGET_dep => ACC_PIPELINES,
TARGET_WITH_COUNT_opt => t_true,
TARGET_BLOCKING_opt => t_false,
USE_SET => true,
SET_TO_dep => 1,
USE_RESET => true,
SET_RESET_PRIORITY_opt => t_set,
USE_LOAD => false
)
port map(
clk => clk,
enable => aux,
set => start_delayed,
reset => counter_out,
--load => ,
--count_mode_signal => ,
--value_to_load => ,
count => count,
count_is_TARGET(1) => counter_out
);
end;
else generate
signal count : std_ulogic_vector(counter_CW(true, --UNSIGNED_2COMP_opt,
0, --COUNTER_WIDTH_dep,
true, --TARGET_MODE,
ACC_PIPELINES, --TARGET_dep,
false, --TARGET_WITH_COUNT_opt = t_true,
true, --USE_SET,
1) --SET_TO_dep)
downto 1);
begin
counter:
entity work.counter
generic map(
UNSIGNED_2COMP_opt => true,
OVERFLOW_BEHAVIOR_opt => t_saturate,
--COUNT_MODE_opt => t_up,
--COUNTER_WIDTH_dep => ,
TARGET_MODE => true,
TARGET_dep => ACC_PIPELINES,
TARGET_WITH_COUNT_opt => t_false,
TARGET_BLOCKING_opt => t_true,
USE_SET => true,
SET_TO_dep => 1,
USE_RESET => true,
SET_RESET_PRIORITY_opt => t_set,
USE_LOAD => false
)
port map(
clk => clk,
enable => valid_input_delayed,
set => start_delayed,
reset => counter_out and valid_input_delayed,
--load => ,
--count_mode_signal => ,
--value_to_load => ,
count => count, --not used
count_is_TARGET(1) => counter_out
);
end;
end generate;
end;
elsif DATA_IMM_AFTER_START generate
begin
counter_out <= start_delayed;
end;
else generate
begin
counter_out <= valid_input_delayed;
end;
end generate;
generate_valid_output:
if OUTPUT_BUFFER generate
begin
process (clk) is
begin
if rising_edge(clk) then
if DATA_IMM_AFTER_START then
valid_output <= counter_out;
else
valid_output <= counter_out and valid_input_delayed;
end if;
end if;
end process;
end;
elsif DATA_IMM_AFTER_START generate
begin
valid_output <= counter_out;
end;
else generate
begin
valid_output <= counter_out and valid_input_delayed;
end;
end generate;
/* Generation of the adder tree */
/**************************************************************************************************/
generate_ADD_PIPELINES:
for level in 0 to ADD_LEVELS-1 generate
begin
except_in_first_level:
if level > 0 generate
begin
when_more_than_two_signals:
if signals_per_level(P, level-1) > 1 generate
begin
add_pairs:
for i in 1 to integer(floor(real(signals_per_level(P, level-1))/2.0)) generate
begin
T_ADD_state_write(ADD_in,
level,
i,
resize(T_ADD_state_read(ADD_out,
level-1,
2*i-1)
+
T_ADD_state_read(ADD_out,
level-1,
2*i),
minimum(DATA_HIGH+level,
MAX_POSSIBLE_BIT),
DATA_LOW));
end;
end generate;
end;
end generate;
transport_last_signal_when_odd_number_of_signals:
if (signals_per_level(P, level-1) mod 2)=1 generate
begin
T_ADD_state_write(ADD_in,
level,
signals_per_level(P, level),
resize(T_ADD_state_read(ADD_out,
level-1,
signals_per_level(P, level-1)),
minimum(DATA_HIGH+level,
MAX_POSSIBLE_BIT),
DATA_LOW));
end;
end generate;
end;
end generate;
generate_pipelines_or_connect_cables:
if IS_PIPELINED(level+1) generate
begin
process (clk) is
begin
if rising_edge(clk) then
T_ADD_state_copy(from_state=>ADD_in, to_state=>ADD_out, level=>level);
end if;
end process;
end;
else generate
begin
T_ADD_state_copy(from_state=>ADD_in, to_state=>ADD_out, level=>level);
end;
end generate;
end;
end generate;
/* Generation of the accumulator */
/**************************************************************************************************/
generate_accumulator:
if S>1 generate
signal previous_output_inter : u_sfixed(output_inter'range);
signal inter_resized : u_sfixed(output_inter'range);
signal addition : u_sfixed(output_inter'range);
signal selector : std_ulogic;
begin
inter_resized <= resize(inter, output_inter);
addition <= resize(previous_output_inter + inter_resized, addition);
output_inter <= addition when selector='0' else
inter_resized when selector='1' else
(others => 'X');
selector <= start_delayed;
process (clk) is
begin
if rising_edge(clk) then
if DATA_IMM_AFTER_START=false then
if valid_input_delayed = '1' then
previous_output_inter <= output_inter;
end if;
else
previous_output_inter <= output_inter;
end if;
end if;
end process;
end;
else generate
begin
output_inter <= inter;
end;
end generate;
/* Generation of the output pipeline */
/**************************************************************************************************/
generate_output_pipeline:
if OUTPUT_BUFFER generate
begin
process (clk)
begin
if rising_edge(clk) then
if OUTPUT_LOW<DATA_LOW then
output <= resize(output_inter, OUTPUT_HIGH, OUTPUT_LOW);
else
output <= (OUTPUT_HIGH downto OUTPUT_LOW =>
output_inter(OUTPUT_HIGH downto OUTPUT_LOW));
end if;
end if;
end process;
end;
else generate
begin
generate_no_output_pipeline:
if OUTPUT_LOW<DATA_LOW generate
begin
output <= resize(output_inter, OUTPUT_HIGH, OUTPUT_LOW);
end;
else generate
begin
output <= (OUTPUT_HIGH downto OUTPUT_LOW =>
output_inter(OUTPUT_HIGH downto OUTPUT_LOW));
end;
end generate;
end;
end generate;
end architecture;
| mit | 69fdbfa80a2b5d0f80c729b3b77e1da5 | 0.404012 | 4.886633 | false | false | false | false |
ILoveSpeccy/Aeon-Lite | cores/atari800xl/src/a8core/pokey.vhdl | 1 | 37,114 | ---------------------------------------------------------------------------
-- (c) 2013 mark watson
-- I am happy for anyone to use this for non-commercial use.
-- If my vhdl files are used commercially or otherwise sold,
-- please contact me for explicit permission at scrameta (gmail).
-- This applies for source and binary form and derived works.
---------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.all;
use ieee.numeric_std.all;
-- Problem - UART on the DE1 does not have all pins connected. Need to use...
ENTITY pokey IS
PORT
(
CLK : IN STD_LOGIC;
ENABLE_179 :in std_logic;
ADDR : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
DATA_IN : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
WR_EN : IN STD_LOGIC;
RESET_N : IN STD_LOGIC;
-- keyboard interface
-- KBCODE : IN STD_LOGIC_VECTOR(7 downto 0);
-- KEY_HELD : IN STD_LOGIC;
-- SHIFT_PRESSED : IN STD_LOGIC;
-- BREAK_PRESSED : IN STD_LOGIC;
-- KEY_INTERRUPT : IN STD_LOGIC;
keyboard_scan : out std_logic_vector(5 downto 0);
keyboard_response : in std_logic_vector(1 downto 0);
-- pots - go high as capacitor charges
POT_IN : in std_logic_vector(7 downto 0);
-- sio interface
SIO_IN1 : IN std_logic;
SIO_IN2 : IN std_logic;
SIO_IN3 : IN std_logic;
DATA_OUT : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
CHANNEL_0_OUT : OUT STD_LOGIC_VECTOR(3 downto 0);
CHANNEL_1_OUT : OUT STD_LOGIC_VECTOR(3 downto 0);
CHANNEL_2_OUT : OUT STD_LOGIC_VECTOR(3 downto 0);
CHANNEL_3_OUT : OUT STD_LOGIC_VECTOR(3 downto 0);
IRQ_N_OUT : OUT std_logic;
SIO_OUT1 : OUT std_logic;
SIO_OUT2 : OUT std_logic;
SIO_OUT3 : OUT std_logic;
SIO_CLOCK : INOUT std_logic; -- TODO, should not use internally
POT_RESET : out std_logic
);
END pokey;
ARCHITECTURE vhdl OF pokey IS
component synchronizer IS
PORT
(
CLK : IN STD_LOGIC;
RAW : IN STD_LOGIC;
SYNC : OUT STD_LOGIC
);
END component;
component syncreset_enable_divider IS
generic(COUNT : natural := 1; RESETCOUNT : natural := 0);
PORT
(
CLK : IN STD_LOGIC;
syncreset : in std_logic;
reset_n : in std_logic;
ENABLE_IN : IN STD_LOGIC;
ENABLE_OUT : OUT STD_LOGIC
);
END component;
component pokey_poly_17_9 IS
PORT
(
CLK : IN STD_LOGIC;
RESET_N : IN STD_LOGIC;
ENABLE : IN STD_LOGIC;
SELECT_9_17 : IN STD_LOGIC; -- 9 high, 17 low
INIT : IN STD_LOGIC;
BIT_OUT : OUT STD_LOGIC;
RAND_OUT : OUT std_logic_vector(7 downto 0)
);
END component;
component pokey_poly_5 IS
PORT
(
CLK : IN STD_LOGIC;
RESET_N : IN STD_LOGIC;
ENABLE : IN STD_LOGIC;
INIT : IN STD_LOGIC;
BIT_OUT : OUT STD_LOGIC
);
END component;
component pokey_poly_4 IS
PORT
(
CLK : IN STD_LOGIC;
RESET_N : IN STD_LOGIC;
ENABLE : IN STD_LOGIC;
INIT : IN STD_LOGIC;
BIT_OUT : OUT STD_LOGIC
);
END component;
component pokey_countdown_timer IS
generic(UNDERFLOW_DELAY : natural := 3);
PORT
(
CLK : IN STD_LOGIC;
ENABLE : IN STD_LOGIC;
ENABLE_UNDERFLOW : IN STD_LOGIC;
RESET_N : IN STD_LOGIC;
WR_EN : IN STD_LOGIC;
DATA_IN : IN STD_LOGIC_VECTOR(7 downto 0);
DATA_OUT : OUT STD_LOGIC
);
END component;
component pokey_noise_filter IS
PORT
(
NOISE_SELECT : IN STD_LOGIC_VECTOR(2 downto 0);
PULSE_IN : IN STD_LOGIC;
NOISE_4 : IN STD_LOGIC;
NOISE_5 : IN STD_LOGIC;
NOISE_LARGE : IN STD_LOGIC;
PULSE_OUT : OUT STD_LOGIC
);
END component;
COMPONENT complete_address_decoder IS
generic (width : natural := 1);
PORT
(
addr_in : in std_logic_vector(width-1 downto 0);
addr_decoded : out std_logic_vector((2**width)-1 downto 0)
);
END component;
component delay_line IS
generic(COUNT : natural := 1);
PORT
(
CLK : IN STD_LOGIC;
SYNC_RESET : IN STD_LOGIC;
DATA_IN : IN STD_LOGIC;
ENABLE : IN STD_LOGIC;
RESET_N : IN STD_LOGIC;
DATA_OUT : OUT STD_LOGIC
);
END component;
component pokey_keyboard_scanner is
port
(
clk : in std_logic;
reset_n : in std_logic;
enable : in std_logic; -- typically hsync or equiv timing
keyboard_response : in std_logic_vector(1 downto 0);
debounce_disable : in std_logic;
scan_enable : in std_logic;
keyboard_scan : out std_logic_vector(5 downto 0);
shift_pressed : out std_logic;
control_pressed : out std_logic;
break_pressed : out std_logic;
key_held : out std_logic;
keycode : out std_logic_vector(5 downto 0);
other_key_irq : out std_logic
);
end component;
--signal enable_179 : std_logic;
signal enable_64 : std_logic;
signal enable_15 : std_logic;
signal audf0_reg : std_logic_vector(7 downto 0);
signal audc0_reg : std_logic_vector(7 downto 0);
signal audf1_reg : std_logic_vector(7 downto 0);
signal audc1_reg : std_logic_vector(7 downto 0);
signal audf2_reg : std_logic_vector(7 downto 0);
signal audc2_reg : std_logic_vector(7 downto 0);
signal audf3_reg : std_logic_vector(7 downto 0);
signal audc3_reg : std_logic_vector(7 downto 0);
signal audctl_reg : std_logic_vector(7 downto 0);
signal audf0_next : std_logic_vector(7 downto 0);
signal audc0_next : std_logic_vector(7 downto 0);
signal audf1_next : std_logic_vector(7 downto 0);
signal audc1_next : std_logic_vector(7 downto 0);
signal audf2_next : std_logic_vector(7 downto 0);
signal audc2_next : std_logic_vector(7 downto 0);
signal audf3_next : std_logic_vector(7 downto 0);
signal audc3_next : std_logic_vector(7 downto 0);
signal audctl_next : std_logic_vector(7 downto 0);
signal audf0_pulse : std_logic;
signal audf1_pulse : std_logic;
signal audf2_pulse : std_logic;
signal audf3_pulse : std_logic;
signal audf0_reload : std_logic;
signal audf1_reload : std_logic;
signal audf2_reload : std_logic;
signal audf3_reload : std_logic;
signal stimer_write : std_logic;
signal stimer_write_delayed : std_logic;
signal audf0_pulse_noise : std_logic;
signal audf1_pulse_noise : std_logic;
signal audf2_pulse_noise : std_logic;
signal audf3_pulse_noise : std_logic;
signal audf0_enable : std_logic;
signal audf1_enable : std_logic;
signal audf2_enable : std_logic;
signal audf3_enable : std_logic;
signal chan0_output_next : std_logic;
signal chan1_output_next : std_logic;
signal chan2_output_next : std_logic;
signal chan3_output_next : std_logic;
signal chan0_output_reg : std_logic;
signal chan1_output_reg : std_logic;
signal chan2_output_reg : std_logic;
signal chan3_output_reg : std_logic;
signal highpass0_next : std_logic;
signal highpass1_next : std_logic;
signal highpass0_reg : std_logic;
signal highpass1_reg : std_logic;
signal volume_channel_0_next : std_logic_vector(3 downto 0);
signal volume_channel_1_next : std_logic_vector(3 downto 0);
signal volume_channel_2_next : std_logic_vector(3 downto 0);
signal volume_channel_3_next : std_logic_vector(3 downto 0);
signal volume_channel_0_reg : std_logic_vector(3 downto 0);
signal volume_channel_1_reg : std_logic_vector(3 downto 0);
signal volume_channel_2_reg : std_logic_vector(3 downto 0);
signal volume_channel_3_reg : std_logic_vector(3 downto 0);
signal addr_decoded : std_logic_vector(15 downto 0);
signal noise_4 : std_logic;
signal noise_5 : std_logic;
signal noise_large : std_logic;
signal rand_out : std_logic_vector(7 downto 0); -- snoop part of the shift reg
signal initmode : std_logic;
signal irqen_next : std_logic_vector(7 downto 0);
signal irqen_reg : std_logic_vector(7 downto 0);
signal irqst_next : std_logic_vector(7 downto 0);
signal irqst_reg : std_logic_vector(7 downto 0);
signal irq_n_next : std_logic;
signal irq_n_reg : std_logic; -- for output
-- serial ports!
signal serial_ip_ready_interrupt : std_logic;
signal serial_ip_framing_next : std_logic;
signal serial_ip_framing_reg : std_logic;
signal serial_ip_overrun_next : std_logic;
signal serial_ip_overrun_reg : std_logic;
signal serial_op_needed_interrupt : std_logic;
signal skctl_next : std_logic_vector(7 downto 0);
signal skctl_reg : std_logic_vector(7 downto 0);
signal serin_shift_next : std_logic_vector(9 downto 0);
signal serin_shift_reg : std_logic_vector(9 downto 0);
signal serin_next : std_logic_vector(7 downto 0);
signal serin_reg : std_logic_vector(7 downto 0);
signal serin_bitcount_next : std_logic_vector(3 downto 0);
signal serin_bitcount_reg : std_logic_vector(3 downto 0);
signal sio_in1_reg : std_logic;
signal sio_in2_reg : std_logic;
signal sio_in3_reg : std_logic;
signal sio_in_next : std_logic;
signal sio_in_reg : std_logic;
signal sio_out_next : std_logic;
signal sio_out_reg : std_logic;
signal serial_out_next : std_logic;
signal serial_out_reg : std_logic;
signal serout_shift_next : std_logic_vector(9 downto 0);
signal serout_shift_reg : std_logic_vector(9 downto 0);
signal serout_holding_full_next : std_logic;
signal serout_holding_full_reg : std_logic;
signal serout_holding_next : std_logic_vector(7 downto 0);
signal serout_holding_reg : std_logic_vector(7 downto 0);
signal serout_holding_load : std_logic;
signal serout_bitcount_next : std_logic_vector(3 downto 0);
signal serout_bitcount_reg : std_logic_vector(3 downto 0);
signal serout_active_next : std_logic;
signal serout_active_reg : std_logic;
signal serial_reset : std_logic;
signal serout_sync_reset : std_logic;
signal skrest_write : std_logic;
signal serout_enable : std_logic;
signal serout_enable_delayed : std_logic;
signal serin_enable : std_logic;
signal async_serial_reset : std_logic;
signal waiting_for_start_bit : std_logic;
signal serin_clock_next : std_logic;
signal serin_clock_reg : std_logic;
signal serin_clock_last_next : std_logic;
signal serin_clock_last_reg : std_logic;
signal serout_clock_next : std_logic;
signal serout_clock_reg : std_logic;
signal serout_clock_last_next : std_logic;
signal serout_clock_last_reg : std_logic;
signal twotone_reset : std_logic;
signal twotone_next : std_logic;
signal twotone_reg : std_logic;
signal clock_next : std_logic;
signal clock_reg : std_logic;
signal clock_sync_next : std_logic;
signal clock_sync_reg : std_logic;
signal clock_input : std_logic;
-- keyboard
signal keyboard_overrun_next : std_logic;
signal keyboard_overrun_reg : std_logic;
signal shift_pressed : std_logic;
signal control_pressed : std_logic;
signal break_pressed : std_logic;
signal key_held : std_logic;
signal other_key_irq : std_logic;
signal kbcode : std_logic_vector(5 downto 0);
-- pots
signal pot0_next : std_logic_vector(7 downto 0);
signal pot0_reg : std_logic_vector(7 downto 0);
signal pot1_next : std_logic_vector(7 downto 0);
signal pot1_reg : std_logic_vector(7 downto 0);
signal pot2_next : std_logic_vector(7 downto 0);
signal pot2_reg : std_logic_vector(7 downto 0);
signal pot3_next : std_logic_vector(7 downto 0);
signal pot3_reg : std_logic_vector(7 downto 0);
signal pot4_next : std_logic_vector(7 downto 0);
signal pot4_reg : std_logic_vector(7 downto 0);
signal pot5_next : std_logic_vector(7 downto 0);
signal pot5_reg : std_logic_vector(7 downto 0);
signal pot6_next : std_logic_vector(7 downto 0);
signal pot6_reg : std_logic_vector(7 downto 0);
signal pot7_next : std_logic_vector(7 downto 0);
signal pot7_reg : std_logic_vector(7 downto 0);
signal pot_counter_next : std_logic_vector(7 downto 0);
signal pot_counter_reg : std_logic_vector(7 downto 0);
signal potgo_write : std_logic;
signal pot_reset_next : std_logic;
signal pot_reset_reg : std_logic;
BEGIN
-- register
process(clk,reset_n)
begin
if (reset_n = '0') then
-- FIXME - Pokey does not have RESET - instead this is caused by 'init' sequence
audf0_reg <= X"00";
audc0_reg <= X"00";
audf1_reg <= X"00";
audc1_reg <= X"00";
audf2_reg <= X"00";
audc2_reg <= X"00";
audf3_reg <= X"00";
audc3_reg <= X"00";
audctl_reg <= X"00";
irqen_reg <= X"00";
irqst_reg <= X"FF";
irq_n_reg <= '1';
skctl_reg <= X"00";
highpass0_reg <= '0';
highpass1_reg <= '0';
chan0_output_reg <= '0';
chan1_output_reg <= '0';
chan2_output_reg <= '0';
chan3_output_reg <= '0';
volume_channel_0_reg <= (others=>'0');
volume_channel_1_reg <= (others=>'0');
volume_channel_2_reg <= (others=>'0');
volume_channel_3_reg <= (others=>'0');
serin_reg <= (others=>'0');
serin_shift_reg <= (others=>'0');
serin_bitcount_reg <= (others=>'0');
serout_shift_reg <= (others=>'0');
serout_holding_reg <= (others=>'0');
serout_holding_full_reg <= '0';
serout_active_reg <= '0';
sio_out_reg <= '1';
serial_out_reg <= '1';
serial_ip_framing_reg <= '0';
serial_ip_overrun_reg <= '0';
clock_reg <= '0';
clock_sync_reg <= '0';
keyboard_overrun_reg <= '0';
serin_clock_reg <= '0';
serin_clock_last_reg <= '0';
serout_clock_reg <= '0';
serout_clock_last_reg <= '0';
twotone_reg <= '0';
sio_in_reg <= '0';
pot0_reg <= (others=>'0');
pot1_reg <= (others=>'0');
pot2_reg <= (others=>'0');
pot3_reg <= (others=>'0');
pot4_reg <= (others=>'0');
pot5_reg <= (others=>'0');
pot6_reg <= (others=>'0');
pot7_reg <= (others=>'0');
pot_counter_reg <= (others=>'0');
pot_reset_reg <= '1';
elsif (clk'event and clk='1') then
audf0_reg <= audf0_next;
audc0_reg <= audc0_next;
audf1_reg <= audf1_next;
audc1_reg <= audc1_next;
audf2_reg <= audf2_next;
audc2_reg <= audc2_next;
audf3_reg <= audf3_next;
audc3_reg <= audc3_next;
audctl_reg <= audctl_next;
irqen_reg <= irqen_next;
irqst_reg <= irqst_next;
irq_n_reg <= irq_n_next;
skctl_reg <= skctl_next;
highpass0_reg <= highpass0_next;
highpass1_reg <= highpass1_next;
chan0_output_reg <= chan0_output_next;
chan1_output_reg <= chan1_output_next;
chan2_output_reg <= chan2_output_next;
chan3_output_reg <= chan3_output_next;
volume_channel_0_reg<= volume_channel_0_next;
volume_channel_1_reg<= volume_channel_1_next;
volume_channel_2_reg<= volume_channel_2_next;
volume_channel_3_reg<= volume_channel_3_next;
serin_reg <= serin_next;
serin_shift_reg <= serin_shift_next;
serin_bitcount_reg <= serin_bitcount_next;
serout_shift_reg <= serout_shift_next;
serout_bitcount_reg <= serout_bitcount_next;
serout_holding_reg<=serout_holding_next;
serout_holding_full_reg<=serout_holding_full_next;
serout_active_reg <= serout_active_next;
sio_out_reg <= sio_out_next;
serial_out_reg <= serial_out_next;
serial_ip_framing_reg <= serial_ip_framing_next;
serial_ip_overrun_reg <= serial_ip_overrun_next;
clock_reg <= clock_next;
clock_sync_reg <= clock_sync_next;
keyboard_overrun_reg <= keyboard_overrun_next;
serin_clock_reg <= serin_clock_next;
serin_clock_last_reg <= serin_clock_last_next;
serout_clock_reg <= serout_clock_next;
serout_clock_last_reg <= serout_clock_last_next;
twotone_reg <= twotone_next;
sio_in_reg <= sio_in_next;
pot0_reg <= pot0_next;
pot1_reg <= pot1_next;
pot2_reg <= pot2_next;
pot3_reg <= pot3_next;
pot4_reg <= pot4_next;
pot5_reg <= pot5_next;
pot6_reg <= pot6_next;
pot7_reg <= pot7_next;
pot_counter_reg <= pot_counter_next;
pot_reset_reg <= pot_reset_next;
end if;
end process;
-- decode address
decode_addr1 : complete_address_decoder
generic map(width=>4)
port map (addr_in=>addr, addr_decoded=>addr_decoded);
-- clock selection
process(enable_64,enable_15,enable_179,audctl_reg,audf0_pulse,audf2_pulse)
begin
audf0_enable <= enable_64;
audf1_enable <= enable_64;
audf2_enable <= enable_64;
audf3_enable <= enable_64;
if (audctl_reg(0) = '1') then
audf0_enable <= enable_15;
audf1_enable <= enable_15;
audf2_enable <= enable_15;
audf3_enable <= enable_15;
end if;
if (audctl_reg(6) = '1') then
audf0_enable <= enable_179;
end if;
if (audctl_reg(5) = '1') then
audf2_enable <= enable_179;
end if;
if(audctl_reg(4) = '1') then
audf1_enable <= audf0_pulse;
end if;
if(audctl_reg(3) = '1') then
audf3_enable <= audf2_pulse;
end if;
end process;
-- Instantiate timers
timer0 : pokey_countdown_timer
generic map (UNDERFLOW_DELAY=>3)
port map(clk=>clk,enable=>audf0_enable,enable_underflow=>enable_179,reset_n=>reset_n,wr_en=>audf0_reload,data_in=>audf0_next,DATA_OUT=>audf0_pulse);
timer1 : pokey_countdown_timer
generic map (UNDERFLOW_DELAY=>3)
port map(clk=>clk,enable=>audf1_enable,enable_underflow=>enable_179,reset_n=>reset_n,wr_en=>audf1_reload,data_in=>audf1_next,DATA_OUT=>audf1_pulse);
timer2 : pokey_countdown_timer
generic map (UNDERFLOW_DELAY=>3)
port map(clk=>clk,enable=>audf2_enable,enable_underflow=>enable_179,reset_n=>reset_n,wr_en=>audf2_reload,data_in=>audf2_next,DATA_OUT=>audf2_pulse);
timer3 : pokey_countdown_timer
generic map (UNDERFLOW_DELAY=>3)
port map(clk=>clk,enable=>audf3_enable,enable_underflow=>enable_179,reset_n=>reset_n,wr_en=>audf3_reload,data_in=>audf3_next,DATA_OUT=>audf3_pulse);
-- Timer reloading
process (audctl_reg, audf0_pulse, audf1_pulse, audf2_pulse, audf3_pulse, stimer_write_delayed, async_serial_reset, twotone_reset)
begin
audf0_reload <= ((not(audctl_reg(4)) and audf0_pulse)) or (audctl_reg(4) and audf1_pulse) or stimer_write_delayed or twotone_reset;
audf1_reload <= audf1_pulse or stimer_write_delayed or twotone_reset;
audf2_reload <= ((not(audctl_reg(3)) and audf2_pulse)) or (audctl_reg(3) and audf3_pulse) or stimer_write_delayed or async_serial_reset;
audf3_reload <= audf3_pulse or stimer_write_delayed or async_serial_reset;
end process;
-- Writes to registers
process(data_in,wr_en,addr_decoded,audf0_reg,audc0_reg,audf1_reg,audc1_reg,audf2_reg,audc2_reg,audf3_reg,audc3_reg,audf0_enable,audf1_enable,audf2_enable,audf3_enable,audctl_reg, irqen_reg, skctl_reg, serout_holding_reg)
begin
audf0_next <= audf0_reg;
audc0_next <= audc0_reg;
audf1_next <= audf1_reg;
audc1_next <= audc1_reg;
audf2_next <= audf2_reg;
audc2_next <= audc2_reg;
audf3_next <= audf3_reg;
audc3_next <= audc3_reg;
audctl_next <= audctl_reg;
irqen_next <= irqen_reg;
skctl_next <= skctl_reg;
stimer_write <= '0';
serout_holding_load <= '0';
serout_holding_next <= serout_holding_reg;
serial_reset <= '0';
skrest_write <= '0';
potgo_write <= '0';
if (wr_en = '1') then
if(addr_decoded(0) = '1') then
audf0_next <= data_in;
end if;
if(addr_decoded(1) = '1') then
audc0_next <= data_in;
end if;
if(addr_decoded(2) = '1') then
audf1_next <= data_in;
end if;
if(addr_decoded(3) = '1') then
audc1_next <= data_in;
end if;
if(addr_decoded(4) = '1') then
audf2_next <= data_in;
end if;
if(addr_decoded(5) = '1') then
audc2_next <= data_in;
end if;
if(addr_decoded(6) = '1') then
audf3_next <= data_in;
end if;
if(addr_decoded(7) = '1') then
audc3_next <= data_in;
end if;
if(addr_decoded(8) = '1') then
audctl_next <= data_in;
end if;
if (addr_decoded(9) = '1') then --STIMER
stimer_write <= '1';
end if;
if (addr_decoded(10) = '1') then -- skrest - resets the serial input problems - overflow etc
skrest_write <= '1';
end if;
if (addr_decoded(11) = '1') then -- POTGO - start POT scan
potgo_write <= '1';
end if;
if (addr_decoded(13) = '1') then --SEROUT
serout_holding_next <= data_in;
serout_holding_load <= '1';
end if;
if (addr_decoded(14) = '1') then --IRQEN
irqen_next <= data_in;
end if;
if (addr_decoded(15) = '1') then --SKCTL
skctl_next <= data_in;
if (data_in(6 downto 4)="000") then
serial_reset <= '1';
end if;
end if;
end if;
end process;
-- Read from registers
process(addr_decoded,kbcode,control_pressed,RAND_OUT,IRQST_REG,KEY_HELD,SHIFT_PRESSED,sio_in_reg,serin_reg,keyboard_overrun_reg, serial_ip_framing_reg, serial_ip_overrun_reg, waiting_for_start_bit, pot_in, pot0_reg, pot1_reg, pot2_reg, pot3_reg, pot4_reg, pot5_reg, pot6_reg, pot7_reg)
begin
data_out <= X"FF";
if(addr_decoded(0) = '1') then --POT0
data_out <= pot0_reg;
end if;
if(addr_decoded(1) = '1') then --POT1
data_out <= pot1_reg;
end if;
if(addr_decoded(2) = '1') then --POT2
data_out <= pot2_reg;
end if;
if(addr_decoded(3) = '1') then --POT3
data_out <= pot3_reg;
end if;
if(addr_decoded(4) = '1') then --POT4
data_out <= pot4_reg;
end if;
if(addr_decoded(5) = '1') then --POT5
data_out <= pot5_reg;
end if;
if(addr_decoded(6) = '1') then --POT6
data_out <= pot6_reg;
end if;
if(addr_decoded(7) = '1') then --POT7
data_out <= pot7_reg;
end if;
if(addr_decoded(8) = '1') then --ALLPOT
data_out <= not(pot_in);
end if;
if(addr_decoded(9) = '1') then --KBCODE
data_out <= control_pressed&shift_pressed&kbcode;
end if;
if(addr_decoded(10) = '1') then -- RANDOM
data_out <= RAND_OUT;
end if;
if (addr_decoded(13) = '1') then --SERIN
data_out <= serin_reg;
end if;
if (addr_decoded(14) = '1') then --IRQST - bits set to low when irq
data_out <= IRQST_REG;
--break_irq_n & other_key_irq_n & serial_ip_irq_n & serial_op_irq_n & serial_trans_irq_n & timer3_irq_n & timer_1_irq_n & timer_0_irq_n
end if;
if (addr_decoded(15) = '1') then --SKSTAT
data_out <= not(serial_ip_framing_reg)¬(keyboard_overrun_reg)¬(serial_ip_overrun_reg)&sio_in_reg¬(SHIFT_PRESSED)¬(KEY_HELD)&waiting_for_start_bit&"1";
end if;
end process;
-- Fire interrupts
process (irqen_reg, irqst_reg, audf0_pulse, audf1_pulse, audf3_pulse, other_key_irq, serial_ip_ready_interrupt, serout_active_reg, serial_op_needed_interrupt, break_pressed)
begin
-- clear interrupts
irqst_next <= irqst_reg or not(irqen_reg);
irq_n_next <= '0';
if ((irqst_reg or "0000"¬(irqen_reg(3))&"000") = X"FF") then
irq_n_next <= '1';
end if;
-- set interrupts
if (audf0_pulse = '1') then
irqst_next(0) <= not(irqen_reg(0));
end if;
if (audf1_pulse = '1') then
irqst_next(1) <= not(irqen_reg(1));
end if;
if (audf3_pulse = '1') then
irqst_next(2) <= not(irqen_reg(2));
end if;
if (other_key_irq = '1') then
irqst_next(6) <= not(irqen_reg(6));
end if;
if (break_pressed = '1') then
irqst_next(7) <= not(irqen_reg(7));
end if;
if (serial_ip_ready_interrupt = '1') then
irqst_next(5) <= not(irqen_reg(5));
end if;
irqst_next(3) <= serout_active_reg;
if (serial_op_needed_interrupt = '1') then
irqst_next(4) <= not(irqen_reg(4));
end if;
end process;
-- Instantiate delay for stimer reload_request
stimer_delay : delay_line
generic map (count=>3)
port map (clk=>clk, sync_reset=>'0',data_in=>stimer_write, enable=>enable_179, reset_n=>reset_n, data_out=>stimer_write_delayed);
--stimer_write_delayed <= stimer_write;
-- Instantiate audio noise filters
pokey_noise_filter0 : pokey_noise_filter
port map(noise_select=>audc0_reg(7 downto 5),pulse_in=>audf0_pulse,pulse_out=>audf0_pulse_noise,noise_4=>noise_4,noise_5=>noise_5,noise_large=>noise_large);
pokey_noise_filter1 : pokey_noise_filter
port map(noise_select=>audc1_reg(7 downto 5),pulse_in=>audf1_pulse,pulse_out=>audf1_pulse_noise,noise_4=>noise_4,noise_5=>noise_5,noise_large=>noise_large);
pokey_noise_filter2 : pokey_noise_filter
port map(noise_select=>audc2_reg(7 downto 5),pulse_in=>audf2_pulse,pulse_out=>audf2_pulse_noise,noise_4=>noise_4,noise_5=>noise_5,noise_large=>noise_large);
pokey_noise_filter3 : pokey_noise_filter
port map(noise_select=>audc3_reg(7 downto 5),pulse_in=>audf3_pulse,pulse_out=>audf3_pulse_noise,noise_4=>noise_4,noise_5=>noise_5,noise_large=>noise_large);
-- Audio output stage
process(audf0_pulse_noise, audf1_pulse_noise, audf2_pulse_noise, audf3_pulse_noise, chan0_output_reg, chan1_output_reg, chan2_output_reg, chan3_output_reg)
begin
chan0_output_next <= chan0_output_reg;
chan1_output_next <= chan1_output_reg;
chan2_output_next <= chan2_output_reg;
chan3_output_next <= chan3_output_reg;
if (audf0_pulse_noise = '1') then
chan0_output_next <= not(chan0_output_reg);
end if;
if (audf1_pulse_noise = '1') then
chan1_output_next <= not(chan1_output_reg);
end if;
if (audf2_pulse_noise = '1') then
chan2_output_next <= not(chan2_output_reg);
end if;
if (audf3_pulse_noise = '1') then
chan3_output_next <= not(chan3_output_reg);
end if;
end process;
-- High pass filters
process(audctl_reg,audf2_pulse,audf3_pulse,chan0_output_reg, chan1_output_reg, chan2_output_reg, chan3_output_reg, highpass0_reg, highpass1_reg)
begin
highpass0_next <= highpass0_reg;
highpass1_next <= highpass1_reg;
if (audctl_reg(2) = '1') then
if (audf2_pulse = '1') then
highpass0_next <= chan0_output_reg;
end if;
else
highpass0_next <= '1';
end if;
if (audctl_reg(1) = '1') then
if (audf3_pulse = '1') then
highpass1_next <= chan1_output_reg;
end if;
else
highpass1_next <= '1';
end if;
end process;
-- Instantiate key pokey clocks
-- ~1.79MHz - from 25MHz/14
-- ~64KHz - from 1.79MHz/28
-- ~15KHz - from 1.79MHz/114
--enable_179_div : enable_divider
-- generic map (COUNT=>14)
-- port map(clk=>clk,reset_n=>reset_n,enable_in=>'1',enable_out=>enable_179);
-- resetcount 6/33
enable_64_div : syncreset_enable_divider
generic map (COUNT=>28,RESETCOUNT=>6) -- 28-22
port map(clk=>clk,syncreset=>initmode,reset_n=>reset_n,enable_in=>enable_179,enable_out=>enable_64);
enable_15_div : syncreset_enable_divider
generic map (COUNT=>114,RESETCOUNT=>33) -- 114-81
port map(clk=>clk,syncreset=>initmode,reset_n=>reset_n,enable_in=>enable_179,enable_out=>enable_15);
-- Instantiate pokey noise circuits (lfsr)
initmode <= skctl_next(1) nor skctl_next(0);
poly_17_19_lfsr : pokey_poly_17_9
port map(clk=>clk,reset_n=>reset_n,init=>initmode,enable=>enable_179,select_9_17=>audctl_reg(7),bit_out=>noise_large,rand_out=>rand_out);
poly_5_lfsr : pokey_poly_5
port map(clk=>clk,reset_n=>reset_n,init=>initmode,enable=>enable_179,bit_out=>noise_5);
poly_4_lfsr : pokey_poly_4
port map(clk=>clk,reset_n=>reset_n,init=>initmode,enable=>enable_179,bit_out=>noise_4);
--AUDIO_LEFT <= "000"&count_reg(15 downto 3);
process(chan0_output_reg, chan1_output_reg, chan2_output_reg, chan3_output_reg, audc0_reg, audc1_reg, audc2_reg, audc3_reg, highpass0_reg, highpass1_reg)
begin
volume_channel_0_next <= "0000";
volume_channel_1_next <= "0000";
volume_channel_2_next <= "0000";
volume_channel_3_next <= "0000";
if (((chan0_output_reg xor highpass0_reg) or audc0_reg(4)) = '1') then
volume_channel_0_next <= audc0_reg(3 downto 0);
end if;
if (((chan1_output_reg xor highpass1_reg) or audc1_reg(4)) = '1') then
volume_channel_1_next <= audc1_reg(3 downto 0);
end if;
if ((chan2_output_reg or audc2_reg(4)) = '1') then
volume_channel_2_next <= audc2_reg(3 downto 0);
end if;
if ((chan3_output_reg or audc3_reg(4)) = '1') then
volume_channel_3_next <= audc3_reg(3 downto 0);
end if;
end process;
-- serial port output
-- urghhh
serout_sync_reset <= serial_reset or stimer_write_delayed;
serout_clock_delay : delay_line
generic map (count=>2)
port map (clk=>clk, sync_reset=>serout_sync_reset,data_in=>serout_enable, enable=>enable_179, reset_n=>reset_n, data_out=>serout_enable_delayed);
process(serout_enable_delayed, skctl_reg, serout_active_reg, serout_clock_last_reg,serout_clock_reg, serout_holding_load, serout_holding_reg, serout_holding_full_reg, serout_shift_reg, serout_bitcount_reg, serial_out_reg, twotone_reg, audf0_pulse, audf1_pulse, serial_reset)
begin
serout_clock_next <= serout_clock_reg;
serout_clock_last_next <= serout_clock_reg;
serout_shift_next <= serout_shift_reg;
serout_bitcount_next <= serout_bitcount_reg;
serout_holding_full_next <= serout_holding_full_reg;
serout_active_next <= serout_active_reg;
serial_out_next <= serial_out_reg; -- output from shift reg (if unchanged)
sio_out_next <= serial_out_reg;
-- two tone output
twotone_next <= twotone_reg;
twotone_reset <= '0';
if ((audf1_pulse or (audf0_pulse and serial_out_reg)) = '1') then
twotone_next <= not(twotone_reg);
twotone_reset <= skctl_reg(3);
end if;
if (skctl_reg(3) = '1') then
sio_out_next <= twotone_reg;
end if;
-- force break
if (skctl_reg(7) = '1') then
sio_out_next <= '0';
end if;
serial_op_needed_interrupt <= '0';
-- generate clock from enable signals
if (serout_enable_delayed = '1') then
serout_clock_next <= not(serout_clock_reg);
end if;
-- output bits over sio
if (serout_clock_last_reg = '0' and serout_clock_reg = '1') then
serout_shift_next <= '0'&serout_shift_reg(9 downto 1); -- next
serial_out_next <= serout_shift_reg(1) or not(serout_active_reg); -- i.e. next serout_shift_reg(0)
-- reload
if (serout_bitcount_reg = X"0") then
if (serout_holding_full_reg='1') then -- unless, more to send in holding reg?
serout_bitcount_next <= X"9"; -- 10 bits to send, 9 more after this
serout_shift_next <= '1'&serout_holding_reg&'0';
serial_out_next <= '0'; -- start bit (serout_shift_reg(0) after this cycle)
serout_holding_full_next <= '0';
serial_op_needed_interrupt <= '1'; -- more data please!
serout_active_next <= '1';
else
serout_active_next <= '0';
serial_out_next <= '1'; -- remove blip!
end if;
else
serout_bitcount_next <= std_logic_vector(unsigned(serout_bitcount_reg)-1);
end if;
end if;
-- register to load has been written too, update our state to reflect that it is full
if (serout_holding_load = '1') then
serout_holding_full_next <= '1';
end if;
if (serial_reset = '1') then
twotone_next <= '0';
serout_bitcount_next <= (others=>'0');
serout_shift_next <= (others=>'0');
serout_holding_full_next <= '0';
serout_clock_next <= '0';
serout_clock_last_next <= '0';
serout_active_next <= '0';
end if;
end process;
-- serial port input
sio_in1_synchronizer : synchronizer
port map (clk=>clk, raw=>sio_in1, sync=>sio_in1_reg);
sio_in2_synchronizer : synchronizer
port map (clk=>clk, raw=>sio_in2, sync=>sio_in2_reg);
sio_in3_synchronizer : synchronizer
port map (clk=>clk, raw=>sio_in3, sync=>sio_in3_reg);
sio_in_next <= sio_in1_reg and sio_in2_reg and sio_in3_reg;
waiting_for_start_bit <= '1' when serin_bitcount_reg = X"9" else '0';
process(serin_enable,serin_clock_last_reg,serin_clock_reg, sio_in_reg, serin_reg,serin_shift_reg, serin_bitcount_reg, serial_ip_overrun_reg, serial_ip_framing_reg, skrest_write, irqst_reg, skctl_reg, waiting_for_start_bit, serial_reset)
begin
serin_clock_next <= serin_clock_reg;
serin_clock_last_next <= serin_clock_reg;
serin_shift_next <= serin_shift_reg;
serin_bitcount_next <= serin_bitcount_reg;
serin_next <= serin_reg;
serial_ip_overrun_next <= serial_ip_overrun_reg;
serial_ip_framing_next <= serial_ip_framing_reg;
serial_ip_ready_interrupt <= '0';
async_serial_reset <= '0';
-- generate clock from enable signals
if (serin_enable = '1') then
serin_clock_next <= not(serin_clock_reg);
end if;
-- resync clock on receipt of start bit
if ((skctl_reg(4) and sio_in_reg and waiting_for_start_bit)= '1') then
async_serial_reset <= '1';
serin_clock_next <= '1';
end if;
-- receive bits into shift reg
if (serin_clock_last_reg='1' and serin_clock_reg='0') then -- failing edge
if (((waiting_for_start_bit and not(sio_in_reg)) or not(waiting_for_start_bit))='1') then
serin_shift_next <= sio_in_reg&serin_shift_reg(9 downto 1);
if (serin_bitcount_reg = X"0") then -- full byte
serin_next <= serin_shift_reg(9 downto 2); -- not shifted yet
serin_bitcount_next <= X"9"; -- next... no disable for serial input, always happening.
-- irq to alert new data avilable
serial_ip_ready_interrupt <= '1';
-- flag up overrun
if (irqst_reg(5) = '0') then -- if interrupt bit not cleared yet...
serial_ip_overrun_next <= '1';
end if;
-- flag up framing problem (stop bit is 1 - pull from sio since reg not yet shifted)
if (sio_in_reg='0') then
serial_ip_framing_next <= '1';
end if;
else
serin_bitcount_next <= std_logic_vector(unsigned(serin_bitcount_reg)-1);
end if;
end if;
end if;
if (skrest_write = '1') then
serial_ip_overrun_next <= '0';
serial_ip_framing_next <= '0';
end if;
if (serial_reset = '1') then
serin_clock_next <= '0';
serin_bitcount_next <= X"9"; -- i.e. waiting for start bit
serin_shift_next <= (others=>'0');
end if;
end process;
-- serial clocks
process(sio_clock,skctl_reg,clock_reg,clock_sync_reg,audf1_pulse,audf2_pulse,audf3_pulse)
begin
clock_next <= sio_clock;
clock_sync_next <= clock_reg;
serout_enable <= '0';
serin_enable <= '0';
clock_input <= '1'; -- when output, outputs channel 4
case skctl_reg(6 downto 4) is
when "000" =>
serin_enable <= not(clock_sync_reg) and clock_reg;
serout_enable <= not(clock_sync_reg) and clock_reg;
when "001" =>
serin_enable <= audf3_pulse;
serout_enable <= not(clock_sync_reg) and clock_reg;
when "010" =>
serin_enable <= audf3_pulse;
serout_enable <= audf3_pulse;
clock_input <= '0';
when "011" =>
serin_enable <= audf3_pulse;
serout_enable <= audf3_pulse;
when "100" =>
serin_enable <= not(clock_sync_reg) and clock_reg;
serout_enable <= audf3_pulse;
when "101" =>
serin_enable <= audf3_pulse;
serout_enable <= audf3_pulse;
when "110" =>
serin_enable <= audf3_pulse;
serout_enable <= audf1_pulse;
clock_input <= '0';
when "111" =>
serin_enable <= audf3_pulse;
serout_enable <= audf1_pulse;
when others =>
-- nop
end case;
end process;
-- keyboard overrun (i.e. second key pressed before interrupt cleared)
process(other_key_irq,keyboard_overrun_reg,skrest_write,irqst_reg)
begin
keyboard_overrun_next <= keyboard_overrun_reg;
if (other_key_irq='1' and irqst_reg(6)='0') then
keyboard_overrun_next <= '1';
end if;
if (skrest_write = '1') then
keyboard_overrun_next <= '0';
end if;
end process;
-- keyboard scan
pokey_keyboard_scanner1 : pokey_keyboard_scanner
port map (clk=>clk, reset_n=>reset_n, enable=>enable_15, keyboard_response=>keyboard_response, debounce_disable=>not(skctl_reg(0)), scan_enable=>skctl_reg(1), keyboard_scan=>keyboard_scan, shift_pressed=>shift_pressed, control_pressed=>control_pressed, break_pressed=>break_pressed, key_held=>key_held, keycode=>kbcode, other_key_irq=>other_key_irq);
-- POT scan
process(potgo_write, pot_reset_reg, pot_counter_reg, pot_in, enable_15, enable_179, skctl_reg, pot0_reg, pot1_reg, pot2_reg, pot3_reg, pot4_reg, pot5_reg, pot6_reg, pot7_reg)
begin
pot0_next <= pot0_reg;
pot1_next <= pot1_reg;
pot2_next <= pot2_reg;
pot3_next <= pot3_reg;
pot4_next <= pot4_reg;
pot5_next <= pot5_reg;
pot6_next <= pot6_reg;
pot7_next <= pot7_reg;
pot_reset_next <= pot_reset_reg;
pot_counter_next <= pot_counter_reg;
if (((enable_15 and not(skctl_reg(2))) or (enable_179 and skctl_reg(2))) = '1') then
pot_counter_next <= std_logic_vector(unsigned(pot_counter_reg) + 1);
if (pot_counter_reg = X"E4") then
pot_reset_next <= '1'; -- turn on pot dump transistors
end if;
if (pot_reset_reg = '0') then
if (pot_in(0) = '0') then -- pot now high, latch
pot0_next <= pot_counter_reg;
end if;
if (pot_in(1) = '0') then -- pot now high, latch
pot1_next <= pot_counter_reg;
end if;
if (pot_in(2) = '0') then -- pot now high, latch
pot2_next <= pot_counter_reg;
end if;
if (pot_in(3) = '0') then -- pot now high, latch
pot3_next <= pot_counter_reg;
end if;
if (pot_in(4) = '0') then -- pot now high, latch
pot4_next <= pot_counter_reg;
end if;
if (pot_in(5) = '0') then -- pot now high, latch
pot5_next <= pot_counter_reg;
end if;
if (pot_in(6) = '0') then -- pot now high, latch
pot6_next <= pot_counter_reg;
end if;
if (pot_in(7) = '0') then -- pot now high, latch
pot7_next <= pot_counter_reg;
end if;
end if;
end if;
if (potgo_write = '1') then
pot_counter_next <= (others=>'0');
pot_reset_next <= '0'; -- turn off pot dump transistors, so they start to get charged
end if;
end process;
-- Outputs
irq_n_out <= irq_n_reg;
CHANNEL_0_OUT <= volume_channel_0_reg;
CHANNEL_1_OUT <= volume_channel_1_reg;
CHANNEL_2_OUT <= volume_channel_2_reg;
CHANNEL_3_OUT <= volume_channel_3_reg;
sio_out1 <= sio_out_reg;
sio_out2 <= sio_out_reg;
sio_out3 <= sio_out_reg;
sio_clock <= audf3_pulse when clock_input='0' else 'Z';
pot_reset <= pot_reset_reg;
END vhdl;
| gpl-3.0 | 63bccd1a2e0fb2c21c0c81446df13023 | 0.650482 | 2.669304 | false | false | false | false |
ILoveSpeccy/Aeon-Lite | cores/lvov-pk02-mips/src/host/VGA Console/fontrom/fontrom/example_design/fontrom_top.vhd | 1 | 4,308 | --------------------------------------------------------------------------------
--
-- BLK MEM GEN v6.3 Core - Top-level core wrapper
--
--------------------------------------------------------------------------------
--
-- (c) Copyright 2006-2010 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--------------------------------------------------------------------------------
--
-- Filename: bmg_wrapper.vhd
--
-- Description:
-- This is the actual BMG core wrapper.
--
--------------------------------------------------------------------------------
-- Author: IP Solutions Division
--
-- History: August 31, 2005 - First Release
--------------------------------------------------------------------------------
--
--------------------------------------------------------------------------------
-- Library Declarations
--------------------------------------------------------------------------------
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
LIBRARY UNISIM;
USE UNISIM.VCOMPONENTS.ALL;
--------------------------------------------------------------------------------
-- Entity Declaration
--------------------------------------------------------------------------------
ENTITY fontrom_top IS
PORT (
--Inputs - Port A
ADDRA : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
DOUTA : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
CLKA : IN STD_LOGIC
);
END fontrom_top;
ARCHITECTURE xilinx OF fontrom_top IS
COMPONENT BUFG IS
PORT (
I : IN STD_ULOGIC;
O : OUT STD_ULOGIC
);
END COMPONENT;
COMPONENT fontrom IS
PORT (
--Port A
ADDRA : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
DOUTA : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
CLKA : IN STD_LOGIC
);
END COMPONENT;
SIGNAL CLKA_buf : STD_LOGIC;
SIGNAL CLKB_buf : STD_LOGIC;
SIGNAL S_ACLK_buf : STD_LOGIC;
BEGIN
bufg_A : BUFG
PORT MAP (
I => CLKA,
O => CLKA_buf
);
bmg0 : fontrom
PORT MAP (
--Port A
ADDRA => ADDRA,
DOUTA => DOUTA,
CLKA => CLKA_buf
);
END xilinx;
| gpl-3.0 | 78f43b7583ef2fb4bae385be94b03dd4 | 0.575673 | 4.818792 | false | false | false | false |
vvk/sysrek | skin_color_segm/ipcore_dir/BINARYZACJA/example_design/BINARYZACJA_prod_exdes.vhd | 4 | 5,325 |
--------------------------------------------------------------------------------
--
-- Distributed Memory Generator v6.3 Core - Top-level core wrapper
--
--------------------------------------------------------------------------------
--
-- (c) Copyright 2009 - 2010 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
--------------------------------------------------------------------------------
--
--
-- Description:
-- This is the actual DMG core wrapper.
--
--------------------------------------------------------------------------------
-- Library Declarations
--------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
library unisim;
use unisim.vcomponents.all;
--------------------------------------------------------------------------------
-- Entity Declaration
--------------------------------------------------------------------------------
entity BINARYZACJA_exdes is
PORT (
A : IN STD_LOGIC_VECTOR(8-1-(4*0*boolean'pos(8>4)) downto 0)
:= (OTHERS => '0');
D : IN STD_LOGIC_VECTOR(8-1 downto 0) := (OTHERS => '0');
DPRA : IN STD_LOGIC_VECTOR(8-1 downto 0) := (OTHERS => '0');
SPRA : IN STD_LOGIC_VECTOR(8-1 downto 0) := (OTHERS => '0');
CLK : IN STD_LOGIC := '0';
WE : IN STD_LOGIC := '0';
I_CE : IN STD_LOGIC := '1';
QSPO_CE : IN STD_LOGIC := '1';
QDPO_CE : IN STD_LOGIC := '1';
QDPO_CLK : IN STD_LOGIC := '0';
QSPO_RST : IN STD_LOGIC := '0';
QDPO_RST : IN STD_LOGIC := '0';
QSPO_SRST : IN STD_LOGIC := '0';
QDPO_SRST : IN STD_LOGIC := '0';
SPO : OUT STD_LOGIC_VECTOR(8-1 downto 0);
DPO : OUT STD_LOGIC_VECTOR(8-1 downto 0);
QSPO : OUT STD_LOGIC_VECTOR(8-1 downto 0);
QDPO : OUT STD_LOGIC_VECTOR(8-1 downto 0)
);
end BINARYZACJA_exdes;
architecture xilinx of BINARYZACJA_exdes is
SIGNAL CLK_i : std_logic;
component BINARYZACJA is
PORT (
CLK : IN STD_LOGIC;
QSPO : OUT STD_LOGIC_VECTOR(8-1 downto 0);
A : IN STD_LOGIC_VECTOR(8-1-(4*0*boolean'pos(8>4)) downto 0)
:= (OTHERS => '0')
);
end component;
begin
dmg0 : BINARYZACJA
port map (
CLK => CLK_i,
QSPO => QSPO,
A => A
);
clk_buf: bufg
PORT map(
i => CLK,
o => CLK_i
);
end xilinx;
| gpl-2.0 | 0cf60b07bc3ed01b715a7c19ccb6e45c | 0.504225 | 4.72913 | false | false | false | false |
ILoveSpeccy/Aeon-Lite | cores/lvov-pk02-mips/src/host/soc.vhd | 1 | 14,464 | library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity mips_soc is
port (
-- CLOCK
CPU_CLK : in std_logic; -- 32.5 Mhz
VGA_CLK : in std_logic; -- VGA_CLK 25Mhz
CPU_RESET : in std_logic;
-- VGA
VGA_R : OUT STD_LOGIC_VECTOR(3 downto 0);
VGA_G : OUT STD_LOGIC_VECTOR(3 downto 0);
VGA_B : OUT STD_LOGIC_VECTOR(3 downto 0);
VGA_VSYNC : buffer std_logic;
VGA_HSYNC : out std_logic;
-- SRAM
MEM_A : out std_logic_vector(31 downto 2);
MEM_DI : out std_logic_vector(31 downto 0);
MEM_DO : in std_logic_vector(31 downto 0);
MEM_MASK : out std_logic_vector(3 downto 0);
MEM_WR : out std_logic;
MEM_REQ : out std_logic;
MEM_BUSY : in std_logic;
-- Keyboard
KEYB_DATA : in std_logic_vector(7 downto 0);
-- Sound
MIPS_BEEPER : out std_logic;
-- SD Card
SD_MOSI : out std_logic;
SD_MISO : in std_logic;
SD_SCK : out std_logic;
SD_CS : out std_logic;
-- FDC Ports
VG93_CLK : in std_logic;
VG93_nCLR : in std_logic;
VG93_IRQ : out std_logic;
VG93_DRQ : out std_logic;
VG93_A : in std_logic_vector(1 downto 0);
VG93_D_IN : in std_logic_vector(7 downto 0);
VG93_D_OUT : out std_logic_vector(7 downto 0);
VG93_nCS : in std_logic;
VG93_nRD : in std_logic;
VG93_nWR : in std_logic;
VG93_nDDEN : in std_logic;
VG93_HRDY : in std_logic;
FDC_DRIVE : in std_logic_vector(1 downto 0);
FDC_nSIDE : in std_logic;
TST : out std_logic
);
end mips_soc;
architecture mips_soc_arch of mips_soc is
signal CPU_A : std_logic_vector(31 downto 0);
signal CPU_DI : std_logic_vector(31 downto 0);
signal CPU_DO : std_logic_vector(31 downto 0);
signal CPU_SEL : std_logic_vector(3 downto 0);
signal CPU_WE : std_logic;
signal CPU_INT : std_logic;
signal CPU_A_L : std_logic_vector(31 downto 0);
-- VGA
signal POS_X : unsigned(6 downto 0);
signal POS_Y : unsigned(4 downto 0);
signal VA : std_logic_vector(11 downto 0);
signal VDI : std_logic_vector(7 downto 0);
signal VDO : std_logic_vector(15 downto 0);
signal VWR : std_logic;
signal VATTR : std_logic_vector(7 downto 0);
signal VRG : std_logic_vector(7 downto 0);
-- HW timer: Frame counter
signal VGA_FRAMES : std_logic_vector(31 downto 0);
signal FR_LOCK : std_logic;
-- HW timer: CPU CLK counter
signal CPU_CLK_COUNTER : std_logic_vector(31 downto 0);
type STATES is (ST_IDLE, ST_INC, ST_SET_FRAMES, ST_SET_CC_COUNTER);
signal STATE : STATES;
-- SD Card
signal counter : unsigned(4 downto 0);
-- Shift register has an extra bit because we write on the
-- falling edge and read on the rising edge
signal shift_reg : std_logic_vector(8 downto 0);
signal in_reg : std_logic_vector(7 downto 0);
signal SD_BUSY : std_logic;
-- VG93 Reg
signal VG93_STATUS : std_logic_vector(7 downto 0);
signal VG93_TRACK_R : std_logic_vector(7 downto 0);
signal VG93_SECTOR_R : std_logic_vector(7 downto 0);
signal VG93_DATA_R : std_logic_vector(7 downto 0);
signal VG93_CONTROL : std_logic_vector(7 downto 0);
signal VG93_TRACK : std_logic_vector(7 downto 0);
signal VG93_SECTOR : std_logic_vector(7 downto 0);
signal VG93_DATA : std_logic_vector(7 downto 0);
signal VG93_CONTROL_READY_M : std_logic;
signal VG93_DATA_READY_M : std_logic;
signal VG93_CONTROL_READY : std_logic;
signal VG93_DATA_READY : std_logic;
signal VG93_IRQ_B : std_logic := '0';
signal VG93_DRQ_B : std_logic := '0';
signal SET_IRQ_DRQ : std_logic := '0';
signal RES_VG93_IRQ : std_logic;
signal RES_VG93_DRQ : std_logic;
signal RES_CR : std_logic := '0';
signal RES_DR : std_logic := '0';
signal idx_cnt : std_logic_vector(22 downto 0);
signal FDC_IDX : std_logic;
signal VG93_TYPE_1_CMD_SET : std_logic;
signal VG93_TYPE_1_CMD : std_logic;
begin
cpu: entity work.mlite_cpu
port map (
clk => CPU_CLK,
reset_in => CPU_RESET,
intr_in => CPU_INT,
mem_address => CPU_A,
mem_data_w => CPU_DO,
mem_data_r => CPU_DI,
mem_byte_we => CPU_SEL,
mem_pause => MEM_BUSY or SD_BUSY
);
u_MIPS_VIDEO : entity work.mips_video
port map(
CLK => CPU_CLK,
VGA_CLK => VGA_CLK,
RESET => CPU_RESET,
VA => VA,
VDI => VDI,
VDO => VDO,
VWR => VWR,
VATTR => VATTR,
VGA_R => VGA_R,
VGA_G => VGA_G,
VGA_B => VGA_B,
VGA_HSYNC => VGA_HSYNC,
VGA_VSYNC => VGA_VSYNC );
CPU_WE <= CPU_SEL(0) or CPU_SEL(1) or CPU_SEL(2) or CPU_SEL(3);
CPU_DI <= x"000000" & VRG when CPU_A_L = x"80000000" else
x"000000" & VATTR when CPU_A_L = x"80000010" else
x"0000" & VDO when CPU_A_L = x"80000020" or CPU_A_L = x"80000030" else
x"000000" & '0' & std_logic_vector(POS_X) when CPU_A_L = x"80000040" else
x"000000" & "000" & std_logic_vector(POS_Y) when CPU_A_L = x"80000050" else
VGA_FRAMES when CPU_A_L = x"80000060" else
CPU_CLK_COUNTER when CPU_A_L = x"80000064" else
x"000000" & in_reg when CPU_A_L = x"80000070" else
x"000000" & KEYB_DATA when CPU_A_L = x"80000090" else
x"000000" & "1" & VG93_nDDEN & "1" & FDC_nSIDE & VG93_HRDY & VG93_nCLR & FDC_DRIVE
when CPU_A_L = x"80000E40" else
x"000000" & VG93_CONTROL when CPU_A_L = x"80000E00" else
x"000000" & VG93_TRACK when CPU_A_L = x"80000E10" else
x"000000" & VG93_SECTOR when CPU_A_L = x"80000E20" else
x"000000" & VG93_DATA when CPU_A_L = x"80000E30" else
x"0000000" & "00" & VG93_DATA_READY & VG93_CONTROL_READY
when CPU_A_L = x"80000E50" else
MEM_DO;
VA <= std_logic_vector(POS_Y) & std_logic_vector(POS_X);
SD_CS <= '1' when CPU_RESET = '1' else
CPU_DO(0) when rising_edge(CPU_CLK) and MEM_BUSY = '0' and CPU_RESET = '0' and CPU_WE = '1' and CPU_A = x"80000080";
MIPS_BEEPER <= CPU_DO(0) when rising_edge(CPU_CLK) and MEM_BUSY = '0' and CPU_RESET = '0' and CPU_WE = '1' and CPU_A = x"80000FE0";
-- Main State Machine
process(CPU_CLK)
begin
if rising_edge(CPU_CLK) then
if CPU_RESET = '1' then
MEM_REQ <= '0';
POS_X <= "0000000";
POS_Y <= "00000";
STATE <= ST_IDLE;
VWR <= '0';
VRG <= "00000001";
FR_LOCK <= '0';
CPU_INT <= '0';
else
MEM_REQ <= '0';
MEM_WR <= '0';
VWR <= '0';
if VGA_VSYNC = '0' then
FR_LOCK <= '0';
end if;
case STATE is
when ST_INC =>
POS_X <= POS_X + 1;
if POS_X = 79 then
POS_X <= "0000000";
POS_Y <= POS_Y + 1;
if POS_Y = 29 then
POS_Y <= "00000";
end if;
end if;
STATE <= ST_IDLE;
when ST_SET_FRAMES =>
STATE <= ST_IDLE;
when ST_SET_CC_COUNTER =>
STATE <= ST_IDLE;
when OTHERS =>
STATE <= ST_IDLE;
end case;
if FR_LOCK = '0' and VGA_VSYNC = '1' and STATE /= ST_SET_FRAMES then
FR_LOCK <= '1';
VGA_FRAMES <= VGA_FRAMES + 1;
CPU_INT <= '1';
STATE <= ST_IDLE;
end if;
if STATE /= ST_SET_CC_COUNTER then
CPU_CLK_COUNTER <= CPU_CLK_COUNTER +1;
end if;
if MEM_BUSY = '0' and CPU_RESET = '0' then
CPU_A_L <= CPU_A;
if (CPU_A = x"80000020" or CPU_A = x"80000030") and VRG(0) = '1' then
STATE <= ST_INC;
end if;
-- Plasma ISR Vector
if CPU_A = x"0000003C" then
CPU_INT <= '0';
end if;
if CPU_A(31) = '1' and CPU_WE = '1' then
case CPU_A is
when x"80000000" => -- Video Mode
VRG <= CPU_DO(7 downto 0);
when x"80000010" => -- Video Set Attr
VATTR <= CPU_DO(7 downto 0);
if VRG(2) = '1' then
STATE <= ST_INC;
end if;
when x"80000020" => -- Video Write Char
VDI <= CPU_DO(7 downto 0);
VWR <= '1';
when x"80000030" => -- Video Write Char & Attr
VATTR <= CPU_DO(15 downto 8);
VDI <= CPU_DO(7 downto 0);
VWR <= '1';
when x"80000040" => -- Video Set X Pos
POS_X <= unsigned(CPU_DO(6 downto 0));
when x"80000050" => -- Video Set Y Pos
POS_Y <= unsigned(CPU_DO(4 downto 0));
when x"80000060" =>
VGA_FRAMES <= CPU_DO;
STATE <= ST_SET_FRAMES;
when x"80000064" =>
CPU_CLK_COUNTER <= CPU_DO;
STATE <= ST_SET_CC_COUNTER;
when OTHERS =>
STATE <= ST_IDLE;
end case;
-- CPU Mem Access
elsif CPU_A(31) = '0' then
MEM_A <= '0' & CPU_A(30 downto 2);
MEM_DI <= CPU_DO;
MEM_WR <= CPU_WE;
MEM_MASK <= CPU_SEL;
MEM_REQ <= '1';
end if;
end if;
end if;
end if;
end process;
-- SD Card Serializer
-- SD CLK = CPU_CLK / 2
-- MMC/SDC can work at the clock frequency upto 20/25 MHz.
process(CPU_CLK)
begin
if rising_edge(CPU_CLK) then
if CPU_RESET = '1' then
shift_reg <= (others => '1');
in_reg <= (others => '1');
counter <= "10000"; -- Idle
else
case counter is
when "10000" =>
if MEM_BUSY = '0' and CPU_A = x"80000070" then
if CPU_WE = '0' then
shift_reg <= (others => '1');
else
shift_reg <= CPU_DO(7 downto 0) & '1';
end if;
counter <= "00000";
end if;
when "01111" =>
in_reg <= shift_reg(7 downto 0);
counter <= "10000";
when OTHERS =>
counter <= counter + 1;
if counter(0) = '0' then
shift_reg(0) <= SD_MISO;
else
shift_reg <= shift_reg(7 downto 0) & '1';
end if;
end case;
end if;
end if;
end process;
SD_BUSY <= not counter(4);
TST <= counter(0);
SD_SCK <= counter(0);
SD_MOSI <= shift_reg(8);
-- VG93 Reg Read
VG93_D_OUT <= VG93_STATUS(7 downto 2) & FDC_IDX & VG93_STATUS(0)
when VG93_nCS = '0' and VG93_nRD = '0' and VG93_A = "00" and VG93_TYPE_1_CMD = '1' else
VG93_STATUS when VG93_nCS = '0' and VG93_nRD = '0' and VG93_A = "00" else
VG93_TRACK_R when VG93_nCS = '0' and VG93_nRD = '0' and VG93_A = "01" else
VG93_SECTOR_R when VG93_nCS = '0' and VG93_nRD = '0' and VG93_A = "10" else
VG93_DATA_R when VG93_nCS = '0' and VG93_nRD = '0' and VG93_A = "11" else
"11111111";
-- VG93 Status set to BUSY when Command received
VG93_STATUS <= CPU_DO(7 downto 0) when rising_edge(CPU_CLK) and MEM_BUSY = '0' and CPU_RESET = '0' and CPU_WE = '1' and CPU_A = x"80000E00" else
"00000001" when VG93_CONTROL_READY_M = '1';
VG93_TRACK_R <= CPU_DO(7 downto 0) when rising_edge(CPU_CLK) and MEM_BUSY = '0' and CPU_RESET = '0' and CPU_WE = '1' and CPU_A = x"80000E10";
VG93_SECTOR_R <= CPU_DO(7 downto 0) when rising_edge(CPU_CLK) and MEM_BUSY = '0' and CPU_RESET = '0' and CPU_WE = '1' and CPU_A = x"80000E20";
VG93_DATA_R <= CPU_DO(7 downto 0) when rising_edge(CPU_CLK) and MEM_BUSY = '0' and CPU_RESET = '0' and CPU_WE = '1' and CPU_A = x"80000E30";
-- VG93 Reg Write
VG93_CONTROL <= VG93_D_IN when VG93_nCS = '0' and VG93_A = "00" and VG93_nWR = '0' and falling_edge(VG93_CLK);
VG93_TRACK <= VG93_D_IN when VG93_nCS = '0' and VG93_A = "01" and VG93_nWR = '0' and falling_edge(VG93_CLK);
VG93_SECTOR <= VG93_D_IN when VG93_nCS = '0' and VG93_A = "10" and VG93_nWR = '0' and falling_edge(VG93_CLK);
VG93_DATA <= VG93_D_IN when VG93_nCS = '0' and VG93_A = "11" and VG93_nWR = '0' and falling_edge(VG93_CLK);
-- Buffers. IRQ and DRQ are send on VG93 status write
VG93_IRQ_B <= CPU_DO(1) when rising_edge(CPU_CLK) and MEM_BUSY = '0' and CPU_RESET = '0' and CPU_WE = '1' and CPU_A = x"80000E40";
VG93_DRQ_B <= CPU_DO(0) when rising_edge(CPU_CLK) and MEM_BUSY = '0' and CPU_RESET = '0' and CPU_WE = '1' and CPU_A = x"80000E40";
-- One shoot
SET_IRQ_DRQ <= '1' when rising_edge(CPU_CLK) and MEM_BUSY = '0' and CPU_RESET = '0' and CPU_WE = '1' and CPU_A = x"80000E00" else '0';
-- One shoot
RES_VG93_IRQ <= '1' when VG93_nCS = '0' and VG93_A = "00" and rising_edge(CPU_CLK) else '0';
RES_VG93_DRQ <= '1' when VG93_nCS = '0' and VG93_A = "11" and rising_edge(CPU_CLK) else '0';
-- IRQ is cleard if VG93 Status Reg read or VG92 Control Reg written
IRQ_TR: entity work.D_Flip_Flop PORT MAP(
rst => RES_VG93_IRQ,
pre => '0',
ce => SET_IRQ_DRQ,
d => VG93_IRQ_B,
q => VG93_IRQ
);
-- DRQ is cleared if VG93 Data Reg accessed
DRQ_TR: entity work.D_Flip_Flop PORT MAP(
rst => RES_VG93_DRQ,
pre => '0',
ce => SET_IRQ_DRQ,
d => VG93_DRQ_B,
q => VG93_DRQ
);
CR_TR: entity work.D_Flip_Flop PORT MAP(
rst => CPU_RESET,
pre => VG93_CONTROL_READY_M,
ce => RES_CR,
d => '0',
q => VG93_CONTROL_READY
);
-- One Shoot
VG93_CONTROL_READY_M <= '1' when VG93_nCS = '0' and VG93_A = "00" and VG93_nWR = '0' and falling_edge(VG93_CLK) else '0';
RES_CR <= '1' when rising_edge(CPU_CLK) and MEM_BUSY = '0' and CPU_RESET = '0' and CPU_WE = '0' and CPU_A = x"80000E00" else '0';
DR_TR: entity work.D_Flip_Flop PORT MAP(
rst => CPU_RESET,
pre => VG93_DATA_READY_M,
ce => RES_DR,
d => '0',
q => VG93_DATA_READY
);
VG93_DATA_READY_M <= '1' when VG93_A = "11" and VG93_nCS = '0' and falling_edge(VG93_CLK) else '0';
RES_DR <= '1' when rising_edge(CPU_CLK) and MEM_BUSY = '0' and CPU_RESET = '0' and CPU_A = x"80000E30" else '0';
IDX_TR: entity work.D_Flip_Flop PORT MAP(
rst => CPU_RESET,
pre => VG93_TYPE_1_CMD_SET,
ce => VG93_CONTROL_READY_M,
d => '0',
q => VG93_TYPE_1_CMD
);
VG93_TYPE_1_CMD_SET <= '1' when rising_edge(CPU_CLK) and MEM_BUSY = '0' and CPU_RESET = '0' and CPU_WE = '1' and CPU_A = x"80000E10" else '0';
-- FDC Index pulse generator
-- 5 Hz for 300 RPM, Pulse width 8ms
process (CPU_CLK)
begin
if rising_edge(CPU_CLK) then
idx_cnt <= idx_cnt + 1;
if idx_cnt = 260000 then
FDC_IDX <= '0';
end if;
if idx_cnt = 6132076 then
idx_cnt <= (others => '0');
FDC_IDX <= '1';
end if;
end if;
end process;
end mips_soc_arch; | gpl-3.0 | 04b4dc6d4234b30cd8f95c8e20d85036 | 0.555241 | 2.55142 | false | false | false | false |
freecores/lq057q3dc02 | design/image_gen_bram.vhd | 1 | 8,627 | ------------------------------------------------------------------------------
-- Copyright (C) 2007 Jonathon W. Donaldson
-- jwdonal a t opencores DOT org
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program; if not, write to the Free Software
-- Foundation, Inc., 675 Mass Ave, Cambridge, MA 02139, USA.
--
------------------------------------------------------------------------------
--
-- $Id: image_gen_bram.vhd,v 1.2 2008-11-07 04:54:32 jwdonal Exp $
--
-- Description: This file controls the BRAM components for each color.
--
-- Structure:
-- - xupv2p.ucf
-- - components.vhd
-- - lq057q3dc02_tb.vhd
-- - lq057q3dc02.vhd
-- - dcm_sys_to_lcd.xaw
-- - video_controller.vhd
-- - enab_control.vhd
-- - hsyncx_control.vhd
-- - vsyncx_control.vhd
-- - clk_lcd_cyc_cntr.vhd
-- - image_gen_bram.vhd
-- - image_gen_bram_red.xco
-- - image_gen_bram_green.xco
-- - image_gen_bram_blue.xco
--
------------------------------------------------------------------------------
--
-- Naming Conventions:
-- active low signals "*x"
-- clock signal "CLK_*"
-- reset signal "RST"
-- generic/constant "C_*"
-- user defined type "TYPE_*"
-- state machine next state "*_ns"
-- state machine current state "*_cs""
-- pipelined signals "*_d#"
-- register delay signals "*_p#"
-- signal "*_sig"
-- variable "*_var"
-- storage register "*_reg"
-- clock enable signals "*_ce"
-- internal version of output port used as connecting wire "*_wire"
-- input/output port "ALL_CAPS"
-- process "*_PROC"
--
------------------------------------------------------------------------------
--////////////////////--
-- LIBRARY INCLUSIONS --
--////////////////////--
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
USE work.components.ALL;
--////////////////////--
-- ENTITY DECLARATION --
--////////////////////--
ENTITY image_gen_bram IS
generic (
C_BIT_DEPTH,
C_VSYNC_TVS,
C_LINE_NUM_WIDTH,
C_CLK_LCD_CYC_NUM_WIDTH,
C_ENAB_TEP,
C_ENAB_THE,
C_BRAM_ADDR_WIDTH,
C_IMAGE_WIDTH,
C_IMAGE_HEIGHT : POSITIVE
);
port(
RSTx,
CLK_LCD : IN std_logic;
LINE_NUM : IN std_logic_vector(C_LINE_NUM_WIDTH-1 downto 0);
CLK_LCD_CYC_NUM : IN std_logic_vector(C_CLK_LCD_CYC_NUM_WIDTH-1 downto 0);
R,
G,
B : OUT std_logic_vector(C_BIT_DEPTH/3-1 downto 0)
);
END ENTITY image_gen_bram;
--////////////////////////--
-- ARCHITECTURE OF ENTITY --
--////////////////////////--
ARCHITECTURE image_gen_bram_arch OF image_gen_bram IS
constant C_NUM_LCD_PIXELS : positive := 320; -- number of drawable pixels per line in the LCD
--Connecting signal wires between components
signal SINIT_wire : std_logic := '0';
signal ADDR_wire : std_logic_vector(C_BRAM_ADDR_WIDTH-1 downto 0) := (others => '0');
begin
--//////////////////////////--
-- COMPONENT INSTANTIATIONS --
--//////////////////////////--
--You can't simply instantiate one XCO BRAM component 3 times because all
--three components are initialized with 3 different COE files!
image_RED_data : image_gen_bram_red
port map (
clka => CLK_LCD,
addra => ADDR_wire,
-- OUTPUTS --
douta => R
);
image_GREEN_data : image_gen_bram_green
port map (
clka => CLK_LCD,
addra => ADDR_wire,
-- OUTPUTS --
douta => G
);
image_BLUE_data : image_gen_bram_blue
port map (
clka => CLK_LCD,
addra => ADDR_wire,
-- OUTPUTS --
douta => B
);
------------------------------------------------------------------
-- Process Description:
-- This process controls the BRAM's SINIT signal which sets the
-- DOUT pins of the BRAM to the value defined at the time of
-- the Xilinx core customization. The SINIT signal is enabled
-- b/w every line and b/w every new frame. This value is recommended
-- to be zero to conserver power but it doesn't really matter what
-- it is. In this design it is not connected but feel free to connect
-- it up yourself - everything should work exactly the same.
--
-- Inputs:
-- RSTx
-- CLK_LCD
--
-- Outputs:
-- SINIT_wire
--
-- Notes:
-- N/A
------------------------------------------------------------------
image_gen_bram_sinit_cntrl_PROC : process( RSTx, CLK_LCD )
begin
if( RSTx = '0' ) then
SINIT_wire <= '0';
elsif( CLK_LCD'event and CLK_LCD = '1' ) then
if( CLK_LCD_CYC_NUM >= (C_ENAB_THE - 2) -- start of image... Change from -1 to -2 to enable one clock earlier
and
CLK_LCD_CYC_NUM < (C_IMAGE_WIDTH - 1 + C_ENAB_THE - 1)
and
LINE_NUM < (C_IMAGE_HEIGHT + C_VSYNC_TVS + 1) ) then
SINIT_wire <= '1'; --allow output to change based on ADDR
else
SINIT_wire <= '0';--reset output pins back to user-defined initial value (should be 0h to conserve power)
end if;
end if;
end process image_gen_bram_sinit_cntrl_PROC;
------------------------------------------------------------------
-- Process Description:
-- This process controls the address value input to the BRAMs.
--
-- Inputs:
-- RSTx
-- CLK_LCD
--
-- Outputs:
-- ADDR_wire
--
-- Notes:
-- This process causes the Xilinx BRAM IP cores (instantiated
-- above for each color) to generate warnings saying "Memory
-- address is out of range" during simulation. This is only
-- because ADDR_wire is 76800 for a few clocks after it finishes
-- drawing the last pixel on the screen. The allowable range
-- is only 0 - 76799, but driving 76800 doesn't cause any issues.
-- I could fix it, but I'm too lazy. :-)
------------------------------------------------------------------
image_gen_bram_addr_cntrl_PROC : process( RSTx, CLK_LCD )
begin
if( RSTx = '0' ) then
ADDR_wire <= (others => '0');
elsif( CLK_LCD'event and CLK_LCD = '1' ) then
--this condition signifies the start and end of each line
if( CLK_LCD_CYC_NUM >= (C_ENAB_THE - 1)
and
CLK_LCD_CYC_NUM < (C_IMAGE_WIDTH + C_ENAB_THE - 1)
and
LINE_NUM < (C_IMAGE_HEIGHT + C_VSYNC_TVS + 1) ) then
ADDR_wire <= ADDR_wire + 1;
--reset address back to zero once a complete image has been drawn
--(+ TVS timespec of course). We only have to do this in case the
--number of addressable image data bytes is less than
--2^#BRAM_ADDR_bits (i.e. the number of addressable BRAM bytes).
--This is almost always likely to be the case since the chances of
--Xilinx automatically generating a BRAM block the _exact_ same size
--as your image is highly unlikey. This conditional statement will work
--in either case. :-)
elsif( LINE_NUM >= (C_IMAGE_HEIGHT + C_VSYNC_TVS + 1) ) then
ADDR_wire <= (others => '0');
--if data should not be sent then just wait for the next line before
--incrementing the address again
else
ADDR_wire <= ADDR_wire;
end if; --end data OK TO SEND check
end if; --end CLK'event and CLK = '1'
end process image_gen_bram_addr_cntrl_PROC;
END ARCHITECTURE image_gen_bram_arch;
| gpl-2.0 | 3a01826b51a37022dc921e6be597c2d0 | 0.511186 | 4.03508 | false | false | false | false |
ILoveSpeccy/Aeon-Lite | cores/service/src/boot_backup.vhd | 1 | 8,924 | library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.all;
entity boot is
port (
CLK50 : in std_logic;
BUTTON1 : in std_logic;
BUTTON2 : in std_logic;
LED1 : out std_logic;
LED2 : out std_logic;
SRAM_A : out std_logic_vector(18 downto 0);
SRAM_D : inout std_logic_vector(15 downto 0);
SRAM_WE : out std_logic;
SRAM_OE : out std_logic;
SRAM_UB : out std_logic;
SRAM_LB : out std_logic;
SRAM_CE0 : out std_logic;
SRAM_CE1 : out std_logic;
COMM_CSA : in std_logic;
COMM_CSD : in std_logic;
COMM_SCK : in std_logic;
COMM_SDI : in std_logic;
COMM_SDO : out std_logic;
COMM_READY : out std_logic;
VGA_R : out std_logic_vector(3 downto 0);
VGA_G : out std_logic_vector(3 downto 0);
VGA_B : out std_logic_vector(3 downto 0);
VGA_VSYNC : out std_logic;
VGA_HSYNC : out std_logic
);
end boot;
architecture rtl of boot is
-- SPI COMMANDS
constant CMD_SET_ATTR : std_logic_vector(6 downto 0) := "0000000";
constant CMD_SET_X : std_logic_vector(6 downto 0) := "0000001";
constant CMD_SET_Y : std_logic_vector(6 downto 0) := "0000010";
constant CMD_WRITE_CHAR : std_logic_vector(6 downto 0) := "0000011";
constant CMD_H_ADDR : std_logic_vector(6 downto 0) := "0000100";
constant CMD_M_ADDR : std_logic_vector(6 downto 0) := "0000101";
constant CMD_L_ADDR : std_logic_vector(6 downto 0) := "0000110";
constant CMD_DATA_WR : std_logic_vector(6 downto 0) := "0000111";
constant CMD_DATA_RD : std_logic_vector(6 downto 0) := "0001000";
signal CLK : std_logic;
signal VGA_CLK : std_logic;
signal RESET : std_logic;
signal LOCKED : std_logic;
signal SRAM_DI : std_logic_vector(15 downto 0);
signal SRAM_DO : std_logic_vector(15 downto 0);
signal VA : std_logic_vector(11 downto 0);
signal VDI : std_logic_vector(7 downto 0);
signal VWR : std_logic;
signal VATTR : std_logic_vector(7 downto 0);
signal COMM_AO : std_logic_vector(7 downto 0);
signal COMM_AI : std_logic_vector(7 downto 0);
signal COMM_A_REQ : std_logic;
signal COMM_A_ACK : std_logic;
signal COMM_DO : std_logic_vector(7 downto 0);
signal COMM_DI : std_logic_vector(7 downto 0);
signal COMM_D_REQ : std_logic;
signal COMM_D_ACK : std_logic;
signal COMM_RG : std_logic_vector(7 downto 0);
signal COMM_MA : std_logic_vector(19 downto 0);
type STATES is (ST_IDLE, ST_READ1, ST_READ2, ST_WRITE1);
signal STATE : STATES;
begin
LED1 <= BUTTON1;
LED2 <= not BUTTON1 and not BUTTON2;
u_CLOCK : entity work.clock
port map(
CLK50 => CLK50,
CLK => CLK,
VGA_CLK => VGA_CLK,
LOCKED => LOCKED );
-- ###########################
RESET <= not LOCKED;
u_VIDEO : entity work.video
port map(
CLK => CLK,
VGA_CLK => VGA_CLK,
RESET => RESET,
VA => VA,
VDI => VDI,
VWR => VWR,
VATTR => VATTR,
VGA_R => VGA_R,
VGA_G => VGA_G,
VGA_B => VGA_B,
VGA_HSYNC => VGA_HSYNC,
VGA_VSYNC => VGA_VSYNC );
u_COMM_SPI : entity work.spi_comm
port map(
CLK => CLK,
RESET => RESET,
SPI_CS_A => COMM_CSA,
SPI_CS_D => COMM_CSD,
SPI_SCK => COMM_SCK,
SPI_DI => COMM_SDI,
SPI_DO => COMM_SDO,
ADDR_O => COMM_AO,
ADDR_I => COMM_AI,
ADDR_REQ => COMM_A_REQ,
ADDR_ACK => COMM_A_ACK,
DATA_O => COMM_DO,
DATA_I => COMM_DI,
DATA_REQ => COMM_D_REQ,
DATA_ACK => COMM_D_ACK );
p_state_machine : process(CLK)
begin
if rising_edge(CLK) then
if RESET = '1' then
STATE <= ST_IDLE;
SRAM_DI <= (OTHERS=>'Z');
SRAM_WE <= '1';
SRAM_OE <= '1';
SRAM_CE0 <= '1';
SRAM_CE1 <= '1';
SRAM_LB <= '1';
SRAM_UB <= '1';
COMM_A_ACK <= '0';
COMM_D_ACK <= '0';
COMM_READY <= '0';
VWR <= '0';
else
COMM_A_ACK <= '0';
COMM_D_ACK <= '0';
VWR <= '0';
case STATE is
when ST_IDLE =>
SRAM_DI <= (OTHERS=>'Z');
SRAM_WE <= '1';
SRAM_OE <= '1';
SRAM_CE0 <= '1';
SRAM_CE1 <= '1';
SRAM_LB <= '1';
SRAM_UB <= '1';
if COMM_A_REQ = '1' then
COMM_A_ACK <= '1';
COMM_RG <= COMM_AO;
if COMM_AO(7) = '0' then -- ### READ ###
case (COMM_AO(6 downto 0)) is
when CMD_DATA_RD =>
SRAM_A <= '0' & COMM_MA(17 downto 0);
SRAM_OE <= '0';
if COMM_MA(18) = '0' then
SRAM_CE0 <= '0';
else
SRAM_CE1 <= '0';
end if;
if COMM_MA(19) = '0' then
SRAM_LB <= '0';
else
SRAM_UB <= '0';
end if;
COMM_MA <= std_logic_vector(unsigned(COMM_MA) + 1);
STATE <= ST_READ1;
when OTHERS =>
NULL;
end case;
end if;
elsif COMM_D_REQ = '1' then
COMM_D_ACK <= '1';
if COMM_RG(7) = '1' then -- ### WRITE ###
case (COMM_RG(6 downto 0)) is
when CMD_SET_ATTR =>
VATTR <= COMM_DO;
when CMD_SET_X =>
VA <= VA(11 downto 7) & COMM_DO(6 downto 0);
when CMD_SET_Y =>
VA <= COMM_DO(4 downto 0) & VA(6 downto 0);
when CMD_WRITE_CHAR =>
VDI <= COMM_DO;
VWR <= '1';
when CMD_H_ADDR =>
COMM_MA(19 downto 16) <= COMM_DO(3 downto 0);
when CMD_M_ADDR =>
COMM_MA(15 downto 8) <= COMM_DO;
when CMD_L_ADDR =>
COMM_MA(7 downto 0 ) <= COMM_DO;
when CMD_DATA_WR =>
SRAM_A <= '0' & COMM_MA(17 downto 0);
SRAM_DI <= COMM_DO & COMM_DO;
SRAM_WE <= '0';
if COMM_MA(18) = '0' then
SRAM_CE0 <= '0';
else
SRAM_CE1 <= '0';
end if;
if COMM_MA(19) = '0' then
SRAM_LB <= '0';
else
SRAM_UB <= '0';
end if;
COMM_MA <= std_logic_vector(unsigned(COMM_MA) + 1);
STATE <= ST_WRITE1;
when OTHERS =>
NULL;
end case;
end if;
end if;
when ST_READ1 =>
if COMM_MA(19) = '0' then
COMM_DI <= SRAM_DO(7 downto 0);
else
COMM_DI <= SRAM_DO(15 downto 8);
end if;
STATE <= ST_READ2;
when ST_READ2 =>
if COMM_D_REQ = '1' then
COMM_D_ACK <= '1';
STATE <= ST_IDLE;
end if;
when ST_WRITE1 =>
SRAM_WE <= '1';
STATE <= ST_IDLE;
when OTHERS =>
STATE <= ST_IDLE;
end case;
end if;
end if;
end process;
SRAM_D <= SRAM_DI;
SRAM_DO <= SRAM_D;
end rtl;
| gpl-3.0 | c476e2948c78b34f79fb35e898804dd3 | 0.378418 | 3.948673 | false | false | false | false |
seiken-chuouniv/ecorun | ecorun_fi_hardware/fi_timer/FiTimer/TestStepper.vhd | 1 | 2,948 | --------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 18:02:15 09/10/2016
-- Design Name:
-- Module Name: C:/Users/Yoshio/git/ecorun/ecorun_fi_hardware/fi_timer/FiTimer/TestStepper.vhd
-- Project Name: FiTimer
-- Target Device:
-- Tool versions:
-- Description:
--
-- VHDL Test Bench Created by ISE for module: Stepper
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
-- Notes:
-- This testbench has been automatically generated using types std_logic and
-- std_logic_vector for the ports of the unit under test. Xilinx recommends
-- that these types always be used for the top-level I/O of a design in order
-- to guarantee that the testbench will bind correctly to the post-implementation
-- simulation model.
--------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--USE ieee.numeric_std.ALL;
ENTITY TestStepper IS
END TestStepper;
ARCHITECTURE behavior OF TestStepper IS
-- Component Declaration for the Unit Under Test (UUT)
COMPONENT Stepper
PORT(
iac_pulse : IN std_logic;
iac_clockwise : IN std_logic;
iac_out : OUT std_logic_vector(7 downto 0)
);
END COMPONENT;
--Inputs
signal iac_pulse : std_logic := '0';
signal iac_clockwise : std_logic := '0';
--Outputs
signal iac_out : std_logic_vector(7 downto 0);
-- No clocks detected in port list. Replace <clock> below with
-- appropriate port name
constant clk_period : time := 10 ns;
BEGIN
-- Instantiate the Unit Under Test (UUT)
uut: Stepper PORT MAP (
iac_pulse => iac_pulse,
iac_clockwise => iac_clockwise,
iac_out => iac_out
);
-- Clock process definitions
-- Stimulus process
stim_proc: process
begin
-- hold reset state for 100 ns.
wait for 100 ns;
wait for clk_period*10;
-- insert stimulus here
iac_clockwise <= '1';
iac_pulse <= '1';
wait for 10 ns;
iac_pulse <= '0';
wait for 10 ns;
iac_pulse <= '1';
wait for 10 ns;
iac_pulse <= '0';
wait for 10 ns;
iac_pulse <= '1';
wait for 10 ns;
iac_pulse <= '0';
wait for 10 ns;
iac_pulse <= '1';
wait for 10 ns;
iac_pulse <= '0';
wait for 10 ns;
iac_pulse <= '1';
wait for 10 ns;
iac_pulse <= '0';
wait for 10 ns;
iac_pulse <= '1';
wait for 10 ns;
iac_pulse <= '0';
wait for 10 ns;
iac_pulse <= '1';
wait for 10 ns;
iac_pulse <= '0';
wait for 10 ns;
iac_pulse <= '1';
wait for 10 ns;
iac_pulse <= '0';
wait for 10 ns;
wait;
end process;
END;
| bsd-3-clause | ddeb6d0281419d1133a66f37af18726c | 0.567164 | 3.626076 | false | true | false | false |
ILoveSpeccy/Aeon-Lite | cores/atari800xl/src/components/ps2_keyboard.vhdl | 1 | 8,121 | ---------------------------------------------------------------------------
-- (c) 2013 mark watson
-- I am happy for anyone to use this for non-commercial use.
-- If my vhdl files are used commercially or otherwise sold,
-- please contact me for explicit permission at scrameta (gmail).
-- This applies for source and binary form and derived works.
---------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.all;
use ieee.numeric_std.all;
-- KEY_OUT : OUT STD_LOGIC_vector(7 downto 0); -- Pokey scan code
-- KEY_PRESSED : OUT STD_LOGIC; -- high for 1 cycle on new key pressed
-- SHIFT_PRESSED : OUT STD_LOGIC; -- high while shift held
-- CONTROL_PRESSED : OUT STD_LOGIC; -- high while control held
-- BREAK_PRESSED : OUT STD_LOGIC -- high for 1 cycle on break key pressed (pause - no need for modifiers)
ENTITY ps2_keyboard IS
PORT
(
CLK : IN STD_LOGIC;
RESET_N : IN STD_LOGIC;
PS2_CLK : IN STD_LOGIC;
PS2_DAT : IN STD_LOGIC;
KEY_EVENT : OUT STD_LOGIC; -- high for 1 cycle on new key pressed(or repeated)/released
KEY_VALUE : OUT STD_LOGIC_VECTOR(7 downto 0); -- valid on event, raw scan code
KEY_EXTENDED : OUT STD_LOGIC; -- valid on event, if scan code extended
KEY_UP : OUT STD_LOGIC -- value on event, if key released
);
END ps2_keyboard;
ARCHITECTURE vhdl OF ps2_keyboard IS
component enable_divider IS
generic(COUNT : natural := 1);
PORT
(
CLK : IN STD_LOGIC;
RESET_N : IN STD_LOGIC;
ENABLE_IN : IN STD_LOGIC;
ENABLE_OUT : OUT STD_LOGIC
);
END component;
function To_Std_Logic(L: BOOLEAN) return std_ulogic is
begin
if L then
return('1');
else
return('0');
end if;
end function To_Std_Logic;
-- PS2 keyboard sends on its own clock high->low transition
-- start, 8 data bits, parity, stop
-- Codes are either 1 bytes or 2 bytes (extended) on press
-- XX
-- EX YY
-- Codes are eighter 2 bytes or 3 bytes (extended) on release
-- F0 XX
-- EX F0 YY
-- Some keys have multiple codes. e.g. break sends E1,14 and 77. It also sends release immediately E1 F0 14,F0 77
-- LSB first
-- Start bit 0
-- Stop bit 1
-- Parity = not(data(0) xor data(1) xor data(2) xor data(3) xor data(4) xor data(5) xor data(6) xor data(7))
-- e.g.
-- '0 1100 0010 0 1'
-- not(1 xor 1 xor 0 xor 0 xor 0 xor 0 xor 1 xor 0) = not(1) = 0
-- Receive raw data from ps2 serial interface
signal ps2_shiftreg_next : std_logic_vector(10 downto 0);
signal ps2_shiftreg_reg : std_logic_vector(10 downto 0);
signal idle_next : std_logic_vector(3 downto 0);
signal idle_reg : std_logic_vector(3 downto 0);
signal bitcount_next : std_logic_vector(3 downto 0);
signal bitcount_reg : std_logic_vector(3 downto 0);
signal enable_ps2 : std_logic;
signal last_ps2_clk_next : std_logic;
signal last_ps2_clk_reg : std_logic;
signal ps2_clk_reg : std_logic;
signal ps2_dat_reg : std_logic;
signal parity : std_logic;
-- Once we have whole parity checked bytes
signal byte_next : std_logic_vector(7 downto 0);
signal byte_reg : std_logic_vector(7 downto 0);
signal byte_received_next : std_logic;
signal byte_received_reg : std_logic;
-- Decode if they are press(or repeat)/release or extended
signal pending_extended_next : std_logic;
signal pending_extended_reg : std_logic;
signal pending_keyup_next : std_logic;
signal pending_keyup_reg : std_logic;
-- To eventually get the code itself
signal key_event_next : std_logic;
signal key_event_reg : std_logic;
signal key_value_next : std_logic_vector(9 downto 0);
signal key_value_reg : std_logic_vector(9 downto 0);
-- Store the last value, so I can filter repeat. I want repeat handled by Atari OS, not PS2 keyboard
signal key_value_last_next : std_logic_vector(9 downto 0);
signal key_value_last_reg : std_logic_vector(9 downto 0);
BEGIN
-- register
process(clk,reset_n)
begin
if (reset_n = '0') then
ps2_clk_reg <= '0';
ps2_dat_reg <= '0';
-- Convert to bytes/verify
last_ps2_clk_reg <= '0';
ps2_shiftreg_reg<= (others=>'0');
idle_reg <= (others=>'0');
bitcount_reg <= (others=>'0');
byte_received_reg <= '0';
byte_reg <= (others=>'0');
-- Handle simple byte strings (extended,byte extended,release,byte byte release,byte)
pending_extended_reg <= '0';
pending_keyup_reg <= '0';
-- Output registers
key_event_reg <= '0';
key_value_reg <= (others=>'0');
key_value_last_reg <= (others=>'0');
elsif (clk'event and clk='1') then
-- Raw interface
-- async - do we need some form of synchronizer?
ps2_clk_reg <= ps2_clk;
ps2_dat_reg <= ps2_dat;
-- Convert to bytes/verify
last_ps2_clk_reg <= last_ps2_clk_next;
ps2_shiftreg_reg<= ps2_shiftreg_next;
idle_reg <= idle_next;
bitcount_reg <= bitcount_next;
byte_received_reg <= byte_received_next;
byte_reg <= byte_next;
-- Handle simple byte strings (extended,byte extended,release,byte byte release,byte)
pending_extended_reg <= pending_extended_next;
pending_keyup_reg <= pending_keyup_next;
-- Output registers
key_event_reg <= key_event_next;
key_value_reg <= key_value_next;
key_value_last_reg <= key_value_last_next;
end if;
end process;
-- Divide clock by 256 to get approx 4*ps2 clock
enable_div : enable_divider
generic map (COUNT=>256)
port map(clk=>clk,reset_n=>reset_n,enable_in=>'1',enable_out=>enable_ps2);
-- capture bytes from ps2
parity<= not(ps2_shiftreg_reg(8) xor ps2_shiftreg_reg(7) xor ps2_shiftreg_reg(6) xor ps2_shiftreg_reg(5) xor ps2_shiftreg_reg(4) xor ps2_shiftreg_reg(3) xor ps2_shiftreg_reg(2) xor ps2_shiftreg_reg(1));
process(last_ps2_clk_reg,ps2_clk_reg, ps2_dat_reg, ps2_shiftreg_reg,idle_reg,enable_ps2,bitcount_reg,parity)
begin
ps2_shiftreg_next <= ps2_shiftreg_reg;
last_ps2_clk_next <= last_ps2_clk_reg;
bitcount_next <= bitcount_reg;
idle_next <= idle_reg;
byte_received_next <= '0';
byte_next <= (others=>'0');
if (enable_ps2 = '1') then
last_ps2_clk_next <= ps2_clk_reg;
-- sample on falling edge
if (ps2_clk_reg = '0' and last_ps2_clk_reg = '1') then
ps2_shiftreg_next <= ps2_dat_reg&ps2_shiftreg_reg(10 downto 1);
bitcount_next <= std_logic_vector(unsigned(bitcount_reg)+1);
end if;
-- output to next stage when done
if (bitcount_reg = X"B") then
byte_received_next <= (parity xnor ps2_shiftreg_reg(9)) and not(ps2_shiftreg_reg(0)) and ps2_shiftreg_reg(10);
byte_next <= ps2_shiftreg_reg(8 downto 1);
bitcount_next <= (others=>'0');
end if;
-- reset if both high for a time period
idle_next <= std_logic_vector(unsigned(idle_reg) +1);
if (idle_reg = X"F") then
ps2_shiftreg_next <= (others=>'0');
bitcount_next <= (others=>'0');
end if;
if (ps2_clk_reg = '0' or ps2_dat_reg = '0') then
idle_next <= X"0";
end if;
end if;
end process;
-- process bytes
process(byte_reg,byte_received_reg, pending_extended_reg, pending_keyup_reg, key_value_last_reg)
begin
pending_extended_next <= pending_extended_reg;
pending_keyup_next <= pending_keyup_reg;
key_event_next <= '0';
key_value_next <= (others =>'0');
key_value_last_next <= key_value_last_reg;
if (byte_received_reg = '1') then
case byte_reg is
when X"E0" =>
pending_extended_next <= '1';
when X"E1" =>
pending_extended_next <= '1';
when X"F0" =>
pending_keyup_next <= '1';
when others =>
pending_extended_next <= '0';
pending_keyup_next <= '0';
if (not(key_value_last_reg = pending_keyup_reg&pending_extended_reg&byte_reg(7 downto 0))) then
key_event_next <= '1';
key_value_next <= pending_keyup_reg&pending_extended_reg&byte_reg(7 downto 0);
key_value_last_next <= pending_keyup_reg&pending_extended_reg&byte_reg(7 downto 0);
end if;
end case;
end if;
end process;
-- Output
key_event <= key_event_reg;
key_value <= key_value_reg(7 downto 0);
key_extended <= key_value_reg(8);
key_up <= key_value_reg(9);
END vhdl;
| gpl-3.0 | b7854a529a83b20f55fb37692f2094af | 0.640931 | 2.954165 | false | false | false | false |
benjmarshall/hls_scratchpad | hls_cmd_line_testing/hls_gui_proj/hls_sin_proj/solution1/.autopilot/db/ip_tmp/prjsrcs/sources_1/ip/sin_taylor_series_ap_dadd_3_full_dsp_64/synth/sin_taylor_series_ap_dadd_3_full_dsp_64.vhd | 4 | 12,855 | -- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:floating_point:7.1
-- IP Revision: 4
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY floating_point_v7_1_4;
USE floating_point_v7_1_4.floating_point_v7_1_4;
ENTITY sin_taylor_series_ap_dadd_3_full_dsp_64 IS
PORT (
aclk : IN STD_LOGIC;
aclken : IN STD_LOGIC;
s_axis_a_tvalid : IN STD_LOGIC;
s_axis_a_tdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
s_axis_b_tvalid : IN STD_LOGIC;
s_axis_b_tdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
m_axis_result_tvalid : OUT STD_LOGIC;
m_axis_result_tdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0)
);
END sin_taylor_series_ap_dadd_3_full_dsp_64;
ARCHITECTURE sin_taylor_series_ap_dadd_3_full_dsp_64_arch OF sin_taylor_series_ap_dadd_3_full_dsp_64 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF sin_taylor_series_ap_dadd_3_full_dsp_64_arch: ARCHITECTURE IS "yes";
COMPONENT floating_point_v7_1_4 IS
GENERIC (
C_XDEVICEFAMILY : STRING;
C_HAS_ADD : INTEGER;
C_HAS_SUBTRACT : INTEGER;
C_HAS_MULTIPLY : INTEGER;
C_HAS_DIVIDE : INTEGER;
C_HAS_SQRT : INTEGER;
C_HAS_COMPARE : INTEGER;
C_HAS_FIX_TO_FLT : INTEGER;
C_HAS_FLT_TO_FIX : INTEGER;
C_HAS_FLT_TO_FLT : INTEGER;
C_HAS_RECIP : INTEGER;
C_HAS_RECIP_SQRT : INTEGER;
C_HAS_ABSOLUTE : INTEGER;
C_HAS_LOGARITHM : INTEGER;
C_HAS_EXPONENTIAL : INTEGER;
C_HAS_FMA : INTEGER;
C_HAS_FMS : INTEGER;
C_HAS_ACCUMULATOR_A : INTEGER;
C_HAS_ACCUMULATOR_S : INTEGER;
C_A_WIDTH : INTEGER;
C_A_FRACTION_WIDTH : INTEGER;
C_B_WIDTH : INTEGER;
C_B_FRACTION_WIDTH : INTEGER;
C_C_WIDTH : INTEGER;
C_C_FRACTION_WIDTH : INTEGER;
C_RESULT_WIDTH : INTEGER;
C_RESULT_FRACTION_WIDTH : INTEGER;
C_COMPARE_OPERATION : INTEGER;
C_LATENCY : INTEGER;
C_OPTIMIZATION : INTEGER;
C_MULT_USAGE : INTEGER;
C_BRAM_USAGE : INTEGER;
C_RATE : INTEGER;
C_ACCUM_INPUT_MSB : INTEGER;
C_ACCUM_MSB : INTEGER;
C_ACCUM_LSB : INTEGER;
C_HAS_UNDERFLOW : INTEGER;
C_HAS_OVERFLOW : INTEGER;
C_HAS_INVALID_OP : INTEGER;
C_HAS_DIVIDE_BY_ZERO : INTEGER;
C_HAS_ACCUM_OVERFLOW : INTEGER;
C_HAS_ACCUM_INPUT_OVERFLOW : INTEGER;
C_HAS_ACLKEN : INTEGER;
C_HAS_ARESETN : INTEGER;
C_THROTTLE_SCHEME : INTEGER;
C_HAS_A_TUSER : INTEGER;
C_HAS_A_TLAST : INTEGER;
C_HAS_B : INTEGER;
C_HAS_B_TUSER : INTEGER;
C_HAS_B_TLAST : INTEGER;
C_HAS_C : INTEGER;
C_HAS_C_TUSER : INTEGER;
C_HAS_C_TLAST : INTEGER;
C_HAS_OPERATION : INTEGER;
C_HAS_OPERATION_TUSER : INTEGER;
C_HAS_OPERATION_TLAST : INTEGER;
C_HAS_RESULT_TUSER : INTEGER;
C_HAS_RESULT_TLAST : INTEGER;
C_TLAST_RESOLUTION : INTEGER;
C_A_TDATA_WIDTH : INTEGER;
C_A_TUSER_WIDTH : INTEGER;
C_B_TDATA_WIDTH : INTEGER;
C_B_TUSER_WIDTH : INTEGER;
C_C_TDATA_WIDTH : INTEGER;
C_C_TUSER_WIDTH : INTEGER;
C_OPERATION_TDATA_WIDTH : INTEGER;
C_OPERATION_TUSER_WIDTH : INTEGER;
C_RESULT_TDATA_WIDTH : INTEGER;
C_RESULT_TUSER_WIDTH : INTEGER;
C_FIXED_DATA_UNSIGNED : INTEGER
);
PORT (
aclk : IN STD_LOGIC;
aclken : IN STD_LOGIC;
aresetn : IN STD_LOGIC;
s_axis_a_tvalid : IN STD_LOGIC;
s_axis_a_tready : OUT STD_LOGIC;
s_axis_a_tdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
s_axis_a_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_a_tlast : IN STD_LOGIC;
s_axis_b_tvalid : IN STD_LOGIC;
s_axis_b_tready : OUT STD_LOGIC;
s_axis_b_tdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
s_axis_b_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_b_tlast : IN STD_LOGIC;
s_axis_c_tvalid : IN STD_LOGIC;
s_axis_c_tready : OUT STD_LOGIC;
s_axis_c_tdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
s_axis_c_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_c_tlast : IN STD_LOGIC;
s_axis_operation_tvalid : IN STD_LOGIC;
s_axis_operation_tready : OUT STD_LOGIC;
s_axis_operation_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axis_operation_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_operation_tlast : IN STD_LOGIC;
m_axis_result_tvalid : OUT STD_LOGIC;
m_axis_result_tready : IN STD_LOGIC;
m_axis_result_tdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
m_axis_result_tuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_result_tlast : OUT STD_LOGIC
);
END COMPONENT floating_point_v7_1_4;
ATTRIBUTE X_CORE_INFO : STRING;
ATTRIBUTE X_CORE_INFO OF sin_taylor_series_ap_dadd_3_full_dsp_64_arch: ARCHITECTURE IS "floating_point_v7_1_4,Vivado 2017.1";
ATTRIBUTE CHECK_LICENSE_TYPE : STRING;
ATTRIBUTE CHECK_LICENSE_TYPE OF sin_taylor_series_ap_dadd_3_full_dsp_64_arch : ARCHITECTURE IS "sin_taylor_series_ap_dadd_3_full_dsp_64,floating_point_v7_1_4,{}";
ATTRIBUTE CORE_GENERATION_INFO : STRING;
ATTRIBUTE CORE_GENERATION_INFO OF sin_taylor_series_ap_dadd_3_full_dsp_64_arch: ARCHITECTURE IS "sin_taylor_series_ap_dadd_3_full_dsp_64,floating_point_v7_1_4,{x_ipProduct=Vivado 2017.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=floating_point,x_ipVersion=7.1,x_ipCoreRevision=4,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED,C_XDEVICEFAMILY=zynq,C_HAS_ADD=1,C_HAS_SUBTRACT=0,C_HAS_MULTIPLY=0,C_HAS_DIVIDE=0,C_HAS_SQRT=0,C_HAS_COMPARE=0,C_HAS_FIX_TO_FLT=0,C_HAS_FLT_TO_FIX=0,C_HAS_FLT_TO_FLT=0,C_HAS_RECIP=0,C_HAS_RECIP_SQRT=0,C_HAS_ABSOLUTE=0,C_HAS_LOGARITHM=0,C_HAS_EXPONENTIAL=0,C_HAS_FMA=0,C_HAS_F" &
"MS=0,C_HAS_ACCUMULATOR_A=0,C_HAS_ACCUMULATOR_S=0,C_A_WIDTH=64,C_A_FRACTION_WIDTH=53,C_B_WIDTH=64,C_B_FRACTION_WIDTH=53,C_C_WIDTH=64,C_C_FRACTION_WIDTH=53,C_RESULT_WIDTH=64,C_RESULT_FRACTION_WIDTH=53,C_COMPARE_OPERATION=8,C_LATENCY=3,C_OPTIMIZATION=1,C_MULT_USAGE=2,C_BRAM_USAGE=0,C_RATE=1,C_ACCUM_INPUT_MSB=32,C_ACCUM_MSB=32,C_ACCUM_LSB=-31,C_HAS_UNDERFLOW=0,C_HAS_OVERFLOW=0,C_HAS_INVALID_OP=0,C_HAS_DIVIDE_BY_ZERO=0,C_HAS_ACCUM_OVERFLOW=0,C_HAS_ACCUM_INPUT_OVERFLOW=0,C_HAS_ACLKEN=1,C_HAS_ARESETN=0" &
",C_THROTTLE_SCHEME=3,C_HAS_A_TUSER=0,C_HAS_A_TLAST=0,C_HAS_B=1,C_HAS_B_TUSER=0,C_HAS_B_TLAST=0,C_HAS_C=0,C_HAS_C_TUSER=0,C_HAS_C_TLAST=0,C_HAS_OPERATION=0,C_HAS_OPERATION_TUSER=0,C_HAS_OPERATION_TLAST=0,C_HAS_RESULT_TUSER=0,C_HAS_RESULT_TLAST=0,C_TLAST_RESOLUTION=0,C_A_TDATA_WIDTH=64,C_A_TUSER_WIDTH=1,C_B_TDATA_WIDTH=64,C_B_TUSER_WIDTH=1,C_C_TDATA_WIDTH=64,C_C_TUSER_WIDTH=1,C_OPERATION_TDATA_WIDTH=8,C_OPERATION_TUSER_WIDTH=1,C_RESULT_TDATA_WIDTH=64,C_RESULT_TUSER_WIDTH=1,C_FIXED_DATA_UNSIGNED=0}";
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 aclk_intf CLK";
ATTRIBUTE X_INTERFACE_INFO OF aclken: SIGNAL IS "xilinx.com:signal:clockenable:1.0 aclken_intf CE";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_a_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_A TVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_a_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_A TDATA";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_b_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_B TVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_b_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_B TDATA";
ATTRIBUTE X_INTERFACE_INFO OF m_axis_result_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_RESULT TVALID";
ATTRIBUTE X_INTERFACE_INFO OF m_axis_result_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_RESULT TDATA";
BEGIN
U0 : floating_point_v7_1_4
GENERIC MAP (
C_XDEVICEFAMILY => "zynq",
C_HAS_ADD => 1,
C_HAS_SUBTRACT => 0,
C_HAS_MULTIPLY => 0,
C_HAS_DIVIDE => 0,
C_HAS_SQRT => 0,
C_HAS_COMPARE => 0,
C_HAS_FIX_TO_FLT => 0,
C_HAS_FLT_TO_FIX => 0,
C_HAS_FLT_TO_FLT => 0,
C_HAS_RECIP => 0,
C_HAS_RECIP_SQRT => 0,
C_HAS_ABSOLUTE => 0,
C_HAS_LOGARITHM => 0,
C_HAS_EXPONENTIAL => 0,
C_HAS_FMA => 0,
C_HAS_FMS => 0,
C_HAS_ACCUMULATOR_A => 0,
C_HAS_ACCUMULATOR_S => 0,
C_A_WIDTH => 64,
C_A_FRACTION_WIDTH => 53,
C_B_WIDTH => 64,
C_B_FRACTION_WIDTH => 53,
C_C_WIDTH => 64,
C_C_FRACTION_WIDTH => 53,
C_RESULT_WIDTH => 64,
C_RESULT_FRACTION_WIDTH => 53,
C_COMPARE_OPERATION => 8,
C_LATENCY => 3,
C_OPTIMIZATION => 1,
C_MULT_USAGE => 2,
C_BRAM_USAGE => 0,
C_RATE => 1,
C_ACCUM_INPUT_MSB => 32,
C_ACCUM_MSB => 32,
C_ACCUM_LSB => -31,
C_HAS_UNDERFLOW => 0,
C_HAS_OVERFLOW => 0,
C_HAS_INVALID_OP => 0,
C_HAS_DIVIDE_BY_ZERO => 0,
C_HAS_ACCUM_OVERFLOW => 0,
C_HAS_ACCUM_INPUT_OVERFLOW => 0,
C_HAS_ACLKEN => 1,
C_HAS_ARESETN => 0,
C_THROTTLE_SCHEME => 3,
C_HAS_A_TUSER => 0,
C_HAS_A_TLAST => 0,
C_HAS_B => 1,
C_HAS_B_TUSER => 0,
C_HAS_B_TLAST => 0,
C_HAS_C => 0,
C_HAS_C_TUSER => 0,
C_HAS_C_TLAST => 0,
C_HAS_OPERATION => 0,
C_HAS_OPERATION_TUSER => 0,
C_HAS_OPERATION_TLAST => 0,
C_HAS_RESULT_TUSER => 0,
C_HAS_RESULT_TLAST => 0,
C_TLAST_RESOLUTION => 0,
C_A_TDATA_WIDTH => 64,
C_A_TUSER_WIDTH => 1,
C_B_TDATA_WIDTH => 64,
C_B_TUSER_WIDTH => 1,
C_C_TDATA_WIDTH => 64,
C_C_TUSER_WIDTH => 1,
C_OPERATION_TDATA_WIDTH => 8,
C_OPERATION_TUSER_WIDTH => 1,
C_RESULT_TDATA_WIDTH => 64,
C_RESULT_TUSER_WIDTH => 1,
C_FIXED_DATA_UNSIGNED => 0
)
PORT MAP (
aclk => aclk,
aclken => aclken,
aresetn => '1',
s_axis_a_tvalid => s_axis_a_tvalid,
s_axis_a_tdata => s_axis_a_tdata,
s_axis_a_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_a_tlast => '0',
s_axis_b_tvalid => s_axis_b_tvalid,
s_axis_b_tdata => s_axis_b_tdata,
s_axis_b_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_b_tlast => '0',
s_axis_c_tvalid => '0',
s_axis_c_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)),
s_axis_c_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_c_tlast => '0',
s_axis_operation_tvalid => '0',
s_axis_operation_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axis_operation_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_operation_tlast => '0',
m_axis_result_tvalid => m_axis_result_tvalid,
m_axis_result_tready => '0',
m_axis_result_tdata => m_axis_result_tdata
);
END sin_taylor_series_ap_dadd_3_full_dsp_64_arch;
| mit | 06405bd2b74a5b996508c88fb6b70424 | 0.651575 | 3.005611 | false | false | false | false |
benjmarshall/hls_scratchpad | hls_cmd_line_testing/hls_gui_proj/hls_sin_proj/solution1/.autopilot/db/ip_tmp/prjsrcs/sources_1/ip/sin_taylor_series_ap_dmul_4_max_dsp_64/synth/sin_taylor_series_ap_dmul_4_max_dsp_64.vhd | 4 | 12,844 | -- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:floating_point:7.1
-- IP Revision: 4
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY floating_point_v7_1_4;
USE floating_point_v7_1_4.floating_point_v7_1_4;
ENTITY sin_taylor_series_ap_dmul_4_max_dsp_64 IS
PORT (
aclk : IN STD_LOGIC;
aclken : IN STD_LOGIC;
s_axis_a_tvalid : IN STD_LOGIC;
s_axis_a_tdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
s_axis_b_tvalid : IN STD_LOGIC;
s_axis_b_tdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
m_axis_result_tvalid : OUT STD_LOGIC;
m_axis_result_tdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0)
);
END sin_taylor_series_ap_dmul_4_max_dsp_64;
ARCHITECTURE sin_taylor_series_ap_dmul_4_max_dsp_64_arch OF sin_taylor_series_ap_dmul_4_max_dsp_64 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF sin_taylor_series_ap_dmul_4_max_dsp_64_arch: ARCHITECTURE IS "yes";
COMPONENT floating_point_v7_1_4 IS
GENERIC (
C_XDEVICEFAMILY : STRING;
C_HAS_ADD : INTEGER;
C_HAS_SUBTRACT : INTEGER;
C_HAS_MULTIPLY : INTEGER;
C_HAS_DIVIDE : INTEGER;
C_HAS_SQRT : INTEGER;
C_HAS_COMPARE : INTEGER;
C_HAS_FIX_TO_FLT : INTEGER;
C_HAS_FLT_TO_FIX : INTEGER;
C_HAS_FLT_TO_FLT : INTEGER;
C_HAS_RECIP : INTEGER;
C_HAS_RECIP_SQRT : INTEGER;
C_HAS_ABSOLUTE : INTEGER;
C_HAS_LOGARITHM : INTEGER;
C_HAS_EXPONENTIAL : INTEGER;
C_HAS_FMA : INTEGER;
C_HAS_FMS : INTEGER;
C_HAS_ACCUMULATOR_A : INTEGER;
C_HAS_ACCUMULATOR_S : INTEGER;
C_A_WIDTH : INTEGER;
C_A_FRACTION_WIDTH : INTEGER;
C_B_WIDTH : INTEGER;
C_B_FRACTION_WIDTH : INTEGER;
C_C_WIDTH : INTEGER;
C_C_FRACTION_WIDTH : INTEGER;
C_RESULT_WIDTH : INTEGER;
C_RESULT_FRACTION_WIDTH : INTEGER;
C_COMPARE_OPERATION : INTEGER;
C_LATENCY : INTEGER;
C_OPTIMIZATION : INTEGER;
C_MULT_USAGE : INTEGER;
C_BRAM_USAGE : INTEGER;
C_RATE : INTEGER;
C_ACCUM_INPUT_MSB : INTEGER;
C_ACCUM_MSB : INTEGER;
C_ACCUM_LSB : INTEGER;
C_HAS_UNDERFLOW : INTEGER;
C_HAS_OVERFLOW : INTEGER;
C_HAS_INVALID_OP : INTEGER;
C_HAS_DIVIDE_BY_ZERO : INTEGER;
C_HAS_ACCUM_OVERFLOW : INTEGER;
C_HAS_ACCUM_INPUT_OVERFLOW : INTEGER;
C_HAS_ACLKEN : INTEGER;
C_HAS_ARESETN : INTEGER;
C_THROTTLE_SCHEME : INTEGER;
C_HAS_A_TUSER : INTEGER;
C_HAS_A_TLAST : INTEGER;
C_HAS_B : INTEGER;
C_HAS_B_TUSER : INTEGER;
C_HAS_B_TLAST : INTEGER;
C_HAS_C : INTEGER;
C_HAS_C_TUSER : INTEGER;
C_HAS_C_TLAST : INTEGER;
C_HAS_OPERATION : INTEGER;
C_HAS_OPERATION_TUSER : INTEGER;
C_HAS_OPERATION_TLAST : INTEGER;
C_HAS_RESULT_TUSER : INTEGER;
C_HAS_RESULT_TLAST : INTEGER;
C_TLAST_RESOLUTION : INTEGER;
C_A_TDATA_WIDTH : INTEGER;
C_A_TUSER_WIDTH : INTEGER;
C_B_TDATA_WIDTH : INTEGER;
C_B_TUSER_WIDTH : INTEGER;
C_C_TDATA_WIDTH : INTEGER;
C_C_TUSER_WIDTH : INTEGER;
C_OPERATION_TDATA_WIDTH : INTEGER;
C_OPERATION_TUSER_WIDTH : INTEGER;
C_RESULT_TDATA_WIDTH : INTEGER;
C_RESULT_TUSER_WIDTH : INTEGER;
C_FIXED_DATA_UNSIGNED : INTEGER
);
PORT (
aclk : IN STD_LOGIC;
aclken : IN STD_LOGIC;
aresetn : IN STD_LOGIC;
s_axis_a_tvalid : IN STD_LOGIC;
s_axis_a_tready : OUT STD_LOGIC;
s_axis_a_tdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
s_axis_a_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_a_tlast : IN STD_LOGIC;
s_axis_b_tvalid : IN STD_LOGIC;
s_axis_b_tready : OUT STD_LOGIC;
s_axis_b_tdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
s_axis_b_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_b_tlast : IN STD_LOGIC;
s_axis_c_tvalid : IN STD_LOGIC;
s_axis_c_tready : OUT STD_LOGIC;
s_axis_c_tdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
s_axis_c_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_c_tlast : IN STD_LOGIC;
s_axis_operation_tvalid : IN STD_LOGIC;
s_axis_operation_tready : OUT STD_LOGIC;
s_axis_operation_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axis_operation_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_operation_tlast : IN STD_LOGIC;
m_axis_result_tvalid : OUT STD_LOGIC;
m_axis_result_tready : IN STD_LOGIC;
m_axis_result_tdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
m_axis_result_tuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_result_tlast : OUT STD_LOGIC
);
END COMPONENT floating_point_v7_1_4;
ATTRIBUTE X_CORE_INFO : STRING;
ATTRIBUTE X_CORE_INFO OF sin_taylor_series_ap_dmul_4_max_dsp_64_arch: ARCHITECTURE IS "floating_point_v7_1_4,Vivado 2017.1";
ATTRIBUTE CHECK_LICENSE_TYPE : STRING;
ATTRIBUTE CHECK_LICENSE_TYPE OF sin_taylor_series_ap_dmul_4_max_dsp_64_arch : ARCHITECTURE IS "sin_taylor_series_ap_dmul_4_max_dsp_64,floating_point_v7_1_4,{}";
ATTRIBUTE CORE_GENERATION_INFO : STRING;
ATTRIBUTE CORE_GENERATION_INFO OF sin_taylor_series_ap_dmul_4_max_dsp_64_arch: ARCHITECTURE IS "sin_taylor_series_ap_dmul_4_max_dsp_64,floating_point_v7_1_4,{x_ipProduct=Vivado 2017.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=floating_point,x_ipVersion=7.1,x_ipCoreRevision=4,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED,C_XDEVICEFAMILY=zynq,C_HAS_ADD=0,C_HAS_SUBTRACT=0,C_HAS_MULTIPLY=1,C_HAS_DIVIDE=0,C_HAS_SQRT=0,C_HAS_COMPARE=0,C_HAS_FIX_TO_FLT=0,C_HAS_FLT_TO_FIX=0,C_HAS_FLT_TO_FLT=0,C_HAS_RECIP=0,C_HAS_RECIP_SQRT=0,C_HAS_ABSOLUTE=0,C_HAS_LOGARITHM=0,C_HAS_EXPONENTIAL=0,C_HAS_FMA=0,C_HAS_FM" &
"S=0,C_HAS_ACCUMULATOR_A=0,C_HAS_ACCUMULATOR_S=0,C_A_WIDTH=64,C_A_FRACTION_WIDTH=53,C_B_WIDTH=64,C_B_FRACTION_WIDTH=53,C_C_WIDTH=64,C_C_FRACTION_WIDTH=53,C_RESULT_WIDTH=64,C_RESULT_FRACTION_WIDTH=53,C_COMPARE_OPERATION=8,C_LATENCY=4,C_OPTIMIZATION=1,C_MULT_USAGE=3,C_BRAM_USAGE=0,C_RATE=1,C_ACCUM_INPUT_MSB=32,C_ACCUM_MSB=32,C_ACCUM_LSB=-31,C_HAS_UNDERFLOW=0,C_HAS_OVERFLOW=0,C_HAS_INVALID_OP=0,C_HAS_DIVIDE_BY_ZERO=0,C_HAS_ACCUM_OVERFLOW=0,C_HAS_ACCUM_INPUT_OVERFLOW=0,C_HAS_ACLKEN=1,C_HAS_ARESETN=0," &
"C_THROTTLE_SCHEME=3,C_HAS_A_TUSER=0,C_HAS_A_TLAST=0,C_HAS_B=1,C_HAS_B_TUSER=0,C_HAS_B_TLAST=0,C_HAS_C=0,C_HAS_C_TUSER=0,C_HAS_C_TLAST=0,C_HAS_OPERATION=0,C_HAS_OPERATION_TUSER=0,C_HAS_OPERATION_TLAST=0,C_HAS_RESULT_TUSER=0,C_HAS_RESULT_TLAST=0,C_TLAST_RESOLUTION=0,C_A_TDATA_WIDTH=64,C_A_TUSER_WIDTH=1,C_B_TDATA_WIDTH=64,C_B_TUSER_WIDTH=1,C_C_TDATA_WIDTH=64,C_C_TUSER_WIDTH=1,C_OPERATION_TDATA_WIDTH=8,C_OPERATION_TUSER_WIDTH=1,C_RESULT_TDATA_WIDTH=64,C_RESULT_TUSER_WIDTH=1,C_FIXED_DATA_UNSIGNED=0}";
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 aclk_intf CLK";
ATTRIBUTE X_INTERFACE_INFO OF aclken: SIGNAL IS "xilinx.com:signal:clockenable:1.0 aclken_intf CE";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_a_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_A TVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_a_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_A TDATA";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_b_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_B TVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_b_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_B TDATA";
ATTRIBUTE X_INTERFACE_INFO OF m_axis_result_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_RESULT TVALID";
ATTRIBUTE X_INTERFACE_INFO OF m_axis_result_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_RESULT TDATA";
BEGIN
U0 : floating_point_v7_1_4
GENERIC MAP (
C_XDEVICEFAMILY => "zynq",
C_HAS_ADD => 0,
C_HAS_SUBTRACT => 0,
C_HAS_MULTIPLY => 1,
C_HAS_DIVIDE => 0,
C_HAS_SQRT => 0,
C_HAS_COMPARE => 0,
C_HAS_FIX_TO_FLT => 0,
C_HAS_FLT_TO_FIX => 0,
C_HAS_FLT_TO_FLT => 0,
C_HAS_RECIP => 0,
C_HAS_RECIP_SQRT => 0,
C_HAS_ABSOLUTE => 0,
C_HAS_LOGARITHM => 0,
C_HAS_EXPONENTIAL => 0,
C_HAS_FMA => 0,
C_HAS_FMS => 0,
C_HAS_ACCUMULATOR_A => 0,
C_HAS_ACCUMULATOR_S => 0,
C_A_WIDTH => 64,
C_A_FRACTION_WIDTH => 53,
C_B_WIDTH => 64,
C_B_FRACTION_WIDTH => 53,
C_C_WIDTH => 64,
C_C_FRACTION_WIDTH => 53,
C_RESULT_WIDTH => 64,
C_RESULT_FRACTION_WIDTH => 53,
C_COMPARE_OPERATION => 8,
C_LATENCY => 4,
C_OPTIMIZATION => 1,
C_MULT_USAGE => 3,
C_BRAM_USAGE => 0,
C_RATE => 1,
C_ACCUM_INPUT_MSB => 32,
C_ACCUM_MSB => 32,
C_ACCUM_LSB => -31,
C_HAS_UNDERFLOW => 0,
C_HAS_OVERFLOW => 0,
C_HAS_INVALID_OP => 0,
C_HAS_DIVIDE_BY_ZERO => 0,
C_HAS_ACCUM_OVERFLOW => 0,
C_HAS_ACCUM_INPUT_OVERFLOW => 0,
C_HAS_ACLKEN => 1,
C_HAS_ARESETN => 0,
C_THROTTLE_SCHEME => 3,
C_HAS_A_TUSER => 0,
C_HAS_A_TLAST => 0,
C_HAS_B => 1,
C_HAS_B_TUSER => 0,
C_HAS_B_TLAST => 0,
C_HAS_C => 0,
C_HAS_C_TUSER => 0,
C_HAS_C_TLAST => 0,
C_HAS_OPERATION => 0,
C_HAS_OPERATION_TUSER => 0,
C_HAS_OPERATION_TLAST => 0,
C_HAS_RESULT_TUSER => 0,
C_HAS_RESULT_TLAST => 0,
C_TLAST_RESOLUTION => 0,
C_A_TDATA_WIDTH => 64,
C_A_TUSER_WIDTH => 1,
C_B_TDATA_WIDTH => 64,
C_B_TUSER_WIDTH => 1,
C_C_TDATA_WIDTH => 64,
C_C_TUSER_WIDTH => 1,
C_OPERATION_TDATA_WIDTH => 8,
C_OPERATION_TUSER_WIDTH => 1,
C_RESULT_TDATA_WIDTH => 64,
C_RESULT_TUSER_WIDTH => 1,
C_FIXED_DATA_UNSIGNED => 0
)
PORT MAP (
aclk => aclk,
aclken => aclken,
aresetn => '1',
s_axis_a_tvalid => s_axis_a_tvalid,
s_axis_a_tdata => s_axis_a_tdata,
s_axis_a_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_a_tlast => '0',
s_axis_b_tvalid => s_axis_b_tvalid,
s_axis_b_tdata => s_axis_b_tdata,
s_axis_b_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_b_tlast => '0',
s_axis_c_tvalid => '0',
s_axis_c_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)),
s_axis_c_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_c_tlast => '0',
s_axis_operation_tvalid => '0',
s_axis_operation_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axis_operation_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_operation_tlast => '0',
m_axis_result_tvalid => m_axis_result_tvalid,
m_axis_result_tready => '0',
m_axis_result_tdata => m_axis_result_tdata
);
END sin_taylor_series_ap_dmul_4_max_dsp_64_arch;
| mit | 5b2f79bf44ab5463f1a2cd67c9ab8a64 | 0.651277 | 3.00304 | false | false | false | false |
VHDLTool/VHDL_Handbook_STD | Extras/VHDL/STD_04000_bad.vhd | 1 | 4,941 | -------------------------------------------------------------------------------------------------
-- Company : CNES
-- Author : Mickael Carl (CNES)
-- Copyright : Copyright (c) CNES.
-- Licensing : GNU GPLv3
-------------------------------------------------------------------------------------------------
-- Version : V1.1
-- Version history :
-- V1 : 2015-04-13 : Mickael Carl (CNES): Creation
-- V1.1 : 2016-05-03 : F.Manni (CNES) : add initialization trough reset for Raz, enable and Count_Length
-------------------------------------------------------------------------------------------------
-- File name : STD_04000_bad.vhd
-- File Creation date : 2015-04-13
-- Project name : VHDL Handbook CNES Edition
-------------------------------------------------------------------------------------------------
-- Softwares : Microsoft Windows (Windows 7) - Editor (Eclipse + VEditor)
-------------------------------------------------------------------------------------------------
-- Description : Handbook example: State machine case enumeration completion: bad example
--
-- Limitations : This file is an example of the VHDL handbook made by CNES. It is a stub aimed at
-- demonstrating good practices in VHDL and as such, its design is minimalistic.
-- It is provided as is, without any warranty.
-- This example is compliant with the Handbook version 1.
--
-------------------------------------------------------------------------------------------------
-- Naming conventions:
--
-- i_Port: Input entity port
-- o_Port: Output entity port
-- b_Port: Bidirectional entity port
-- g_My_Generic: Generic entity port
--
-- c_My_Constant: Constant definition
-- t_My_Type: Custom type definition
--
-- My_Signal_n: Active low signal
-- v_My_Variable: Variable
-- sm_My_Signal: FSM signal
-- pkg_Param: Element Param coming from a package
--
-- My_Signal_re: Rising edge detection of My_Signal
-- My_Signal_fe: Falling edge detection of My_Signal
-- My_Signal_rX: X times registered My_Signal signal
--
-- P_Process_Name: Process
--
-------------------------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity STD_04000_bad is
port (
i_Clock : in std_logic; -- Clock input
i_Reset_n : in std_logic; -- Reset input
i_Start : in std_logic; -- Start counter signal
i_Stop : in std_logic -- Stop counter signal
);
end STD_04000_bad;
--CODE
architecture Behavioral of STD_04000_bad is
constant c_Length : std_logic_vector(3 downto 0) := (others => '1'); -- How long we should count
type t_state is (init, loading, enabled, finished); -- Enumerated type for state encoding
signal sm_State : t_state; -- State signal
signal Raz : std_logic; -- Load the length value and initialize the counter
signal Enable : std_logic; -- Counter enable signal
signal Count_Length : std_logic_vector(3 downto 0); -- Counter length for counting
signal End_Count : std_logic; -- End signal of counter
begin
-- A simple counter with loading length and enable signal
Counter : Counter
port map (
i_Clock => i_Clock,
i_Reset_n => i_Reset_n,
i_Raz => Raz,
i_Enable => Enable,
i_Length => Count_Length,
o_Done => End_Count
);
-- FSM process controlling the counter. Start or stop it in function of the input (i_Start & i_Stop),
-- load the length value, and wait for it to finish
P_FSM : process(i_Reset_n, i_Clock)
begin
if (i_Reset_n = '0') then
sm_State <= init;
Raz <= '0';
Enable <= '0';
Count_Length <= (others=>'0');
elsif (rising_edge(i_Clock)) then
case sm_State is
when init =>
-- Set the length value
Count_Length <= c_Length;
sm_State <= loading;
when loading =>
-- Load the counter and initialize it
Raz <= '1';
sm_State <= enabled;
when enabled =>
-- Start or stop counting depending on inputs until it finishes
Raz <= '0';
if (End_Count = '0') then
-- The counter has not finished, wait
Enable <= i_Start xor not i_Stop;
sm_State <= Enabled;
else
-- The counter has finished, nothing else to do
Enable <= '0';
sm_State <= finished;
end if;
--*** MISSING finished state of the FSM ***--
end case;
end if;
end process;
end Behavioral;
--CODE
| gpl-3.0 | dcd59e4988f73975253bd8e076ad2cf9 | 0.490387 | 4.639437 | false | false | false | false |
ILoveSpeccy/Aeon-Lite | cores/speccy/src/speccy.vhd | 1 | 12,951 | library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
entity speccy is
Port (
CLK50 : in std_logic;
MCU_READY : in std_logic;
KEYB_CLK : in std_logic;
KEYB_DATA : in std_logic;
SD_MOSI : out std_logic;
SD_MISO : in std_logic;
SD_SCK : out std_logic;
SD_CS : out std_logic;
SOUND_L : out std_logic;
SOUND_R : out std_logic;
SRAM_A : out std_logic_vector(17 downto 0);
SRAM_D : inout std_logic_vector(15 downto 0);
SRAM_WE : out std_logic;
SRAM_OE : out std_logic;
SRAM_UB : out std_logic;
SRAM_LB : out std_logic;
SRAM_CE0 : out std_logic;
SRAM_CE1 : out std_logic;
VGA_R : out std_logic_vector(3 downto 0);
VGA_G : out std_logic_vector(3 downto 0);
VGA_B : out std_logic_vector(3 downto 0);
VGA_HSYNC : out std_logic;
VGA_VSYNC : out std_logic );
end speccy;
architecture rtl of speccy is
signal CLK : std_logic;
signal VGA_CLK : std_logic;
signal LOCKED : std_logic;
signal RESET : std_logic;
signal TICK : unsigned(3 downto 0);
signal CLC_TICK : std_logic;
signal CPU_CLK : std_logic;
signal CPU_RESET : std_logic;
signal CPU_INT : std_logic;
signal CPU_NMI : std_logic;
signal CPU_MREQ : std_logic;
signal CPU_IORQ : std_logic;
signal CPU_M1 : std_logic;
signal CPU_RD : std_logic;
signal CPU_WR : std_logic;
signal CPU_A : std_logic_vector(15 downto 0);
signal CPU_DI : std_logic_vector(7 downto 0);
signal CPU_DO : std_logic_vector(7 downto 0);
signal ROM_DO : std_logic_vector(7 downto 0);
signal DIVROM_DO : std_logic_vector(7 downto 0);
signal RAM_A : std_logic_vector(19 downto 0);
signal RAM_DO : std_logic_vector(7 downto 0);
signal RAM_RW : std_logic;
signal RAM_REQ : std_logic;
signal VRAM_WR : std_logic_vector(0 downto 0);
signal VRAM_A : std_logic_vector(13 downto 0);
signal VRAM_VA : std_logic_vector(12 downto 0);
signal VRAM_VD : std_logic_vector(7 downto 0);
signal BORDERCOLOR : std_logic_vector(2 downto 0);
signal KEYB_DO : std_logic_vector(4 downto 0);
signal RESET_TICK : std_logic;
signal RESET_ONESHOT: std_logic;
signal NMI_TICK : std_logic;
signal NMI_ONESHOT : std_logic;
signal BEEPER : std_logic;
signal CLC : std_logic;
signal AY_CS : std_logic;
signal AY_DO : std_logic_vector(7 downto 0);
signal AY_A : std_logic_vector(7 downto 0);
signal AY_B : std_logic_vector(7 downto 0);
signal AY_C : std_logic_vector(7 downto 0);
signal AUDIO_L : std_logic_vector(9 downto 0);
signal AUDIO_R : std_logic_vector(9 downto 0);
------------------------- 128K -------------------------
signal PAGE : std_logic_vector(2 downto 0) := "000";
signal SCREEN : std_logic := '0';
signal ROMSEL : std_logic := '0';
signal DISABLE : std_logic := '0';
------------------------ DIVMMC ------------------------
signal BANK : std_logic_vector(5 downto 0) := "000000";
signal CONMEM : std_logic := '0';
signal MAPRAM : std_logic := '0';
signal MAPCOND : std_logic := '0';
signal AUTOMAP : std_logic := '0';
signal counter : unsigned(3 downto 0);
-- Shift register has an extra bit because we write on the
-- falling edge and read on the rising edge
signal shift_reg : std_logic_vector(8 downto 0);
signal in_reg : std_logic_vector(7 downto 0);
begin
u_CLOCK : entity work.clock
port map(
CLK50 => CLK50,
CLK => CLK,
VGA_CLK => VGA_CLK,
LOCKED => LOCKED );
u_VIDEO : entity work.video
port map(
VGA_CLK => VGA_CLK,
RESET => '0',
BORDERCOLOR => BORDERCOLOR,
INT => CPU_INT,
VA => VRAM_VA,
VD => VRAM_VD,
VGA_R => VGA_R,
VGA_G => VGA_G,
VGA_B => VGA_B,
VGA_HSYNC => VGA_HSYNC,
VGA_VSYNC => VGA_VSYNC );
u_ROM : entity work.rom
port map(
clka => CLK,
addra => ROMSEL & CPU_A(13 downto 0),
douta => ROM_DO );
u_DIVROM : entity work.divrom
port map(
clka => CLK,
addra => CPU_A(12 downto 0),
douta => DIVROM_DO );
u_VRAM : entity work.vram
port map(
clka => CLK,
wea => VRAM_WR,
addra => VRAM_A,
dina => CPU_DO,
clkb => VGA_CLK,
addrb => SCREEN & VRAM_VA,
doutb => VRAM_VD );
u_CPU : entity work.T80se
port map(
RESET_n => CPU_RESET, --not RESET,
CLK_n => CLK,
CLKEN => CPU_CLK,
WAIT_n => '1',
INT_n => CPU_INT,
NMI_n => CPU_NMI,
BUSRQ_n => '1',
M1_n => CPU_M1,
MREQ_n => CPU_MREQ,
IORQ_n => CPU_IORQ,
RD_n => CPU_RD,
WR_n => CPU_WR,
RFSH_n => OPEN,
HALT_n => OPEN,
BUSAK_n => OPEN,
A => CPU_A,
DI => CPU_DI,
DO => CPU_DO );
u_RAM : entity work.memctrl
port map(
CLK => CLK,
RESET => RESET,
MEM_A => RAM_A,
MEM_DI => CPU_DO,
MEM_DO => RAM_DO,
MEM_RW => RAM_RW,
MEM_REQ => RAM_REQ,
MEM_ACK => open,
SRAM_A => SRAM_A,
SRAM_D => SRAM_D,
SRAM_CE0 => SRAM_CE0,
SRAM_CE1 => SRAM_CE1,
SRAM_OE => SRAM_OE,
SRAM_WE => SRAM_WE,
SRAM_UB => SRAM_UB,
SRAM_LB => SRAM_LB );
u_KEYBOARD: entity work.keyboard
port map(
CLK => CLK,
RESET => RESET,
PS2_CLK => KEYB_CLK,
PS2_DATA => KEYB_DATA,
KEYB_ADDR => CPU_A(15 downto 8),
KEYB_DATA => KEYB_DO,
RESET_TICK => RESET_TICK,
NMI_TICK => NMI_TICK );
u_ONESHOT_RESET : entity work.oneshot
port map(
CLK => CLK,
RESET => RESET,
ONESHOT_IN => RESET_TICK,
ONESHOT_OUT => RESET_ONESHOT );
u_ONESHOT_NMI : entity work.oneshot
port map(
CLK => CLK,
RESET => RESET,
ONESHOT_IN => NMI_TICK,
ONESHOT_OUT => NMI_ONESHOT );
u_AY8910 : entity work.ay8910
port map(
CLK => CLK,
CLC => CLC,
RESET => CPU_RESET,
BDIR => not CPU_WR,
CS => AY_CS,
BC => CPU_A(14),
DI => CPU_DO,
DO => AY_DO,
OUT_A => AY_A,
OUT_B => AY_B,
OUT_C => AY_C );
u_DAC_L : entity work.dac
port map(
clk_i => CLK,
res_n_i => CPU_RESET,
dac_i => AUDIO_L,
dac_o => SOUND_L );
u_DAC_R : entity work.dac
port map(
clk_i => CLK,
res_n_i => CPU_RESET,
dac_i => AUDIO_R,
dac_o => SOUND_R );
AUDIO_L <= std_logic_vector(unsigned('0' & AY_A & '0') + unsigned('0' & BEEPER & AY_B));
AUDIO_R <= std_logic_vector(unsigned('0' & AY_C & '0') + unsigned('0' & BEEPER & AY_B));
CPU_RESET <= not RESET_ONESHOT;
reset_and_clock : process(CLK)
begin
if rising_edge(CLK) then
if LOCKED = '0' or MCU_READY = '0' then
TICK <= "0000";
RESET <= '1';
CPU_CLK <= '0';
CLC <= '0';
CLC_TICK <= '0';
else
CPU_CLK <= '0';
CLC <= '0';
TICK <= TICK + 1;
if TICK = "1111" then
CPU_CLK <= '1';
RESET <= '0';
CLC_TICK <= not CLC_TICK;
if CLC_TICK = '1' then
CLC <= '1';
end if;
end if;
end if;
end if;
end process;
CPU_DI <= ROM_DO when CPU_A(15 downto 14) = "00" and CPU_MREQ = '0' and AUTOMAP = '0' and CONMEM = '0'
else DIVROM_DO when CPU_A(15 downto 13) = "000" and CPU_MREQ = '0' and (AUTOMAP = '1' or CONMEM = '1')
else RAM_DO when CPU_A(15 downto 13) = "001" and CPU_MREQ = '0' and (AUTOMAP = '1' or CONMEM = '1')
else RAM_DO when CPU_A(15 downto 14) /= "00" and CPU_MREQ = '0'
else AY_DO when AY_CS = '0'
else in_reg when CPU_A(7 downto 0) = X"EB" and CPU_IORQ = '0'
else "111" & KEYB_DO when CPU_A(0) = '0' and CPU_IORQ = '0'
else "11111111";
VRAM_WR <= "1" when ((CPU_A(15 downto 13) = "010") or (CPU_A(15 downto 13) = "110" and PAGE(2) = '1' and PAGE(0) = '1')) and CPU_MREQ = '0' and CPU_WR = '0' else "0";
VRAM_A <= '0' & CPU_A(12 downto 0) when CPU_A(15 downto 13) = "010" else PAGE(1) & CPU_A(12 downto 0);
RAM_A <= '1' & BANK & CPU_A(12 downto 0) when CPU_A(15 downto 13) = "001" and (AUTOMAP = '1' or CONMEM = '1')
else "000" & PAGE & CPU_A(13 downto 0) when CPU_A(15 downto 14) = "11"
else "000" & CPU_A(14) & CPU_A;
RAM_RW <= '1' when CPU_MREQ = '0' and CPU_WR = '0' and (CPU_A(15 downto 14) /= "00" or (CPU_A(15 downto 13) = "001" and (AUTOMAP = '1' or CONMEM = '1'))) else '0';
RAM_REQ <= '1' when TICK = "0100" else '0';
AY_CS <= '0' when CPU_A(15) = '1' and CPU_A(13) = '1' and CPU_A(1) = '0' and CPU_M1 = '1' and CPU_IORQ = '0' else '1';
process(CLK)
begin
if rising_edge(CLK) then
if CPU_RESET = '0' then
SD_CS <= '1';
PAGE <= "000";
SCREEN <= '0';
ROMSEL <= '0';
DISABLE <= '0';
elsif TICK = "0011" then
if CPU_MREQ = '0' then
if CPU_M1 = '0' and CPU_A(15 downto 3) = "0001111111111" then
MAPCOND <= '0';
elsif (CPU_M1 = '0' and (CPU_A = X"0000" or CPU_A = X"0008" or CPU_A = X"0038" or CPU_A = X"0066" or CPU_A = X"04C6" or CPU_A = X"0562")) or (CPU_M1 = '0' and CPU_A(15 downto 8) = X"3D") then
MAPCOND <= '1';
end if;
if MAPCOND = '1' or (CPU_M1 = '0' and CPU_A(15 downto 8) = X"3D") then
AUTOMAP <= '1';
else
AUTOMAP <= '0';
end if;
end if;
if CPU_IORQ = '0' and CPU_WR = '0' then
if CPU_A(7 downto 0) = X"E7" then -- Port #E7
SD_CS <= CPU_DO(0);
elsif CPU_A(7 downto 0) = X"E3" then -- Port #E3
BANK <= CPU_DO(5 downto 0);
CONMEM <= CPU_DO(7);
MAPRAM <= CPU_DO(6) or MAPRAM;
elsif CPU_A(15) = '0' and CPU_A(1) = '0' then -- Port #7FFD
if DISABLE = '0' then -- not locked in 48K-mode
PAGE <= CPU_DO(2 downto 0);
SCREEN <= CPU_DO(3);
ROMSEL <= CPU_DO(4);
DISABLE <= CPU_DO(5);
end if;
elsif CPU_A(0) = '0' then -- Port #FE
BORDERCOLOR <= CPU_DO(2 downto 0);
BEEPER <= CPU_DO(4);
end if;
end if;
end if;
end if;
end process;
CPU_NMI <= '0' when NMI_ONESHOT = '1' and MAPCOND = '0' else '1';
sd_card : process(CLK)
begin
if rising_edge(CLK) then
if CPU_RESET = '0' then
shift_reg <= (others => '1');
in_reg <= (others => '1');
counter <= "1111"; -- Idle
elsif TICK = "0011" then
if counter = "1111" then
in_reg <= shift_reg(7 downto 0);
if CPU_IORQ = '0' and CPU_A(7 downto 0) = X"EB" then
if CPU_WR = '1' then
shift_reg <= (others => '1');
else
shift_reg <= CPU_DO & '1';
end if;
counter <= "0000";
end if;
else
counter <= counter + 1;
if counter(0) = '0' then
shift_reg(0) <= SD_MISO;
else
shift_reg <= shift_reg(7 downto 0) & '1';
end if;
end if;
end if;
end if;
end process;
SD_SCK <= counter(0);
SD_MOSI <= shift_reg(8);
end rtl;
| gpl-3.0 | 6cceae7beb16dadabfba346f8195c99b | 0.446298 | 3.276246 | false | false | false | false |
ILoveSpeccy/Aeon-Lite | cores/atari800xl/src/a8core/pokey_keyboard_scanner.vhdl | 1 | 5,609 | ---------------------------------------------------------------------------
-- (c) 2013 mark watson
-- I am happy for anyone to use this for non-commercial use.
-- If my vhdl files are used commercially or otherwise sold,
-- please contact me for explicit permission at scrameta (gmail).
-- This applies for source and binary form and derived works.
---------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity pokey_keyboard_scanner is
port
(
clk : in std_logic;
reset_n : in std_logic;
enable : in std_logic; -- typically hsync or equiv timing
keyboard_response : in std_logic_vector(1 downto 0);
debounce_disable : in std_logic;
scan_enable : in std_logic;
keyboard_scan : out std_logic_vector(5 downto 0);
shift_pressed : out std_logic;
control_pressed : out std_logic;
break_pressed : out std_logic;
key_held : out std_logic;
keycode : out std_logic_vector(5 downto 0);
other_key_irq : out std_logic
);
end pokey_keyboard_scanner;
architecture vhdl of pokey_keyboard_scanner is
signal bincnt_next : std_logic_vector(5 downto 0);
signal bincnt_reg : std_logic_vector(5 downto 0);
signal break_pressed_next : std_logic;
signal break_pressed_reg : std_logic;
signal shift_pressed_next : std_logic;
signal shift_pressed_reg : std_logic;
signal control_pressed_next : std_logic;
signal control_pressed_reg : std_logic;
signal compare_latch_next : std_logic_vector(5 downto 0);
signal compare_latch_reg : std_logic_vector(5 downto 0);
signal keycode_latch_next : std_logic_vector(5 downto 0);
signal keycode_latch_reg : std_logic_vector(5 downto 0);
signal irq_next : std_logic;
signal irq_reg : std_logic;
signal key_held_next : std_logic;
signal key_held_reg : std_logic;
signal my_key : std_logic;
signal state_next : std_logic_vector(1 downto 0);
signal state_reg : std_logic_vector(1 downto 0);
constant state_wait_key : std_logic_vector(1 downto 0) := "00";
constant state_key_bounce : std_logic_vector(1 downto 0) := "01";
constant state_valid_key : std_logic_vector(1 downto 0) := "10";
constant state_key_debounce : std_logic_vector(1 downto 0) := "11";
begin
-- register
process(clk,reset_n)
begin
if (reset_n = '0') then
bincnt_reg <= (others=>'0');
break_pressed_reg <= '0';
shift_pressed_reg <= '0';
control_pressed_reg <= '0';
compare_latch_reg <= (others=>'0');
keycode_latch_reg <= (others=>'1');
key_held_reg <= '0';
state_reg <= state_wait_key;
irq_reg <= '0';
elsif (clk'event and clk = '1') then
bincnt_reg <= bincnt_next;
state_reg <= state_next;
break_pressed_reg <= break_pressed_next;
shift_pressed_reg <= shift_pressed_next;
control_pressed_reg <= control_pressed_next;
compare_latch_reg <= compare_latch_next;
keycode_latch_reg <= keycode_latch_next;
key_held_reg <= key_held_next;
state_reg <= state_next;
irq_reg <= irq_next;
end if;
end process;
process (enable, keyboard_response, scan_enable, key_held_reg, my_key, state_reg,bincnt_reg, compare_latch_reg, break_pressed_reg, shift_pressed_reg, control_pressed_reg, keycode_latch_reg, debounce_disable)
begin
bincnt_next <= bincnt_reg;
state_next <= state_reg;
compare_latch_next <= compare_latch_reg;
irq_next <= '0';
break_pressed_next <= break_pressed_reg;
shift_pressed_next <= shift_pressed_reg;
control_pressed_next <= control_pressed_reg;
keycode_latch_next <= keycode_latch_reg;
key_held_next <= key_held_reg;
my_key <= '0';
if (bincnt_reg = compare_latch_reg or debounce_disable='1') then
my_key <= '1';
end if;
if (enable = '1' and scan_enable='1') then
bincnt_next <= std_logic_vector(unsigned(bincnt_reg) + 1); -- check another key
key_held_next<= '0';
case state_reg is
when state_wait_key =>
if (keyboard_response(0) = '0') then -- detected key press
state_next <= state_key_bounce;
compare_latch_next <= bincnt_reg;
end if;
when state_key_bounce =>
if (keyboard_response(0) = '0') then -- detected key press
if (my_key = '1') then -- same key
keycode_latch_next <= compare_latch_reg;
irq_next <= '1';
key_held_next<= '1';
state_next <= state_valid_key;
else -- different key (multiple keys pressed)
state_next <= state_wait_key;
end if;
else -- key not pressed
if (my_key = '1') then -- same key, no longer pressed
state_next <= state_wait_key;
end if;
end if;
when state_valid_key =>
key_held_next<= '1';
if (my_key = '1') then -- only response to my key
if (keyboard_response(0) = '1') then -- no longer pressed
state_next <= state_key_debounce;
end if;
end if;
when state_key_debounce =>
if (my_key = '1') then
state_next <= state_wait_key;
end if;
when others=>
state_next <= state_wait_key;
end case;
if (bincnt_reg(3 downto 0) = "0000") then
case bincnt_reg(5 downto 4) is
when "11" =>
break_pressed_next <= not(keyboard_response(1)); --0x30
when "01" =>
shift_pressed_next <= not(keyboard_response(1)); --0x10
when "00" =>
control_pressed_next <= not(keyboard_response(1)); -- 0x00
when others =>
--
end case;
end if;
end if;
end process;
-- outputs
keyboard_scan <= not(bincnt_reg);
key_held <= key_held_reg;
keycode <= keycode_latch_reg;
break_pressed <= break_pressed_reg;
shift_pressed <= shift_pressed_reg;
control_pressed <= control_pressed_reg;
other_key_irq <= irq_reg;
end vhdl;
| gpl-3.0 | ebf18e809da7579c6bb3619438e325f5 | 0.636655 | 3.04506 | false | false | false | false |
dqydj/PaperBack_EPaper_Display | firmware_VGA/FPGA/converter_firmware.vhd | 1 | 5,772 | library IEEE;
use IEEE.std_logic_1164.all;
entity converter_firmware is
port (
CLK0: inout std_logic;
-- Power Lines
NEG_CTRL: out std_logic;
POS_CTRL: out std_logic;
SMPS_CTRL: out std_logic; -- Active low
-- Control Lines
CKV: out std_logic;
SPV: out std_logic;
GMODE: out std_logic;
SPH: out std_logic;
OE: out std_logic;
-- Clocks/Edges
CL: out std_logic;
LE: out std_logic;
DATA: out std_logic_vector(7 downto 0);
-- Memory
ADDR: out std_logic_vector(18 downto 0);
IO: inout std_logic_vector(7 downto 0);
MEM_OE: out std_logic; -- Active low
MEM_WE: inout std_logic; -- Active low
MEM_CE: out std_logic; -- Active low
-- VGA Capture
DCK: in std_logic;
HSYNC: in std_logic;
VSYNC: in std_logic;
RED: in std_logic_vector(7 downto 4);
GREEN: in std_logic_vector(7 downto 4);
BLUE: in std_logic_vector(7 downto 4);
-- SWITCH Capture
SW: inout std_logic_vector(3 downto 1);
--VGA Debug
MEM_WE2: inout std_logic;
DCK_OUT: out std_logic;
HS_OUT: out std_logic;
VS_OUT: out std_logic;
-- SWITCH Debug
SW1: out std_logic := '0';
SW2: out std_logic := '0';
SW3: out std_logic := '0'
);
end converter_firmware;
architecture Behavioral of converter_firmware is
COMPONENT FrameWriter
port(
-- Input from above
CLK0: in std_logic;
-- User switches
SW: in std_logic_vector(3 downto 1);
-- Power Lines
NEG_CTRL: out std_logic := '0';
POS_CTRL: out std_logic := '0';
SMPS_CTRL: out std_logic := '1'; -- Active low
-- Control Lines
CKV: out std_logic := '1';
SPV: out std_logic := '0';
GMODE: out std_logic := '0';
SPH: out std_logic := '0';
OE: out std_logic := '0';
-- Clocks/Edges
CL: out std_logic := '0';
LE: out std_logic := '0';
-- Data
DATA: out std_logic_vector(7 downto 0) := "00000000";
-- Memory
ADDR: out std_logic_vector(18 downto 0);
IO: inout std_logic_vector(7 downto 0);
MEM_OE: out std_logic := '1'; -- Active low
MEM_WE: out std_logic := '1'; -- Active low
MEM_CE: out std_logic := '1'; -- Active low
-- Control State
FRAME_GRAB_DONE: in std_logic;
FRAME_WRITE_DONE: out std_logic;
READY_WRITE: inout std_logic
);
END COMPONENT;
COMPONENT FrameGrabber
port(
-- Memory
ADDR: out std_logic_vector(18 downto 0);
IO: inout std_logic_vector(7 downto 0);
MEM_OE: out std_logic := '1'; -- Active low
MEM_WE: out std_logic := '1'; -- Active low
MEM_CE: out std_logic := '1'; -- Active low
-- VGA
HSYNC: in std_logic;
VSYNC: in std_logic;
DCLK: in std_logic;
--RED: in std_logic_vector(7 downto 4);
GREEN: in std_logic_vector(7 downto 4);
--BLUE: in std_logic_vector(7 downto 4);
-- Control State
FRAME_GRAB_DONE: out std_logic;
FRAME_WRITE_DONE: in std_logic
);
END COMPONENT;
COMPONENT OSCC
PORT (
OSC:OUT std_logic
);
END COMPONENT;
-- Mux Memory Signals for Grabber
signal MEM_WE_GRAB, MEM_CE_GRAB, MEM_OE_GRAB : std_logic;
signal ADDR_GRAB : std_logic_vector(18 downto 0);
signal IO_GRAB : std_logic_vector(7 downto 0);
-- Mux Memory Signals for ePD
signal MEM_WE_WRITE, MEM_CE_WRITE, MEM_OE_WRITE : std_logic;
signal ADDR_WRITE : std_logic_vector(18 downto 0);
signal IO_WRITE : std_logic_vector(7 downto 0);
-- State
signal FRAME_GRAB_DONE, FRAME_WRITE_DONE, READY_WRITE : std_logic;
begin
-- Internal FPGA Clock
OSCInst0: OSCC PORT MAP (
OSC => CLK0
);
-- User switch. Doesn't really need to be in a process...
Switcher: process(
SW
)
begin
SW1 <= SW(1);
SW2 <= SW(2);
SW3 <= SW(3);
end process;
-- Control which process has the memory...
MemoryController: process(
READY_WRITE,
ADDR_WRITE,
MEM_OE_WRITE,
MEM_WE_WRITE,
MEM_CE_WRITE,
IO_GRAB,
ADDR_GRAB,
MEM_OE_GRAB,
MEM_WE_GRAB,
MEM_CE_GRAB,
IO
)
begin
if (READY_WRITE = '1') then
IO <= "ZZZZZZZZ";
IO_WRITE <= IO;
ADDR <= ADDR_WRITE;
MEM_OE <= MEM_OE_WRITE;
MEM_WE <= '1'; -- We should never change this in here.
--MEM_WE <= MEM_WE_WRITE;
MEM_CE <= MEM_CE_WRITE;
else
IO <= IO_GRAB;
ADDR <= ADDR_GRAB;
MEM_OE <= '1'; -- We should never change this in here.
--MEM_OE <= MEM_OE_GRAB;
MEM_WE <= MEM_WE_GRAB;
MEM_CE <= MEM_CE_GRAB;
end if;
end process; -- End MemoryController
-- The Frame writing logic...
Inst_FrameWriter: FrameWriter PORT MAP(
-- Internal Clock
CLK0 => CLK0,
-- User Switches
SW => SW,
-- EPD Lines
NEG_CTRL => NEG_CTRL,
POS_CTRL => POS_CTRL,
SMPS_CTRL => SMPS_CTRL,
CKV => CKV,
SPV => SPV,
GMODE => GMODE,
SPH => SPH,
OE => OE,
CL => CL,
LE => LE,
DATA => DATA,
-- Muxed
ADDR => ADDR_WRITE,
IO => IO_WRITE,
MEM_OE => MEM_OE_WRITE,
MEM_WE => MEM_WE_WRITE,
MEM_CE => MEM_CE_WRITE,
-- State
FRAME_GRAB_DONE => FRAME_GRAB_DONE,
FRAME_WRITE_DONE => FRAME_WRITE_DONE,
READY_WRITE => READY_WRITE
);
-- The Frame grabbing logic from VGA...
-- Compatible with Analog Devices 9883 or MST 9883
-- or others in this family.
Inst_FrameGrabber: FrameGrabber PORT MAP(
-- Muxed
ADDR => ADDR_GRAB,
IO => IO_GRAB,
MEM_OE => MEM_OE_GRAB,
MEM_WE => MEM_WE_GRAB,
MEM_CE => MEM_CE_GRAB,
-- Direct
HSYNC => HSYNC,
VSYNC => VSYNC,
DCLK => DCK,
--RED => RED,
GREEN => GREEN,
--BLUE => BLUE,
-- State
FRAME_GRAB_DONE => FRAME_GRAB_DONE,
FRAME_WRITE_DONE => FRAME_WRITE_DONE
);
-- Debugging signals (will probably hide these behind a switch)
DCK_OUT <= DCK;
HS_OUT <= HSYNC;
VS_OUT <= VSYNC;
MEM_WE2 <= MEM_WE;
end Behavioral; | mit | 716a7fa93bd339891c27d652e34edd52 | 0.596154 | 2.628415 | false | false | false | false |
dqydj/PaperBack_EPaper_Display | firmware_VGA/FPGA/draw_screen.vhd | 1 | 23,350 | library IEEE;
use IEEE.STD_LOGIC_1164.all;
use IEEE.NUMERIC_STD.ALL;
entity FrameWriter is
port(
-- Input from above
CLK0: in std_logic;
-- Input from User
SW: in std_logic_vector(3 downto 1);
-- Power Lines
NEG_CTRL: out std_logic := '0';
POS_CTRL: out std_logic := '0';
SMPS_CTRL: out std_logic := '1'; -- Active low
-- Control Lines
CKV: out std_logic := '1';
SPV: out std_logic := '0';
GMODE: out std_logic := '0';
SPH: out std_logic := '0';
OE: out std_logic := '0';
-- Clocks/Edges
CL: out std_logic := '0';
LE: out std_logic := '0';
-- Data
DATA: out std_logic_vector(7 downto 0);
-- Memory
ADDR: out std_logic_vector(18 downto 0);
IO: in std_logic_vector(7 downto 0);
MEM_OE: out std_logic := '1'; -- Active low
MEM_WE: out std_logic := '1'; -- Active low
MEM_CE: out std_logic := '1'; -- Active low
-- Control State
FRAME_GRAB_DONE: in std_logic;
FRAME_WRITE_DONE: out std_logic;
READY_WRITE: inout std_logic
);
end FrameWriter;
architecture Behavioral of FrameWriter is
-- Screen Constants
constant SCREEN_WIDTH : integer := 800;
constant SCREEN_HEIGHT : integer := 600;
constant SCREEN_OVERSCAN : integer := (800*600)+10000;
constant SCREEN_OVERSCAN_FLAG : integer := SCREEN_OVERSCAN-100;
-- CONTRAST CONSTANTS
constant CONTRAST_START_DARK: integer := 5;
constant CONTRAST_END_DARK: integer := 13;
constant CONTRAST_END_FLASH: integer := 22;
constant CONTRAST_BP_HI: integer:=25;
constant CONTRAST_BP_MD: integer:=27;
constant CONTRAST_BP_LO: integer:=28;
signal CONTRAST_CYCLES : unsigned(0 to 5) := to_unsigned(29, 6);
-- Return a full address based on our contrast cycle
function getAddress (
ADDR_MSB : in UNSIGNED(16 downto 0);
CURR_COUNT : in UNSIGNED(5 downto 0)
) return STD_LOGIC_VECTOR IS
variable tempAddress : STD_LOGIC_VECTOR(18 downto 0);
begin
if (CURR_COUNT <= to_unsigned(CONTRAST_BP_HI, CURR_COUNT'length)) then
tempAddress := std_logic_vector(ADDR_MSB) & "00";
elsif (CURR_COUNT > to_unsigned(CONTRAST_BP_HI, CURR_COUNT'length) and CURR_COUNT <= to_unsigned(CONTRAST_BP_MD, CURR_COUNT'length)) then
tempAddress := std_logic_vector(ADDR_MSB) & "01";
elsif (CURR_COUNT > to_unsigned(CONTRAST_BP_MD, CURR_COUNT'length) and CURR_COUNT <= to_unsigned(CONTRAST_BP_LO, CURR_COUNT'length)) then
tempAddress := std_logic_vector(ADDR_MSB) & "10";
else
tempAddress := std_logic_vector(ADDR_MSB) & "11";
end if;
return tempAddress;
end getAddress;
-- HARD TIMING CONSTANTS
signal ROLLOVER_SCREEN: integer:= 96000000; -- Controls refresh rate. See logic below.
constant SAFE_REFRESH: integer:= 35000;
-- CYCLE TIMING
constant POWERON_SMPS_LO: integer:=1;
constant POWERON_NEG_HI: integer:=1201;
constant POWERON_POS_HI: integer:=13201;
constant POWERON_SPV_SPH_LO: integer:=13441;
constant OUTER_GMODE_HI: integer:=13451;
constant OUTER_SPV_HI: integer:=23051;
constant OUTER_CKV_LO: integer:=23099;
constant OUTER_CKV_HI: integer:=23147;
constant OUTER_SPV_LO: integer:=23195;
constant OUTER_CKV_LO_2: integer:=23243;
constant OUTER_CKV_HI_2: integer:=23291;
constant OUTER_SPV_HI_2: integer:=23339;
constant OUTER_CKV_LO_3: integer:=23387;
constant OUTER_CKV_HI_3: integer:=23435;
constant INNER_OE_HI_SPH_LO_MEM_ON: integer:=23459;
constant PIXEL_GET_MEMORY: integer:=23460;
constant PIXEL_CL_HI: integer:=23460;
constant PIXEL_CL_LO: integer:=23461;
constant INNER_SPH_HI_MEM_OFF: integer:=23509;
constant INNER_CL_HI: integer:=23557;
constant INNER_CL_LO: integer:=23605;
constant INNER_CL_HI_2: integer:=23653;
constant INNER_CL_LO_2: integer:=23701;
constant INNER_OE_HI_CKV_HI: integer:=23749;
constant INNER_CKV_LO: integer:=23797;
constant INNER_OE_LO: integer:=23845;
constant INNER_CL_HI_3: integer:=23893;
constant INNER_CL_LO_3: integer:=23941;
constant INNER_CL_HI_4: integer:=23989;
constant INNER_CL_LO_4: integer:=24037;
constant INNER_CKV_HI: integer:=24085;
constant INNER_LE_HI: integer:=24133;
constant INNER_CL_HI_5: integer:=24181;
constant INNER_CL_LO_5: integer:=24229;
constant INNER_CL_HI_6: integer:=24277;
constant INNER_CL_LO_6: integer:=24325;
constant INNER_LE_LO: integer:=24373;
constant INNER_CL_HI_7: integer:=24421;
constant INNER_CL_LO_7: integer:=24469;
constant INNER_CL_HI_8: integer:=24517;
constant INNER_CL_LO_8: integer:=24565;
constant OUTER_OE_HI_SPH_LO: integer:=24613;
constant PIXEL2_CL_HI: integer:=24614;
constant PIXEL2_CL_LO: integer:=24615;
constant OUTER_SPH_HI: integer:=24663;
constant OUTER_CL_HI: integer:=24711;
constant OUTER_CL_LO: integer:=24759;
constant OUTER_CL_HI_2: integer:=24807;
constant OUTER_CL_LO_2: integer:=24855;
constant OUTER_OE_HI_CKV_HI: integer:=24903;
constant OUTER_CKV_LO_4: integer:=24951;
constant OUTER_OE_LO: integer:=24999;
constant OUTER_CL_HI_3: integer:=25047;
constant OUTER_CL_LO_3: integer:=25095;
constant OUTER_CL_HI_4: integer:=25143;
constant OUTER_CL_LO_4: integer:=25191;
constant OUTER_OE_LO_CKV_LO: integer:=25239;
constant OUTER_CKV_HI_5: integer:=26199;
constant OUTER_CKV_LO_5: integer:=27159;
constant OUTER_GMODE_LO: integer:=27207;
constant POWEROFF_POS_LO: integer:=27255;
constant POWEROFF_NEG_LO: integer:=27495;
constant POWEROFF_SMPS_HI: integer:=29895;
-- LOOP CONSTANTS
constant START_INNER_LOOP: integer:= OUTER_CKV_HI_3 +1;
constant END_INNER_LOOP: integer:= INNER_CL_LO_8 +1;
constant START_PIXEL_LOOP: integer:= INNER_OE_HI_SPH_LO_MEM_ON +1;
constant END_PIXEL_LOOP: integer:= PIXEL_CL_LO +1;
constant START_PIXEL2_LOOP: integer:= OUTER_OE_HI_SPH_LO +1;
constant END_PIXEL2_LOOP: integer:= PIXEL2_CL_LO +1;
constant START_OUTER_LOOP: integer:= POWERON_SPV_SPH_LO +1;
constant END_OUTER_LOOP: integer:= OUTER_GMODE_LO +1;
-- Other Signals
signal reset_clk: unsigned(0 to 2) := (others => '0');
signal timer: unsigned(0 to 27) := (others => '0');
signal cycle: std_logic := '0';
-- Loop Counters
signal COLUMN: unsigned(0 to 9) := (others => '0');
signal ROW: unsigned(0 to 9) := (others => '0');
signal CONTRAST: unsigned(0 to 5) := (others => '0');
signal MEM_ADDR: unsigned(16 downto 0) := (others => '0');
signal FULL_ADDR: unsigned(18 downto 0) := (others => '0');
-- State Frame Write
signal CHECK_GRAB_DONE: std_logic := '0';
begin
-- Internal FPGA Clock Logic
process(CLK0)
begin
if(rising_edge(CLK0)) then -- Do stuff on clock
-----------------------------------------------------------------------
if (reset_clk /= "111") then
reset_clk <= reset_clk + 1;
end if;
if (reset_clk = "001") then
-- Reset everything to safe
SMPS_CTRL <= '1';
NEG_CTRL <= '0';
POS_CTRL <= '0';
CKV <= '1';
SPV <= '0';
GMODE <= '0';
SPH <= '0';
OE <= '0';
CL <= '0';
LE <= '0';
-- Flags
CHECK_GRAB_DONE <= '0';
READY_WRITE <= '0';
FRAME_WRITE_DONE <= '1';
MEM_ADDR <= to_unsigned(0, MEM_ADDR'length);
end if;
if (READY_WRITE = '0') then -- Start screen write
READY_WRITE <= CHECK_GRAB_DONE;
CHECK_GRAB_DONE <= FRAME_GRAB_DONE;
else
if (timer = to_unsigned(0, timer'length)) then
-- Reset everything to safe
SMPS_CTRL <= '1';
NEG_CTRL <= '0';
POS_CTRL <= '0';
CKV <= '1';
SPV <= '0';
GMODE <= '0';
SPH <= '0';
OE <= '0';
CL <= '0';
LE <= '0';
--DATA <= "00000000";
MEM_ADDR <= to_unsigned(0, MEM_ADDR'length);
FRAME_WRITE_DONE <= '0';
CHECK_GRAB_DONE <= '0';
MEM_CE <= '1';
MEM_WE <= '1';
MEM_OE <= '1';
ADDR <= (others => 'Z');
-- Set the refresh rate and color depth
-- (Todo: move these magic numbers)
case SW is
when "000" =>
CONTRAST_CYCLES <= to_unsigned(29, CONTRAST_CYCLES'length);
ROLLOVER_SCREEN <= 240000000;
when "001" =>
CONTRAST_CYCLES <= to_unsigned(29, CONTRAST_CYCLES'length);
ROLLOVER_SCREEN <= 120000000;
when "010" =>
CONTRAST_CYCLES <= to_unsigned(26, CONTRAST_CYCLES'length);
ROLLOVER_SCREEN <= 240000000;
when "011" =>
CONTRAST_CYCLES <= to_unsigned(26, CONTRAST_CYCLES'length);
ROLLOVER_SCREEN <= 120000000;
when "100" =>
CONTRAST_CYCLES <= to_unsigned(26, CONTRAST_CYCLES'length);
ROLLOVER_SCREEN <= 15000000;
when "101" =>
CONTRAST_CYCLES <= to_unsigned(24, CONTRAST_CYCLES'length);
ROLLOVER_SCREEN <= 240000000;
when "110" =>
CONTRAST_CYCLES <= to_unsigned(24, CONTRAST_CYCLES'length);
ROLLOVER_SCREEN <= 60000000;
when others =>
CONTRAST_CYCLES <= to_unsigned(24, CONTRAST_CYCLES'length);
ROLLOVER_SCREEN <= 15000000;
end case;
end if;
-- Start cycle, SMPS On
if (timer = to_unsigned(POWERON_SMPS_LO, timer'length)) then
SMPS_CTRL <= '0';
end if;
-- NEG Voltage On
if (timer = to_unsigned(POWERON_NEG_HI, timer'length)) then
NEG_CTRL <= '1';
end if;
-- POS Voltage On
if (timer = to_unsigned(POWERON_POS_HI, timer'length)) then
POS_CTRL <= '1';
end if;
-- SPV/SPH On
if (timer = to_unsigned(POWERON_SPV_SPH_LO, timer'length)) then
SPV <= '1';
SPH <= '1';
end if;
-------------------------------------------------------------------
-- Outer Loop Start
-------------------------------------------------------------------
------------------------------------------------------- VSCAN Start
if (timer = to_unsigned(OUTER_GMODE_HI, timer'length)) then
GMODE <= '1';
end if;
-- SPV High
if (timer = to_unsigned(OUTER_SPV_HI, timer'length)) then
SPV <= '1';
end if;
-- CKV Low
if (timer = to_unsigned(OUTER_CKV_LO, timer'length)) then
CKV <= '0';
end if;
-- CKV Hi
if (timer = to_unsigned(OUTER_CKV_HI, timer'length)) then
CKV <= '1';
end if;
-- SPV Low
if (timer = to_unsigned(OUTER_SPV_LO, timer'length)) then
SPV <= '0';
end if;
-- CKV Low
if (timer = to_unsigned(OUTER_CKV_LO_2, timer'length)) then
CKV <= '0';
end if;
-- CKV Hi
if (timer = to_unsigned(OUTER_CKV_HI_2, timer'length)) then
CKV <= '1';
end if;
-- SPV High
if (timer = to_unsigned(OUTER_SPV_HI_2, timer'length)) then
SPV <= '1';
end if;
-- CKV Low
if (timer = to_unsigned(OUTER_CKV_LO_3, timer'length)) then
CKV <= '0';
end if;
-- CKV Hi
if (timer = to_unsigned(OUTER_CKV_HI_3, timer'length)) then
CKV <= '1';
end if;
--------------------------------------------------- END VSCAN Start
---------------------------------------------------------------
-- Start Inner Loop
---------------------------------------------------------------
--------------------------------------------------- HSCAN Start
-- OE Hi, SPH low, MEM On & Output & not write
if (timer = to_unsigned(INNER_OE_HI_SPH_LO_MEM_ON, timer'length)) then
OE <= '1';
SPH <= '0';
MEM_OE <= '0';
MEM_WE <= '1';
MEM_CE <= '0';
--DATA <= "01010101";
DATA <= "ZZZZZZZZ";
end if;
----------------------------------------------- END HSCAN Start
-----------------------------------------------------------
-- Start Pixel Loop
-----------------------------------------------------------
-- PIXEL_GET_MEMORY
if (timer = to_unsigned(PIXEL_GET_MEMORY, timer'length)) then
ADDR <= getAddress(MEM_ADDR, CONTRAST);
if (CONTRAST >= CONTRAST_END_FLASH) then -- >
DATA <= IO;
else
if (CONTRAST >= CONTRAST_START_DARK and CONTRAST <= CONTRAST_END_DARK) then
DATA <= "01010101";
else
DATA <= "10101010";
end if;
end if;
end if;
-- CL Hi
if (timer = to_unsigned(PIXEL_CL_HI, timer'length)) then
CL <= '1';
end if;
-- CL Lo
if (timer = to_unsigned(PIXEL_CL_LO, timer'length)) then
CL <= '0';
end if;
-- Do all the columns
if (timer = to_unsigned(END_PIXEL_LOOP, timer'length)) then
if (COLUMN < SCREEN_WIDTH) then
timer <= to_unsigned(START_PIXEL_LOOP, timer'length);
COLUMN <= COLUMN + to_unsigned(4, COLUMN'length);
MEM_ADDR <= MEM_ADDR + 1;
else
timer <= timer + 1;
end if;
end if;
-----------------------------------------------------------
-- End Pixel Loop
-----------------------------------------------------------
--------------------------------------------------- HSCAN End
-- SPH Hi
if (timer = to_unsigned(INNER_SPH_HI_MEM_OFF, timer'length)) then
SPH <= '1';
end if;
-- CL Hi
if (timer = to_unsigned(INNER_CL_HI, timer'length)) then
CL <= '1';
end if;
-- CL Lo
if (timer = to_unsigned(INNER_CL_LO, timer'length)) then
CL <= '0';
end if;
-- CL Hi
if (timer = to_unsigned(INNER_CL_HI_2, timer'length)) then
CL <= '1';
end if;
-- CL Lo
if (timer = to_unsigned(INNER_CL_LO_2, timer'length)) then
CL <= '0';
end if;
----------------------------------------------- END HSCAN End
-------------------------------------------- Output Row Start
----- Output the row first
-- OE Hi, CKV Hi
if (timer = to_unsigned(INNER_OE_HI_CKV_HI, timer'length)) then
OE <= '1';
CKV <= '1';
end if;
-- CKV Lo
if (timer = to_unsigned(INNER_CKV_LO, timer'length)) then
CKV <= '0';
end if;
-- OE Lo
if (timer = to_unsigned(INNER_OE_LO, timer'length)) then
OE <= '0';
end if;
----- Now start the next row
-- CL Hi
if (timer = to_unsigned(INNER_CL_HI_3, timer'length)) then
CL <= '1';
end if;
-- CL Lo
if (timer = to_unsigned(INNER_CL_LO_3, timer'length)) then
CL <= '0';
end if;
-- CL Hi
if (timer = to_unsigned(INNER_CL_HI_4, timer'length)) then
CL <= '1';
end if;
-- CL Lo
if (timer = to_unsigned(INNER_CL_LO_4, timer'length)) then
CL <= '0';
end if;
-- CKV Hi
if (timer = to_unsigned(INNER_CKV_HI, timer'length)) then
CKV <= '1';
end if;
---------------------------------------------- Output Row End
---------------------------------------------- Latch Row Start
-- LE Hi
if (timer = to_unsigned(INNER_LE_HI, timer'length)) then
LE <= '1';
end if;
-- CL Hi
if (timer = to_unsigned(INNER_CL_HI_5, timer'length)) then
CL <= '1';
end if;
-- CL Lo
if (timer = to_unsigned(INNER_CL_LO_5, timer'length)) then
CL <= '0';
end if;
-- CL Hi
if (timer = to_unsigned(INNER_CL_HI_6, timer'length)) then
CL <= '1';
end if;
-- CL Lo
if (timer = to_unsigned(INNER_CL_LO_6, timer'length)) then
CL <= '0';
end if;
-- LE Lo
if (timer = to_unsigned(INNER_LE_LO, timer'length)) then
LE <= '0';
end if;
-- CL Hi
if (timer = to_unsigned(INNER_CL_HI_7, timer'length)) then
CL <= '1';
end if;
-- CL Lo
if (timer = to_unsigned(INNER_CL_LO_7, timer'length)) then
CL <= '0';
end if;
-- CL Hi
if (timer = to_unsigned(INNER_CL_HI_8, timer'length)) then
CL <= '1';
end if;
-- CL Lo
if (timer = to_unsigned(INNER_CL_LO_8, timer'length)) then
CL <= '0';
end if;
------------------------------------------------ Latch Row End
-- Do all the rows
if (timer = to_unsigned(END_INNER_LOOP, timer'length)) then
if (ROW < SCREEN_HEIGHT) then
timer <= to_unsigned(START_INNER_LOOP, timer'length);
ROW <= ROW + to_unsigned(1, ROW'length);
-- RESET Column Counter
COLUMN <= (others => '0');
else
timer <= timer + 1;
end if;
end if;
---------------------------------------------------------------
-- End Inner Loop
---------------------------------------------------------------
--------------------------------------------------- VSCAN END Start
-- VSCAN END Start
----------------------------------------------------- HSCAN Start 2
-- OE Hi, SPH low
if (timer = to_unsigned(OUTER_OE_HI_SPH_LO, timer'length)) then
OE <= '1';
SPH <= '0';
MEM_OE <= '1';
-- RESET Column Counter
COLUMN <= (others => '0');
end if;
------------------------------------------------- END HSCAN Start 2
---------------------------------------------------------------
-- Start Pixel Loop2
-- This is for the row off the end of the screen.
---------------------------------------------------------------
-- CL Hi
if (timer = to_unsigned(PIXEL2_CL_HI, timer'length)) then
CL <= '1';
end if;
-- CL Lo
if (timer = to_unsigned(PIXEL2_CL_LO, timer'length)) then
CL <= '0';
end if;
-- Do all the columns
if (timer = to_unsigned(END_PIXEL2_LOOP, timer'length)) then
if (COLUMN < SCREEN_WIDTH) then
timer <= to_unsigned(START_PIXEL2_LOOP, timer'length);
COLUMN <= COLUMN + 4; --to_unsigned(4, COLUMN'length);
else
timer <= timer + 1;
end if;
end if;
---------------------------------------------------------------
-- End Pixel Loop2
---------------------------------------------------------------
-------------------------------------------------------- HSCAN End2
-- SPH Hi
if (timer = to_unsigned(OUTER_SPH_HI, timer'length)) then
SPH <= '1';
end if;
-- CL Hi
if (timer = to_unsigned(OUTER_CL_HI, timer'length)) then
CL <= '1';
end if;
-- CL Lo
if (timer = to_unsigned(OUTER_CL_LO, timer'length)) then
CL <= '0';
end if;
-- CL Hi
if (timer = to_unsigned(OUTER_CL_HI_2, timer'length)) then
CL <= '1';
end if;
-- CL Lo
if (timer = to_unsigned(OUTER_CL_LO_2, timer'length)) then
CL <= '0';
end if;
---------------------------------------------------- END HSCAN End2
-- This point in the C++ we'd turn interrupts and finish off this
-- particular cycle. OE, CKV, GMODE all get flipped.
-- OE, CKV Hi
if (timer = to_unsigned(OUTER_OE_HI_CKV_HI, timer'length)) then
OE <= '1';
CKV <= '1';
end if;
-- CKV Lo
if (timer = to_unsigned(OUTER_CKV_LO_4, timer'length)) then
CKV <= '0';
end if;
-- OE Lo
if (timer = to_unsigned(OUTER_OE_LO, timer'length)) then
OE <= '0';
end if;
-- Then we would reenable interrupts here and run the clock.
-- CL Hi
if (timer = to_unsigned(OUTER_CL_HI_3, timer'length)) then
CL <= '1';
end if;
-- CL Lo
if (timer = to_unsigned(OUTER_CL_LO_3, timer'length)) then
CL <= '0';
end if;
-- CL Hi
if (timer = to_unsigned(OUTER_CL_HI_4, timer'length)) then
CL <= '1';
end if;
-- CL Lo
if (timer = to_unsigned(OUTER_CL_LO_4, timer'length)) then
CL <= '0';
end if;
-- OE, CKV Double Sure of Low (!)
if (timer = to_unsigned(OUTER_OE_LO_CKV_LO, timer'length)) then
OE <= '0';
CKV <= '0';
end if;
-- CKV Hi
if (timer = to_unsigned(OUTER_CKV_HI_5, timer'length)) then
CKV <= '1';
end if;
-- CKV Lo
if (timer = to_unsigned(OUTER_CKV_LO_5, timer'length)) then
CKV <= '0';
end if;
-- GMODE Lo
if (timer = to_unsigned(OUTER_GMODE_LO, timer'length)) then
GMODE <= '0';
end if;
----------------------------------------------------- VSCAN END end
-------------------------------------------------------------------
-- End Outer Loop
-------------------------------------------------------------------
if (timer = to_unsigned(END_OUTER_LOOP, timer'length)) then
if (CONTRAST < CONTRAST_CYCLES) then
COLUMN <= to_unsigned(0, COLUMN'length);
ROW <= to_unsigned(0, ROW'length);
timer <= to_unsigned(START_OUTER_LOOP, timer'length);
CONTRAST <= CONTRAST + 1;
MEM_ADDR <= to_unsigned(0, MEM_ADDR'length);
else
timer <= timer + 1;
end if;
end if;
-- Power Off.
-- End cycle, POS Voltage Off
if (timer = to_unsigned(POWEROFF_POS_LO, timer'length)) then
POS_CTRL <= '0';
end if;
-- NEG Voltage Off
if (timer = to_unsigned(POWEROFF_NEG_LO, timer'length)) then
NEG_CTRL <= '0';
end if;
-- SMPS Off, End of Cycle
if (timer = to_unsigned(POWEROFF_SMPS_HI, timer'length)) then
SMPS_CTRL <= '1';
end if;
if (timer = to_unsigned(SAFE_REFRESH, timer'length)) then
-- Reset everything to safe in case we missed one
SMPS_CTRL <= '1';
NEG_CTRL <= '0';
POS_CTRL <= '0';
CKV <= '1';
SPV <= '0';
GMODE <= '0';
SPH <= '0';
OE <= '0';
CL <= '0';
LE <= '0';
-- Data Cycles
cycle <= not cycle;
COLUMN <= to_unsigned(0, COLUMN'length);
ROW <= to_unsigned(0, ROW'length);
CONTRAST <= to_unsigned(0, CONTRAST'length);
DATA <= "00000000";
MEM_ADDR <= to_unsigned(0, MEM_ADDR'length);
MEM_CE <= '1';
-- Flags
CHECK_GRAB_DONE <= '0';
FRAME_WRITE_DONE <= '0';
end if;
-- Dirty hack!
if (
timer /= to_unsigned(END_INNER_LOOP, timer'length) and
timer /= to_unsigned(END_PIXEL_LOOP, timer'length) and
timer /= to_unsigned(END_PIXEL2_LOOP, timer'length) and
timer /= to_unsigned(END_OUTER_LOOP, timer'length) and
timer /= to_unsigned(ROLLOVER_SCREEN, timer'length)
) then
timer <= timer + 1; -- Increase timer.
end if;
if (timer = to_unsigned(ROLLOVER_SCREEN-100, timer'length)) then
FRAME_WRITE_DONE <= '1';
end if;
-- Roll over when we tell you to (Assuming 18-24 MHz.)
if (timer = to_unsigned(ROLLOVER_SCREEN, timer'length)) then
timer <= to_unsigned(0, timer'length);
COLUMN <= to_unsigned(0, COLUMN'length);
ROW <= to_unsigned(0, ROW'length);
MEM_ADDR <= to_unsigned(0, MEM_ADDR'length);
CHECK_GRAB_DONE <= '0';
READY_WRITE <= '0';
-- Done with our thing, see you after the next frame grab!
end if;
end if; -- End Global State '1'
end if; -- End Rising Edge CLK0
end process;
end Behavioral; | mit | e83c721f23648f7090d483593436a8bb | 0.511006 | 3.210946 | false | false | false | false |
VHDLTool/VHDL_Handbook_STD | Extras/VHDL/STD_05400_good.vhd | 1 | 2,921 | -------------------------------------------------------------------------------------------------
-- Company : CNES
-- Author : Mickael Carl (CNES)
-- Copyright : Copyright (c) CNES.
-- Licensing : GNU GPLv3
-------------------------------------------------------------------------------------------------
-- Version : V1
-- Version history :
-- V1 : 2015-04-10 : Mickael Carl (CNES): Creation
-------------------------------------------------------------------------------------------------
-- File name : STD_05400_good.vhd
-- File Creation date : 2015-04-10
-- Project name : VHDL Handbook CNES Edition
-------------------------------------------------------------------------------------------------
-- Softwares : Microsoft Windows (Windows 7) - Editor (Eclipse + VEditor)
-------------------------------------------------------------------------------------------------
-- Description : Handbook example: Unsuitability of internal tristate: good example
--
-- Limitations : This file is an example of the VHDL handbook made by CNES. It is a stub aimed at
-- demonstrating good practices in VHDL and as such, its design is minimalistic.
-- It is provided as is, without any warranty.
-- This example is compliant with the Handbook version 1.
--
-------------------------------------------------------------------------------------------------
-- Naming conventions:
--
-- i_Port: Input entity port
-- o_Port: Output entity port
-- b_Port: Bidirectional entity port
-- g_My_Generic: Generic entity port
--
-- c_My_Constant: Constant definition
-- t_My_Type: Custom type definition
--
-- My_Signal_n: Active low signal
-- v_My_Variable: Variable
-- sm_My_Signal: FSM signal
-- pkg_Param: Element Param coming from a package
--
-- My_Signal_re: Rising edge detection of My_Signal
-- My_Signal_fe: Falling edge detection of My_Signal
-- My_Signal_rX: X times registered My_Signal signal
--
-- P_Process_Name: Process
--
-------------------------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
--CODE
entity STD_05400_good is
port (
i_A : in std_logic_vector(3 downto 0); -- Input data of tristate block
i_Sel : in std_logic_vector(3 downto 0); -- Mux select
o_B : out std_logic -- Single module output
);
end STD_05400_good;
architecture Behavioral of STD_05400_good is
signal B : std_logic; -- Module output
begin
-- Simple Mux asynchronous process, output depends on Mux select
P_Mux:process(i_A, i_Sel)
begin
if (i_Sel="00") then
B <= i_A(0);
elsif (i_Sel="01") then
B <= i_A(1);
elsif (i_Sel="10") then
B <= i_A(2);
else
B <= i_A(3);
end if;
end process;
o_B <= B;
end Behavioral;
--CODE | gpl-3.0 | 5479cf3a408a2ba3b45ac4aa9c0aef5d | 0.482027 | 4.480061 | false | false | false | false |
APastorG/APG | real_const_mult/real_const_mult_tb.vhd | 1 | 6,347 | /***************************************************************************************************
/
/ Author: Antonio Pastor González
/ ¯¯¯¯¯¯
/
/ Date:
/ ¯¯¯¯
/
/ Version:
/ ¯¯¯¯¯¯¯
/
/ Notes:
/ ¯¯¯¯¯
/ This design makes use of some features from VHDL-2008, all of which have been implemented in
/ Xilinx's Vivado
/ A 3 space tab is used throughout the document
/
/
/ Description:
/ ¯¯¯¯¯¯¯¯¯¯¯
/ This is a testbench generated for the real_const_mult.
/
/
**************************************************************************************************/
library ieee;
use ieee.numeric_std.all;
use ieee.std_logic_1164.all;
use ieee.math_real.all;
library work;
use work.common_pkg.all;
use work.common_data_types_pkg.all;
use work.fixed_generic_pkg.all;
use work.tb_pkg.all;
use work.real_const_mult_pkg.all;
/*================================================================================================*/
/*================================================================================================*/
/*================================================================================================*/
entity real_const_mult_tb is
end entity;
/*================================================================================================*/
/*================================================================================================*/
/*================================================================================================*/
architecture real_const_mult_tb1 of real_const_mult_tb is
/* generics' constants 1 */
/**************************************************************************************************/
constant UNSIGNED_2COMP_opt : boolean_tb := (true, true); --default
constant SPEED_opt : T_speed_tb := (t_min, true); --exception: value not set
constant ROUND_STYLE_opt : T_round_style_tb := (fixed_round, true);--default
constant ROUND_TO_BIT_opt : integer_exc_tb := (-13, true); --exception: value not set
constant MAX_ERROR_PCT_opt : real_exc_tb := (0.01, false); --exception: value not set
constant MULTIPLICANDS : real_v := (44.0, 130.0, 172.0); --compulsory
constant used_UNSIGNED_2COMP_opt : boolean := value_used(UNSIGNED_2COMP_opt, false);
constant used_SPEED_opt : T_speed := value_used(SPEED_opt);
constant used_ROUND_STYLE_opt : T_round_style := value_used(ROUND_STYLE_opt, fixed_truncate);
constant used_ROUND_TO_BIT_opt : integer_exc := value_used(ROUND_TO_BIT_opt);
constant used_MAX_ERROR_PCT_opt : real_exc := value_used(MAX_ERROR_PCT_opt);
constant used_MULTIPLICANDS : real_v := MULTIPLICANDS;
/* constants 2 */
/**************************************************************************************************/
constant NORM_IN_HIGH : integer := 0;
constant NORM_IN_LOW : integer := -5;
constant IN_HIGH : integer := NORM_IN_HIGH + SULV_NEW_ZERO;
constant IN_LOW : integer := NORM_IN_LOW + SULV_NEW_ZERO;
constant NORM_OUT_HIGH : integer := real_const_mult_OH(used_ROUND_STYLE_opt,
used_ROUND_TO_BIT_opt,
used_MAX_ERROR_PCT_opt,
used_MULTIPLICANDS,
NORM_IN_HIGH,
NORM_IN_LOW,
not used_UNSIGNED_2COMP_opt);
constant NORM_OUT_LOW : integer := real_const_mult_OL(used_ROUND_STYLE_opt,
used_ROUND_TO_BIT_opt,
used_MAX_ERROR_PCT_opt,
used_MULTIPLICANDS,
NORM_IN_LOW,
not used_UNSIGNED_2COMP_opt);
constant OUT_HIGH : integer := SULV_NEW_ZERO + NORM_OUT_HIGH;
constant OUT_LOW : integer := SULV_NEW_ZERO + NORM_OUT_LOW;
/* signals 3 */
/**************************************************************************************************/
--IN
signal input : std_ulogic_vector(IN_HIGH DOWNTO IN_LOW);
signal clk : std_ulogic := '1';
signal valid_input : std_ulogic := '1';
--OUT
signal output : sulv_v(1 to MULTIPLICANDS'length)(OUT_HIGH downto OUT_LOW);
signal valid_output : std_ulogic;
/*================================================================================================*/
/*================================================================================================*/
begin
real_const_mult_1:
entity work.real_const_mult
generic map(
UNSIGNED_2COMP_opt => used_UNSIGNED_2COMP_opt,
SPEED_opt => used_SPEED_opt,
ROUND_STYLE_opt => used_ROUND_STYLE_opt,
ROUND_TO_BIT_opt => used_ROUND_TO_BIT_opt,
MAX_ERROR_PCT_opt => used_MAX_ERROR_PCT_opt,
MULTIPLICANDS => used_MULTIPLICANDS)
port map(
input => input,
clk => clk,
valid_input => valid_input,
output => output,
valid_output => valid_output
);
--pragma translate off
--synthesis translate_off
process (clk)
begin
clk <= not clk after 2 ps;
end process;
process
begin
valid_input <= '0';
input <= (others => '0');
wait for 10 ps;
valid_input <= '1';
input <= (SULV_NEW_ZERO => '1', others => '0');
wait for 4 ps;
valid_input <= '0';
input <= (others => '0');
wait;
end process;
--pragma translate on
--synthesis translate_on
end architecture; | mit | 4f6779b9be67ed6d3e3e416f18423255 | 0.387296 | 4.74305 | false | false | false | false |
ILoveSpeccy/Aeon-Lite | cores/atari800xl/src/a8core/gtia.vhdl | 1 | 57,191 | ---------------------------------------------------------------------------
-- (c) 2013 mark watson
-- I am happy for anyone to use this for non-commercial use.
-- If my vhdl files are used commercially or otherwise sold,
-- please contact me for explicit permission at scrameta (gmail).
-- This applies for source and binary form and derived works.
---------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.all;
use ieee.numeric_std.all;
ENTITY gtia IS
PORT
(
CLK : IN STD_LOGIC;
ADDR : IN STD_LOGIC_VECTOR(4 DOWNTO 0);
CPU_DATA_IN : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
WR_EN : IN STD_LOGIC;
MEMORY_DATA_IN : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
ANTIC_FETCH : in std_logic;
CPU_ENABLE_ORIGINAL : in std_logic; -- on cycle data is ready
RESET_N : IN STD_LOGIC;
PAL : IN STD_LOGIC;
-- ANTIC interface
COLOUR_CLOCK_ORIGINAL : in std_logic;
COLOUR_CLOCK : in std_logic;
COLOUR_CLOCK_HIGHRES : in std_logic;
AN : IN STD_LOGIC_VECTOR(2 downto 0);
-- keyboard interface
CONSOL_START : IN STD_LOGIC;
CONSOL_SELECT : IN STD_LOGIC;
CONSOL_OPTION : IN STD_LOGIC;
-- keyboard interface
TRIG0 : IN STD_LOGIC;
TRIG1 : IN STD_LOGIC;
TRIG2 : IN STD_LOGIC;
TRIG3 : IN STD_LOGIC;
-- CPU interface
DATA_OUT : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
-- TO scandoubler...
COLOUR_out : out std_logic_vector(7 downto 0);
VSYNC : out std_logic;
HSYNC : out std_logic;
BLANK : out std_logic;
BURST : out std_logic;
START_OF_FIELD : out std_logic;
ODD_LINE : out std_logic;
-- To speaker
sound : out std_logic
);
END gtia;
ARCHITECTURE vhdl OF gtia IS
COMPONENT complete_address_decoder IS
generic (width : natural := 1);
PORT
(
addr_in : in std_logic_vector(width-1 downto 0);
addr_decoded : out std_logic_vector((2**width)-1 downto 0)
);
END component;
component simple_counter IS
generic
(
COUNT_WIDTH : natural := 1
);
PORT
(
CLK : IN STD_LOGIC;
RESET_n : IN STD_LOGIC;
increment : in std_logic;
load : IN STD_LOGIC;
load_value : in std_logic_vector(COUNT_WIDTH-1 downto 0);
current_value : out std_logic_vector(COUNT_WIDTH-1 downto 0)
);
END component;
component delay_line IS
generic(COUNT : natural := 1);
PORT
(
CLK : IN STD_LOGIC;
SYNC_RESET : IN STD_LOGIC;
DATA_IN : IN STD_LOGIC;
ENABLE : IN STD_LOGIC; -- i.e. shift on this clock
RESET_N : IN STD_LOGIC;
DATA_OUT : OUT STD_LOGIC
);
END component;
component wide_delay_line IS
generic(COUNT : natural := 1; WIDTH : natural :=1);
PORT
(
CLK : IN STD_LOGIC;
SYNC_RESET : IN STD_LOGIC;
DATA_IN : IN STD_LOGIC_VECTOR(WIDTH-1 downto 0);
ENABLE : IN STD_LOGIC; -- i.e. shift on this clock
RESET_N : IN STD_LOGIC;
DATA_OUT : OUT STD_LOGIC_VECTOR(WIDTH-1 downto 0)
);
END component;
component gtia_player IS
PORT
(
CLK : IN STD_LOGIC;
RESET_N : in std_logic;
COLOUR_ENABLE : IN STD_LOGIC;
LIVE_POSITION : in std_logic_vector(7 downto 0); -- counter ticks as display is drawn
PLAYER_POSITION : in std_logic_vector(7 downto 0); -- requested position
SIZE : in std_logic_vector(1 downto 0);
bitmap : in std_logic_vector(7 downto 0);
output : out std_logic
);
END component;
component gtia_priority IS
PORT
(
CLK : in std_logic;
colour_enable : in std_logic;
PRIOR : in std_logic_vector(7 downto 0);
P0 : in std_logic;
P1 : in std_logic;
P2 : in std_logic;
P3 : in std_logic;
PF0 : in std_logic;
PF1 : in std_logic;
PF2 : in std_logic;
PF3 : in std_logic;
BK : in std_logic;
P0_OUT : out std_logic;
P1_OUT : out std_logic;
P2_OUT : out std_logic;
P3_OUT : out std_logic;
PF0_OUT : out std_logic;
PF1_OUT : out std_logic;
PF2_OUT : out std_logic;
PF3_OUT : out std_logic;
BK_OUT : out std_logic
);
END component;
signal addr_decoded : std_logic_vector(31 downto 0);
signal hposp0_raw_next : std_logic_vector(7 downto 0);
signal hposp0_raw_reg : std_logic_vector(7 downto 0);
signal hposp1_raw_next : std_logic_vector(7 downto 0);
signal hposp1_raw_reg : std_logic_vector(7 downto 0);
signal hposp2_raw_next : std_logic_vector(7 downto 0);
signal hposp2_raw_reg : std_logic_vector(7 downto 0);
signal hposp3_raw_next : std_logic_vector(7 downto 0);
signal hposp3_raw_reg : std_logic_vector(7 downto 0);
signal hposp0_delayed_reg : std_logic_vector(7 downto 0);
signal hposp1_delayed_reg : std_logic_vector(7 downto 0);
signal hposp2_delayed_reg : std_logic_vector(7 downto 0);
signal hposp3_delayed_reg : std_logic_vector(7 downto 0);
signal hposm0_raw_next : std_logic_vector(7 downto 0);
signal hposm0_raw_reg : std_logic_vector(7 downto 0);
signal hposm1_raw_next : std_logic_vector(7 downto 0);
signal hposm1_raw_reg : std_logic_vector(7 downto 0);
signal hposm2_raw_next : std_logic_vector(7 downto 0);
signal hposm2_raw_reg : std_logic_vector(7 downto 0);
signal hposm3_raw_next : std_logic_vector(7 downto 0);
signal hposm3_raw_reg : std_logic_vector(7 downto 0);
signal hposm0_delayed_reg : std_logic_vector(7 downto 0);
signal hposm1_delayed_reg : std_logic_vector(7 downto 0);
signal hposm2_delayed_reg : std_logic_vector(7 downto 0);
signal hposm3_delayed_reg : std_logic_vector(7 downto 0);
signal sizep0_raw_next : std_logic_vector(1 downto 0);
signal sizep0_raw_reg : std_logic_vector(1 downto 0);
signal sizep1_raw_next : std_logic_vector(1 downto 0);
signal sizep1_raw_reg : std_logic_vector(1 downto 0);
signal sizep2_raw_next : std_logic_vector(1 downto 0);
signal sizep2_raw_reg : std_logic_vector(1 downto 0);
signal sizep3_raw_next : std_logic_vector(1 downto 0);
signal sizep3_raw_reg : std_logic_vector(1 downto 0);
signal sizem_raw_next : std_logic_vector(7 downto 0);
signal sizem_raw_reg : std_logic_vector(7 downto 0);
signal sizep0_delayed_reg : std_logic_vector(1 downto 0);
signal sizep1_delayed_reg : std_logic_vector(1 downto 0);
signal sizep2_delayed_reg : std_logic_vector(1 downto 0);
signal sizep3_delayed_reg : std_logic_vector(1 downto 0);
signal sizem_delayed_reg : std_logic_vector(7 downto 0);
signal grafp0_next : std_logic_vector(7 downto 0);
signal grafp0_reg : std_logic_vector(7 downto 0);
signal grafp1_next : std_logic_vector(7 downto 0);
signal grafp1_reg : std_logic_vector(7 downto 0);
signal grafp2_next : std_logic_vector(7 downto 0);
signal grafp2_reg : std_logic_vector(7 downto 0);
signal grafp3_next : std_logic_vector(7 downto 0);
signal grafp3_reg : std_logic_vector(7 downto 0);
signal grafm_next : std_logic_vector(7 downto 0);
signal grafm_reg : std_logic_vector(7 downto 0);
signal grafm_reg10_extended : std_logic_vector(7 downto 0);
signal grafm_reg32_extended : std_logic_vector(7 downto 0);
signal grafm_reg54_extended : std_logic_vector(7 downto 0);
signal grafm_reg76_extended : std_logic_vector(7 downto 0);
signal colpm0_raw_next : std_logic_vector(7 downto 1);
signal colpm0_raw_reg : std_logic_vector(7 downto 1);
signal colpm1_raw_next : std_logic_vector(7 downto 1);
signal colpm1_raw_reg : std_logic_vector(7 downto 1);
signal colpm2_raw_next : std_logic_vector(7 downto 1);
signal colpm2_raw_reg : std_logic_vector(7 downto 1);
signal colpm3_raw_next : std_logic_vector(7 downto 1);
signal colpm3_raw_reg : std_logic_vector(7 downto 1);
signal colpm0_delayed_reg : std_logic_vector(7 downto 1);
signal colpm1_delayed_reg : std_logic_vector(7 downto 1);
signal colpm2_delayed_reg : std_logic_vector(7 downto 1);
signal colpm3_delayed_reg : std_logic_vector(7 downto 1);
signal colpf0_raw_next : std_logic_vector(7 downto 1);
signal colpf0_raw_reg : std_logic_vector(7 downto 1);
signal colpf1_raw_next : std_logic_vector(7 downto 1);
signal colpf1_raw_reg : std_logic_vector(7 downto 1);
signal colpf2_raw_next : std_logic_vector(7 downto 1);
signal colpf2_raw_reg : std_logic_vector(7 downto 1);
signal colpf3_raw_next : std_logic_vector(7 downto 1);
signal colpf3_raw_reg : std_logic_vector(7 downto 1);
signal colpf0_delayed_reg : std_logic_vector(7 downto 1);
signal colpf1_delayed_reg : std_logic_vector(7 downto 1);
signal colpf2_delayed_reg : std_logic_vector(7 downto 1);
signal colpf3_delayed_reg : std_logic_vector(7 downto 1);
signal colbk_raw_next : std_logic_vector(7 downto 1);
signal colbk_raw_reg : std_logic_vector(7 downto 1);
signal colbk_delayed_reg : std_logic_vector(7 downto 1);
signal prior_raw_next : std_logic_vector(7 downto 0);
signal prior_raw_reg : std_logic_vector(7 downto 0);
signal prior_delayed_reg : std_logic_vector(7 downto 0);
signal prior_delayed2_reg : std_logic_vector(7 downto 6);
signal vdelay_next : std_logic_vector(7 downto 0);
signal vdelay_reg : std_logic_vector(7 downto 0);
signal gractl_next : std_logic_vector(2 downto 0);
signal gractl_reg : std_logic_vector(2 downto 0);
signal consol_output_next : std_logic_vector(3 downto 0);
signal consol_output_reg : std_logic_vector(3 downto 0);
signal trig0_next : std_logic;
signal trig0_reg : std_logic;
signal trig1_next : std_logic;
signal trig1_reg : std_logic;
signal trig2_next : std_logic;
signal trig2_reg : std_logic;
signal trig3_next : std_logic;
signal trig3_reg : std_logic;
-- collisions
signal hitclr_write : std_logic;
signal m0pf_next : std_logic_vector(3 downto 0);
signal m0pf_reg : std_logic_vector(3 downto 0);
signal m1pf_next : std_logic_vector(3 downto 0);
signal m1pf_reg : std_logic_vector(3 downto 0);
signal m2pf_next : std_logic_vector(3 downto 0);
signal m2pf_reg : std_logic_vector(3 downto 0);
signal m3pf_next : std_logic_vector(3 downto 0);
signal m3pf_reg : std_logic_vector(3 downto 0);
signal m0pl_next : std_logic_vector(3 downto 0);
signal m0pl_reg : std_logic_vector(3 downto 0);
signal m1pl_next : std_logic_vector(3 downto 0);
signal m1pl_reg : std_logic_vector(3 downto 0);
signal m2pl_next : std_logic_vector(3 downto 0);
signal m2pl_reg : std_logic_vector(3 downto 0);
signal m3pl_next : std_logic_vector(3 downto 0);
signal m3pl_reg : std_logic_vector(3 downto 0);
signal p0pf_next : std_logic_vector(3 downto 0);
signal p0pf_reg : std_logic_vector(3 downto 0);
signal p1pf_next : std_logic_vector(3 downto 0);
signal p1pf_reg : std_logic_vector(3 downto 0);
signal p2pf_next : std_logic_vector(3 downto 0);
signal p2pf_reg : std_logic_vector(3 downto 0);
signal p3pf_next : std_logic_vector(3 downto 0);
signal p3pf_reg : std_logic_vector(3 downto 0);
signal p0pl_next : std_logic_vector(3 downto 0);
signal p0pl_reg : std_logic_vector(3 downto 0);
signal p1pl_next : std_logic_vector(3 downto 0);
signal p1pl_reg : std_logic_vector(3 downto 0);
signal p2pl_next : std_logic_vector(3 downto 0);
signal p2pl_reg : std_logic_vector(3 downto 0);
signal p3pl_next : std_logic_vector(3 downto 0);
signal p3pl_reg : std_logic_vector(3 downto 0);
-- priority
signal set_p0 : std_logic;
signal set_p1 : std_logic;
signal set_p2 : std_logic;
signal set_p3 : std_logic;
signal set_pf0 : std_logic;
signal set_pf1 : std_logic;
signal set_pf2 : std_logic;
signal set_pf3 : std_logic;
signal set_bk : std_logic;
-- ouput/sync
signal COLOUR_NEXT : std_logic_vector(7 downto 0);
signal COLOUR_REG : std_logic_vector(7 downto 0);
signal HRCOLOUR_NEXT : std_logic_vector(7 downto 0);
signal HRCOLOUR_REG : std_logic_vector(7 downto 0);
signal vsync_next : std_logic;
signal vsync_reg : std_logic;
signal hsync_next : std_logic;
signal hsync_reg : std_logic;
signal hsync_start : std_logic;
signal hsync_end : std_logic;
signal burst_next : std_logic;
signal burst_reg : std_logic;
signal burst_start : std_logic;
signal burst_end : std_logic;
signal hblank_next : std_logic;
signal hblank_reg : std_logic;
-- visible region (no collision detection outside this)
signal visible_live : std_logic;
-- antic input decode
signal an_prev3_next : std_logic_vector(2 downto 0);
signal an_prev3_reg : std_logic_vector(2 downto 0);
signal an_prev2_next : std_logic_vector(2 downto 0);
signal an_prev2_reg : std_logic_vector(2 downto 0);
signal an_prev_next : std_logic_vector(2 downto 0);
signal an_prev_reg : std_logic_vector(2 downto 0);
signal active_bk_modify_next : std_logic_vector(7 downto 0);
signal active_bk_modify_reg : std_logic_vector(7 downto 0);
signal active_bk_valid_next : std_logic_vector(7 downto 0);
signal active_bk_valid_reg : std_logic_vector(7 downto 0);
signal active_bk_live : std_logic;
signal active_pf0_live : std_logic;
signal active_pf1_live : std_logic;
signal active_pf2_live : std_logic;
signal active_pf2_collision_live : std_logic;
signal active_pf3_live : std_logic;
signal active_pf3_collision_live : std_logic;
signal active_pm0_live : std_logic;
signal active_pm1_live : std_logic;
signal active_pm2_live : std_logic;
signal active_pm3_live : std_logic;
signal active_p0_live : std_logic;
signal active_p1_live : std_logic;
signal active_p2_live : std_logic;
signal active_p3_live : std_logic;
signal active_m0_live : std_logic;
signal active_m1_live : std_logic;
signal active_m2_live : std_logic;
signal active_m3_live : std_logic;
signal active_hr_next : std_logic_vector(1 downto 0);
signal active_hr_reg : std_logic_vector(1 downto 0);
signal highres_next : std_logic;
signal highres_reg : std_logic;
-- horizontal position counter
signal hpos_reg : std_logic_vector(7 downto 0);
signal reset_counter : std_logic;
signal counter_load_value : std_logic_vector(7 downto 0);
-- sub colour clock highres mode
signal trigger_secondhalf : std_logic;
-- pmg dma
signal grafm_dma_load : std_logic;
signal grafm_dma_next : std_logic_vector(7 downto 0);
signal grafp0_dma_load : std_logic;
signal grafp0_dma_next : std_logic_vector(7 downto 0);
signal grafp1_dma_load : std_logic;
signal grafp1_dma_next : std_logic_vector(7 downto 0);
signal grafp2_dma_load : std_logic;
signal grafp2_dma_next : std_logic_vector(7 downto 0);
signal grafp3_dma_load : std_logic;
signal grafp3_dma_next : std_logic_vector(7 downto 0);
signal odd_scanline_next : std_logic;
signal odd_scanline_reg : std_logic;
signal pmg_dma_state_next : std_logic_vector(2 downto 0);
signal pmg_dma_state_reg : std_logic_vector(2 downto 0);
constant pmg_dma_missile : std_logic_vector(2 downto 0) := "000";
constant pmg_dma_player0 : std_logic_vector(2 downto 0) := "001";
constant pmg_dma_player1 : std_logic_vector(2 downto 0) := "010";
constant pmg_dma_player2 : std_logic_vector(2 downto 0) := "011";
constant pmg_dma_player3 : std_logic_vector(2 downto 0) := "100";
constant pmg_dma_done : std_logic_vector(2 downto 0) := "101";
constant pmg_dma_instruction : std_logic_vector(2 downto 0) := "110";
begin
-- register
process(clk,reset_n)
begin
if (reset_n = '0') then
hposp0_raw_reg <= (others=>'0');
hposp1_raw_reg <= (others=>'0');
hposp2_raw_reg <= (others=>'0');
hposp3_raw_reg <= (others=>'0');
hposm0_raw_reg <= (others=>'0');
hposm1_raw_reg <= (others=>'0');
hposm2_raw_reg <= (others=>'0');
hposm3_raw_reg <= (others=>'0');
sizep0_raw_reg <= (others=>'0');
sizep1_raw_reg <= (others=>'0');
sizep2_raw_reg <= (others=>'0');
sizep3_raw_reg <= (others=>'0');
sizem_raw_reg <= (others=>'0');
grafp0_reg <= (others=>'0');
grafp1_reg <= (others=>'0');
grafp2_reg <= (others=>'0');
grafp3_reg <= (others=>'0');
grafm_reg <= (others=>'0');
colpm0_raw_reg <= (others=>'0');
colpm1_raw_reg <= (others=>'0');
colpm2_raw_reg <= (others=>'0');
colpm3_raw_reg <= (others=>'0');
colpf0_raw_reg <= (others=>'0');
colpf1_raw_reg <= (others=>'0');
colpf2_raw_reg <= (others=>'0');
colpf3_raw_reg <= (others=>'0');
colbk_raw_reg <= (others=>'0');
prior_raw_reg <= (others=>'0');
vdelay_reg <= (others=>'0');
gractl_reg <= (others=>'0');
consol_output_reg <= (others=>'1');
COLOUR_REG <= (OTHERS=>'0');
HRCOLOUR_REG <= (OTHERS=>'0');
vsync_reg <= '0';
hsync_reg <= '0';
burst_reg <= '0';
hblank_reg <= '0';
an_prev_reg <= (others=>'0');
an_prev2_reg <= (others=>'0');
an_prev3_reg <= (others=>'0');
highres_reg <= '0';
active_hr_reg <= (others=>'0');
trig0_reg <= '0';
trig1_reg <= '0';
trig2_reg <= '0';
trig3_reg <= '0';
odd_scanline_reg <= '0';
pmg_dma_state_reg <= pmg_dma_done;
m0pf_reg <= (others=>'0');
m1pf_reg <= (others=>'0');
m2pf_reg <= (others=>'0');
m3pf_reg <= (others=>'0');
m0pl_reg <= (others=>'0');
m1pl_reg <= (others=>'0');
m2pl_reg <= (others=>'0');
m3pl_reg <= (others=>'0');
p0pf_reg <= (others=>'0');
p1pf_reg <= (others=>'0');
p2pf_reg <= (others=>'0');
p3pf_reg <= (others=>'0');
p0pl_reg <= (others=>'0');
p1pl_reg <= (others=>'0');
p2pl_reg <= (others=>'0');
p3pl_reg <= (others=>'0');
active_bk_modify_reg <= (others=>'0');
active_bk_valid_reg <= (others=>'0');
elsif (clk'event and clk='1') then
hposp0_raw_reg <= hposp0_raw_next;
hposp1_raw_reg <= hposp1_raw_next;
hposp2_raw_reg <= hposp2_raw_next;
hposp3_raw_reg <= hposp3_raw_next;
hposm0_raw_reg <= hposm0_raw_next;
hposm1_raw_reg <= hposm1_raw_next;
hposm2_raw_reg <= hposm2_raw_next;
hposm3_raw_reg <= hposm3_raw_next;
sizep0_raw_reg <= sizep0_raw_next;
sizep1_raw_reg <= sizep1_raw_next;
sizep2_raw_reg <= sizep2_raw_next;
sizep3_raw_reg <= sizep3_raw_next;
sizem_raw_reg <= sizem_raw_next;
grafp0_reg <= grafp0_next;
grafp1_reg <= grafp1_next;
grafp2_reg <= grafp2_next;
grafp3_reg <= grafp3_next;
grafm_reg <= grafm_next;
colpm0_raw_reg <= colpm0_raw_next;
colpm1_raw_reg <= colpm1_raw_next;
colpm2_raw_reg <= colpm2_raw_next;
colpm3_raw_reg <= colpm3_raw_next;
colpf0_raw_reg <= colpf0_raw_next;
colpf1_raw_reg <= colpf1_raw_next;
colpf2_raw_reg <= colpf2_raw_next;
colpf3_raw_reg <= colpf3_raw_next;
colbk_raw_reg <= colbk_raw_next;
prior_raw_reg <= prior_raw_next;
vdelay_reg <= vdelay_next;
gractl_reg <= gractl_next;
consol_output_reg <= consol_output_next;
COLOUR_REG <= colour_next;
HRCOLOUR_REG <= hrcolour_next;
vsync_reg <= vsync_next;
hsync_reg <= hsync_next;
burst_reg <= burst_next;
hblank_reg <= hblank_next;
an_prev_reg <= an_prev_next;
an_prev2_reg <= an_prev2_next;
an_prev3_reg <= an_prev3_next;
highres_reg <= highres_next;
active_hr_reg <= active_hr_next;
trig0_reg <= trig0_next;
trig1_reg <= trig1_next;
trig2_reg <= trig2_next;
trig3_reg <= trig3_next;
odd_scanline_reg <= odd_scanline_next;
pmg_dma_state_reg <= pmg_dma_state_next;
m0pf_reg <= m0pf_next;
m1pf_reg <= m1pf_next;
m2pf_reg <= m2pf_next;
m3pf_reg <= m3pf_next;
m0pl_reg <= m0pl_next;
m1pl_reg <= m1pl_next;
m2pl_reg <= m2pl_next;
m3pl_reg <= m3pl_next;
p0pf_reg <= p0pf_next;
p1pf_reg <= p1pf_next;
p2pf_reg <= p2pf_next;
p3pf_reg <= p3pf_next;
p0pl_reg <= p0pl_next;
p1pl_reg <= p1pl_next;
p2pl_reg <= p2pl_next;
p3pl_reg <= p3pl_next;
active_bk_modify_reg <= active_bk_modify_next;
active_bk_valid_reg <= active_bk_valid_next;
end if;
end process;
-- decode address
decode_addr1 : complete_address_decoder
generic map(width=>5)
port map (addr_in=>addr, addr_decoded=>addr_decoded);
-- decode antic input
process (AN, COLOUR_CLOCK, COLOUR_CLOCK_ORIGINAL, an_prev_reg, an_prev2_reg, an_prev3_reg, hblank_reg, vsync_reg, highres_reg, odd_scanline_reg, prior_delayed_reg, prior_delayed2_reg, hpos_reg, active_p0_live, active_p1_live, active_p2_live, active_p3_live, active_m0_live, active_m1_live, active_m2_live, active_m3_live, active_pf3_collision_live, active_bk_modify_reg, active_bk_modify_next, active_bk_valid_reg, active_hr_reg, visible_live)
begin
hblank_next <= hblank_reg;
reset_counter <= '0';
counter_load_value <= (others=>'0');
vsync_next <= vsync_reg;
odd_scanline_next <= odd_scanline_reg;
start_of_field <= '0';
-- NB high res mode gives pf2 - which is or of the two pixels
highres_next <= highres_reg;
-- for gtia modes
an_prev_next <= an_prev_reg;
an_prev2_next <= an_prev2_reg;
an_prev3_next <= an_prev3_reg;
-- decoded AN
visible_live <= '0';
active_hr_next <= active_hr_reg;
active_bk_modify_next <= active_bk_modify_reg;
active_bk_valid_next <= active_bk_valid_reg;
active_bk_live <= '0';
active_pf0_live <= '0';
active_pf1_live <= '0';
active_pf2_live <= '0';
active_pf2_collision_live <= '0';
active_pf3_live <= '0';
active_pf3_collision_live <= '0';
active_pm0_live <= '0';
active_pm1_live <= '0';
active_pm2_live <= '0';
active_pm3_live <= '0';
if (COLOUR_CLOCK = '1') then
visible_live <= '1';
vsync_next <= '0';
hblank_next <= '0';
an_prev_next <= an;
an_prev2_next <= an_prev_reg;
an_prev3_next <= an_prev2_reg;
active_pm0_live <= active_p0_live or (active_m0_live and not(prior_delayed_reg(4)));
active_pm1_live <= active_p1_live or (active_m1_live and not(prior_delayed_reg(4)));
active_pm2_live <= active_p2_live or (active_m2_live and not(prior_delayed_reg(4)));
active_pm3_live <= active_p3_live or (active_m3_live and not(prior_delayed_reg(4)));
active_bk_modify_next <= (others=>'0');
active_bk_valid_next <= (others=>'1');
active_hr_next <= (others=>'0');
-- 000 background colour
-- 001 vsync
-- 01X hsync (low bit is high res mode - i.e. 2 pixels per colour clock)
-- 1XX colour 0 to colour 3
-- in gtia modes then we listen for 2 colour clocks to get one pixels
-- 1ZY (giving signal ZYXV for 4 bit colour reg/luminance - unfortunately we only have 9 colour regs!)
-- 1XV
if (highres_reg = '1') then
if (an(2) = '1') then
active_hr_next <= AN(1 downto 0);
end if;
active_bk_live <= not(an(2)) and not(an(1)) and not(an(0));
active_pf0_live <= '0';
active_pf1_live <= '0';
active_pf2_live <= an(2);
active_pf2_collision_live <= an(2) and (an(1) or an(0));
active_pf3_collision_live <= '0';
else
-- gtia modes
case prior_delayed_reg(7 downto 6) is
when "00" =>
-- normal mode
active_bk_live <= not(an(2)) and not(an(1)) and not(an(0));
active_pf0_live <= an(2) and not(an(1)) and not(an(0));
active_pf1_live <= an(2) and not(an(1)) and an(0);
active_pf2_live <= an(2) and an(1) and not(an(0));
active_pf2_collision_live <= an(2) and an(1) and not(an(0));
active_pf3_collision_live <= an(2) and an(1) and an(0);
when "01" =>
-- 1 colour/16 luminance
-- no playfield collisions
-- 5th player gets my luminance
active_pf0_live <= '0';
active_pf1_live <= '0';
active_pf2_live <= '0';
active_pf2_collision_live <= '0';
active_pf3_collision_live <= '0';
active_bk_live <= '1';
if (hpos_reg(0) = '1') then
active_bk_modify_next(3 downto 0) <= an_prev_reg(1 downto 0)&an(1 downto 0);
else
active_bk_modify_next(3 downto 0) <= active_bk_modify_reg(3 downto 0);
end if;
when "10" =>
-- 9 colour
-- playfield collisions
-- no missile/player collisions from 'playfield' data though
-- offset by 1 colour clock...
if (hpos_reg(0) = '1') then
active_bk_live <= '0';
active_pf0_live <= '0';
active_pf1_live <= '0';
active_pf2_live <= '0';
active_pf2_collision_live <= '0';
active_pf3_collision_live <= '0';
case an_prev_reg(1 downto 0)&an(1 downto 0) is
when "0000" =>
active_pm0_live <= '1';
when "0001" =>
active_pm1_live <= '1';
when "0010" =>
active_pm2_live <= '1';
when "0011" =>
active_pm3_live <= '1';
when "0100"|"1100" =>
active_pf0_live <= an(2);
active_bk_live <= not(an(2));
when "0101"|"1101" =>
active_pf1_live <= an(2);
active_bk_live <= not(an(2));
when "0110"|"1110" =>
active_pf2_live <= an(2);
active_pf2_collision_live <= an(2);
active_bk_live <= not(an(2));
when "0111"|"1111" =>
active_pf3_collision_live <= an(2);
active_bk_live <= not(an(2));
when others =>
active_bk_live <= '1';
end case;
else
active_bk_live <= '0';
active_pf0_live <= '0';
active_pf1_live <= '0';
active_pf2_live <= '0';
active_pf2_collision_live <= '0';
active_pf3_collision_live <= '0';
case an_prev2_reg(1 downto 0)&an_prev_reg(1 downto 0) is
when "0000" =>
active_pm0_live <= '1';
when "0001" =>
active_pm1_live <= '1';
when "0010" =>
active_pm2_live <= '1';
when "0011" =>
active_pm3_live <= '1';
when "0100"|"1100" =>
active_pf0_live <= an_prev_reg(2);
active_bk_live <= not(an_prev_reg(2));
when "0101"|"1101" =>
active_pf1_live <= an_prev_reg(2);
active_bk_live <= not(an_prev_reg(2));
when "0110"|"1110" =>
active_pf2_live <= an_prev_reg(2);
active_pf2_collision_live <= an_prev_reg(2);
active_bk_live <= not(an_prev_reg(2));
when "0111"|"1111" =>
active_pf3_collision_live <= an_prev_reg(2);
active_bk_live <= not(an_prev_reg(2));
when others =>
active_bk_live <= '1';
end case;
end if;
when "11" =>
-- 16 colour/1 luminance
-- no playfield collisions
-- 5th player gets our luminance
active_bk_live <= '1';
active_pf0_live <= '0';
active_pf1_live <= '0';
active_pf2_live <= '0';
active_pf2_collision_live <= '0';
active_pf3_collision_live <= '0';
if (hpos_reg(0) = '1') then
active_bk_modify_next(7 downto 4) <= an_prev_reg(1 downto 0)&an(1 downto 0);
else
active_bk_modify_next(7 downto 4) <= active_bk_modify_reg(7 downto 4);
end if;
if (active_bk_modify_next(7 downto 4) = "0000") then
active_bk_valid_next(3 downto 0) <= "0000";
end if;
when others =>
-- nop
end case;
end if;
if (prior_delayed_reg(4) = '1') then
active_pf3_live <= active_pf3_collision_live or active_m0_live or active_m1_live or active_m2_live or active_m3_live;
else
active_pf3_live <= active_pf3_collision_live;
end if;
if (not (prior_delayed2_reg(7 downto 6) = "00")) then
-- force off flip flop when in gtia mode
highres_next <= '0';
end if;
-- hblank
if (an_prev_reg(2 downto 1) = "01") then
hblank_next <= '1';
active_bk_live <= '0';
active_pf0_live <= '0';
active_pf1_live <= '0';
active_pf2_live <= '0';
active_pf2_collision_live <= '0';
active_pf3_live <= '0';
active_pf3_collision_live <= '0';
highres_next <= an_prev_reg(0);
if (COLOUR_CLOCK_ORIGINAL='1') then
if (hblank_reg = '0' and vsync_reg = '0') then
reset_counter <= '1';
counter_load_value <= X"E0"; -- 2 lower than antic
odd_scanline_next <= not(odd_scanline_reg);
end if;
end if;
end if;
if (an(2 downto 1) = "01") then
visible_live <= '0';
end if;
-- vsync
if (an_prev_reg = "001") then
active_bk_live <= '0';
active_pf0_live <= '0';
active_pf1_live <= '0';
active_pf2_live <= '0';
active_pf2_collision_live <= '0';
active_pf3_live <= '0';
active_pf3_collision_live <= '0';
vsync_next <= '1';
odd_scanline_next <= '0';
visible_live <= '0';
start_of_field <= not(vsync_reg);
end if;
-- during vblank we reset our own counter - since Antic does not clear hblank_reg
if (hpos_reg = X"E3" and COLOUR_CLOCK_ORIGINAL='1') then
reset_counter <= '1';
counter_load_value <= X"00";
end if;
end if;
end process;
-- hpos
counter_hpos : simple_counter
generic map (COUNT_WIDTH=>8)
port map (clk=>clk, reset_n=>reset_n, increment=>COLOUR_CLOCK_ORIGINAL, load=>reset_counter, load_value=>counter_load_value, current_value=>hpos_reg);
-- visible region
-- process(hpos_reg,vpos_reg)
-- begin
-- visible_live <= '1';
--
---- if (unsigned(vpos_reg) < to_unsigned(8,9)) then
---- visible_live <= '0';
---- end if;
----
---- if (unsigned(vpos_reg) > to_unsigned(247,9)) then
---- visible_live <= '0';
---- end if;
----
---- if (unsigned(hpos_reg) <= to_unsigned(34,8)) then
---- visible_live <= '0';
---- end if;
----
---- if (unsigned(hpos_reg) > to_unsigned(221,8)) then
---- visible_live <= '0';
---- end if;
-- end process;
-- generate hsync
process(hpos_reg, hsync_reg, hsync_end, burst_reg, burst_end, vsync_reg, vsync_next)
begin
hsync_start <= '0';
hsync_next <= hsync_reg;
burst_start <= '0';
burst_next <= burst_reg;
if (unsigned(hpos_reg) = X"D4" and vsync_reg = '1') then
hsync_start <= '1';
hsync_next <= '1';
end if;
if (unsigned(hpos_reg) = X"0" and vsync_reg = '0' ) then
hsync_start <= '1';
hsync_next <= '1';
end if;
if (unsigned(hpos_reg) = X"14" and vsync_reg = '0' ) then
burst_start <= '1';
burst_next <= '1';
end if;
if (hsync_end = '1') then
hsync_next <= '0';
end if;
if (burst_end = '1') then
burst_next <= '0';
end if;
if (vsync_next = '0' and vsync_reg = '1') then
hsync_next <= '0';
end if;
end process;
hsync_delay : delay_line
generic map (COUNT=>15)
port map(clk=>clk,sync_reset=>'0',data_in=>hsync_start,enable=>COLOUR_CLOCK_ORIGINAL,reset_n=>reset_n,data_out=>hsync_end);
burst_delay : delay_line
generic map (COUNT=>8)
port map(clk=>clk,sync_reset=>'0',data_in=>burst_start,enable=>COLOUR_CLOCK_ORIGINAL,reset_n=>reset_n,data_out=>burst_end);
-- pmg dma
process(CPU_ENABLE_ORIGINAL,antic_fetch,memory_data_in,hsync_start,pmg_dma_state_reg,gractl_reg,odd_scanline_reg,vdelay_reg,grafm_reg, visible_live,hpos_reg, hblank_reg)
begin
pmg_dma_state_next <= pmg_dma_state_reg;
grafm_dma_load <= '0';
grafm_dma_next <= grafm_reg;
grafp0_dma_load <= '0';
grafp0_dma_next <= (others=>'0');
grafp1_dma_load <= '0';
grafp1_dma_next <= (others=>'0');
grafp2_dma_load <= '0';
grafp2_dma_next <= (others=>'0');
grafp3_dma_load <= '0';
grafp3_dma_next <= (others=>'0');
-- pull pmg data from bus
if (hpos_reg = X"E1") then
pmg_dma_state_next <= pmg_dma_missile;
end if;
-- we start from the first antic fetch
-- TODO - CPU enable does not identify next 'antic' cycle in turbo mode...
case pmg_dma_state_reg is
when pmg_dma_missile =>
if (antic_fetch = '1' and cpu_enable_original = '1' and hblank_reg = '1' and visible_live = '0' and hpos_reg(7 downto 4) = "0000") then
-- here we have the missile0
grafm_dma_load <= gractl_reg(0);
if ((odd_scanline_reg or not(vdelay_reg(0))) = '1') then
grafm_dma_next(1 downto 0) <= memory_data_in(1 downto 0);
end if;
if ((odd_scanline_reg or not(vdelay_reg(1))) = '1') then
grafm_dma_next(3 downto 2) <= memory_data_in(3 downto 2);
end if;
if ((odd_scanline_reg or not(vdelay_reg(2))) = '1') then
grafm_dma_next(5 downto 4) <= memory_data_in(5 downto 4);
end if;
if ((odd_scanline_reg or not(vdelay_reg(3))) = '1') then
grafm_dma_next(7 downto 6) <= memory_data_in(7 downto 6);
end if;
pmg_dma_state_next <= pmg_dma_instruction;
end if;
when pmg_dma_instruction =>
if (CPU_ENABLE_ORIGINAL = '1') then
pmg_dma_state_next <= pmg_dma_player0;
end if;
when pmg_dma_player0 =>
if (CPU_ENABLE_ORIGINAL = '1') then
-- here we have player0
grafp0_dma_next <= memory_data_in;
grafp0_dma_load <= gractl_reg(1) and (odd_scanline_reg or not(vdelay_reg(4)));
pmg_dma_state_next <= pmg_dma_player1;
end if;
when pmg_dma_player1 =>
if (CPU_ENABLE_ORIGINAL = '1') then
-- here we have player1
grafp1_dma_next <= memory_data_in;
grafp1_dma_load <= gractl_reg(1) and (odd_scanline_reg or not(vdelay_reg(5)));
pmg_dma_state_next <= pmg_dma_player2;
end if;
when pmg_dma_player2 =>
if (CPU_ENABLE_ORIGINAL = '1') then
-- here we have player1
grafp2_dma_next <= memory_data_in;
grafp2_dma_load <= gractl_reg(1) and (odd_scanline_reg or not(vdelay_reg(6)));
pmg_dma_state_next <= pmg_dma_player3;
end if;
when pmg_dma_player3 =>
if (CPU_ENABLE_ORIGINAL = '1') then
-- here we have player1
grafp3_dma_next <= memory_data_in;
grafp3_dma_load <= gractl_reg(1) and (odd_scanline_reg or not(vdelay_reg(7)));
pmg_dma_state_next <= pmg_dma_done;
end if;
when others =>
-- nop
end case;
end process;
-- pmg display - same for all pmgs
-- TODO: priority
player0 : gtia_player
port map(clk=>clk,reset_n=>reset_n,colour_enable=>COLOUR_CLOCK_ORIGINAL,live_position=>hpos_reg,player_position=>hposp0_delayed_reg,size=>sizep0_delayed_reg(1 downto 0),bitmap=>grafp0_reg, output=>active_p0_live);
player1 : gtia_player
port map(clk=>clk,reset_n=>reset_n,colour_enable=>COLOUR_CLOCK_ORIGINAL,live_position=>hpos_reg,player_position=>hposp1_delayed_reg,size=>sizep1_delayed_reg(1 downto 0),bitmap=>grafp1_reg, output=>active_p1_live);
player2 : gtia_player
port map(clk=>clk,reset_n=>reset_n,colour_enable=>COLOUR_CLOCK_ORIGINAL,live_position=>hpos_reg,player_position=>hposp2_delayed_reg,size=>sizep2_delayed_reg(1 downto 0),bitmap=>grafp2_reg, output=>active_p2_live);
player3 : gtia_player
port map(clk=>clk,reset_n=>reset_n,colour_enable=>COLOUR_CLOCK_ORIGINAL,live_position=>hpos_reg,player_position=>hposp3_delayed_reg,size=>sizep3_delayed_reg(1 downto 0),bitmap=>grafp3_reg, output=>active_p3_live);
grafm_reg10_extended <= grafm_reg(1 downto 0)&"000000";
grafm_reg32_extended <= grafm_reg(3 downto 2)&"000000";
grafm_reg54_extended <= grafm_reg(5 downto 4)&"000000";
grafm_reg76_extended <= grafm_reg(7 downto 6)&"000000";
missile0 : gtia_player
port map(clk=>clk,reset_n=>reset_n,colour_enable=>COLOUR_CLOCK_ORIGINAL,live_position=>hpos_reg,player_position=>hposm0_delayed_reg,size=>sizem_delayed_reg(1 downto 0),bitmap=>grafm_reg10_extended, output=>active_m0_live);
missile1 : gtia_player
port map(clk=>clk,reset_n=>reset_n,colour_enable=>COLOUR_CLOCK_ORIGINAL,live_position=>hpos_reg,player_position=>hposm1_delayed_reg,size=>sizem_delayed_reg(3 downto 2),bitmap=>grafm_reg32_extended, output=>active_m1_live);
missile2 : gtia_player
port map(clk=>clk,reset_n=>reset_n,colour_enable=>COLOUR_CLOCK_ORIGINAL,live_position=>hpos_reg,player_position=>hposm2_delayed_reg,size=>sizem_delayed_reg(5 downto 4),bitmap=>grafm_reg54_extended, output=>active_m2_live);
missile3 : gtia_player
port map(clk=>clk,reset_n=>reset_n,colour_enable=>COLOUR_CLOCK_ORIGINAL,live_position=>hpos_reg,player_position=>hposm3_delayed_reg,size=>sizem_delayed_reg(7 downto 6),bitmap=>grafm_reg76_extended, output=>active_m3_live);
-- calculate atari colour
priority_rules : gtia_priority
port map(clk=>clk, colour_enable=>colour_clock, prior=>prior_delayed_reg,p0=>active_pm0_live,p1=>active_pm1_live,p2=>active_pm2_live,p3=>active_pm3_live,pf0=>active_pf0_live,pf1=>active_pf1_live,pf2=>active_pf2_live,pf3=>active_pf3_live,bk=>active_bk_live,p0_out=>set_p0,p1_out=>set_p1,p2_out=>set_p2,p3_out=>set_p3,pf0_out=>set_pf0,pf1_out=>set_pf1,pf2_out=>set_pf2,pf3_out=>set_pf3,bk_out=>set_bk);
trigger_secondhalf <= colour_clock_HIGHRES and not colour_clock;
process(set_p0,set_p1,set_p2,set_p3,set_pf0,set_pf1,set_pf2,set_pf3,set_bk,highres_reg, active_hr_reg, colbk_delayed_reg, colpf0_delayed_reg, colpf1_delayed_reg, colpf2_delayed_reg, colpf3_delayed_reg, colpm0_delayed_reg, colpm1_delayed_reg, colpm2_delayed_reg, colpm3_delayed_reg, trigger_secondhalf, colour_clock, COLOUR_REG, hrcolour_reg, visible_live, active_bk_modify_next, active_bk_valid_next)
begin
colour_next <= colour_reg;
hrcolour_next <= hrcolour_reg;
if (trigger_secondhalf = '1') then
if (highres_reg = '1') then
colour_next <= hrcolour_reg;
end if;
end if;
if (colour_clock = '1') then
colour_next <=
(
((colbk_delayed_reg&'0' or active_bk_modify_next) and active_bk_valid_next and (set_bk &set_bk &set_bk &set_bk &set_bk &set_bk &set_bk& set_bk)) or
(colpf0_delayed_reg&'0' and (set_pf0&set_pf0&set_pf0&set_pf0&set_pf0&set_pf0&set_pf0&set_pf0) ) or
(colpf1_delayed_reg&'0' and (set_pf1&set_pf1&set_pf1&set_pf1&set_pf1&set_pf1&set_pf1&set_pf1) ) or
(colpf2_delayed_reg&'0' and (set_pf2&set_pf2&set_pf2&set_pf2&set_pf2&set_pf2&set_pf2&set_pf2) ) or
((colpf3_delayed_reg&'0' or active_bk_modify_next) and (set_pf3&set_pf3&set_pf3&set_pf3&set_pf3&set_pf3&set_pf3&set_pf3) ) or
(colpm0_delayed_reg&'0' and (set_p0 &set_p0 &set_p0 &set_p0 &set_p0 &set_p0 &set_p0& set_p0)) or
(colpm1_delayed_reg&'0' and (set_p1 &set_p1 &set_p1 &set_p1 &set_p1 &set_p1 &set_p1& set_p1)) or
(colpm2_delayed_reg&'0' and (set_p2 &set_p2 &set_p2 &set_p2 &set_p2 &set_p2 &set_p2& set_p2)) or
(colpm3_delayed_reg&'0' and (set_p3 &set_p3 &set_p3 &set_p3 &set_p3 &set_p3 &set_p3& set_p3))
);
hrcolour_next <= -- SAME FIXME
(
((colbk_delayed_reg&'0' or active_bk_modify_next) and active_bk_valid_next and (set_bk &set_bk &set_bk &set_bk &set_bk &set_bk &set_bk& set_bk)) or
(colpf0_delayed_reg&'0' and (set_pf0&set_pf0&set_pf0&set_pf0&set_pf0&set_pf0&set_pf0&set_pf0) ) or
(colpf1_delayed_reg&'0' and (set_pf1&set_pf1&set_pf1&set_pf1&set_pf1&set_pf1&set_pf1&set_pf1) ) or
(colpf2_delayed_reg&'0' and (set_pf2&set_pf2&set_pf2&set_pf2&set_pf2&set_pf2&set_pf2&set_pf2) ) or
((colpf3_delayed_reg&'0' or active_bk_modify_next) and (set_pf3&set_pf3&set_pf3&set_pf3&set_pf3&set_pf3&set_pf3&set_pf3) ) or
(colpm0_delayed_reg&'0' and (set_p0 &set_p0 &set_p0 &set_p0 &set_p0 &set_p0 &set_p0& set_p0)) or
(colpm1_delayed_reg&'0' and (set_p1 &set_p1 &set_p1 &set_p1 &set_p1 &set_p1 &set_p1& set_p1)) or
(colpm2_delayed_reg&'0' and (set_p2 &set_p2 &set_p2 &set_p2 &set_p2 &set_p2 &set_p2& set_p2)) or
(colpm3_delayed_reg&'0' and (set_p3 &set_p3 &set_p3 &set_p3 &set_p3 &set_p3 &set_p3& set_p3))
);
-- finally high-res mode overrides the luma
if (set_bk = '0' and highres_reg = '1') then
if (active_hr_reg(1) = '1') then
colour_next(3 downto 0) <= colpf1_delayed_reg(3 downto 1)&'0';
end if;
if (active_hr_reg(0) = '1') then
hrcolour_next(3 downto 0) <= colpf1_delayed_reg(3 downto 1)&'0';
end if;
end if;
if (visible_live = '0') then
colour_next <= X"00";
hrcolour_next <= X"00";
end if;
end if;
end process;
-- collision detection
process (colour_clock, m0pf_reg,m1pf_reg,m2pf_reg,m3pf_reg,m0pl_reg,m1pl_reg,m2pl_reg,m3pl_reg,p0pf_reg,p1pf_reg,p2pf_reg,p3pf_reg,p0pl_reg,p1pl_reg,p2pl_reg,p3pl_reg,hitclr_write,active_pf0_live,active_pf1_live,active_pf2_collision_live,active_pf3_collision_live,active_p0_live,active_p1_live,active_p2_live,active_p3_live,active_m0_live,active_m1_live,active_m2_live,active_m3_live, visible_live)
begin
m0pf_next <= m0pf_reg;
m1pf_next <= m1pf_reg;
m2pf_next <= m2pf_reg;
m3pf_next <= m3pf_reg;
m0pl_next <= m0pl_reg;
m1pl_next <= m1pl_reg;
m2pl_next <= m2pl_reg;
m3pl_next <= m3pl_reg;
p0pf_next <= p0pf_reg;
p1pf_next <= p1pf_reg;
p2pf_next <= p2pf_reg;
p3pf_next <= p3pf_reg;
p0pl_next <= p0pl_reg;
p1pl_next <= p1pl_reg;
p2pl_next <= p2pl_reg;
p3pl_next <= p3pl_reg;
if (hitclr_write = '1') then
m0pf_next <= (others=>'0');
m1pf_next <= (others=>'0');
m2pf_next <= (others=>'0');
m3pf_next <= (others=>'0');
m0pl_next <= (others=>'0');
m1pl_next <= (others=>'0');
m2pl_next <= (others=>'0');
m3pl_next <= (others=>'0');
p0pf_next <= (others=>'0');
p1pf_next <= (others=>'0');
p2pf_next <= (others=>'0');
p3pf_next <= (others=>'0');
p0pl_next <= (others=>'0');
p1pl_next <= (others=>'0');
p2pl_next <= (others=>'0');
p3pl_next <= (others=>'0');
else
if (visible_live = '1' and colour_clock = '1') then
m0pl_next <= m0pl_reg or (active_m0_live&active_m0_live&active_m0_live&active_m0_live and active_p3_live&active_p2_live&active_p1_live&active_p0_live);
m1pl_next <= m1pl_reg or (active_m1_live&active_m1_live&active_m1_live&active_m1_live and active_p3_live&active_p2_live&active_p1_live&active_p0_live);
m2pl_next <= m2pl_reg or (active_m2_live&active_m2_live&active_m2_live&active_m2_live and active_p3_live&active_p2_live&active_p1_live&active_p0_live);
m3pl_next <= m3pl_reg or (active_m3_live&active_m3_live&active_m3_live&active_m3_live and active_p3_live&active_p2_live&active_p1_live&active_p0_live);
m0pf_next <= m0pf_reg or (active_m0_live&active_m0_live&active_m0_live&active_m0_live and active_pf3_collision_live&active_pf2_collision_live&active_pf1_live&active_pf0_live);
m1pf_next <= m1pf_reg or (active_m1_live&active_m1_live&active_m1_live&active_m1_live and active_pf3_collision_live&active_pf2_collision_live&active_pf1_live&active_pf0_live);
m2pf_next <= m2pf_reg or (active_m2_live&active_m2_live&active_m2_live&active_m2_live and active_pf3_collision_live&active_pf2_collision_live&active_pf1_live&active_pf0_live);
m3pf_next <= m3pf_reg or (active_m3_live&active_m3_live&active_m3_live&active_m3_live and active_pf3_collision_live&active_pf2_collision_live&active_pf1_live&active_pf0_live);
p0pl_next <= p0pl_reg or (active_p0_live&active_p0_live&active_p0_live&'0' and active_p3_live&active_p2_live&active_p1_live&active_p0_live);
p1pl_next <= p1pl_reg or (active_p1_live&active_p1_live&'0' &active_p1_live and active_p3_live&active_p2_live&active_p1_live&active_p0_live);
p2pl_next <= p2pl_reg or (active_p2_live&'0' &active_p2_live&active_p2_live and active_p3_live&active_p2_live&active_p1_live&active_p0_live);
p3pl_next <= p3pl_reg or ('0' &active_p3_live&active_p3_live&active_p3_live and active_p3_live&active_p2_live&active_p1_live&active_p0_live);
p0pf_next <= p0pf_reg or (active_p0_live&active_p0_live&active_p0_live&active_p0_live and active_pf3_collision_live&active_pf2_collision_live&active_pf1_live&active_pf0_live);
p1pf_next <= p1pf_reg or (active_p1_live&active_p1_live&active_p1_live&active_p1_live and active_pf3_collision_live&active_pf2_collision_live&active_pf1_live&active_pf0_live);
p2pf_next <= p2pf_reg or (active_p2_live&active_p2_live&active_p2_live&active_p2_live and active_pf3_collision_live&active_pf2_collision_live&active_pf1_live&active_pf0_live);
p3pf_next <= p3pf_reg or (active_p3_live&active_p3_live&active_p3_live&active_p3_live and active_pf3_collision_live&active_pf2_collision_live&active_pf1_live&active_pf0_live);
end if;
end if;
end process;
-- Writes to registers
process(cpu_data_in,wr_en,addr_decoded,hposp0_raw_reg,hposp1_raw_reg,hposp2_raw_reg,hposp3_raw_reg,hposm0_raw_reg,hposm1_raw_reg,hposm2_raw_reg,hposm3_raw_reg,sizep0_raw_reg,sizep1_raw_reg,sizep2_raw_reg,sizep3_raw_reg,sizem_raw_reg,grafp0_reg,grafp1_reg,grafp2_reg,grafp3_reg, grafm_reg, colpm0_raw_reg, colpm1_raw_reg, colpm2_raw_reg, colpm3_raw_reg, colpf0_raw_reg, colpf1_raw_reg,colpf2_raw_reg, colpf3_raw_reg, colbk_raw_reg, prior_raw_reg, vdelay_reg, gractl_reg, consol_output_reg, grafm_dma_load, grafm_dma_next, grafp0_dma_load, grafp0_dma_next, grafp1_dma_load, grafp1_dma_next, grafp2_dma_load, grafp2_dma_next, grafp3_dma_load, grafp3_dma_next)
begin
hposp0_raw_next <= hposp0_raw_reg;
hposp1_raw_next <= hposp1_raw_reg;
hposp2_raw_next <= hposp2_raw_reg;
hposp3_raw_next <= hposp3_raw_reg;
hposm0_raw_next <= hposm0_raw_reg;
hposm1_raw_next <= hposm1_raw_reg;
hposm2_raw_next <= hposm2_raw_reg;
hposm3_raw_next <= hposm3_raw_reg;
sizep0_raw_next <= sizep0_raw_reg;
sizep1_raw_next <= sizep1_raw_reg;
sizep2_raw_next <= sizep2_raw_reg;
sizep3_raw_next <= sizep3_raw_reg;
sizem_raw_next <= sizem_raw_reg;
grafp0_next <= grafp0_reg;
grafp1_next <= grafp1_reg;
grafp2_next <= grafp2_reg;
grafp3_next <= grafp3_reg;
grafm_next <= grafm_reg;
colpm0_raw_next <= colpm0_raw_reg;
colpm1_raw_next <= colpm1_raw_reg;
colpm2_raw_next <= colpm2_raw_reg;
colpm3_raw_next <= colpm3_raw_reg;
colpf0_raw_next <= colpf0_raw_reg;
colpf1_raw_next <= colpf1_raw_reg;
colpf2_raw_next <= colpf2_raw_reg;
colpf3_raw_next <= colpf3_raw_reg;
colbk_raw_next <= colbk_raw_reg;
prior_raw_next <= prior_raw_reg;
vdelay_next <= vdelay_reg;
gractl_next <= gractl_reg;
consol_output_next <= consol_output_reg;
hitclr_write <= '0';
if (grafm_dma_load = '1') then
grafm_next <= grafm_dma_next;
end if;
if (grafp0_dma_load = '1') then
grafp0_next <= grafp0_dma_next;
end if;
if (grafp1_dma_load = '1') then
grafp1_next <= grafp1_dma_next;
end if;
if (grafp2_dma_load = '1') then
grafp2_next <= grafp2_dma_next;
end if;
if (grafp3_dma_load = '1') then
grafp3_next <= grafp3_dma_next;
end if;
if (wr_en = '1') then
if(addr_decoded(0) = '1') then
hposp0_raw_next <= cpu_data_in;
end if;
if(addr_decoded(1) = '1') then
hposp1_raw_next <= cpu_data_in;
end if;
if(addr_decoded(2) = '1') then
hposp2_raw_next <= cpu_data_in;
end if;
if(addr_decoded(3) = '1') then
hposp3_raw_next <= cpu_data_in;
end if;
if(addr_decoded(4) = '1') then
hposm0_raw_next <= cpu_data_in;
end if;
if(addr_decoded(5) = '1') then
hposm1_raw_next <= cpu_data_in;
end if;
if(addr_decoded(6) = '1') then
hposm2_raw_next <= cpu_data_in;
end if;
if(addr_decoded(7) = '1') then
hposm3_raw_next <= cpu_data_in;
end if;
if(addr_decoded(8) = '1') then
sizep0_raw_next <= cpu_data_in(1 downto 0);
end if;
if(addr_decoded(9) = '1') then
sizep1_raw_next <= cpu_data_in(1 downto 0);
end if;
if(addr_decoded(10) = '1') then
sizep2_raw_next <= cpu_data_in(1 downto 0);
end if;
if(addr_decoded(11) = '1') then
sizep3_raw_next <= cpu_data_in(1 downto 0);
end if;
if(addr_decoded(12) = '1') then
sizem_raw_next <= cpu_data_in;
end if;
if(addr_decoded(13) = '1') then
grafp0_next <= cpu_data_in;
end if;
if(addr_decoded(14) = '1') then
grafp1_next <= cpu_data_in;
end if;
if(addr_decoded(15) = '1') then
grafp2_next <= cpu_data_in;
end if;
if(addr_decoded(16) = '1') then
grafp3_next <= cpu_data_in;
end if;
if(addr_decoded(17) = '1') then
grafm_next <= cpu_data_in;
end if;
if(addr_decoded(18) = '1') then
colpm0_raw_next <= cpu_data_in(7 downto 1);
end if;
if(addr_decoded(19) = '1') then
colpm1_raw_next <= cpu_data_in(7 downto 1);
end if;
if(addr_decoded(20) = '1') then
colpm2_raw_next <= cpu_data_in(7 downto 1);
end if;
if(addr_decoded(21) = '1') then
colpm3_raw_next <= cpu_data_in(7 downto 1);
end if;
if(addr_decoded(22) = '1') then
colpf0_raw_next <= cpu_data_in(7 downto 1);
end if;
if(addr_decoded(23) = '1') then
colpf1_raw_next <= cpu_data_in(7 downto 1);
end if;
if(addr_decoded(24) = '1') then
colpf2_raw_next <= cpu_data_in(7 downto 1);
end if;
if(addr_decoded(25) = '1') then
colpf3_raw_next <= cpu_data_in(7 downto 1);
end if;
if(addr_decoded(26) = '1') then
colbk_raw_next <= cpu_data_in(7 downto 1);
end if;
if(addr_decoded(27) = '1') then
prior_raw_next <= cpu_data_in;
end if;
if(addr_decoded(28) = '1') then
vdelay_next <= cpu_data_in;
end if;
if(addr_decoded(29) = '1') then
gractl_next <= cpu_data_in(2 downto 0);
end if;
if(addr_decoded(30) = '1') then
-- clear the collision regs
hitclr_write <= '1';
end if;
if(addr_decoded(31) = '1') then
consol_output_next <= cpu_data_in(3 downto 0);
end if;
end if;
end process;
-- delays...
-- TODO - needs more attention ...
-- The prior behaviour here in real hardware is all over the place...
-- THESE CAN TAKE MUCH LESS SPACE - only need to store per CPU cycle, not per colour clock original
prior_short_delay : wide_delay_line
generic map (COUNT=>2, WIDTH=>6)
port map(clk=>clk,sync_reset=>'0',data_in=>prior_raw_reg(5 downto 0),enable=>COLOUR_CLOCK_ORIGINAL,reset_n=>reset_n,data_out=>prior_delayed_reg(5 downto 0));
prior_long_delay : wide_delay_line
generic map (COUNT=>3, WIDTH=>2)
port map(clk=>clk,sync_reset=>'0',data_in=>prior_raw_reg(7 downto 6),enable=>COLOUR_CLOCK_ORIGINAL,reset_n=>reset_n,data_out=>prior_delayed_reg(7 downto 6));
prior_longer_delay : wide_delay_line
generic map (COUNT=>4, WIDTH=>2)
port map(clk=>clk,sync_reset=>'0',data_in=>prior_raw_reg(7 downto 6),enable=>COLOUR_CLOCK_ORIGINAL,reset_n=>reset_n,data_out=>prior_delayed2_reg(7 downto 6));
colbk_delay : wide_delay_line
generic map (COUNT=>2, WIDTH=>7)
port map(clk=>clk,sync_reset=>'0',data_in=>colbk_raw_reg(7 downto 1),enable=>COLOUR_CLOCK_ORIGINAL,reset_n=>reset_n,data_out=>colbk_delayed_reg(7 downto 1));
colpm0_delay : wide_delay_line
generic map (COUNT=>2, WIDTH=>7)
port map(clk=>clk,sync_reset=>'0',data_in=>colpm0_raw_reg(7 downto 1),enable=>COLOUR_CLOCK_ORIGINAL,reset_n=>reset_n,data_out=>colpm0_delayed_reg(7 downto 1));
colpm1_delay : wide_delay_line
generic map (COUNT=>2, WIDTH=>7)
port map(clk=>clk,sync_reset=>'0',data_in=>colpm1_raw_reg(7 downto 1),enable=>COLOUR_CLOCK_ORIGINAL,reset_n=>reset_n,data_out=>colpm1_delayed_reg(7 downto 1));
colpm2_delay : wide_delay_line
generic map (COUNT=>2, WIDTH=>7)
port map(clk=>clk,sync_reset=>'0',data_in=>colpm2_raw_reg(7 downto 1),enable=>COLOUR_CLOCK_ORIGINAL,reset_n=>reset_n,data_out=>colpm2_delayed_reg(7 downto 1));
colpm3_delay : wide_delay_line
generic map (COUNT=>2, WIDTH=>7)
port map(clk=>clk,sync_reset=>'0',data_in=>colpm3_raw_reg(7 downto 1),enable=>COLOUR_CLOCK_ORIGINAL,reset_n=>reset_n,data_out=>colpm3_delayed_reg(7 downto 1));
colpf0_delay : wide_delay_line
generic map (COUNT=>2, WIDTH=>7)
port map(clk=>clk,sync_reset=>'0',data_in=>colpf0_raw_reg(7 downto 1),enable=>COLOUR_CLOCK_ORIGINAL,reset_n=>reset_n,data_out=>colpf0_delayed_reg(7 downto 1));
colpf1_delay : wide_delay_line
generic map (COUNT=>2, WIDTH=>7)
port map(clk=>clk,sync_reset=>'0',data_in=>colpf1_raw_reg(7 downto 1),enable=>COLOUR_CLOCK_ORIGINAL,reset_n=>reset_n,data_out=>colpf1_delayed_reg(7 downto 1));
colpf2_delay : wide_delay_line
generic map (COUNT=>2, WIDTH=>7)
port map(clk=>clk,sync_reset=>'0',data_in=>colpf2_raw_reg(7 downto 1),enable=>COLOUR_CLOCK_ORIGINAL,reset_n=>reset_n,data_out=>colpf2_delayed_reg(7 downto 1));
colpf3_delay : wide_delay_line
generic map (COUNT=>2, WIDTH=>7)
port map(clk=>clk,sync_reset=>'0',data_in=>colpf3_raw_reg(7 downto 1),enable=>COLOUR_CLOCK_ORIGINAL,reset_n=>reset_n,data_out=>colpf3_delayed_reg(7 downto 1));
hposp0_delay : wide_delay_line
generic map (COUNT=>5, WIDTH=>8)
port map(clk=>clk,sync_reset=>'0',data_in=>hposp0_raw_reg(7 downto 0),enable=>COLOUR_CLOCK_ORIGINAL,reset_n=>reset_n,data_out=>hposp0_delayed_reg(7 downto 0));
hposp1_delay : wide_delay_line
generic map (COUNT=>5, WIDTH=>8)
port map(clk=>clk,sync_reset=>'0',data_in=>hposp1_raw_reg(7 downto 0),enable=>COLOUR_CLOCK_ORIGINAL,reset_n=>reset_n,data_out=>hposp1_delayed_reg(7 downto 0));
hposp2_delay : wide_delay_line
generic map (COUNT=>5, WIDTH=>8)
port map(clk=>clk,sync_reset=>'0',data_in=>hposp2_raw_reg(7 downto 0),enable=>COLOUR_CLOCK_ORIGINAL,reset_n=>reset_n,data_out=>hposp2_delayed_reg(7 downto 0));
hposp3_delay : wide_delay_line
generic map (COUNT=>5, WIDTH=>8)
port map(clk=>clk,sync_reset=>'0',data_in=>hposp3_raw_reg(7 downto 0),enable=>COLOUR_CLOCK_ORIGINAL,reset_n=>reset_n,data_out=>hposp3_delayed_reg(7 downto 0));
hposm0_delay : wide_delay_line
generic map (COUNT=>5, WIDTH=>8)
port map(clk=>clk,sync_reset=>'0',data_in=>hposm0_raw_reg(7 downto 0),enable=>COLOUR_CLOCK_ORIGINAL,reset_n=>reset_n,data_out=>hposm0_delayed_reg(7 downto 0));
hposm1_delay : wide_delay_line
generic map (COUNT=>5, WIDTH=>8)
port map(clk=>clk,sync_reset=>'0',data_in=>hposm1_raw_reg(7 downto 0),enable=>COLOUR_CLOCK_ORIGINAL,reset_n=>reset_n,data_out=>hposm1_delayed_reg(7 downto 0));
hposm2_delay : wide_delay_line
generic map (COUNT=>5, WIDTH=>8)
port map(clk=>clk,sync_reset=>'0',data_in=>hposm2_raw_reg(7 downto 0),enable=>COLOUR_CLOCK_ORIGINAL,reset_n=>reset_n,data_out=>hposm2_delayed_reg(7 downto 0));
hposm3_delay : wide_delay_line
generic map (COUNT=>5, WIDTH=>8)
port map(clk=>clk,sync_reset=>'0',data_in=>hposm3_raw_reg(7 downto 0),enable=>COLOUR_CLOCK_ORIGINAL,reset_n=>reset_n,data_out=>hposm3_delayed_reg(7 downto 0));
sizep0_delay : wide_delay_line
generic map (COUNT=>4, WIDTH=>2)
port map(clk=>clk,sync_reset=>'0',data_in=>sizep0_raw_reg(1 downto 0),enable=>COLOUR_CLOCK_ORIGINAL,reset_n=>reset_n,data_out=>sizep0_delayed_reg(1 downto 0));
sizep1_delay : wide_delay_line
generic map (COUNT=>4, WIDTH=>2)
port map(clk=>clk,sync_reset=>'0',data_in=>sizep1_raw_reg(1 downto 0),enable=>COLOUR_CLOCK_ORIGINAL,reset_n=>reset_n,data_out=>sizep1_delayed_reg(1 downto 0));
sizep2_delay : wide_delay_line
generic map (COUNT=>4, WIDTH=>2)
port map(clk=>clk,sync_reset=>'0',data_in=>sizep2_raw_reg(1 downto 0),enable=>COLOUR_CLOCK_ORIGINAL,reset_n=>reset_n,data_out=>sizep2_delayed_reg(1 downto 0));
sizep3_delay : wide_delay_line
generic map (COUNT=>4, WIDTH=>2)
port map(clk=>clk,sync_reset=>'0',data_in=>sizep3_raw_reg(1 downto 0),enable=>COLOUR_CLOCK_ORIGINAL,reset_n=>reset_n,data_out=>sizep3_delayed_reg(1 downto 0));
sizem_delay : wide_delay_line
generic map (COUNT=>4, WIDTH=>8)
port map(clk=>clk,sync_reset=>'0',data_in=>sizem_raw_reg(7 downto 0),enable=>COLOUR_CLOCK_ORIGINAL,reset_n=>reset_n,data_out=>sizem_delayed_reg(7 downto 0));
-- joystick
process(trig0_reg, trig1_reg, trig2_reg, trig3_reg, trig0, trig1, trig2, trig3, gractl_reg)
begin
trig0_next <= trig0;
trig1_next <= trig1;
trig2_next <= trig2;
trig3_next <= trig3;
if (gractl_reg(2) = '1') then
trig0_next <= trig0_reg and trig0;
trig1_next <= trig1_reg and trig1;
trig2_next <= trig2_reg and trig2;
trig3_next <= trig3_reg and trig3;
end if;
end process;
-- Read from registers
process(addr_decoded, CONSOL_OPTION, CONSOL_SELECT, CONSOL_START, consol_output_reg, trig0_reg, trig1_reg, trig2_reg, trig3_reg, m0pf_reg,m1pf_reg,m2pf_reg,m3pf_reg,m0pl_reg,m1pl_reg,m2pl_reg,m3pl_reg,p0pf_reg,p1pf_reg,p2pf_reg,p3pf_reg,p0pl_reg,p1pl_reg,p2pl_reg,p3pl_reg, pal)
begin
data_out <= X"0F";
if (addr_decoded(0) = '1') then
data_out <= "0000"&m0pf_reg;
end if;
if (addr_decoded(1) = '1') then
data_out <= "0000"&m1pf_reg;
end if;
if (addr_decoded(2) = '1') then
data_out <= "0000"&m2pf_reg;
end if;
if (addr_decoded(3) = '1') then
data_out <= "0000"&m3pf_reg;
end if;
if (addr_decoded(4) = '1') then
data_out <= "0000"&p0pf_reg;
end if;
if (addr_decoded(5) = '1') then
data_out <= "0000"&p1pf_reg;
end if;
if (addr_decoded(6) = '1') then
data_out <= "0000"&p2pf_reg;
end if;
if (addr_decoded(7) = '1') then
data_out <= "0000"&p3pf_reg;
end if;
if (addr_decoded(8) = '1') then
data_out <= "0000"&m0pl_reg;
end if;
if (addr_decoded(9) = '1') then
data_out <= "0000"&m1pl_reg;
end if;
if (addr_decoded(10) = '1') then
data_out <= "0000"&m2pl_reg;
end if;
if (addr_decoded(11) = '1') then
data_out <= "0000"&m3pl_reg;
end if;
if (addr_decoded(12) = '1') then
data_out <= "0000"&p0pl_reg;
end if;
if (addr_decoded(13) = '1') then
data_out <= "0000"&p1pl_reg;
end if;
if (addr_decoded(14) = '1') then
data_out <= "0000"&p2pl_reg;
end if;
if (addr_decoded(15) = '1') then
data_out <= "0000"&p3pl_reg;
end if;
if (addr_decoded(16) = '1') then
data_out <= "0000000"&trig0_reg;
end if;
if (addr_decoded(17) = '1') then
data_out <= "0000000"&trig1_reg;
end if;
if (addr_decoded(18) = '1') then
data_out <= "0000000"&trig2_reg;
end if;
if (addr_decoded(19) = '1') then
data_out <= "0000000"&trig3_reg;
end if;
if (addr_decoded(20) = '1') then
data_out <= "0000"¬(pal&pal&pal)&'1';
end if;
if (addr_decoded(31) = '1') then
data_out <= "0000"&('0'¬(CONSOL_OPTION)¬(CONSOL_SELECT)¬(CONSOL_START) and (not consol_output_reg));
end if;
end process;
-- output
colour_out <= colour_reg;
vsync<=vsync_reg;
hsync<=hsync_reg;
blank<=hblank_reg or vsync_reg;
burst<=burst_reg;
odd_line<=odd_scanline_reg;
sound <= consol_output_reg(3);
end vhdl;
| gpl-3.0 | dbb09d7963f91a1b90aa2c6c4ee5dfbd | 0.641587 | 2.547029 | false | false | false | false |
ComputerArchitectureGroupPWr/SimulationCore | src/FeqDiv.vhd | 1 | 906 | library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
entity FeqDiv is
Generic( width : integer );
Port( clkIn : in STD_LOGIC;
clkOut : out STD_LOGIC);
end FeqDiv;
architecture Behavioral of FeqDiv is
signal inner : STD_LOGIC_VECTOR(1 to width);
attribute KEEP : string;
attribute KEEP of clkIn: signal is "TRUE";
attribute KEEP of clkOut: signal is "TRUE";
attribute KEEP of inner: signal is "TRUE";
begin
chain:
for D in 1 to width generate
begin
chainBegin: if D = 1 generate
begin
process(clkIn)
begin
if rising_edge(clkIn) then
inner(D) <= not inner(D);
end if;
end process;
end generate;
chainRest: if D /= 1 generate
begin
process(inner(D-1))
begin
if rising_edge(inner(D-1)) then
inner(D) <= not inner(D);
end if;
end process;
end generate;
end generate;
clkOut <= inner(width);
end Behavioral;
| mit | 5fca756d1a7623be7404a03ffadc62dc | 0.666667 | 3.02 | false | false | false | false |
benjmarshall/hls_scratchpad | hls_cmd_line_testing/hls_gui_proj/hls_sin_proj/solution1/syn/vhdl/fact.vhd | 4 | 19,741 | -- ==============================================================
-- RTL generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC
-- Version: 2017.1
-- Copyright (C) 1986-2017 Xilinx, Inc. All Rights Reserved.
--
-- ===========================================================
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity fact is
port (
ap_clk : IN STD_LOGIC;
ap_rst : IN STD_LOGIC;
ap_start : IN STD_LOGIC;
ap_done : OUT STD_LOGIC;
ap_idle : OUT STD_LOGIC;
ap_ready : OUT STD_LOGIC;
x : IN STD_LOGIC_VECTOR (4 downto 0);
ap_return : OUT STD_LOGIC_VECTOR (63 downto 0) );
end;
architecture behav of fact is
constant ap_const_logic_1 : STD_LOGIC := '1';
constant ap_const_logic_0 : STD_LOGIC := '0';
constant ap_ST_fsm_state1 : STD_LOGIC_VECTOR (7 downto 0) := "00000001";
constant ap_ST_fsm_pp0_stage0 : STD_LOGIC_VECTOR (7 downto 0) := "00000010";
constant ap_ST_fsm_pp0_stage1 : STD_LOGIC_VECTOR (7 downto 0) := "00000100";
constant ap_ST_fsm_pp0_stage2 : STD_LOGIC_VECTOR (7 downto 0) := "00001000";
constant ap_ST_fsm_pp0_stage3 : STD_LOGIC_VECTOR (7 downto 0) := "00010000";
constant ap_ST_fsm_pp0_stage4 : STD_LOGIC_VECTOR (7 downto 0) := "00100000";
constant ap_ST_fsm_pp0_stage5 : STD_LOGIC_VECTOR (7 downto 0) := "01000000";
constant ap_ST_fsm_state14 : STD_LOGIC_VECTOR (7 downto 0) := "10000000";
constant ap_const_boolean_1 : BOOLEAN := true;
constant ap_const_lv32_0 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000";
constant ap_const_lv32_1 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000001";
constant ap_const_boolean_0 : BOOLEAN := false;
constant ap_const_lv1_0 : STD_LOGIC_VECTOR (0 downto 0) := "0";
constant ap_const_lv32_6 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000110";
constant ap_const_lv1_1 : STD_LOGIC_VECTOR (0 downto 0) := "1";
constant ap_const_lv64_3FF0000000000000 : STD_LOGIC_VECTOR (63 downto 0) := "0011111111110000000000000000000000000000000000000000000000000000";
constant ap_const_lv6_1 : STD_LOGIC_VECTOR (5 downto 0) := "000001";
constant ap_const_lv32_7 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000111";
signal ap_CS_fsm : STD_LOGIC_VECTOR (7 downto 0) := "00000001";
attribute fsm_encoding : string;
attribute fsm_encoding of ap_CS_fsm : signal is "none";
signal ap_CS_fsm_state1 : STD_LOGIC;
attribute fsm_encoding of ap_CS_fsm_state1 : signal is "none";
signal result_int_reg_38 : STD_LOGIC_VECTOR (63 downto 0);
signal i_reg_50 : STD_LOGIC_VECTOR (5 downto 0);
signal tmp_3_fu_73_p2 : STD_LOGIC_VECTOR (5 downto 0);
signal tmp_3_reg_95 : STD_LOGIC_VECTOR (5 downto 0);
signal exitcond_fu_79_p2 : STD_LOGIC_VECTOR (0 downto 0);
signal exitcond_reg_100 : STD_LOGIC_VECTOR (0 downto 0);
signal ap_CS_fsm_pp0_stage0 : STD_LOGIC;
attribute fsm_encoding of ap_CS_fsm_pp0_stage0 : signal is "none";
signal ap_block_state2_pp0_stage0_iter0 : BOOLEAN;
signal ap_block_state8_pp0_stage0_iter1 : BOOLEAN;
signal ap_block_pp0_stage0_flag00011001 : BOOLEAN;
signal ap_reg_pp0_iter1_exitcond_reg_100 : STD_LOGIC_VECTOR (0 downto 0);
signal i_2_fu_89_p2 : STD_LOGIC_VECTOR (5 downto 0);
signal i_2_reg_109 : STD_LOGIC_VECTOR (5 downto 0);
signal ap_enable_reg_pp0_iter0 : STD_LOGIC := '0';
signal grp_fu_66_p1 : STD_LOGIC_VECTOR (63 downto 0);
signal tmp_s_reg_114 : STD_LOGIC_VECTOR (63 downto 0);
signal ap_CS_fsm_pp0_stage5 : STD_LOGIC;
attribute fsm_encoding of ap_CS_fsm_pp0_stage5 : signal is "none";
signal ap_block_state7_pp0_stage5_iter0 : BOOLEAN;
signal ap_block_state13_pp0_stage5_iter1 : BOOLEAN;
signal ap_block_pp0_stage5_flag00011001 : BOOLEAN;
signal grp_fu_61_p2 : STD_LOGIC_VECTOR (63 downto 0);
signal ap_enable_reg_pp0_iter1 : STD_LOGIC := '0';
signal ap_block_pp0_stage0_flag00011011 : BOOLEAN;
signal ap_condition_pp0_exit_iter0_state2 : STD_LOGIC;
signal ap_block_pp0_stage5_flag00011011 : BOOLEAN;
signal i_phi_fu_54_p4 : STD_LOGIC_VECTOR (5 downto 0);
signal ap_block_pp0_stage0_flag00000000 : BOOLEAN;
signal grp_fu_66_p0 : STD_LOGIC_VECTOR (31 downto 0);
signal tmp_cast_fu_69_p1 : STD_LOGIC_VECTOR (5 downto 0);
signal ap_CS_fsm_state14 : STD_LOGIC;
attribute fsm_encoding of ap_CS_fsm_state14 : signal is "none";
signal ap_NS_fsm : STD_LOGIC_VECTOR (7 downto 0);
signal ap_block_state3_pp0_stage1_iter0 : BOOLEAN;
signal ap_block_state9_pp0_stage1_iter1 : BOOLEAN;
signal ap_block_pp0_stage1_flag00011011 : BOOLEAN;
signal ap_block_state4_pp0_stage2_iter0 : BOOLEAN;
signal ap_block_state10_pp0_stage2_iter1 : BOOLEAN;
signal ap_block_pp0_stage2_flag00011011 : BOOLEAN;
signal ap_block_state5_pp0_stage3_iter0 : BOOLEAN;
signal ap_block_state11_pp0_stage3_iter1 : BOOLEAN;
signal ap_block_pp0_stage3_flag00011011 : BOOLEAN;
signal ap_block_state6_pp0_stage4_iter0 : BOOLEAN;
signal ap_block_state12_pp0_stage4_iter1 : BOOLEAN;
signal ap_block_pp0_stage4_flag00011011 : BOOLEAN;
signal ap_idle_pp0 : STD_LOGIC;
signal ap_enable_pp0 : STD_LOGIC;
component sin_taylor_seriesbkb IS
generic (
ID : INTEGER;
NUM_STAGE : INTEGER;
din0_WIDTH : INTEGER;
din1_WIDTH : INTEGER;
dout_WIDTH : INTEGER );
port (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
din0 : IN STD_LOGIC_VECTOR (63 downto 0);
din1 : IN STD_LOGIC_VECTOR (63 downto 0);
ce : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR (63 downto 0) );
end component;
component sin_taylor_seriescud IS
generic (
ID : INTEGER;
NUM_STAGE : INTEGER;
din0_WIDTH : INTEGER;
dout_WIDTH : INTEGER );
port (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
din0 : IN STD_LOGIC_VECTOR (31 downto 0);
ce : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR (63 downto 0) );
end component;
begin
sin_taylor_seriesbkb_x_U4 : component sin_taylor_seriesbkb
generic map (
ID => 1,
NUM_STAGE => 6,
din0_WIDTH => 64,
din1_WIDTH => 64,
dout_WIDTH => 64)
port map (
clk => ap_clk,
reset => ap_rst,
din0 => result_int_reg_38,
din1 => tmp_s_reg_114,
ce => ap_const_logic_1,
dout => grp_fu_61_p2);
sin_taylor_seriescud_U5 : component sin_taylor_seriescud
generic map (
ID => 1,
NUM_STAGE => 6,
din0_WIDTH => 32,
dout_WIDTH => 64)
port map (
clk => ap_clk,
reset => ap_rst,
din0 => grp_fu_66_p0,
ce => ap_const_logic_1,
dout => grp_fu_66_p1);
ap_CS_fsm_assign_proc : process(ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (ap_rst = '1') then
ap_CS_fsm <= ap_ST_fsm_state1;
else
ap_CS_fsm <= ap_NS_fsm;
end if;
end if;
end process;
ap_enable_reg_pp0_iter0_assign_proc : process(ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (ap_rst = '1') then
ap_enable_reg_pp0_iter0 <= ap_const_logic_0;
else
if (((ap_const_logic_1 = ap_CS_fsm_pp0_stage0) and (ap_block_pp0_stage0_flag00011011 = ap_const_boolean_0) and (ap_const_logic_1 = ap_condition_pp0_exit_iter0_state2))) then
ap_enable_reg_pp0_iter0 <= ap_const_logic_0;
elsif (((ap_const_logic_1 = ap_CS_fsm_state1) and (ap_start = ap_const_logic_1))) then
ap_enable_reg_pp0_iter0 <= ap_const_logic_1;
end if;
end if;
end if;
end process;
ap_enable_reg_pp0_iter1_assign_proc : process(ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (ap_rst = '1') then
ap_enable_reg_pp0_iter1 <= ap_const_logic_0;
else
if (((ap_const_logic_1 = ap_CS_fsm_pp0_stage5) and (ap_const_logic_1 = ap_condition_pp0_exit_iter0_state2) and (ap_block_pp0_stage5_flag00011011 = ap_const_boolean_0))) then
ap_enable_reg_pp0_iter1 <= (ap_condition_pp0_exit_iter0_state2 xor ap_const_logic_1);
elsif (((ap_const_logic_1 = ap_CS_fsm_pp0_stage5) and (ap_block_pp0_stage5_flag00011011 = ap_const_boolean_0))) then
ap_enable_reg_pp0_iter1 <= ap_enable_reg_pp0_iter0;
elsif (((ap_const_logic_1 = ap_CS_fsm_state1) and (ap_start = ap_const_logic_1))) then
ap_enable_reg_pp0_iter1 <= ap_const_logic_0;
end if;
end if;
end if;
end process;
i_reg_50_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_CS_fsm_pp0_stage0) and (ap_block_pp0_stage0_flag00011001 = ap_const_boolean_0) and (exitcond_reg_100 = ap_const_lv1_0) and (ap_const_logic_1 = ap_enable_reg_pp0_iter1))) then
i_reg_50 <= i_2_reg_109;
elsif (((ap_const_logic_1 = ap_CS_fsm_state1) and (ap_start = ap_const_logic_1))) then
i_reg_50 <= ap_const_lv6_1;
end if;
end if;
end process;
result_int_reg_38_assign_proc : process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_CS_fsm_pp0_stage5) and (ap_block_pp0_stage5_flag00011001 = ap_const_boolean_0) and (ap_const_logic_1 = ap_enable_reg_pp0_iter1) and (ap_reg_pp0_iter1_exitcond_reg_100 = ap_const_lv1_0))) then
result_int_reg_38 <= grp_fu_61_p2;
elsif (((ap_const_logic_1 = ap_CS_fsm_state1) and (ap_start = ap_const_logic_1))) then
result_int_reg_38 <= ap_const_lv64_3FF0000000000000;
end if;
end if;
end process;
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_CS_fsm_pp0_stage0) and (ap_block_pp0_stage0_flag00011001 = ap_const_boolean_0))) then
ap_reg_pp0_iter1_exitcond_reg_100 <= exitcond_reg_100;
exitcond_reg_100 <= exitcond_fu_79_p2;
end if;
end if;
end process;
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_CS_fsm_pp0_stage0) and (ap_block_pp0_stage0_flag00011001 = ap_const_boolean_0) and (exitcond_fu_79_p2 = ap_const_lv1_0) and (ap_const_logic_1 = ap_enable_reg_pp0_iter0))) then
i_2_reg_109 <= i_2_fu_89_p2;
end if;
end if;
end process;
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_CS_fsm_state1) and (ap_start = ap_const_logic_1))) then
tmp_3_reg_95 <= tmp_3_fu_73_p2;
end if;
end if;
end process;
process (ap_clk)
begin
if (ap_clk'event and ap_clk = '1') then
if (((ap_const_logic_1 = ap_CS_fsm_pp0_stage5) and (ap_block_pp0_stage5_flag00011001 = ap_const_boolean_0) and (exitcond_reg_100 = ap_const_lv1_0))) then
tmp_s_reg_114 <= grp_fu_66_p1;
end if;
end if;
end process;
ap_NS_fsm_assign_proc : process (ap_start, ap_CS_fsm, ap_CS_fsm_state1, exitcond_fu_79_p2, ap_enable_reg_pp0_iter0, ap_CS_fsm_pp0_stage5, ap_enable_reg_pp0_iter1, ap_block_pp0_stage0_flag00011011, ap_block_pp0_stage5_flag00011011, ap_block_pp0_stage1_flag00011011, ap_block_pp0_stage2_flag00011011, ap_block_pp0_stage3_flag00011011, ap_block_pp0_stage4_flag00011011)
begin
case ap_CS_fsm is
when ap_ST_fsm_state1 =>
if (((ap_const_logic_1 = ap_CS_fsm_state1) and (ap_start = ap_const_logic_1))) then
ap_NS_fsm <= ap_ST_fsm_pp0_stage0;
else
ap_NS_fsm <= ap_ST_fsm_state1;
end if;
when ap_ST_fsm_pp0_stage0 =>
if (((ap_block_pp0_stage0_flag00011011 = ap_const_boolean_0) and not(((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_block_pp0_stage0_flag00011011 = ap_const_boolean_0) and (exitcond_fu_79_p2 = ap_const_lv1_1) and (ap_enable_reg_pp0_iter1 = ap_const_logic_0))))) then
ap_NS_fsm <= ap_ST_fsm_pp0_stage1;
elsif (((ap_const_logic_1 = ap_enable_reg_pp0_iter0) and (ap_block_pp0_stage0_flag00011011 = ap_const_boolean_0) and (exitcond_fu_79_p2 = ap_const_lv1_1) and (ap_enable_reg_pp0_iter1 = ap_const_logic_0))) then
ap_NS_fsm <= ap_ST_fsm_state14;
else
ap_NS_fsm <= ap_ST_fsm_pp0_stage0;
end if;
when ap_ST_fsm_pp0_stage1 =>
if ((ap_block_pp0_stage1_flag00011011 = ap_const_boolean_0)) then
ap_NS_fsm <= ap_ST_fsm_pp0_stage2;
else
ap_NS_fsm <= ap_ST_fsm_pp0_stage1;
end if;
when ap_ST_fsm_pp0_stage2 =>
if ((ap_block_pp0_stage2_flag00011011 = ap_const_boolean_0)) then
ap_NS_fsm <= ap_ST_fsm_pp0_stage3;
else
ap_NS_fsm <= ap_ST_fsm_pp0_stage2;
end if;
when ap_ST_fsm_pp0_stage3 =>
if ((ap_block_pp0_stage3_flag00011011 = ap_const_boolean_0)) then
ap_NS_fsm <= ap_ST_fsm_pp0_stage4;
else
ap_NS_fsm <= ap_ST_fsm_pp0_stage3;
end if;
when ap_ST_fsm_pp0_stage4 =>
if ((ap_block_pp0_stage4_flag00011011 = ap_const_boolean_0)) then
ap_NS_fsm <= ap_ST_fsm_pp0_stage5;
else
ap_NS_fsm <= ap_ST_fsm_pp0_stage4;
end if;
when ap_ST_fsm_pp0_stage5 =>
if (((ap_block_pp0_stage5_flag00011011 = ap_const_boolean_0) and not(((ap_const_logic_1 = ap_CS_fsm_pp0_stage5) and (ap_const_logic_1 = ap_enable_reg_pp0_iter1) and (ap_block_pp0_stage5_flag00011011 = ap_const_boolean_0) and (ap_enable_reg_pp0_iter0 = ap_const_logic_0))))) then
ap_NS_fsm <= ap_ST_fsm_pp0_stage0;
elsif (((ap_const_logic_1 = ap_CS_fsm_pp0_stage5) and (ap_const_logic_1 = ap_enable_reg_pp0_iter1) and (ap_block_pp0_stage5_flag00011011 = ap_const_boolean_0) and (ap_enable_reg_pp0_iter0 = ap_const_logic_0))) then
ap_NS_fsm <= ap_ST_fsm_state14;
else
ap_NS_fsm <= ap_ST_fsm_pp0_stage5;
end if;
when ap_ST_fsm_state14 =>
ap_NS_fsm <= ap_ST_fsm_state1;
when others =>
ap_NS_fsm <= "XXXXXXXX";
end case;
end process;
ap_CS_fsm_pp0_stage0 <= ap_CS_fsm(1);
ap_CS_fsm_pp0_stage5 <= ap_CS_fsm(6);
ap_CS_fsm_state1 <= ap_CS_fsm(0);
ap_CS_fsm_state14 <= ap_CS_fsm(7);
ap_block_pp0_stage0_flag00000000 <= not((ap_const_boolean_1 = ap_const_boolean_1));
ap_block_pp0_stage0_flag00011001 <= not((ap_const_boolean_1 = ap_const_boolean_1));
ap_block_pp0_stage0_flag00011011 <= not((ap_const_boolean_1 = ap_const_boolean_1));
ap_block_pp0_stage1_flag00011011 <= not((ap_const_boolean_1 = ap_const_boolean_1));
ap_block_pp0_stage2_flag00011011 <= not((ap_const_boolean_1 = ap_const_boolean_1));
ap_block_pp0_stage3_flag00011011 <= not((ap_const_boolean_1 = ap_const_boolean_1));
ap_block_pp0_stage4_flag00011011 <= not((ap_const_boolean_1 = ap_const_boolean_1));
ap_block_pp0_stage5_flag00011001 <= not((ap_const_boolean_1 = ap_const_boolean_1));
ap_block_pp0_stage5_flag00011011 <= not((ap_const_boolean_1 = ap_const_boolean_1));
ap_block_state10_pp0_stage2_iter1 <= not((ap_const_boolean_1 = ap_const_boolean_1));
ap_block_state11_pp0_stage3_iter1 <= not((ap_const_boolean_1 = ap_const_boolean_1));
ap_block_state12_pp0_stage4_iter1 <= not((ap_const_boolean_1 = ap_const_boolean_1));
ap_block_state13_pp0_stage5_iter1 <= not((ap_const_boolean_1 = ap_const_boolean_1));
ap_block_state2_pp0_stage0_iter0 <= not((ap_const_boolean_1 = ap_const_boolean_1));
ap_block_state3_pp0_stage1_iter0 <= not((ap_const_boolean_1 = ap_const_boolean_1));
ap_block_state4_pp0_stage2_iter0 <= not((ap_const_boolean_1 = ap_const_boolean_1));
ap_block_state5_pp0_stage3_iter0 <= not((ap_const_boolean_1 = ap_const_boolean_1));
ap_block_state6_pp0_stage4_iter0 <= not((ap_const_boolean_1 = ap_const_boolean_1));
ap_block_state7_pp0_stage5_iter0 <= not((ap_const_boolean_1 = ap_const_boolean_1));
ap_block_state8_pp0_stage0_iter1 <= not((ap_const_boolean_1 = ap_const_boolean_1));
ap_block_state9_pp0_stage1_iter1 <= not((ap_const_boolean_1 = ap_const_boolean_1));
ap_condition_pp0_exit_iter0_state2_assign_proc : process(exitcond_fu_79_p2)
begin
if ((exitcond_fu_79_p2 = ap_const_lv1_1)) then
ap_condition_pp0_exit_iter0_state2 <= ap_const_logic_1;
else
ap_condition_pp0_exit_iter0_state2 <= ap_const_logic_0;
end if;
end process;
ap_done_assign_proc : process(ap_start, ap_CS_fsm_state1, ap_CS_fsm_state14)
begin
if ((((ap_const_logic_0 = ap_start) and (ap_const_logic_1 = ap_CS_fsm_state1)) or (ap_const_logic_1 = ap_CS_fsm_state14))) then
ap_done <= ap_const_logic_1;
else
ap_done <= ap_const_logic_0;
end if;
end process;
ap_enable_pp0 <= (ap_idle_pp0 xor ap_const_logic_1);
ap_idle_assign_proc : process(ap_start, ap_CS_fsm_state1)
begin
if (((ap_const_logic_0 = ap_start) and (ap_const_logic_1 = ap_CS_fsm_state1))) then
ap_idle <= ap_const_logic_1;
else
ap_idle <= ap_const_logic_0;
end if;
end process;
ap_idle_pp0_assign_proc : process(ap_enable_reg_pp0_iter0, ap_enable_reg_pp0_iter1)
begin
if (((ap_const_logic_0 = ap_enable_reg_pp0_iter0) and (ap_const_logic_0 = ap_enable_reg_pp0_iter1))) then
ap_idle_pp0 <= ap_const_logic_1;
else
ap_idle_pp0 <= ap_const_logic_0;
end if;
end process;
ap_ready_assign_proc : process(ap_CS_fsm_state14)
begin
if ((ap_const_logic_1 = ap_CS_fsm_state14)) then
ap_ready <= ap_const_logic_1;
else
ap_ready <= ap_const_logic_0;
end if;
end process;
ap_return <= result_int_reg_38;
exitcond_fu_79_p2 <= "1" when (i_phi_fu_54_p4 = tmp_3_reg_95) else "0";
grp_fu_66_p0 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(i_phi_fu_54_p4),32));
i_2_fu_89_p2 <= std_logic_vector(unsigned(i_phi_fu_54_p4) + unsigned(ap_const_lv6_1));
i_phi_fu_54_p4_assign_proc : process(i_reg_50, exitcond_reg_100, ap_CS_fsm_pp0_stage0, i_2_reg_109, ap_enable_reg_pp0_iter1, ap_block_pp0_stage0_flag00000000)
begin
if (((ap_const_logic_1 = ap_CS_fsm_pp0_stage0) and (exitcond_reg_100 = ap_const_lv1_0) and (ap_const_logic_1 = ap_enable_reg_pp0_iter1) and (ap_block_pp0_stage0_flag00000000 = ap_const_boolean_0))) then
i_phi_fu_54_p4 <= i_2_reg_109;
else
i_phi_fu_54_p4 <= i_reg_50;
end if;
end process;
tmp_3_fu_73_p2 <= std_logic_vector(unsigned(tmp_cast_fu_69_p1) + unsigned(ap_const_lv6_1));
tmp_cast_fu_69_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(x),6));
end behav;
| mit | afcc410224994b9ed3667389c84472e2 | 0.592067 | 2.95701 | false | false | false | false |
ILoveSpeccy/Aeon-Lite | cores/atari800xl/src/a8core/atari800core_simple_sdram.vhd | 1 | 11,083 | ---------------------------------------------------------------------------
-- (c) 2013 mark watson
-- I am happy for anyone to use this for non-commercial use.
-- If my vhdl files are used commercially or otherwise sold,
-- please contact me for explicit permission at scrameta (gmail).
-- This applies for source and binary form and derived works.
---------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.all;
use IEEE.STD_LOGIC_MISC.all;
use ieee.numeric_std.all;
LIBRARY work;
-- Simple version that:
-- i) needs: CLK(58 or 28MHZ) SDRAM,joystick,keyboard
-- ii) provides: VIDEO,AUDIO
-- iii) passes upstream: DMA port, for attaching ZPU for SDCARD/drive emulation
-- THIS SHOULD DO FOR ALL PLATFORMS EXCEPT THOSE USING GPIO FOR PBI etc
ENTITY atari800core_simple_sdram is
GENERIC
(
-- use CLK of 1.79*cycle_length
-- I've tested 16 and 32 only, but 4 and 8 might work...
cycle_length : integer := 16; -- or 32...
-- how many bits for video
video_bits : integer := 8;
palette : integer :=1; -- 0:gtia colour on VIDEO_B, 1:altirra, 2:laoo
-- For initial port may help to have no
internal_rom : integer := 1; -- if 0 expects it in sdram,is 1:16k os+basic, is 2:... TODO
internal_ram : integer := 16384 -- at start of memory map
);
PORT
(
CLK : IN STD_LOGIC; -- cycle_length*1.79MHz
RESET_N : IN STD_LOGIC;
-- VIDEO OUT - PAL/NTSC, original Atari timings approx (may be higher res)
VIDEO_VS : OUT STD_LOGIC;
VIDEO_HS : OUT STD_LOGIC;
VIDEO_B : OUT STD_LOGIC_VECTOR(video_bits-1 DOWNTO 0);
VIDEO_G : OUT STD_LOGIC_VECTOR(video_bits-1 DOWNTO 0);
VIDEO_R : OUT STD_LOGIC_VECTOR(video_bits-1 DOWNTO 0);
-- These ones are probably only needed for e.g. svideo
VIDEO_BLANK : out std_logic;
VIDEO_BURST : out std_logic;
VIDEO_START_OF_FIELD : out std_logic;
VIDEO_ODD_LINE : out std_logic;
-- AUDIO OUT - Pokey/GTIA 1-bit and Covox all mixed
-- TODO - choose stereo/mono pokey
AUDIO_L : OUT std_logic_vector(15 downto 0);
AUDIO_R : OUT std_logic_vector(15 downto 0);
-- JOYSTICK
JOY1_n : IN std_logic_vector(4 downto 0); -- FRLDU, 0=pressed
JOY2_n : IN std_logic_vector(4 downto 0); -- FRLDU, 0=pressed
-- Pokey keyboard matrix
-- Standard component available to connect this to PS2
KEYBOARD_RESPONSE : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
KEYBOARD_SCAN : OUT STD_LOGIC_VECTOR(5 DOWNTO 0);
-- SIO
SIO_COMMAND : out std_logic;
SIO_RXD : in std_logic;
SIO_TXD : out std_logic;
-- GTIA consol
CONSOL_OPTION : IN STD_LOGIC;
CONSOL_SELECT : IN STD_LOGIC;
CONSOL_START : IN STD_LOGIC;
-----------------------
-- After here all FPGA implementation specific
-- e.g. need to write up RAM/ROM
-- we can dma from memory space
-- etc.
-- External RAM/ROM - adhere to standard memory map
-- TODO - lower/upper memory split defined by generic
-- (TODO SRAM lower ram, SDRAM upper ram - no overlap?)
---- SDRAM memory map (8MB) (lower 512k if USE_SDRAM=1)
---- base 64k RAM - banks 0-3 "000 0000 1111 1111 1111 1111" (TOP)
---- to 512k RAM - banks 4-31 "000 0111 1111 1111 1111 1111" (TOP)
---- to 4MB RAM - banks 32-255 "011 1111 1111 1111 1111 1111" (TOP)
---- +64k - banks 256-259"100 0000 0000 1111 1111 1111" (TOP)
---- SCRATCH - 4MB+64k-5MB
---- CARTS - "101 YYYY YYY0 0000 0000 0000" (BOT) - 2MB! 8kb banks
--SDRAM_CART_ADDR <= "101"&cart_select& "0000000000000";
---- BASIC/OS ROM - "111 XXXX XX00 0000 0000 0000" (BOT) (BASIC IN SLOT 0!), 2nd to last 512K
--SDRAM_BASIC_ROM_ADDR <= "111"&"000000" &"00000000000000";
--SDRAM_OS_ROM_ADDR <= "111"&rom_select &"00000000000000";
---- SYSTEM - "111 1000 0000 0000 0000 0000" (BOT) - LAST 512K
-- TODO - review if we need to pass out so many of these
-- Perhaps we can simplify address decoder and have an external layer?
SDRAM_REQUEST : OUT std_logic;
SDRAM_REQUEST_COMPLETE : IN std_logic;
SDRAM_READ_ENABLE : out STD_LOGIC;
SDRAM_WRITE_ENABLE : out std_logic;
SDRAM_ADDR : out STD_LOGIC_VECTOR(22 DOWNTO 0);
SDRAM_DO : in STD_LOGIC_VECTOR(31 DOWNTO 0);
SDRAM_DI : out STD_LOGIC_VECTOR(31 DOWNTO 0);
SDRAM_32BIT_WRITE_ENABLE : out std_logic;
SDRAM_16BIT_WRITE_ENABLE : out std_logic;
SDRAM_8BIT_WRITE_ENABLE : out std_logic;
SDRAM_REFRESH : out std_logic;
-- DMA memory map differs
-- e.g. some special addresses to read behind hardware registers
-- 0x0000-0xffff: Atari registers + 3 mirrors (bit 16/17)
-- 23 downto 21:
-- 001 : SRAM,512k
-- 010|011 : ROM, 4MB
-- 10xx : SDRAM, 8MB (If you have more, its unmapped for now... Can bank switch! Atari can't access this much anyway...)
DMA_FETCH : in STD_LOGIC; -- we want to read/write
DMA_READ_ENABLE : in std_logic;
DMA_32BIT_WRITE_ENABLE : in std_logic;
DMA_16BIT_WRITE_ENABLE : in std_logic;
DMA_8BIT_WRITE_ENABLE : in std_logic;
DMA_ADDR : in std_logic_vector(23 downto 0);
DMA_WRITE_DATA : in std_logic_vector(31 downto 0);
MEMORY_READY_DMA : out std_logic; -- op complete
DMA_MEMORY_DATA : out std_logic_vector(31 downto 0);
-- Special config params
RAM_SELECT : in std_logic_vector(2 downto 0); -- 64K,128K,320KB Compy, 320KB Rambo, 576K Compy, 576K Rambo, 1088K, 4MB
ROM_SELECT : in std_logic_vector(5 downto 0); -- 16KB ROM Bank - 0 is illegal (slot used for BASIC!)
PAL : in STD_LOGIC;
HALT : in std_logic;
THROTTLE_COUNT_6502 : in std_logic_vector(5 downto 0) -- standard speed is cycle_length-1
);
end atari800core_simple_sdram;
ARCHITECTURE vhdl OF atari800core_simple_sdram IS
-- PIA
SIGNAL CA1_IN : STD_LOGIC;
SIGNAL CB1_IN: STD_LOGIC;
SIGNAL CA2_OUT : STD_LOGIC;
SIGNAL CA2_DIR_OUT: STD_LOGIC;
SIGNAL CB2_OUT : STD_LOGIC;
SIGNAL CB2_DIR_OUT: STD_LOGIC;
SIGNAL CA2_IN: STD_LOGIC;
SIGNAL CB2_IN: STD_LOGIC;
SIGNAL PORTA_IN : STD_LOGIC_VECTOR(7 DOWNTO 0);
SIGNAL PORTA_OUT : STD_LOGIC_VECTOR(7 DOWNTO 0);
SIGNAL PORTA_DIR_OUT : STD_LOGIC_VECTOR(7 DOWNTO 0);
SIGNAL PORTB_IN : STD_LOGIC_VECTOR(7 DOWNTO 0);
SIGNAL PORTB_OUT : STD_LOGIC_VECTOR(7 DOWNTO 0);
--SIGNAL PORTB_DIR_OUT : STD_LOGIC_VECTOR(7 DOWNTO 0);
-- GTIA
signal GTIA_TRIG : std_logic_vector(3 downto 0);
-- ANTIC
signal ANTIC_LIGHTPEN : std_logic;
-- CARTRIDGE ACCESS
SIGNAL CART_RD4 : STD_LOGIC;
SIGNAL CART_RD5 : STD_LOGIC;
-- PBI
SIGNAL PBI_WRITE_DATA : std_logic_vector(31 downto 0);
-- INTERNAL ROM/RAM
SIGNAL RAM_ADDR : STD_LOGIC_VECTOR(18 DOWNTO 0);
SIGNAL RAM_DO : STD_LOGIC_VECTOR(15 DOWNTO 0);
SIGNAL RAM_REQUEST : STD_LOGIC;
SIGNAL RAM_REQUEST_COMPLETE : STD_LOGIC;
SIGNAL RAM_WRITE_ENABLE : STD_LOGIC;
SIGNAL ROM_ADDR : STD_LOGIC_VECTOR(21 DOWNTO 0);
SIGNAL ROM_DO : STD_LOGIC_VECTOR(7 DOWNTO 0);
SIGNAL ROM_REQUEST : STD_LOGIC;
SIGNAL ROM_REQUEST_COMPLETE : STD_LOGIC;
-- CONFIG
SIGNAL USE_SDRAM : STD_LOGIC;
SIGNAL ROM_IN_RAM : STD_LOGIC;
BEGIN
-- PIA mapping
CA1_IN <= '1';
CB1_IN <= '1';
CA2_IN <= CA2_OUT when CA2_DIR_OUT='1' else '1';
CB2_IN <= CB2_OUT when CB2_DIR_OUT='1' else '1';
SIO_COMMAND <= CB2_OUT;
PORTA_IN <= ((JOY2_n(3)&JOY2_n(2)&JOY2_n(1)&JOY2_n(0)&JOY1_n(3)&JOY1_n(2)&JOY1_n(1)&JOY1_n(0)) and not (porta_dir_out)) or (porta_dir_out and porta_out);
PORTB_IN <= PORTB_OUT;
-- ANTIC lightpen
ANTIC_LIGHTPEN <= JOY2_n(4) and JOY1_n(4);
-- GTIA triggers
GTIA_TRIG <= CART_RD5&"1"&JOY2_n(4)&JOY1_n(4);
-- Cartridge not inserted
CART_RD4 <= '0';
CART_RD5 <= '0';
-- Since we're not exposing PBI, expose a few key parts needed for SDRAM
SDRAM_DI <= PBI_WRITE_DATA;
-- Internal rom/ram
internalromram1 : entity work.internalromram
GENERIC MAP
(
internal_rom => internal_rom,
internal_ram => internal_ram
)
PORT MAP (
clock => CLK,
reset_n => RESET_N,
ROM_ADDR => ROM_ADDR,
ROM_REQUEST_COMPLETE => ROM_REQUEST_COMPLETE,
ROM_REQUEST => ROM_REQUEST,
ROM_DATA => ROM_DO,
RAM_ADDR => RAM_ADDR,
RAM_WR_ENABLE => RAM_WRITE_ENABLE,
RAM_DATA_IN => PBI_WRITE_DATA(7 downto 0),
RAM_REQUEST_COMPLETE => RAM_REQUEST_COMPLETE,
RAM_REQUEST => RAM_REQUEST,
RAM_DATA => RAM_DO(7 downto 0)
);
USE_SDRAM <= '1' when internal_ram=0 else '0';
ROM_IN_RAM <= '1' when internal_rom=0 else '0';
atari800xl : entity work.atari800core
GENERIC MAP
(
cycle_length => cycle_length,
video_bits => video_bits,
palette => palette
)
PORT MAP
(
CLK => CLK,
RESET_N => RESET_N,
VIDEO_VS => VIDEO_VS,
VIDEO_HS => VIDEO_HS,
VIDEO_B => VIDEO_B,
VIDEO_G => VIDEO_G,
VIDEO_R => VIDEO_R,
VIDEO_BLANK => VIDEO_BLANK,
VIDEO_BURST => VIDEO_BURST,
VIDEO_START_OF_FIELD => VIDEO_START_OF_FIELD,
VIDEO_ODD_LINE => VIDEO_ODD_LINE,
AUDIO_L => AUDIO_L,
AUDIO_R => AUDIO_R,
CA1_IN => CA1_IN,
CB1_IN => CB1_IN,
CA2_IN => CA2_IN,
CA2_OUT => CA2_OUT,
CA2_DIR_OUT => CA2_DIR_OUT,
CB2_IN => CB2_IN,
CB2_OUT => CB2_OUT,
CB2_DIR_OUT => CB2_DIR_OUT,
PORTA_IN => PORTA_IN,
PORTA_DIR_OUT => PORTA_DIR_OUT,
PORTA_OUT => PORTA_OUT,
PORTB_IN => PORTB_IN,
PORTB_DIR_OUT => open,--PORTB_DIR_OUT,
PORTB_OUT => PORTB_OUT,
KEYBOARD_RESPONSE => KEYBOARD_RESPONSE,
KEYBOARD_SCAN => KEYBOARD_SCAN,
POT_IN => "00000000",
POT_RESET => open,
-- PBI
PBI_ADDR => open,
PBI_WRITE_ENABLE => open,
PBI_SNOOP_DATA => DMA_MEMORY_DATA,
PBI_WRITE_DATA => PBI_WRITE_DATA,
PBI_WIDTH_8bit_ACCESS => SDRAM_8BIT_WRITE_ENABLE,
PBI_WIDTH_16bit_ACCESS => SDRAM_16BIT_WRITE_ENABLE,
PBI_WIDTH_32bit_ACCESS => SDRAM_32BIT_WRITE_ENABLE,
PBI_ROM_DO => "11111111",
PBI_REQUEST => open,
PBI_REQUEST_COMPLETE => '1',
CART_RD4 => CART_RD4,
CART_RD5 => CART_RD5,
CART_S4_n => open,
CART_S5_N => open,
CART_CCTL_N => open,
SIO_RXD => SIO_RXD,
SIO_TXD => SIO_TXD,
CONSOL_OPTION => CONSOL_OPTION,
CONSOL_SELECT => CONSOL_SELECT,
CONSOL_START=> CONSOL_START,
GTIA_TRIG => GTIA_TRIG,
ANTIC_LIGHTPEN => ANTIC_LIGHTPEN,
ANTIC_REFRESH => SDRAM_REFRESH,
SDRAM_REQUEST => SDRAM_REQUEST,
SDRAM_REQUEST_COMPLETE => SDRAM_REQUEST_COMPLETE,
SDRAM_READ_ENABLE => SDRAM_READ_ENABLE,
SDRAM_WRITE_ENABLE => SDRAM_WRITE_ENABLE,
SDRAM_ADDR => SDRAM_ADDR,
SDRAM_DO => SDRAM_DO,
RAM_ADDR => RAM_ADDR,
RAM_DO => RAM_DO,
RAM_REQUEST => RAM_REQUEST,
RAM_REQUEST_COMPLETE => RAM_REQUEST_COMPLETE,
RAM_WRITE_ENABLE => RAM_WRITE_ENABLE,
ROM_ADDR => ROM_ADDR,
ROM_DO => ROM_DO,
ROM_REQUEST => ROM_REQUEST,
ROM_REQUEST_COMPLETE => ROM_REQUEST_COMPLETE,
DMA_FETCH => DMA_FETCH,
DMA_READ_ENABLE => DMA_READ_ENABLE,
DMA_32BIT_WRITE_ENABLE => DMA_32BIT_WRITE_ENABLE,
DMA_16BIT_WRITE_ENABLE => DMA_16BIT_WRITE_ENABLE,
DMA_8BIT_WRITE_ENABLE => DMA_8BIT_WRITE_ENABLE,
DMA_ADDR => DMA_ADDR,
DMA_WRITE_DATA => DMA_WRITE_DATA,
MEMORY_READY_DMA => MEMORY_READY_DMA,
RAM_SELECT => RAM_SELECT,
ROM_SELECT => ROM_SELECT,
CART_EMULATION_SELECT => "0000000",
CART_EMULATION_ACTIVATE => '0',
PAL => PAL,
USE_SDRAM => USE_SDRAM,
ROM_IN_RAM => ROM_IN_RAM,
THROTTLE_COUNT_6502 => THROTTLE_COUNT_6502,
HALT => HALT
);
end vhdl;
| gpl-3.0 | 7eece019c6e3c5203ce5a65fe3906ee0 | 0.649192 | 2.790282 | false | false | false | false |
ILoveSpeccy/Aeon-Lite | cores/lvov-pk01/src/keyboard/keyboard.vhd | 1 | 10,537 | library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity keyboard is
port(
CLK : in std_logic;
RESET : in std_logic;
PS2_CLK : in std_logic;
PS2_DATA : in std_logic;
CONTROL : out std_logic_vector(7 downto 0);
KEYB_A : in std_logic_vector(7 downto 0);
KEYB_A2 : in std_logic_vector(3 downto 0);
KEYB_D : out std_logic_vector(7 downto 0);
KEYB_D2 : out std_logic_vector(3 downto 0));
end keyboard;
architecture Behavioral of keyboard is
signal CODE : std_logic_vector(7 downto 0);
signal DONE : std_logic;
signal ERROR : std_logic;
signal KEY_REL : std_logic;
signal KEY_EXT : std_logic;
type Matrix_Image is array (natural range <>) of std_logic_vector(7 downto 0);
signal Matrix : Matrix_Image(0 to 7);
type Matrix2_Image is array (natural range <>) of std_logic_vector(3 downto 0);
signal Matrix2 : Matrix2_Image(0 to 3);
begin
u_PS2 : entity work.ps2
port map(
CLK => CLK,
RESET => not RESET,
PS2_CLK => PS2_CLK,
PS2_DATA => PS2_DATA,
CODE => CODE,
DONE => DONE,
ERROR => ERROR );
DECODER : process(CLK)
variable KEY : std_logic_vector(10 downto 0);
variable KEY2 : std_logic_vector(5 downto 0);
begin
if rising_edge(CLK) then
if RESET = '0' then
Matrix <= (others => (others => '0'));
KEY_REL <= '0';
KEY_EXT <= '0';
CONTROL <= "00000000";
else
CONTROL <= "00000000";
if DONE = '1' then -- ScanCode Readed
if CODE = X"F0" then -- Key Released
KEY_REL <= '1';
elsif CODE = X"E0" then -- Extended Key
KEY_EXT <= '1';
else
KEY := (others => '0');
KEY2 := (others => '0');
case CODE is
when x"1C" => KEY := "11000010000"; -- A
when x"32" => KEY := "01100000010"; -- B
when x"21" => KEY := "10110000000"; -- C
when x"23" => KEY := "01010000000"; -- D
when x"24" => KEY := "10100010000"; -- E
when x"2B" => KEY := "11010000000"; -- F
when x"34" => KEY := "00100000001"; -- G
when x"33" => KEY := "00101000000"; -- H
when x"43" => KEY := "11100100000"; -- I
when x"3B" => KEY := "10100000100"; -- J
when x"42" => KEY := "10100100000"; -- K
when x"4B" => KEY := "01000000100"; -- L
when x"3A" => KEY := "11101000000"; -- M
when x"31" => KEY := "10100001000"; -- N
when x"44" => KEY := "01000000010"; -- O
when x"4D" => KEY := "11000001000"; -- P
when x"15" => KEY := "11100000010"; -- Q
when x"2D" => KEY := "01000000001"; -- R
when x"1B" => KEY := "11110000000"; -- S
when x"2C" => KEY := "11100010000"; -- T
when x"3C" => KEY := "10101000000"; -- U
when x"2A" => KEY := "01001000000"; -- V
when x"1D" => KEY := "11000100000"; -- W
when x"22" => KEY := "11100001000"; -- X
when x"35" => KEY := "11001000000"; -- Y
when x"1A" => KEY := "00110000000"; -- Z
when x"16" => KEY := "10010000000"; -- 1
when x"1E" => KEY := "10001000000"; -- 2
when x"26" => KEY := "10000100000"; -- 3
when x"25" => KEY := "10000010000"; -- 4
when x"2E" => KEY := "10000001000"; -- 5
when x"36" => KEY := "00000000001"; -- 6
when x"3D" => KEY := "00000000010"; -- 7
when x"3E" => KEY := "00000000100"; -- 8
when x"46" => KEY := "00010000000"; -- 9
when x"45" => KEY := "00001000000"; -- 0
when x"29" => KEY := "01100000001"; -- SPACE
when x"66" => KEY := "01000001000"; -- PACKSPACE | ZB
when x"5A" => KEY := "00100001000"; -- ENTER | WK
when x"58" => KEY := "11000000100"; -- CAPS LOCK | SU
when x"12" => KEY := "11100000001"; -- LEFT SHIFT | NR
when x"59" => KEY := "01100001000"; -- RIGHT SHIFT | WR
when x"0D" => KEY := "00000010000"; -- TAB
when x"41" => KEY := "01110000000"; -- ,
when x"49" => KEY := "01000010000"; -- .
when x"4E" => KEY := "00000100000"; -- -
when x"5D" => KEY := "01000100000"; -- \
when x"55" => KEY := "11100000100"; -- = | ^
when x"0E" => KEY := "00100100000"; -- ' | :
when x"54" => KEY := "00100000010"; -- [
-- when x"76" => KEY := "10000000001"; -- | STR
-- when x"76" => KEY := "10000000001"; -- | @
when x"5B" => KEY := "00100000100"; -- ]
when x"4C" => KEY := "11000000001"; -- ;
when x"4A" => KEY := "01101000000"; -- /
when x"0B" => KEY := "10000000010"; -- F6 | [G]
when x"83" => KEY := "10000000100"; -- F7 | [B]
when x"11" =>
if KEY_EXT = '1' then
KEY := "00100010000"; -- RIGHT ALT | PS
else
KEY := "00000001000"; -- LEFT ALT | GT
end if;
when x"14" =>
if KEY_EXT = '1' then
KEY := "01100100000"; -- RIGHT CTRL | LAT
else
KEY := "11000000010"; -- LEFT CTRL | RUS
end if;
when x"76" => KEY2 := "010010"; -- ESC | F0
when x"05" => KEY2 := "010100"; -- F1
when x"06" => KEY2 := "011000"; -- F2
when x"04" => KEY2 := "101000"; -- F3
when x"0C" => KEY2 := "100100"; -- F4
when x"03" => KEY2 := "100010"; -- F5
when x"0A" => KEY2 := "001000"; -- F8 | [R]
when x"01" => KEY2 := "000100"; -- F9 | DIN
when x"09" => KEY2 := "000010"; -- F10 | CD
when x"78" => KEY2 := "000001"; -- F11 | P4
when x"07" => KEY2 := "010001"; -- F12 | P/D
when x"74" =>
if KEY_EXT = '1' then
KEY2 := "110001"; -- RIGHT
end if;
when x"75" =>
if KEY_EXT = '1' then
KEY2 := "110010"; -- UP
end if;
when x"6B" =>
if KEY_EXT = '1' then
KEY2 := "110100"; -- LEFT
end if;
when x"72" =>
if KEY_EXT = '1' then
KEY2 := "111000"; -- DOWN
end if;
when x"71" =>
if KEY_EXT = '1' then
KEY2 := "100001"; -- DEL | DIA
end if;
when x"7e" =>
if KEY_REL = '0' then
CONTROL(0) <= '1';
end if;
when OTHERS => NULL;
end case;
if KEY_REL = '0' then
Matrix(to_integer(unsigned(KEY(10 downto 8)))) <=
Matrix(to_integer(unsigned(KEY(10 downto 8)))) or
std_logic_vector(unsigned(KEY(7 downto 0)));
Matrix2(to_integer(unsigned(KEY2(5 downto 4)))) <=
Matrix2(to_integer(unsigned(KEY2(5 downto 4)))) or
std_logic_vector(unsigned(KEY2(3 downto 0)));
else
Matrix(to_integer(unsigned(KEY(10 downto 8)))) <=
Matrix(to_integer(unsigned(KEY(10 downto 8)))) and
std_logic_vector(not unsigned(KEY(7 downto 0)));
Matrix2(to_integer(unsigned(KEY2(5 downto 4)))) <=
Matrix2(to_integer(unsigned(KEY2(5 downto 4)))) and
std_logic_vector(not unsigned(KEY2(3 downto 0)));
end if;
KEY_REL <= '0';
KEY_EXT <= '0';
end if;
end if;
end if;
end if;
end process;
KEYB_D <= not Matrix(0) when KEYB_A(0) = '0' else
not Matrix(1) when KEYB_A(1) = '0' else
not Matrix(2) when KEYB_A(2) = '0' else
not Matrix(3) when KEYB_A(3) = '0' else
not Matrix(4) when KEYB_A(4) = '0' else
not Matrix(5) when KEYB_A(5) = '0' else
not Matrix(6) when KEYB_A(6) = '0' else
not Matrix(7) when KEYB_A(7) = '0' else
"11111111";
KEYB_D2<= not Matrix2(0) when KEYB_A2(0) = '0' else
not Matrix2(1) when KEYB_A2(1) = '0' else
not Matrix2(2) when KEYB_A2(2) = '0' else
not Matrix2(3) when KEYB_A2(3) = '0' else
"1111";
end Behavioral;
| gpl-3.0 | ba610a095769e2f6aefd031cf398350a | 0.360919 | 4.148425 | false | false | false | false |
benjmarshall/hls_scratchpad | hls_cmd_line_testing/hls_gui_proj/hls_sin_proj/solution1/.autopilot/db/ip_tmp/prjsrcs/sources_1/ip/sin_taylor_series_ap_sitodp_4_no_dsp_32/hdl/xbip_dsp48_multadd_v3_0_vh_rfs.vhd | 20 | 80,739 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2015"
`protect key_keyowner = "Cadence Design Systems.", key_keyname = "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
p8dF6db09p9oWm0lH/FzIYezvpS/HJnbKSgl5+PijtFHcZ7Ssq8w7noU0FlQ42fLxw1YKWGl+40U
b42uF+Colw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname = "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
TTND60uSynLMGVurFpzkveZnvcg5nZBFY3TbcBHmeE+r8DMn33HCUnnIe7IJks7stVugYn38C741
P/2wQXJ9s0eRV+wWoCEWHxYennRkRWPeKBtwG0Z9OUg29bJ6c1er+L7C3X9h1HRRSK+X/FLPcDbO
6eJHumUz7qK8eSg2jNo=
`protect key_keyowner = "Synopsys", key_keyname = "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
X8R/sm43wYbLCDYYUKPd8XRkX59OfY9C9rTS23YKJxcdg062qV6rrCVyUv9Qxv7bIyRZ6WoxTxZ4
xZI8yRb7BdDKTYm4XNo1b++4p0iZml9EAbipYPxcAd40nyZQvimzFHBhKl+RQrQAWxXWg9hdftro
Gfz9IymBoqAAtxNZZ0s=
`protect key_keyowner = "Aldec", key_keyname = "ALDEC15_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Wrw4XASblZbeOsghf4pAdnIDxO1DD510wb85kH1G2wiwlLl/s+xAfFnhwf75adpT8+aa+L4z9d1x
zncnlp2y8KRs5SGiDdiTLfcUqiVuaFKkgI82FjxUg4LPFO/Ph/iv4jgOzUp2q3joC2/mrV3M8tdY
TcZvlK3OoPjlhEVGydHIFhyq5z7040wx0ky2KzEYHDGvokK31GtvT7SRScIpU/pcIPYdqGu36LNs
4dMqU/tvF1NI2rJ8V0nXBo1HZx0OmIEDJPIYs4PGtLLqUDmlgHbIeASBS4Bjbe47cF1BgwRahdnT
7BLUYfKKyc85XBVo39QEnfsR1jbiP15K2YaISw==
`protect key_keyowner = "ATRENTA", key_keyname = "ATR-SG-2015-RSA-3", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
LlUwxwgrEnCHIxDi+H/Sv+Zihw3yFsl8/QAoOYEz68lrINnExF1txK0Xy9k5aBcRbCfGRkM2HY0A
ybtS6HbV+quqzj7fj5xK5GCsvXFdmF17lzBoaDim8A5EQCHsx9jQNS6s2HurTctN1DvutOUtXNsC
YQPAGRsh/vl/EBAf/CVlp8pQlAtc+NNIsmHdv3IlOmWtzj0j1BerJ1GiDGf1lI1wcXWusjXr5++q
ralkJx9+6TQa92QDHYO+iLB/NE6P3Vg5aWQzlVlbV92oeQDfrhvSWtPj+b6H0U5RJaz0X0D4GS4i
gLQ51uXmBDziJjJz8jDy7nB3s+tzXlCwmyhSwg==
`protect key_keyowner = "Xilinx", key_keyname = "xilinx_2016_05", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
jSLJf6eKYoY2ZAgntmmz5FjSEJSLT3EsrDhA3xp7tCaFdq4nnT4/8ihvUzSKXb2prxWolk+ch+Nj
ezCeldJp31FvLVz2gCyeV2aU5afhiA0ob1wQWQgkyXprEa48b83ZOZsVTGyPZRWr4ZCznsMlMu8o
5FhQe9+yOYmip2l1IdvoKaq3tzmcgKZ0R+4+Pu6P6r17FUkHVJ6htHcheLCoxXnTaMOiYmFT3fSa
BzZSjbQgoS01lS28y1TQq+vgLK6i1C1dycLqGAG0d7NHSsmHR0VGdES/4JAu1k5RMJWlsKdIps+p
ABCcf9qXJejOI0MwWmeygTJ3Xm3uzazjefeXSg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 57632)
`protect data_block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`protect end_protected
| mit | 142ba56831d7a8816f6d13ef51cc5d69 | 0.952142 | 1.816155 | false | false | false | false |
APastorG/APG | butterfly/butterfly_core_s.vhd | 1 | 3,556 | /***************************************************************************************************
/
/ Author: Antonio Pastor González
/ ¯¯¯¯¯¯
/
/ Date:
/ ¯¯¯¯
/
/ Version:
/ ¯¯¯¯¯¯¯
/
/ Notes:
/ ¯¯¯¯¯
/ This design makes use of some features from VHDL-2008, all of which have been implemented by
/ Altera and Xilinx in their software.
/ A 3 space tab is used throughout the document
/
/
/ Description:
/ ¯¯¯¯¯¯¯¯¯¯¯
/
**************************************************************************************************/
library ieee;
use ieee.numeric_std.all;
use ieee.std_logic_1164.all;
use ieee.math_real.all;
library work;
use work.fixed_float_types.all;
use work.fixed_generic_pkg.all;
use work.common_data_types_pkg.all;
use work.common_pkg.all;
/*================================================================================================*/
/*================================================================================================*/
/*================================================================================================*/
entity butterfly_core_s is
generic(
SPEED_opt : T_speed;
EXTEND_opt : boolean;
RANGE1_LEFT : positive;
RANGE1_RIGHT : positive;
RANGE2_LEFT : integer;
RANGE2_RIGHT : integer
);
port(
clk : in std_ulogic;
input : in u_sfixed_v;
output : out u_sfixed_v(RANGE1_LEFT to RANGE1_RIGHT)(ite(EXTEND_opt,
RANGE2_LEFT+1,
RANGE2_LEFT)
downto
RANGE2_RIGHT)
);
end entity;
/*================================================================================================*/
/*================================================================================================*/
/*================================================================================================*/
architecture butterfly_core_s_1 of butterfly_core_s is
/*================================================================================================*/
/*================================================================================================*/
begin
assert integer(2.0**log2(real(input'length))) = 2**integer(log2(real(input'length)))
report "ERROR in module butterfly: the size of the input signal is not a power of 2"
severity error;
generate_butterfly:
for i in output'low to output'low+input'length/2-1 generate
begin
generate_pipeline:
if is_pipelined(positions => 1,
speed => SPEED_opt,
position => 1) generate
begin
process (clk) is
begin
if rising_edge(clk) then
output(i) <= resize(input(i) + input(i+input'length/2), output(i));
output(i+input'length/2) <= resize(input(i) - input(i+input'length/2), output(i));
end if;
end process;
end;
else generate
begin
output(i) <= resize(input(i) + input(i+input'length/2), output(i));
output(i+input'length/2) <= resize(input(i) - input(i+input'length/2), output(i));
end;
end generate;
end;
end generate;
end architecture; | mit | 4cb51bfde48e74db26fa1ae0a935480d | 0.364566 | 4.918994 | false | false | false | false |
ILoveSpeccy/Aeon-Lite | cores/lvov-pk02-mips/src/color/clr_mux.vhd | 2 | 1,319 | LIBRARY ieee;
USE ieee.std_logic_1164.all;
LIBRARY work;
ENTITY clr_mux IS
PORT
(
color : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
portb : IN STD_LOGIC_VECTOR(6 DOWNTO 0);
out_r : OUT STD_LOGIC;
out_g : OUT STD_LOGIC;
out_b : OUT STD_LOGIC
);
END clr_mux;
ARCHITECTURE bdf_type OF clr_mux IS
signal i0 : std_logic;
signal i1 : std_logic;
signal i2 : std_logic;
signal i3 : std_logic;
signal D591 : std_logic;
signal D592 : std_logic;
signal D593 : std_logic;
signal D594 : std_logic;
signal D601 : std_logic;
signal D602 : std_logic;
signal D603 : std_logic;
signal D604 : std_logic;
signal D611 : std_logic;
signal D612 : std_logic;
signal D613 : std_logic;
BEGIN
i0 <= color(1) or color(0);
i1 <= color(1) or not color(0);
i2 <= not color(1) or color(0);
i3 <= not color(1) or not color(0);
D591 <= portb(0) or i1;
D592 <= portb(1) or i3;
D593 <= portb(2) or i0;
D594 <= portb(3) or i0;
D601 <= D604 and i3;
D602 <= D592 and i2;
D603 <= D593 and i1;
D604 <= D591 and D594;
D611 <= portb(4) xor D601;
D612 <= portb(5) xor D602;
D613 <= portb(6) xor D603;
out_r <= not D611;
out_g <= not D612;
out_b <= not D613;
END bdf_type; | gpl-3.0 | e80253b782b7dd284630719548c8daf6 | 0.568613 | 2.653924 | false | false | false | false |
VHDLTool/VHDL_Handbook_STD | Extras/VHDL/STD_06100_good.vhd | 1 | 3,448 | -------------------------------------------------------------------------------------------------
-- Company : CNES
-- Author : Mickael Carl (CNES)
-- Copyright : Copyright (c) CNES.
-- Licensing : GNU GPLv3
-------------------------------------------------------------------------------------------------
-- Version : V1
-- Version history :
-- V1 : 2015-04-08 : Mickael Carl (CNES): Creation
-------------------------------------------------------------------------------------------------
-- File name : STD_06100_good.vhd
-- File Creation date : 2015-04-08
-- Project name : VHDL Handbook CNES Edition
-------------------------------------------------------------------------------------------------
-- Softwares : Microsoft Windows (Windows 7) - Editor (Eclipse + VEditor)
-------------------------------------------------------------------------------------------------
-- Description : Handbook example: Range direction for std_logic_vector: good example
--
-- Limitations : This file is an example of the VHDL handbook made by CNES. It is a stub aimed at
-- demonstrating good practices in VHDL and as such, its design is minimalistic.
-- It is provided as is, without any warranty.
-- This example is compliant with the Handbook version 1.
--
-------------------------------------------------------------------------------------------------
-- Naming conventions:
--
-- i_Port: Input entity port
-- o_Port: Output entity port
-- b_Port: Bidirectional entity port
-- g_My_Generic: Generic entity port
--
-- c_My_Constant: Constant definition
-- t_My_Type: Custom type definition
--
-- My_Signal_n: Active low signal
-- v_My_Variable: Variable
-- sm_My_Signal: FSM signal
-- pkg_Param: Element Param coming from a package
--
-- My_Signal_re: Rising edge detection of My_Signal
-- My_Signal_fe: Falling edge detection of My_Signal
-- My_Signal_rX: X times registered My_Signal signal
--
-- P_Process_Name: Process
--
-------------------------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
--CODE
entity STD_06100_good is
port (
i_Clock : in std_logic; -- Main clock signal
i_Reset_n : in std_logic; -- Main reset signal
i_Enable : in std_logic; -- Enables the counter
i_Length : in std_logic_vector(3 downto 0); -- Unsigned Value for Counter Period
o_Count : out std_logic_vector(3 downto 0) -- Counter (unsigned value)
);
end STD_06100_good;
architecture Behavioral of STD_06100_good is
signal Count : unsigned(3 downto 0); -- Counter output signal (unsigned converted)
signal Count_Length : unsigned(3 downto 0); -- Length input signal (unsigned converted)
begin
--CODE
Count_Length <= unsigned(i_Length);
-- Will count undefinitely from 0 to i_Length while i_Enable is asserted
P_Count : process(i_Reset_n, i_Clock)
begin
if (i_Reset_n = '0') then
Count <= (others => '0');
elsif (rising_edge(i_Clock)) then
if (Count >= Count_Length) then -- Counter restarts from 0
Count <= (others => '0');
elsif (i_Enable = '1') then -- Increment counter value
Count <= Count + 1;
end if;
end if;
end process;
o_Count <= std_logic_vector(Count);
end Behavioral;
| gpl-3.0 | 7e1e74e772606071d9f17ebc2929e7d6 | 0.510441 | 4.513089 | false | false | false | false |
APastorG/APG | adder/adder_pkg.vhd | 1 | 13,819 | /***************************************************************************************************
/
/ Author: Antonio Pastor González
/ ¯¯¯¯¯¯
/
/ Date:
/ ¯¯¯¯
/
/ Version:
/ ¯¯¯¯¯¯¯
/
/ Notes:
/ ¯¯¯¯¯
/ This design makes use of some features from VHDL-2008, all of which have been implemented in
/ Vivado by Xilinx
/ A 3 space tab is used throughout the document
/
/
/ Description:
/ ¯¯¯¯¯¯¯¯¯¯¯
/ This package contains necessary types, constants, and functions for the parameterized adder
/ design.
/
**************************************************************************************************/
library ieee;
use ieee.std_logic_1164.all;
use ieee.math_real.all;
use ieee.numeric_std.all;
library work;
use work.common_data_types_pkg.all;
use work.common_pkg.all;
/*================================================================================================*/
/*================================================================================================*/
/*================================================================================================*/
package adder_pkg is
/* function used to check the consistency and correctness of generics 1 */
/**************************************************************************************************/
function adder_CHECKS (
max_possible_bit_opt : integer_exc;
truncate_to_bit_opt : integer_exc;
s : positive;
p : positive;
data_high : integer;
data_low : integer)
return integer;
/* functions for corrected generics and internal/external port signals 2 */
/**************************************************************************************************/
function adder_OH(
max_possible_bit_opt : integer_exc;
s : positive;
p : positive;
data_high : integer)
return integer;
function adder_OL(
truncate_to_bit_opt : integer_exc;
data_low : integer)
return integer;
/* structures and functions to store and read pipeline positions 3 */
/**************************************************************************************************/
-- PIPELINE_POSITIONS stores the desired position for the pipelines in the adder tree,
-- accumulator and output_buffer.
-- It depends on:
-- 1. total number of possible pipeline positions = ADD_LEVELS + 1(output buffer)
-- 2. the number of desired pipelines
-- 3. '1' or '0' to indicate the presence of a pipeline on that level
/*
type T_pipeline is record
P1 : sulv_v(0 to 1)(1 to 1);
P2 : sulv_v(0 to 2)(1 to 2);
P3 : sulv_v(0 to 3)(1 to 3);
P4 : sulv_v(0 to 4)(1 to 4);
P5 : sulv_v(0 to 5)(1 to 5);
P6 : sulv_v(0 to 6)(1 to 6);
P7 : sulv_v(0 to 7)(1 to 7);
P8 : sulv_v(0 to 8)(1 to 8);
P9 : sulv_v(0 to 9)(1 to 9);
P10: sulv_v(0 to 10)(1 to 10);
P11: sulv_v(0 to 11)(1 to 11);
P12: sulv_v(0 to 12)(1 to 12); -- P12 limit => implies a maximum of 1024 parallel inputs.
-- For PX, limit is 2**(X-2)
end record;
constant PIPELINE_POSITIONS: T_pipeline:=
(P1 => (0 => "0",
1 => "1"),
P2 => (0 => "00",
1 => "01",
2 => "11"),
P3 => (0 => "000",
1 => "001",
2 => "101",
3 => "111"),
P4 => (0 => "0000",
1 => "0001",
2 => "0101",------->4 (P4) possible positions: 2 pipelines wanted=> 2nd and 4th
3 => "1011",
4 => "1111"),
P5 => (0 => "00000",
1 => "00001",
2 => "00101",
3 => "10101",
4 => "10111",
5 => "11111"),
P6 => (0 => "000000",
1 => "000001",
2 => "001001",
3 => "010101",----->6 (P6) possible positions: 3 pipelines wanted=> 2nd, 4th and 6th
4 => "101011",
5 => "101111",
6 => "111111"),
P7 => (0 => "0000000",
1 => "0000001",
2 => "0001001",
3 => "0010101",
4 => "1010101",
5 => "1010111",
6 => "1011111",
7 => "1111111"),
P8 => (0 => "00000000",
1 => "00000001",
2 => "00010001",
3 => "00100101",
4 => "01010101",
5 => "10101011",
6 => "10101111",
7 => "10111111",
8 => "11111111"),
P9 => (0 => "000000000",
1 => "000000001",
2 => "000010001",
3 => "001001001",
4 => "001010101",
5 => "101010101",
6 => "101010111",
7 => "101011111",
8 => "101111111",
9 => "111111111"),
P10 => (0 => "0000000000",
1 => "0000000001",
2 => "0000100001",
3 => "0001001001",
4 => "0010010101",
5 => "0101010101",
6 => "1010101011",
7 => "1010101111",
8 => "1010111111",
9 => "1011111111",
10 => "1111111111"),
P11 => (0 => "00000000000",
1 => "00000000001",
2 => "00000100001",
3 => "00010001001",
4 => "00100100101",
5 => "00101010101",
6 => "10101010101",
7 => "10101010111",
8 => "10101011111",
9 => "10101111111",
10 => "10111111111",
11 => "11111111111"),
P12 => (0 => "000000000000",
1 => "000000000001",
2 => "000001000001",
3 => "000100010001",
4 => "001001001001",
5 => "000101010101",
6 => "010101010101",
7 => "101010101011",
8 => "101010101111",
9 => "101010111111",
10 => "101011111111",
11 => "101111111111",
12 => "111111111111")
);
--function used to read PIPELINE_POSITIONS
function pipeline_is_present(
possible_positions : positive;
pipelines : natural;
level : natural)
return boolean;
--used to get a numerical reference to T_pipeline members, for example pipeline_positions_ref(1)
-- returns PIPELINE_POSITIONS.P1
function pipeline_positions_ref(
number : positive)
return sulv_v;
*/
/* Other functions 4 */
/**************************************************************************************************/
--returns the number of signals in a specific level of the tree adder
function signals_per_level(
P, level : natural)
return natural;
end package;
/*================================================================================================*/
/*================================================================================================*/
/*================================================================================================*/
Package body adder_pkg is
/********************************************************************************************** 1 */
function adder_CHECKS(
max_possible_bit_opt : integer_exc;
truncate_to_bit_opt : integer_exc;
s : positive;
p : positive;
data_high : integer;
data_low : integer)
return integer is
constant output_h : integer := adder_OH(max_possible_bit_opt,
s,
p,
data_high);
begin
--Errors-----------------------------------------------------------------------------------------
--trying to limit to a bit which is below the data range
assert not(max_possible_bit_opt /= integer'low and max_possible_bit_opt<data_low)
report "(3) " &
"ILLEGAL PARAMETERS in entity adder: MAX_POSSIBLE_BIT_opt set to " &
image(max_possible_bit_opt) & " but the result range is: (" & image(output_h) &
" downto " & image(data_low) & ")."
severity error;
--trying to truncate to a value higher than norm_out_high
assert not(truncate_to_bit_opt /= integer'low and truncate_to_bit_opt>output_h)
report "(4) " &
"ILLEGAL PARAMETERS in entity adder: TRUNCATE_TO_BIT_opt set to " &
image(truncate_to_bit_opt) & " but the result range is: (" & image(output_h) &
" downto " & image(data_low) & ")."
severity error;
--trying to truncate to a value higher than the bit limitation (duplicated with 4)
assert not(max_possible_bit_opt /= integer'low and truncate_to_bit_opt /= integer'low and
truncate_to_bit_opt>max_possible_bit_opt)
report "(5) " &
"ILLEGAL PARAMETERS in entity adder: TRUNCATE_TO_BIT_opt cannot have a value higher " &
"than MAX_POSSIBLE_BIT_opt"
severity error;
--Notes------------------------------------------------------------------------------------------
--defined as warning so they appear in the report after synthesis
--note when truncating
assert not(truncate_to_bit_opt /= integer'low and truncate_to_bit_opt>data_low)
report "(1) " &
"NOTE in entity adder: truncating to bit " & image(truncate_to_bit_opt) & ". " &
"The bottom " & image(truncate_to_bit_opt-data_low) &
ite(truncate_to_bit_opt-data_low>1," bits are"," bit is") & " being ignored."
severity warning;
--note when truncation adds bits to the right
assert not(truncate_to_bit_opt /= integer'low and truncate_to_bit_opt<data_low)
report "(2) " &
"NOTE in entity adder: truncating to bit " & image(truncate_to_bit_opt) & ". " &
image(data_low-truncate_to_bit_opt) &
ite(data_low-truncate_to_bit_opt>1," bits are"," bit is") & " being added to the right."
severity warning;
--note when limiting the number of bits
assert not(max_possible_bit_opt /= integer'low and output_h<(data_high+log2ceil(s*p)))
report "(3) " &
"NOTE in entity adder: limiting the result of the addition to bit " &
image(max_possible_bit_opt) & ". The top " & image((data_high+log2ceil(s*p))-output_h) &
ite((data_high+log2ceil(s*p))-output_h>1," bits are"," bit is") & " not generated."
severity warning;
return 0;
end function;
/********************************************************************************************** 2 */
function adder_OH(
max_possible_bit_opt : integer_exc;
s : positive;
p : positive;
data_high : integer)
return integer is
begin
if max_possible_bit_opt = integer'low then
return data_high+log2ceil(s*p);
else
return minimum(max_possible_bit_opt, data_high+log2ceil(s*p));
end if ;
end function;
function adder_OL(
truncate_to_bit_opt : integer_exc;
data_low : integer)
return integer is
begin
if truncate_to_bit_opt = integer'low then
return data_low;
else
return truncate_to_bit_opt;
end if ;
end function;
/********************************************************************************************** 3 */
/*
function pipeline_is_present(
possible_positions : positive;
pipelines : natural;
level : natural)
return boolean is
begin
return ite(pipeline_positions_ref(possible_positions)(pipelines)(level)='1', true, false);
end function;
function pipeline_positions_ref(
number: positive)
return sulv_v is
begin
case number is
when 1 => return PIPELINE_POSITIONS.P1;
when 2 => return PIPELINE_POSITIONS.P2;
when 3 => return PIPELINE_POSITIONS.P3;
when 4 => return PIPELINE_POSITIONS.P4;
when 5 => return PIPELINE_POSITIONS.P5;
when 6 => return PIPELINE_POSITIONS.P6;
when 7 => return PIPELINE_POSITIONS.P7;
when 8 => return PIPELINE_POSITIONS.P8;
when 9 => return PIPELINE_POSITIONS.P9;
when 10 => return PIPELINE_POSITIONS.P10;
when 11 => return PIPELINE_POSITIONS.P11;
when 12 => return PIPELINE_POSITIONS.P12;
when others => assert false
report "tried to access nonexistent member of PIPELINE_POSITIONS"
severity failure;
return PIPELINE_POSITIONS.P12;
end case;
end function;
*/
/********************************************************************************************** 4 */
function signals_per_level(
P, level : natural)
return natural is
begin
if level=0 then
return P;
else
return signals_per_level(natural(ceil(real(P)/2.0)), level-1);
end if;
end function signals_per_level;
end package body; | mit | f01973b50157b2be6fd29194a15ec92b | 0.430686 | 4.415439 | false | false | false | false |
benjmarshall/hls_scratchpad | hls_cmd_line_testing/hls_gui_proj/hls_sin_proj/solution1/.autopilot/db/ip_tmp/prjsrcs/sources_1/ip/sin_taylor_series_ap_sitodp_4_no_dsp_32/hdl/xbip_dsp48_wrapper_v3_0_vh_rfs.vhd | 20 | 142,619 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2015"
`protect key_keyowner = "Cadence Design Systems.", key_keyname = "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
fMbQDq8vO/3YQbM/AENh/O6PVtFQ2k7D5McJCX+oPFrswmPz2eNRgCyxHRYc4I/9zzQ7riWYM1/q
DyNv0iWlJQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname = "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
A2mPmAz9HWiWA7SI1bu5mvVgpq0jhAg/wqv7KcUzr9xd+E7XrXIW1cHf+LZRuUBo9vbA+c5IAFqa
njHzwCqxwh2WHYACXSWE72aCpNZr8XEDHYh2VW80LzeH8IzZ4Khu6EToaFfxz+OWR8Bv82IRs4TL
0rJ5acueLwa4cSnJ1c8=
`protect key_keyowner = "Synopsys", key_keyname = "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
DMLAaGRDugefttaFRRmq6DeC/3AW4zIH8JzHTQRQgehlPjvoJ51eeDEIqTG3jpl+yuyUDNxveaY2
8i8mEBQFFYYTS3EISt5QxHA29H5+PumXJbAwWYaNWqQmoyP3RPF6kqXdhw1lKQNP66oO7RSt48+3
FIZ19uZQ9Ruz3yx0jJ4=
`protect key_keyowner = "Aldec", key_keyname = "ALDEC15_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
laQfnP5jY9oAwSkVJUcB3Vp+nOAmoIUXQPUkcJx5V2Z7qRAUfP26Quy64DwgDpEqYovI8pPs3crJ
O9MQdw93uLwg9D1zodWqmPyfGZGjJx7OiSQv15wIDGAcLmKw2GDlzAPuxeRyG2I6ynh25wtII5gt
UKqLq3mO8Swn1CTLFpcpqIwgHCNM3g93oxQiyFpQiXpUyN/HygfRs/hOX1aLKsmqcjqqwwfj63Aq
bTjq0JXusWj3CcFzw93g7NBemvDe0nnaUo1WiNRigUFMjrRBc55ouA9i8iwh8Km1MyXOEFFGaUhy
376u5TmEh2dVG7er7JJ3YEnIPz8tzXaH8XXGZg==
`protect key_keyowner = "ATRENTA", key_keyname = "ATR-SG-2015-RSA-3", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
BjZnhdVQPsrvLh76g5p4dQ1di3VAARBa8q+zch8xqbAgBrqneORykITx8ONkgvqzUt2QBFb2zgGg
QG66o9WVse9j5AMY9EQBLxYpKeV3PeuXLgUDBJ3C9GN+lZDzjsi27NyGt5EG7kklcAxws1ZgPhVt
5/0EjtFSRCieveau98BlU3+7u5TwYApSP6911mTeEPcQonZYmlQAbqtaPwtPlo4zsecNJjhPKVd4
mZ53bVx8o0mDtVpc1a1GJtr32T11p8uhKMXzOTVhUXcNVew/SYnzLKtKikmSoCSNqKRRdKrjrPYF
/bNhfdf1GVjjwqMEU0a0pq4N2Wvm5rXPueKDyw==
`protect key_keyowner = "Xilinx", key_keyname = "xilinx_2016_05", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Q1RowYHXd6uUlbDovuOCiVyOwwM9HPLKPl5uyepEll7eCCZmOLn6Srf59qT0Pq9iJ+TX9zCWgCtu
CQ6UXQpvkdHL4v5v4z0KqxTvStcXq+z1v0ayvED5EEqnMLA82zD9IJp/nYbU5USepE9/soJ4nU4i
ii8o9XTNjYK3UBsqyTd1SA31TDsgJ+VCv71B5XJSxmMHfsBUB9nVqnsHN7Uqq7sXE7jdOLooksj1
0Mx5XOHYZsSW4+kts05wBv0fnYDJW7aE8WDrXF+CBv/IK0sm23QovTJXNekdlbW5GyaB/wMToLw7
TTKsfU9hcAP1OHpVw1N8qBllTj7lUaJNBSHcmA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 103440)
`protect data_block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`protect end_protected
| mit | c3d6eecf19577f308a279f9dd484f737 | 0.954137 | 1.811127 | false | false | false | false |
ComputerArchitectureGroupPWr/SimulationCore | src/thermometersLogic.vhd | 1 | 6,186 | library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
Library UNISIM;
use UNISIM.vcomponents.all;
entity thermometersLogic is
generic(
termNumber : natural := 128
);
port(
rsTxBusy : in std_logic;
rst : in std_logic;
clk50Mhz : in std_logic;
clk3kHz : in std_logic;
rsDataOut : out std_logic_vector(7 downto 0);
rsTxStart : out std_logic;
led : out std_logic_vector(7 downto 0)
);
end thermometersLogic;
architecture Behavioral of thermometersLogic is
component DummyRO
port(
clk : IN std_logic;
osc_out : OUT std_logic
);
end component;
COMPONENT toplevel
PORT(
clk : IN std_logic;
Vccint : OUT std_logic_vector(15 downto 0);
temint : OUT std_logic_vector(15 downto 0);
busy : OUT std_logic;
alarm : OUT std_logic
);
END COMPONENT;
constant THERM_NUMBER_SYSMON_Temp : integer := 2;
constant THERM_NUMBER_SYSMON_Vcc : integer := 3;
signal chosenTermometr : integer range 0 to termNumber;
signal termometrCounter : integer range 0 to 2**16-1;
type state_type is (Start, Numer, Czekaj1, Wartosc1, Czekaj2, Wartosc0);
signal state, next_state : state_type;
signal rsTxStart_i : std_logic;
signal rsDataOut_i : std_logic_vector(7 downto 0);
signal termometrRegister : std_logic_vector(15 downto 0);
signal termometr : std_logic_vector(termNumber downto 0);
signal termometrEnable : std_logic_vector(termNumber downto 0);
signal selectedTermometr : std_logic;
signal nextTerm : std_logic;
signal clk3kHzD : std_logic;
signal clk3kHzD2 : std_logic;
signal sysmon_vcc : std_logic_vector(15 downto 0);
signal sysmon_tem : std_logic_vector(15 downto 0);
attribute keep : string;
attribute keep of termometr : signal is "true";
attribute keep of termometrEnable : signal is "true";
attribute keep of nextTerm : signal is "true";
attribute keep of termometrRegister : signal is "true";
attribute keep of selectedTermometr : signal is "true";
attribute keep_hierarchy : string;
attribute keep_hierarchy of DummyRO: component is "true";
attribute s: string;
attribute s of termometr: signal is "yes";
attribute s of termometrEnable: signal is "yes";
begin
Inst_toplevel: toplevel PORT MAP(
clk => clk50Mhz,
Vccint => sysmon_vcc,
temint => sysmon_tem,
busy => open,
alarm => open
);
led <= X"55";
Termometers:
for I in 1 to termNumber generate
Inst_DummyRO: DummyRO PORT MAP(
osc_out => termometr(I),
clk => clk50Mhz
);
end generate;
Termometr_Counter:
process (selectedTermometr, nextTerm, clk50Mhz)
begin
if nextTerm = '1' and clk50Mhz = '0' then
termometrCounter <= 0;
elsif selectedTermometr'event and selectedTermometr = '1' then
termometrCounter <= termometrCounter + 1;
end if;
end process;
process (chosenTermometr, termometr)
begin
selectedTermometr <= termometr(chosenTermometr);
end process;
process (nextTerm, rst)
begin
if rst='1' then
termometrRegister <= (others => '0');
elsif nextTerm'event and nextTerm = '1' then
termometrRegister <= std_logic_vector(to_unsigned(termometrCounter,16));
end if;
end process;
Chosen_Termometr:
process (clk3kHz, rst, chosenTermometr)
begin
if rst='1' or chosenTermometr = termNumber then
chosenTermometr <= 0;
termometrEnable <= (others => '0');
elsif clk3kHz='1' and clk3kHz'event then
chosenTermometr <= chosenTermometr + 1;
for I in 1 to termNumber loop
if I = chosenTermometr+1 then
termometrEnable(I) <= '1';
else
termometrEnable(I) <= '0';
end if;
end loop;
end if;
end process;
Next_Term1:
process (clk50Mhz)
begin
if clk50Mhz'event and clk50Mhz='1' then
clk3kHzD <= clk3kHz;
end if;
end process;
Next_Term2:
process (clk50Mhz)
begin
if clk50Mhz'event and clk50Mhz='1' then
clk3kHzD2 <= clk3kHzD;
end if;
end process;
nextTerm <= (not clk3kHzD2) and clk3kHzD;
Synchro:
process (clk50Mhz, rst)
begin
if (rst = '1') then
state <= Start;
rsDataOut <= X"00";
rsTxStart <= '0';
else
if (clk50Mhz'event and clk50Mhz = '1') then
state <= next_state;
rsDataOut <= rsDataOut_i;
rsTxStart <= rsTxStart_i;
end if;
end if;
end process;
Output:
process (state, rsTxBusy, termometrRegister, chosenTermometr)
begin
if (state = Numer and rsTxBusy = '0') then
rsDataOut_i <= std_logic_vector(to_unsigned(chosenTermometr - 1,8));
rsTxStart_i <= '1';
elsif (state = Wartosc1 and rsTxBusy = '0') then
if (chosenTermometr = THERM_NUMBER_SYSMON_Temp) then
rsDataOut_i <= sysmon_tem(15 downto 8);
elsif (chosenTermometr = THERM_NUMBER_SYSMON_Vcc) then
rsDataOut_i <= sysmon_vcc(15 downto 8);
else
rsDataOut_i <= termometrRegister(15 downto 8);
end if;
rsTxStart_i <= '1';
elsif (state = Wartosc0 and rsTxBusy = '0') then
if (chosenTermometr = THERM_NUMBER_SYSMON_Temp) then
rsDataOut_i <= sysmon_tem(7 downto 0);
elsif (chosenTermometr = THERM_NUMBER_SYSMON_Vcc) then
rsDataOut_i <= sysmon_vcc(7 downto 0);
else
rsDataOut_i <= termometrRegister(7 downto 0);
end if;
rsTxStart_i <= '1';
else
rsDataOut_i <= X"00";
rsTxStart_i <= '0';
end if;
end process;
Next_stage:
process (state, rsTxBusy, nextTerm)
begin
next_state <= state;
case (state) is
when Start =>
if nextTerm = '1' then
next_state <= Numer;
end if;
when Numer =>
if rsTxBusy = '1' then
next_state <= Czekaj1;
end if;
when Czekaj1 =>
if rsTxBusy = '0' then
next_state <= Wartosc1;
end if;
when Wartosc1 =>
if rsTxBusy = '1' then
next_state <= Czekaj2;
end if;
when Czekaj2 =>
if rsTxBusy = '0' then
next_state <= Wartosc0;
end if;
when Wartosc0 =>
if rsTxBusy = '1' then
next_state <= Start;
end if;
when others =>
next_state <= Start;
end case;
end process;
end Behavioral;
| mit | 92c86134324f7d193ec18bd561999d57 | 0.641125 | 3.073025 | false | false | false | false |
benjmarshall/hls_scratchpad | hls_cmd_line_testing/hls_gui_proj/hls_sin_proj/solution1/sim/vhdl/ip/xil_defaultlib/sin_taylor_series_ap_sitodp_4_no_dsp_32.vhd | 6 | 10,532 | -- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:floating_point:7.1
-- IP Revision: 4
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY floating_point_v7_1_4;
USE floating_point_v7_1_4.floating_point_v7_1_4;
ENTITY sin_taylor_series_ap_sitodp_4_no_dsp_32 IS
PORT (
aclk : IN STD_LOGIC;
aclken : IN STD_LOGIC;
s_axis_a_tvalid : IN STD_LOGIC;
s_axis_a_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axis_result_tvalid : OUT STD_LOGIC;
m_axis_result_tdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0)
);
END sin_taylor_series_ap_sitodp_4_no_dsp_32;
ARCHITECTURE sin_taylor_series_ap_sitodp_4_no_dsp_32_arch OF sin_taylor_series_ap_sitodp_4_no_dsp_32 IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF sin_taylor_series_ap_sitodp_4_no_dsp_32_arch: ARCHITECTURE IS "yes";
COMPONENT floating_point_v7_1_4 IS
GENERIC (
C_XDEVICEFAMILY : STRING;
C_HAS_ADD : INTEGER;
C_HAS_SUBTRACT : INTEGER;
C_HAS_MULTIPLY : INTEGER;
C_HAS_DIVIDE : INTEGER;
C_HAS_SQRT : INTEGER;
C_HAS_COMPARE : INTEGER;
C_HAS_FIX_TO_FLT : INTEGER;
C_HAS_FLT_TO_FIX : INTEGER;
C_HAS_FLT_TO_FLT : INTEGER;
C_HAS_RECIP : INTEGER;
C_HAS_RECIP_SQRT : INTEGER;
C_HAS_ABSOLUTE : INTEGER;
C_HAS_LOGARITHM : INTEGER;
C_HAS_EXPONENTIAL : INTEGER;
C_HAS_FMA : INTEGER;
C_HAS_FMS : INTEGER;
C_HAS_ACCUMULATOR_A : INTEGER;
C_HAS_ACCUMULATOR_S : INTEGER;
C_A_WIDTH : INTEGER;
C_A_FRACTION_WIDTH : INTEGER;
C_B_WIDTH : INTEGER;
C_B_FRACTION_WIDTH : INTEGER;
C_C_WIDTH : INTEGER;
C_C_FRACTION_WIDTH : INTEGER;
C_RESULT_WIDTH : INTEGER;
C_RESULT_FRACTION_WIDTH : INTEGER;
C_COMPARE_OPERATION : INTEGER;
C_LATENCY : INTEGER;
C_OPTIMIZATION : INTEGER;
C_MULT_USAGE : INTEGER;
C_BRAM_USAGE : INTEGER;
C_RATE : INTEGER;
C_ACCUM_INPUT_MSB : INTEGER;
C_ACCUM_MSB : INTEGER;
C_ACCUM_LSB : INTEGER;
C_HAS_UNDERFLOW : INTEGER;
C_HAS_OVERFLOW : INTEGER;
C_HAS_INVALID_OP : INTEGER;
C_HAS_DIVIDE_BY_ZERO : INTEGER;
C_HAS_ACCUM_OVERFLOW : INTEGER;
C_HAS_ACCUM_INPUT_OVERFLOW : INTEGER;
C_HAS_ACLKEN : INTEGER;
C_HAS_ARESETN : INTEGER;
C_THROTTLE_SCHEME : INTEGER;
C_HAS_A_TUSER : INTEGER;
C_HAS_A_TLAST : INTEGER;
C_HAS_B : INTEGER;
C_HAS_B_TUSER : INTEGER;
C_HAS_B_TLAST : INTEGER;
C_HAS_C : INTEGER;
C_HAS_C_TUSER : INTEGER;
C_HAS_C_TLAST : INTEGER;
C_HAS_OPERATION : INTEGER;
C_HAS_OPERATION_TUSER : INTEGER;
C_HAS_OPERATION_TLAST : INTEGER;
C_HAS_RESULT_TUSER : INTEGER;
C_HAS_RESULT_TLAST : INTEGER;
C_TLAST_RESOLUTION : INTEGER;
C_A_TDATA_WIDTH : INTEGER;
C_A_TUSER_WIDTH : INTEGER;
C_B_TDATA_WIDTH : INTEGER;
C_B_TUSER_WIDTH : INTEGER;
C_C_TDATA_WIDTH : INTEGER;
C_C_TUSER_WIDTH : INTEGER;
C_OPERATION_TDATA_WIDTH : INTEGER;
C_OPERATION_TUSER_WIDTH : INTEGER;
C_RESULT_TDATA_WIDTH : INTEGER;
C_RESULT_TUSER_WIDTH : INTEGER;
C_FIXED_DATA_UNSIGNED : INTEGER
);
PORT (
aclk : IN STD_LOGIC;
aclken : IN STD_LOGIC;
aresetn : IN STD_LOGIC;
s_axis_a_tvalid : IN STD_LOGIC;
s_axis_a_tready : OUT STD_LOGIC;
s_axis_a_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axis_a_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_a_tlast : IN STD_LOGIC;
s_axis_b_tvalid : IN STD_LOGIC;
s_axis_b_tready : OUT STD_LOGIC;
s_axis_b_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axis_b_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_b_tlast : IN STD_LOGIC;
s_axis_c_tvalid : IN STD_LOGIC;
s_axis_c_tready : OUT STD_LOGIC;
s_axis_c_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axis_c_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_c_tlast : IN STD_LOGIC;
s_axis_operation_tvalid : IN STD_LOGIC;
s_axis_operation_tready : OUT STD_LOGIC;
s_axis_operation_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axis_operation_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_operation_tlast : IN STD_LOGIC;
m_axis_result_tvalid : OUT STD_LOGIC;
m_axis_result_tready : IN STD_LOGIC;
m_axis_result_tdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
m_axis_result_tuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_result_tlast : OUT STD_LOGIC
);
END COMPONENT floating_point_v7_1_4;
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 aclk_intf CLK";
ATTRIBUTE X_INTERFACE_INFO OF aclken: SIGNAL IS "xilinx.com:signal:clockenable:1.0 aclken_intf CE";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_a_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_A TVALID";
ATTRIBUTE X_INTERFACE_INFO OF s_axis_a_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_A TDATA";
ATTRIBUTE X_INTERFACE_INFO OF m_axis_result_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_RESULT TVALID";
ATTRIBUTE X_INTERFACE_INFO OF m_axis_result_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_RESULT TDATA";
BEGIN
U0 : floating_point_v7_1_4
GENERIC MAP (
C_XDEVICEFAMILY => "zynq",
C_HAS_ADD => 0,
C_HAS_SUBTRACT => 0,
C_HAS_MULTIPLY => 0,
C_HAS_DIVIDE => 0,
C_HAS_SQRT => 0,
C_HAS_COMPARE => 0,
C_HAS_FIX_TO_FLT => 1,
C_HAS_FLT_TO_FIX => 0,
C_HAS_FLT_TO_FLT => 0,
C_HAS_RECIP => 0,
C_HAS_RECIP_SQRT => 0,
C_HAS_ABSOLUTE => 0,
C_HAS_LOGARITHM => 0,
C_HAS_EXPONENTIAL => 0,
C_HAS_FMA => 0,
C_HAS_FMS => 0,
C_HAS_ACCUMULATOR_A => 0,
C_HAS_ACCUMULATOR_S => 0,
C_A_WIDTH => 32,
C_A_FRACTION_WIDTH => 0,
C_B_WIDTH => 32,
C_B_FRACTION_WIDTH => 0,
C_C_WIDTH => 32,
C_C_FRACTION_WIDTH => 0,
C_RESULT_WIDTH => 64,
C_RESULT_FRACTION_WIDTH => 53,
C_COMPARE_OPERATION => 8,
C_LATENCY => 4,
C_OPTIMIZATION => 1,
C_MULT_USAGE => 0,
C_BRAM_USAGE => 0,
C_RATE => 1,
C_ACCUM_INPUT_MSB => 32,
C_ACCUM_MSB => 32,
C_ACCUM_LSB => -31,
C_HAS_UNDERFLOW => 0,
C_HAS_OVERFLOW => 0,
C_HAS_INVALID_OP => 0,
C_HAS_DIVIDE_BY_ZERO => 0,
C_HAS_ACCUM_OVERFLOW => 0,
C_HAS_ACCUM_INPUT_OVERFLOW => 0,
C_HAS_ACLKEN => 1,
C_HAS_ARESETN => 0,
C_THROTTLE_SCHEME => 3,
C_HAS_A_TUSER => 0,
C_HAS_A_TLAST => 0,
C_HAS_B => 0,
C_HAS_B_TUSER => 0,
C_HAS_B_TLAST => 0,
C_HAS_C => 0,
C_HAS_C_TUSER => 0,
C_HAS_C_TLAST => 0,
C_HAS_OPERATION => 0,
C_HAS_OPERATION_TUSER => 0,
C_HAS_OPERATION_TLAST => 0,
C_HAS_RESULT_TUSER => 0,
C_HAS_RESULT_TLAST => 0,
C_TLAST_RESOLUTION => 0,
C_A_TDATA_WIDTH => 32,
C_A_TUSER_WIDTH => 1,
C_B_TDATA_WIDTH => 32,
C_B_TUSER_WIDTH => 1,
C_C_TDATA_WIDTH => 32,
C_C_TUSER_WIDTH => 1,
C_OPERATION_TDATA_WIDTH => 8,
C_OPERATION_TUSER_WIDTH => 1,
C_RESULT_TDATA_WIDTH => 64,
C_RESULT_TUSER_WIDTH => 1,
C_FIXED_DATA_UNSIGNED => 0
)
PORT MAP (
aclk => aclk,
aclken => aclken,
aresetn => '1',
s_axis_a_tvalid => s_axis_a_tvalid,
s_axis_a_tdata => s_axis_a_tdata,
s_axis_a_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_a_tlast => '0',
s_axis_b_tvalid => '0',
s_axis_b_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axis_b_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_b_tlast => '0',
s_axis_c_tvalid => '0',
s_axis_c_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axis_c_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_c_tlast => '0',
s_axis_operation_tvalid => '0',
s_axis_operation_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axis_operation_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_operation_tlast => '0',
m_axis_result_tvalid => m_axis_result_tvalid,
m_axis_result_tready => '0',
m_axis_result_tdata => m_axis_result_tdata
);
END sin_taylor_series_ap_sitodp_4_no_dsp_32_arch;
| mit | 3123dc83a78a490212a5841b5685eac6 | 0.629985 | 3.212935 | false | false | false | false |
APastorG/APG | real_const_mult/real_const_mult_core_u.vhd | 1 | 26,892 | /***************************************************************************************************
/ Author: Antonio Pastor González
/ ¯¯¯¯¯¯
/
/ Date:
/ ¯¯¯¯
/
/ Version:
/ ¯¯¯¯¯¯¯
/
/ Notes:
/ ¯¯¯¯¯
/ This design makes use of some features from VHDL-2008, all of which have been implemented in
/ Xilinx's Vivado
/ 3 space tabs are used throughout the document
/
/ Description:
/ ¯¯¯¯¯¯¯¯¯¯¯
/ This design of a parameterized real constant multiplier implements the multiplierless multiple
/ constants multiplier by Voronenko-Püschel.
/ The input signal is multiplied by the multiplicands and the result is sent to the output on
/ each clk cycle.
/
**************************************************************************************************/
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.math_real.all;
use std.textio.all;
library work;
use work.common_data_types_pkg.all;
use work.common_pkg.all;
use work.fixed_float_types.all;
use work.fixed_generic_pkg.all;
use work.real_const_mult_pkg.all;
/*================================================================================================*/
/*================================================================================================*/
/*================================================================================================*/
entity real_const_mult_core_u is
generic(
SPEED_opt : T_speed := t_exc; --exception: value not set
ROUND_STYLE_opt : T_round_style := fixed_truncate; --default
ROUND_TO_BIT_opt : integer_exc := integer'low; --exception: value not set
MAX_ERROR_PCT_opt : real_exc := real'low; --exception: value not set
CONSTANTS : real_v; --compulsory
input_high : integer;
input_low : integer
);
port(
input : in u_ufixed;
clk : in std_ulogic;
valid_input : in std_ulogic;
output : out u_ufixed_v(1 to CONSTANTS'length)
(real_const_mult_OH(ROUND_STYLE_opt,
ROUND_TO_BIT_opt,
MAX_ERROR_PCT_opt,
CONSTANTS,
input_high,
input_low,
is_signed => false)
downto
real_const_mult_OL(ROUND_STYLE_opt,
ROUND_TO_BIT_opt,
MAX_ERROR_PCT_opt,
CONSTANTS,
input_low,
is_signed => false)
);
valid_output : out std_ulogic
);
end entity;
/*================================================================================================*/
/*================================================================================================*/
/*================================================================================================*/
architecture real_const_mult_core_u_1 of real_const_mult_core_u is
/* corrected generics and internal/external ports' signals */
/***********************************************************************************************/
constant CHECKS : integer := real_const_mult_CHECKS(input_high,
input_low,
false, --unsigned_2comp_opt
ROUND_TO_BIT_opt,
MAX_ERROR_PCT_opt,
CONSTANTS);
/* constants for the calculation of port sizes */
/***********************************************************************************************/
--the common output size
constant OUT_HIGH : integer := real_const_mult_OH(ROUND_STYLE_opt,
ROUND_TO_BIT_opt,
MAX_ERROR_PCT_opt,
CONSTANTS,
input_high,
input_low,
is_signed => false);
constant OUT_LOW : integer := real_const_mult_OL(ROUND_STYLE_opt,
ROUND_TO_BIT_opt,
MAX_ERROR_PCT_opt,
CONSTANTS,
input_low,
is_signed => false);
/* constants related to the multiplicands */
/***********************************************************************************************/
--vector to preserve the sign of each constant
constant MULT_SIGN_POSITIVE : boolean_v := is_positive_vector_from_constants(CONSTANTS);
--vector with the values of the constants in fixed point (applying parameters of error percentage,
--round style and bit to which to round)
constant NO_NEGATIONS : boolean := all_positive(MULT_SIGN_POSITIVE);
constant MULT_FIXED : u_ufixed_v := fixed_from_real_constants(ROUND_STYLE_opt,
ROUND_TO_BIT_opt,
MAX_ERROR_PCT_opt,
abs(CONSTANTS),
input_high,
input_low,
is_signed => false);
--vector with the left shift needed(possibly negative) to transform the constants to odd natural values
constant PRE_VP_SHIFT : integer_v := calculate_pre_vp_shift(MULT_FIXED);
--maximum left shift needed
constant MAX_PRE_VP_SHIFT : integer := maximum(PRE_VP_SHIFT);
--vector with the constants in positive odd form, ready for the Voronenko-Püschel algorithm
constant MULT_FUNDAMENTAL : positive_v := calculate_mult_fundamental(MULT_FIXED, PRE_VP_SHIFT);
constant INTER_LOW : integer := input_low - MAX_PRE_VP_SHIFT;
/* file constants */
/***********************************************************************************************/
constant FILE_NAME : string := generate_file_name(ROUND_STYLE_opt,
ROUND_TO_BIT_opt,
MAX_ERROR_PCT_opt,
MULT_FUNDAMENTAL);
constant FILE_PATH : string := DATA_FILE_DIRECTORY & "\" /*"*/ & FILE_NAME; --comment inserted to prevent nonsense syntax highlighting on sublime text 3
file solution_input : text;
/* constants obtained from files */
/***********************************************************************************************/
--carries out the Voronenko_Püschel algorithm and saves the solution to a file
procedure generate_solutions_file
is
--pragma translate off
--synthesis translate_off
package mmcm is new work.mmcm_pkg
generic map(
MAX_TARGET => maximum(MULT_FUNDAMENTAL),
FILE_PATH => FILE_PATH
);
--pragma translate on
--synthesis translate_on
begin
--pragma translate off
--synthesis translate_off
mmcm.VorPus(MULT_FUNDAMENTAL);
--pragma translate on
--synthesis translate_on
end procedure;
--reads the number of vertexes in the solution that is in the file. Additionally, as it is the first
--function that is called in the module, the file with the solutions is also generated, or produces
--an error if the file doesn't exist and we are in synthesis trying to read it
impure function read_number_of_vertexes
return natural is
variable currentline : line;
variable currentchar : character;
variable solution : natural := 0;
variable exists : file_open_status;
begin
--pragma translate off
--synthesis translate_off
file_open(solution_input, FILE_PATH, WRITE_MODE);
file_close(solution_input);
generate_solutions_file;
--pragma translate on
--synthesis translate_on
file_open(solution_input, FILE_PATH, READ_MODE);
if endfile(solution_input) then
assert false
report "The values needed to generate multiplication/divisions have not yet been " &
"generated. It is first required to launch the simulation in order to achieve this"
severity error;
end if;
if not endfile(solution_input) then
readline(solution_input, currentline);
for i in 1 to currentline'length loop
read(currentline, currentchar);
solution := 10*solution + (character'pos(currentchar)-character'pos('0'));
end loop;
end if;
file_close(solution_input);
return solution;
end function;
constant NUMBER_OF_VERTEXES : natural := read_number_of_vertexes;
type T_solutions is record
fundamental : positive;
u : positive;
l1 : natural;
v : positive;
l2 : natural;
s : boolean; --true: v is positive, false: v is negative
is_target : boolean;
flevel : natural;
max_child_flevel : natural;
high : integer;
end record;
type T_solutions_v is array(natural range <>) of T_solutions;
procedure insert(
vector : inout T_solutions_v;
index : in positive;
member : in natural;
value : in natural)
is
begin
case member is
when 0 => vector(index).fundamental := value;
when 1 => vector(index).u := value;
when 2 => vector(index).l1 := value;
when 3 => vector(index).v := value;
when 4 => vector(index).l2 := value;
when others => vector(index).s := ite(value=1, true, false);
end case;
end procedure;
function contains(
vector : positive_v;
number : positive)
return boolean is
begin
for i in vector'range loop
if vector(i) = number then
return true;
end if;
end loop;
return false;
end function;
function index_from_fund(
vertexes : T_solutions_v;
fund : positive)
return natural is
variable result : natural := 0;
begin
for1:
for i in 1 to NUMBER_OF_VERTEXES loop
if vertexes(i).fundamental = fund then
return i;
end if;
end loop;
return result;
end function;
function calculate_max_flevel(
vertexes : T_solutions_v)
return natural is
variable vertex : T_solutions_v(vertexes'range) := vertexes;
variable max_f : natural := 0;
variable aux : natural;
begin
--calculate max_flevel
if NUMBER_OF_VERTEXES > 0 then
vertex(1).flevel := 0;
end if;
if NUMBER_OF_VERTEXES > 1 then
for i in 2 to NUMBER_OF_VERTEXES loop
aux := 1 + maximum(vertex(index_from_fund(vertexes, vertexes(i).u)).flevel,
vertex(index_from_fund(vertexes, vertexes(i).v)).flevel);
vertex(i).flevel := aux;
max_f := maximum(max_f, aux);
end loop;
end if;
return max_f;
end function;
procedure populate_vertexes(
vertexes : inout T_solutions_v;
max_flevel : in natural)
is
variable aux : natural;
variable lowest_child_flevel : natural_v(1 to NUMBER_OF_VERTEXES) := (others => natural'high);
begin
if NUMBER_OF_VERTEXES>0 then
vertexes(1).flevel := 0;
vertexes(1).high := OUT_LOW + input_high - input_low;
end if;
if NUMBER_OF_VERTEXES>1 then
--generate high values for all fundamentals but the first
for i in 2 to NUMBER_OF_VERTEXES loop
vertexes(i).high := calculate_high(vertexes(i).fundamental,
vertexes(1).high,
is_signed => false);
end loop;
--assign values of flevel for all fundamentals' parents but the first
for i in 2 to NUMBER_OF_VERTEXES loop
aux := 1 + maximum(vertexes(index_from_fund(vertexes, vertexes(i).u)).flevel,
vertexes(index_from_fund(vertexes, vertexes(i).v)).flevel);
vertexes(i).flevel := aux;
end loop;
--increase the flevel value of each fundamental to the highest possible(so as to delay the
--operations the most and reduce the registers used in the pipelining)
for j in 1 to NUMBER_OF_VERTEXES loop
--update the lowest flevel of the children for each vertex
for i in 2 to NUMBER_OF_VERTEXES loop
aux := index_from_fund(vertexes, vertexes(i).u);
lowest_child_flevel(aux) := minimum(lowest_child_flevel(aux), vertexes(i).flevel);
aux := index_from_fund(vertexes, vertexes(i).v);
lowest_child_flevel(aux) := minimum(lowest_child_flevel(aux), vertexes(i).flevel);
end loop;
--then increase the flevel when possible
for i in NUMBER_OF_VERTEXES downto 2 loop
if lowest_child_flevel(i) = natural'high then
vertexes(i).flevel := max_flevel;
else
vertexes(i).flevel := lowest_child_flevel(i) - 1;
end if;
end loop;
end loop;
--assign values to max_child_flevel for all fundamentals
for i in 2 to NUMBER_OF_VERTEXES loop
aux := index_from_fund(vertexes, vertexes(i).u);
vertexes(aux).max_child_flevel := maximum(vertexes(aux).max_child_flevel, vertexes(i).flevel);
aux := index_from_fund(vertexes, vertexes(i).v);
vertexes(aux).max_child_flevel := maximum(vertexes(aux).max_child_flevel, vertexes(i).flevel);
end loop;
--if the fundamental is a target increase the max_child_flevel to max_flevel
for i in 1 to NUMBER_OF_VERTEXES loop
if vertexes(i).is_target then
vertexes(i).max_child_flevel := max_flevel + 1;
end if;
end loop;
end if;
end procedure;
--reads the solution vertexes and returns a static structure with the data
impure function read_vertexes
return T_solutions_v is
variable result : T_solutions_v(1 to NUMBER_OF_VERTEXES);
variable currentline : line;
variable currentchar : character;
variable aux : natural := 0;
variable member : natural := 0;
variable max_f : natural;
begin
file_open(solution_input, FILE_PATH, READ_MODE);
if not endfile(solution_input) then
readline(solution_input, currentline);--discard first line
if not endfile(solution_input) then
for i in 1 to NUMBER_OF_VERTEXES loop
readline(solution_input, currentline);
member := 0;
for j in 1 to currentline'length loop
read(currentline, currentchar);
if currentchar = ' ' then
insert(result, i, member, aux);
aux := 0;
member := member + 1;
else
aux := 10*aux + (character'pos(currentchar)-character'pos('0'));
end if;
end loop;
--add the last read member
insert(result, i, member, aux);
--add whether the actual fundamental is a target (which is not read from the file)
result(i).is_target := contains(MULT_FUNDAMENTAL, result(i).fundamental);
aux := 0;
end loop;
end if;
end if;
file_close(solution_input);
max_f := calculate_max_flevel(result);
populate_vertexes(result, max_f);
return result;
end function;
constant VERTEXES : T_solutions_v(1 to NUMBER_OF_VERTEXES) := read_vertexes;
--same as before but referred directly to the constant VERTEXES
function index_from_fund(
fund : positive)
return natural is
begin
for1:
for i in 1 to NUMBER_OF_VERTEXES loop
if VERTEXES(i).fundamental = fund then
return i;
end if;
end loop;
return 0; --when not found return 0
end function;
constant MAX_FLEVEL : natural := calculate_max_flevel(VERTEXES);
/* constants related to pipelines */
/***********************************************************************************************/
--number of possible positions to place pipelines
constant PIPELINE_POSITIONS : natural := MAX_FLEVEL + 1;
--boolean vector which indicates whether a pipeline is placed or not on each possible position
constant IS_PIPELINED : boolean_v(0 to PIPELINE_POSITIONS-1) := generate_pipelines(PIPELINE_POSITIONS,
SPEED_opt);
--number of pipelines
constant PIPELINES : natural := number_of_pipelines(PIPELINE_POSITIONS,
SPEED_opt);
/* signals */
/***********************************************************************************************/
signal fundamental_signals : u_ufixed_vv(1 to NUMBER_OF_VERTEXES)(0 to MAX_FLEVEL)(OUT_HIGH downto INTER_LOW);
signal valid_input_sh : std_ulogic_vector(1 to PIPELINES);
signal pre_output : u_ufixed_v(1 to MULT_FUNDAMENTAL'length)(OUT_HIGH downto OUT_LOW);
/*================================================================================================*/
/*================================================================================================*/
begin
generate_valid_output:
if PIPELINES > 0 generate
begin
valid_output <= valid_input_sh(PIPELINES);
process(clk) is
begin
if rising_edge(clk) then
valid_input_sh <= valid_input_sh srl 1;
valid_input_sh(1) <= valid_input;
end if;
end process;
end;
else generate
begin
valid_output <= valid_input;
end;
end generate;
msg_debug("real_const_mult_core_u FILE_PATH: " & string'(FILE_PATH));
msg_debug("real_const_mult_core_u NUMBER_OF_VERTEXES: " & image(NUMBER_OF_VERTEXES));
msg_debug("real_const_mult_core_u OUT_HIGH: " & image(OUT_HIGH));
msg_debug("real_const_mult_core_u OUT_LOW: " & image(OUT_LOW));
generate_each_constant:
for i in 1 to CONSTANTS'length generate
begin
msg_debug("real_const_mult_core_u CONSTANTS(" & image(i) & "): " & image(CONSTANTS(i)));
msg_debug("real_const_mult_core_u MULT_FUNDAMENTAL(" & image(i) & "): " & image(MULT_FUNDAMENTAL(i)));
msg_debug("real_const_mult_core_u PRE_VP_SHIFT(" & image(i) & "): " & image(PRE_VP_SHIFT(i)));
end generate;
pipeline_or_connection_of_input:
if IS_PIPELINED(0) generate
begin
process(clk) is
begin
if rising_edge(clk) then
fundamental_signals(1)(0)(VERTEXES(1).high downto OUT_LOW) <= input;
end if;
end process;
end;
else generate
fundamental_signals(1)(0)(VERTEXES(1).high downto OUT_LOW) <= input;
end generate;
if_max_child_of_input_is_higher_than_1:
if VERTEXES(1).max_child_flevel > 1 generate
generate_pipelines_fundamental_for_1_for_each_flevel:
for j in 1 to VERTEXES(1).max_child_flevel - 1 generate
constant high : integer := VERTEXES(1).high;
begin
pipeline_or_connection:
if IS_PIPELINED(j) generate
begin
process(clk) is
begin
if rising_edge(clk) then
fundamental_signals(1)(j)(high downto OUT_LOW)
<= fundamental_signals(1)(j-1)(high downto OUT_LOW);
end if;
end process;
end;
else generate
fundamental_signals(1)(j)(high downto OUT_LOW)
<= fundamental_signals(1)(j-1)(high downto OUT_LOW);
end generate;
end;
end generate;
end generate;
generate_pipelines_for_other_fundamentals:
for i in 2 to NUMBER_OF_VERTEXES generate
constant first : natural := VERTEXES(i).flevel;
constant last : natural := VERTEXES(i).max_child_flevel - 1;
constant high : integer := vertexes(i).high;
begin
if_last_greater_than_first:
if last > first generate
for_each_flevel:
for j in first+1 to last generate
pipeline_or_connection:
if IS_PIPELINED(j) generate
begin
process(clk) is
begin
if rising_edge(clk) then
fundamental_signals(i)(j)(high downto OUT_LOW)
<= fundamental_signals(i)(j-1)(high downto OUT_LOW);
end if;
end process;
end;
else generate
fundamental_signals(i)(j)(high downto OUT_LOW)
<= fundamental_signals(i)(j-1)(high downto OUT_LOW);
end generate;
end generate;
end generate;
end;
end generate;
generate_fundamental_signals:
for i in 2 to NUMBER_OF_VERTEXES generate
constant current : T_solutions := VERTEXES(i);
constant u : positive := current.u;
constant l1 : natural := current.l1;
constant v : positive := current.v;
constant l2 : natural := current.l2;
constant s : boolean := current.s;
constant flevel : natural := current.flevel;
constant high : integer := current.high;
constant u_high : integer := vertexes(index_from_fund(u)).high;
constant v_high : integer := vertexes(index_from_fund(v)).high;
signal signal1 : u_ufixed(u_high downto OUT_LOW);
signal signal2 : u_ufixed(v_high downto OUT_LOW);
signal signal3 : u_ufixed(u_high+1 downto OUT_LOW);
signal aux1 : u_ufixed(high downto OUT_LOW);
signal aux2 : u_ufixed(high downto OUT_LOW);
signal aux3 : u_ufixed(high+1 downto OUT_LOW);
signal result1 : u_ufixed(high downto OUT_LOW);
signal result2 : u_ufixed(high+1 downto OUT_LOW);
begin
signal1 <= fundamental_signals(index_from_fund(u))(flevel-1)(u_high downto OUT_LOW);
signal2 <= fundamental_signals(index_from_fund(v))(flevel-1)(v_high downto OUT_LOW);
signal3 <= resize(fundamental_signals(index_from_fund(u))(flevel-1)(u_high downto OUT_LOW), signal3);
aux1 <= resize(signal1, aux1) sll l1;
aux2 <= resize(signal2, aux2) sll l2;
aux3 <= resize(signal3, aux3) sll l1;
result1 <= resize(aux1 + aux2, result1);
result2 <= resize(aux3 - resize(aux2, aux3), result2);
pipeline_or_connection:
if IS_PIPELINED(flevel) generate
begin
process(clk) is
begin
if rising_edge(clk) then
fundamental_signals(i)(flevel)(high downto OUT_LOW)
<= result1(high downto OUT_LOW) when s else
result2(high downto OUT_LOW);
end if;
end process;
end;
else generate
begin
positive_or_negative:
if s generate
fundamental_signals(i)(flevel)(high downto OUT_LOW)
<= result1(high downto OUT_LOW);
else generate
fundamental_signals(i)(flevel)(high downto OUT_LOW)
<= result2(high downto OUT_LOW);
end generate;
end;
end generate;
end;
end generate;
invert_output:
for i in 1 to CONSTANTS'length generate
constant index : integer := index_from_fund(MULT_FUNDAMENTAL(i));
constant high : integer := VERTEXES(index).high;
signal aux : u_ufixed(high downto OUT_LOW);
signal result1 : u_ufixed(high downto OUT_LOW);
begin
aux <= fundamental_signals(index)(MAX_FLEVEL)(high downto OUT_LOW);
result1 <= resize(aux, result1);
pre_output(i)(result1'range) <= result1;
end;
end generate;
generate_output_shifts:
for i in 1 to CONSTANTS'length generate
constant index : integer := index_from_fund(MULT_FUNDAMENTAL(i));
constant high : integer := VERTEXES(index).high;
constant adjustment : integer := -PRE_VP_SHIFT(i) - (OUT_LOW - input_low);
begin
depending_on_adjustment_value:
if adjustment > 0 generate
output(i) <= resize(pre_output(i)(high downto OUT_LOW),
output(i))
sll
adjustment;
else generate
output(i) <= resize(pre_output(i)(high downto OUT_LOW),
output(i))
sra
abs(adjustment); --to introduce the leftmost bit when shifting to the right
end generate;
end;
end generate;
end architecture; | mit | 09498f2ca25f6dce35f3f07f5c12e252 | 0.491529 | 4.528668 | false | false | false | false |
VHDLTool/VHDL_Handbook_STD | Extras/VHDL/STD_04900_good.vhd | 1 | 3,184 | -------------------------------------------------------------------------------------------------
-- Company : CNES
-- Author : Mickael Carl (CNES)
-- Copyright : Copyright (c) CNES.
-- Licensing : GNU GPLv3
-------------------------------------------------------------------------------------------------
-- Version : V1
-- Version history :
-- V1 : 2015-04-07 : Mickael Carl (CNES): Creation
-------------------------------------------------------------------------------------------------
-- File name : STD_04900_good.vhd
-- File Creation date : 2015-04-07
-- Project name : VHDL Handbook CNES Edition
-------------------------------------------------------------------------------------------------
-- Softwares : Microsoft Windows (Windows 7) - Editor (Eclipse + VEditor)
-------------------------------------------------------------------------------------------------
-- Description : Handbook example: Edge detection best practice: good example
--
-- Limitations : This file is an example of the VHDL handbook made by CNES. It is a stub aimed at
-- demonstrating good practices in VHDL and as such, its design is minimalistic.
-- It is provided as is, without any warranty.
--
-------------------------------------------------------------------------------------------------
-- Naming conventions:
--
-- i_Port: Input entity port
-- o_Port: Output entity port
-- b_Port: Bidirectional entity port
-- g_My_Generic: Generic entity port
--
-- c_My_Constant: Constant definition
-- t_My_Type: Custom type definition
--
-- My_Signal_n: Active low signal
-- v_My_Variable: Variable
-- sm_My_Signal: FSM signal
-- pkg_Param: Element Param coming from a package
--
-- My_Sig_re: Rising edge detection of My_Signal
-- My_Sig_fe: Falling edge detection of My_Signal
-- My_Signal_rX: X times registered My_Signal signal
--
-- P_Process_Name: Process
--
-------------------------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity STD_04900_good is
port (
i_Reset_n : in std_logic; -- Reset signal
i_Clock : in std_logic; -- Clock signal
i_A : in std_logic; -- Signal on which detect edges
o_A_re : out std_logic; -- Rising edge of A
o_A_fe : out std_logic; -- Falling edge of A
o_A_ae : out std_logic -- Any edge of A
);
end STD_04900_good;
architecture Behavioral of STD_04900_good is
signal A_r1 : std_logic; -- i_A registered 1 time
signal A_r2 : std_logic; -- i_A registered 2 times
begin
--CODE
-- Registration process to be able to detect edges of signal A
P_Registration : process(i_Reset_n, i_Clock)
begin
if (i_Reset_n = '0') then
A_r1 <= '0';
A_r2 <= '0';
elsif (rising_edge(i_Clock)) then
A_r1 <= i_A;
A_r2 <= A_r1;
end if;
end process;
-- Assign the outputs of the module:
o_A_re <= A_r1 and not A_r2;
o_A_fe <= not A_r1 and A_r2;
o_A_ae <= A_r1 xor A_r2;
end Behavioral;
--CODE
| gpl-3.0 | b5dae8c47af3f5ad52bb9d6d345d24a9 | 0.479271 | 4.189474 | false | false | false | false |
ComputerArchitectureGroupPWr/SimulationCore | src/sysmon_v2.vhd | 1 | 3,560 | library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
library UNISIM;
use UNISIM.VComponents.all;
entity toplevel is
Port (clk : in STD_LOGIC;
Vccint : out STD_LOGIC_VECTOR (15 downto 0);
temint : out STD_LOGIC_VECTOR (15 downto 0);
busy : out STD_LOGIC;
alarm : out STD_LOGIC);
end toplevel;
architecture Behavioral of toplevel is
signal dobus : std_logic_vector(15 downto 0);
signal vccint_temp : std_logic_vector(15 downto 0);
signal temint_temp : std_logic_vector(15 downto 0);
signal vccint_temp_next : std_logic_vector(15 downto 0);
signal temint_temp_next : std_logic_vector(15 downto 0);
signal addr : std_logic_vector(6 downto 0);
signal addr_next : std_logic_vector(6 downto 0);
signal alm: std_logic_vector(2 downto 0);
signal eos: std_logic;
signal rdy: std_logic;
type state_type is (read_vcc, store_vcc, read_tem, store_tem);
signal currState, nextState : state_type;
begin
process(clk)
begin
if rising_edge(clk) then
currState <= nextState;
vccint_temp <= vccint_temp_next;
temint_temp <= temint_temp_next;
addr <= addr_next;
end if;
end process;
process(currState, dobus, vccint_temp, temint_temp)
begin
case currState is
when read_vcc =>
nextState <= store_vcc;
vccint_temp_next <= vccint_temp;
temint_temp_next <= temint_temp;
addr_next <= "0000001";
when store_vcc =>
if rdy = '1' then
nextState <= read_tem;
vccint_temp_next <= "000000" & dobus(15 downto 6);
else
nextState <= store_vcc;
vccint_temp_next <= vccint_temp;
end if;
temint_temp_next <= temint_temp;
addr_next <= "0000001";
when read_tem =>
nextState <= store_tem;
vccint_temp_next <= vccint_temp;
temint_temp_next <= temint_temp;
addr_next <= "0000000";
when store_tem =>
if rdy = '1' then
nextState <= read_vcc;
temint_temp_next <= "000000" & dobus(15 downto 6);
else
nextState <= store_tem;
temint_temp_next <= temint_temp;
end if;
vccint_temp_next <= vccint_temp;
addr_next <= "0000000";
when others =>
nextState <= read_vcc;
vccint_temp_next <= vccint_temp;
temint_temp_next <= temint_temp;
addr_next <= "0000000";
end case;
end process;
vccint <= vccint_temp;
temint <= temint_temp;
-- Connect ALM[2] (Vccaux alarm) to output
alarm <= alm(2);
my_sysmon : SYSMON
generic map(
INIT_40 => X"0000",
INIT_41 => X"20C7",
INIT_42 => X"0A00",
INIT_43 => X"0000",
INIT_44 => X"0000",
INIT_45 => X"0000",
INIT_46 => X"0000",
INIT_47 => X"0000",
INIT_48 => X"0301",
INIT_49 => X"0000",
INIT_4A => X"0000", -- Sequence register 2
INIT_4B => X"0000", -- Sequence register 3
INIT_4C => X"0000", -- Sequence register 4
INIT_4D => X"0000", -- Sequence register 5
INIT_4E => X"0000", -- Sequence register 6
INIT_4F => X"0000", -- Sequence register 7
INIT_50 => X"0000", -- Alarm limit register 0
INIT_51 => X"0000", -- Alarm limit register 1
INIT_52 => X"E000", -- Alarm limit register 2
INIT_53 => X"0000", -- Alarm limit register 3
INIT_54 => X"0000", -- Alarm limit register 4
INIT_55 => X"0000", -- Alarm limit register 5
INIT_56 => X"CAAA", -- Alarm limit register 6
INIT_57 => X"0000", -- Alarm limit register 7
SIM_MONITOR_FILE => "vccaux_alarm.txt" --Stimulus file for analog simulation
)
port map (
DCLK => clk,
DWE => '0',
DEN => eos,
DRDY => rdy,
DADDR => addr,
DO => dobus,
CHANNEL => open,
EOS => eos,
BUSY => busy,
ALM => alm,
RESET=> '0',
CONVST => '0',
CONVSTCLK => '0',
DI => "0000000000000000",
VAUXN => "0000000000000000",
VAUXP=> "0000000000000000",
VN => '0',
VP => '0'
);
end Behavioral;
| mit | ed8e8aec7fb4cea79d65dd77500ed34c | 0.661517 | 2.882591 | false | false | false | false |
VHDLTool/VHDL_Handbook_STD | Extras/VHDL/STD_05700_good.vhd | 1 | 3,495 | -------------------------------------------------------------------------------------------------
-- Company : CNES
-- Author : Mickael Carl (CNES)
-- Copyright : Copyright (c) CNES.
-- Licensing : GNU GPLv3
-------------------------------------------------------------------------------------------------
-- Version : V1
-- Version history :
-- V1 : 2015-04-08 : Mickael Carl (CNES): Creation
-------------------------------------------------------------------------------------------------
-- File name : STD_05700_good.vhd
-- File Creation date : 2015-04-08
-- Project name : VHDL Handbook CNES Edition
-------------------------------------------------------------------------------------------------
-- Softwares : Microsoft Windows (Windows 7) - Editor (Eclipse + VEditor)
-------------------------------------------------------------------------------------------------
-- Description : Handbook example: Unsuitability of gated clocks: good example
--
-- Limitations : This file is an example of the VHDL handbook made by CNES. It is a stub aimed at
-- demonstrating good practices in VHDL and as such, its design is minimalistic.
-- It is provided as is, without any warranty.
-- This example is compliant with the Handbook version 1.
--
-------------------------------------------------------------------------------------------------
-- Naming conventions:
--
-- i_Port: Input entity port
-- o_Port: Output entity port
-- b_Port: Bidirectional entity port
-- g_My_Generic: Generic entity port
--
-- c_My_Constant: Constant definition
-- t_My_Type: Custom type definition
--
-- My_Signal_n: Active low signal
-- v_My_Variable: Variable
-- sm_My_Signal: FSM signal
-- pkg_Param: Element Param coming from a package
--
-- My_Signal_re: Rising edge detection of My_Signal
-- My_Signal_fe: Falling edge detection of My_Signal
-- My_Signal_rX: X times registered My_Signal signal
--
-- P_Process_Name: Process
--
-------------------------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.pkg_HBK.all;
--CODE
entity STD_05700_good is
port (
i_Clock : in std_logic; -- Clock signal
i_Reset_n : in std_logic; -- Reset signal
i_Enable : in std_logic; -- Enable signal
i_Data : in std_logic; -- Input data
o_Data : out std_logic; -- Output data
o_Gated_Clock : out std_logic -- Gated clock
);
end STD_05700_good;
architecture Behavioral of STD_05700_good is
signal Enable_r : std_logic;
signal Data_r : std_logic; -- Data signal registered
signal Data_r2 : std_logic; -- Data signal registered twice
begin
DFF_En : DFlipFlop
port map (
i_Clock => i_Clock,
i_Reset_n => i_Reset_n,
i_D => i_Enable,
o_Q => Enable_r,
o_Q_n => open
);
-- Make the Flip-Flop work when Enable signal is at 1
-- Enable signal on D Flip-flop
P_Sync_Data : process(i_Reset_n, i_Clock)
begin
if (i_Reset_n = '0') then
Data_r <= '0';
Data_r2 <= '0';
elsif (rising_edge(i_Clock)) then
if (Enable_r = '1') then
Data_r <= i_Data;
Data_r2 <= Data_r;
end if;
end if;
end process;
o_Data <= Data_r2;
end Behavioral;
--CODE
| gpl-3.0 | acbc8ffab07f7f5aa4135567b1e0293c | 0.480114 | 4.267399 | false | false | false | false |
ILoveSpeccy/Aeon-Lite | cores/speccy/src/cpu/T80.vhd | 2 | 32,378 | -- ****
-- T80(b) core. In an effort to merge and maintain bug fixes ....
--
--
-- Ver 303 add undocumented DDCB and FDCB opcodes by TobiFlex 20.04.2010
-- Ver 302 fixed IO cycle timing, tested thanks to Alessandro.
-- Ver 301 parity flag is just parity for 8080, also overflow for Z80, by Sean Riddle
-- Ver 300 started tidyup. Rmoved some auto_wait bits from 0247 which caused problems
--
-- MikeJ March 2005
-- Latest version from www.fpgaarcade.com (original www.opencores.org)
--
-- ****
--
-- Z80 compatible microprocessor core
--
-- Version : 0247
--
-- Copyright (c) 2001-2002 Daniel Wallner ([email protected])
--
-- All rights reserved
--
-- Redistribution and use in source and synthezised forms, with or without
-- modification, are permitted provided that the following conditions are met:
--
-- Redistributions of source code must retain the above copyright notice,
-- this list of conditions and the following disclaimer.
--
-- Redistributions in synthesized form must reproduce the above copyright
-- notice, this list of conditions and the following disclaimer in the
-- documentation and/or other materials provided with the distribution.
--
-- Neither the name of the author nor the names of other contributors may
-- be used to endorse or promote products derived from this software without
-- specific prior written permission.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
-- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO,
-- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR
-- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE
-- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR
-- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF
-- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS
-- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN
-- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
-- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE
-- POSSIBILITY OF SUCH DAMAGE.
--
-- Please report bugs to the author, but before you do so, please
-- make sure that this is not a derivative work and that
-- you have the latest version of this file.
--
-- The latest version of this file can be found at:
-- http://www.opencores.org/cvsweb.shtml/t80/
--
-- Limitations :
--
-- File history :
--
-- 0208 : First complete release
--
-- 0210 : Fixed wait and halt
--
-- 0211 : Fixed Refresh addition and IM 1
--
-- 0214 : Fixed mostly flags, only the block instructions now fail the zex regression test
--
-- 0232 : Removed refresh address output for Mode > 1 and added DJNZ M1_n fix by Mike Johnson
--
-- 0235 : Added clock enable and IM 2 fix by Mike Johnson
--
-- 0237 : Changed 8080 I/O address output, added IntE output
--
-- 0238 : Fixed (IX/IY+d) timing and 16 bit ADC and SBC zero flag
--
-- 0240 : Added interrupt ack fix by Mike Johnson, changed (IX/IY+d) timing and changed flags in GB mode
--
-- 0242 : Added I/O wait, fixed refresh address, moved some registers to RAM
--
-- 0247 : Fixed bus req/ack cycle
--
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.T80_Pack.all;
entity T80 is
generic(
Mode : integer := 0; -- 0 => Z80, 1 => Fast Z80, 2 => 8080, 3 => GB
IOWait : integer := 0; -- 1 => Single cycle I/O, 1 => Std I/O cycle
Flag_C : integer := 0;
Flag_N : integer := 1;
Flag_P : integer := 2;
Flag_X : integer := 3;
Flag_H : integer := 4;
Flag_Y : integer := 5;
Flag_Z : integer := 6;
Flag_S : integer := 7
);
port(
RESET_n : in std_logic;
CLK_n : in std_logic;
CEN : in std_logic;
WAIT_n : in std_logic;
INT_n : in std_logic;
NMI_n : in std_logic;
BUSRQ_n : in std_logic;
M1_n : out std_logic;
IORQ : out std_logic;
NoRead : out std_logic;
Write : out std_logic;
RFSH_n : out std_logic;
HALT_n : out std_logic;
BUSAK_n : out std_logic;
A : out std_logic_vector(15 downto 0);
DInst : in std_logic_vector(7 downto 0);
DI : in std_logic_vector(7 downto 0);
DO : out std_logic_vector(7 downto 0);
MC : out std_logic_vector(2 downto 0);
TS : out std_logic_vector(2 downto 0);
IntCycle_n : out std_logic;
IntE : out std_logic;
Stop : out std_logic
);
end T80;
architecture rtl of T80 is
constant aNone : std_logic_vector(2 downto 0) := "111";
constant aBC : std_logic_vector(2 downto 0) := "000";
constant aDE : std_logic_vector(2 downto 0) := "001";
constant aXY : std_logic_vector(2 downto 0) := "010";
constant aIOA : std_logic_vector(2 downto 0) := "100";
constant aSP : std_logic_vector(2 downto 0) := "101";
constant aZI : std_logic_vector(2 downto 0) := "110";
-- Registers
signal ACC, F : std_logic_vector(7 downto 0);
signal Ap, Fp : std_logic_vector(7 downto 0);
signal I : std_logic_vector(7 downto 0);
signal R : unsigned(7 downto 0);
signal SP, PC : unsigned(15 downto 0);
signal RegDIH : std_logic_vector(7 downto 0);
signal RegDIL : std_logic_vector(7 downto 0);
signal RegBusA : std_logic_vector(15 downto 0);
signal RegBusB : std_logic_vector(15 downto 0);
signal RegBusC : std_logic_vector(15 downto 0);
signal RegAddrA_r : std_logic_vector(2 downto 0);
signal RegAddrA : std_logic_vector(2 downto 0);
signal RegAddrB_r : std_logic_vector(2 downto 0);
signal RegAddrB : std_logic_vector(2 downto 0);
signal RegAddrC : std_logic_vector(2 downto 0);
signal RegWEH : std_logic;
signal RegWEL : std_logic;
signal Alternate : std_logic;
-- Help Registers
signal TmpAddr : std_logic_vector(15 downto 0); -- Temporary address register
signal IR : std_logic_vector(7 downto 0); -- Instruction register
signal ISet : std_logic_vector(1 downto 0); -- Instruction set selector
signal RegBusA_r : std_logic_vector(15 downto 0);
signal ID16 : signed(15 downto 0);
signal Save_Mux : std_logic_vector(7 downto 0);
signal TState : unsigned(2 downto 0);
signal MCycle : std_logic_vector(2 downto 0);
signal IntE_FF1 : std_logic;
signal IntE_FF2 : std_logic;
signal Halt_FF : std_logic;
signal BusReq_s : std_logic;
signal BusAck : std_logic;
signal ClkEn : std_logic;
signal NMI_s : std_logic;
signal INT_s : std_logic;
signal IStatus : std_logic_vector(1 downto 0);
signal DI_Reg : std_logic_vector(7 downto 0);
signal T_Res : std_logic;
signal XY_State : std_logic_vector(1 downto 0);
signal Pre_XY_F_M : std_logic_vector(2 downto 0);
signal NextIs_XY_Fetch : std_logic;
signal XY_Ind : std_logic;
signal No_BTR : std_logic;
signal BTR_r : std_logic;
signal Auto_Wait : std_logic;
signal Auto_Wait_t1 : std_logic;
signal Auto_Wait_t2 : std_logic;
signal IncDecZ : std_logic;
-- ALU signals
signal BusB : std_logic_vector(7 downto 0);
signal BusA : std_logic_vector(7 downto 0);
signal ALU_Q : std_logic_vector(7 downto 0);
signal F_Out : std_logic_vector(7 downto 0);
-- Registered micro code outputs
signal Read_To_Reg_r : std_logic_vector(4 downto 0);
signal Arith16_r : std_logic;
signal Z16_r : std_logic;
signal ALU_Op_r : std_logic_vector(3 downto 0);
signal Save_ALU_r : std_logic;
signal PreserveC_r : std_logic;
signal MCycles : std_logic_vector(2 downto 0);
-- Micro code outputs
signal MCycles_d : std_logic_vector(2 downto 0);
signal TStates : std_logic_vector(2 downto 0);
signal IntCycle : std_logic;
signal NMICycle : std_logic;
signal Inc_PC : std_logic;
signal Inc_WZ : std_logic;
signal IncDec_16 : std_logic_vector(3 downto 0);
signal Prefix : std_logic_vector(1 downto 0);
signal Read_To_Acc : std_logic;
signal Read_To_Reg : std_logic;
signal Set_BusB_To : std_logic_vector(3 downto 0);
signal Set_BusA_To : std_logic_vector(3 downto 0);
signal ALU_Op : std_logic_vector(3 downto 0);
signal Save_ALU : std_logic;
signal PreserveC : std_logic;
signal Arith16 : std_logic;
signal Set_Addr_To : std_logic_vector(2 downto 0);
signal Jump : std_logic;
signal JumpE : std_logic;
signal JumpXY : std_logic;
signal Call : std_logic;
signal RstP : std_logic;
signal LDZ : std_logic;
signal LDW : std_logic;
signal LDSPHL : std_logic;
signal IORQ_i : std_logic;
signal Special_LD : std_logic_vector(2 downto 0);
signal ExchangeDH : std_logic;
signal ExchangeRp : std_logic;
signal ExchangeAF : std_logic;
signal ExchangeRS : std_logic;
signal I_DJNZ : std_logic;
signal I_CPL : std_logic;
signal I_CCF : std_logic;
signal I_SCF : std_logic;
signal I_RETN : std_logic;
signal I_BT : std_logic;
signal I_BC : std_logic;
signal I_BTR : std_logic;
signal I_RLD : std_logic;
signal I_RRD : std_logic;
signal I_INRC : std_logic;
signal SetDI : std_logic;
signal SetEI : std_logic;
signal IMode : std_logic_vector(1 downto 0);
signal Halt : std_logic;
signal XYbit_undoc : std_logic;
begin
mcode : T80_MCode
generic map(
Mode => Mode,
Flag_C => Flag_C,
Flag_N => Flag_N,
Flag_P => Flag_P,
Flag_X => Flag_X,
Flag_H => Flag_H,
Flag_Y => Flag_Y,
Flag_Z => Flag_Z,
Flag_S => Flag_S)
port map(
IR => IR,
ISet => ISet,
MCycle => MCycle,
F => F,
NMICycle => NMICycle,
IntCycle => IntCycle,
XY_State => XY_State,
MCycles => MCycles_d,
TStates => TStates,
Prefix => Prefix,
Inc_PC => Inc_PC,
Inc_WZ => Inc_WZ,
IncDec_16 => IncDec_16,
Read_To_Acc => Read_To_Acc,
Read_To_Reg => Read_To_Reg,
Set_BusB_To => Set_BusB_To,
Set_BusA_To => Set_BusA_To,
ALU_Op => ALU_Op,
Save_ALU => Save_ALU,
PreserveC => PreserveC,
Arith16 => Arith16,
Set_Addr_To => Set_Addr_To,
IORQ => IORQ_i,
Jump => Jump,
JumpE => JumpE,
JumpXY => JumpXY,
Call => Call,
RstP => RstP,
LDZ => LDZ,
LDW => LDW,
LDSPHL => LDSPHL,
Special_LD => Special_LD,
ExchangeDH => ExchangeDH,
ExchangeRp => ExchangeRp,
ExchangeAF => ExchangeAF,
ExchangeRS => ExchangeRS,
I_DJNZ => I_DJNZ,
I_CPL => I_CPL,
I_CCF => I_CCF,
I_SCF => I_SCF,
I_RETN => I_RETN,
I_BT => I_BT,
I_BC => I_BC,
I_BTR => I_BTR,
I_RLD => I_RLD,
I_RRD => I_RRD,
I_INRC => I_INRC,
SetDI => SetDI,
SetEI => SetEI,
IMode => IMode,
Halt => Halt,
NoRead => NoRead,
Write => Write,
XYbit_undoc => XYbit_undoc);
alu : T80_ALU
generic map(
Mode => Mode,
Flag_C => Flag_C,
Flag_N => Flag_N,
Flag_P => Flag_P,
Flag_X => Flag_X,
Flag_H => Flag_H,
Flag_Y => Flag_Y,
Flag_Z => Flag_Z,
Flag_S => Flag_S)
port map(
Arith16 => Arith16_r,
Z16 => Z16_r,
ALU_Op => ALU_Op_r,
IR => IR(5 downto 0),
ISet => ISet,
BusA => BusA,
BusB => BusB,
F_In => F,
Q => ALU_Q,
F_Out => F_Out);
ClkEn <= CEN and not BusAck;
T_Res <= '1' when TState = unsigned(TStates) else '0';
NextIs_XY_Fetch <= '1' when XY_State /= "00" and XY_Ind = '0' and
((Set_Addr_To = aXY) or
(MCycle = "001" and IR = "11001011") or
(MCycle = "001" and IR = "00110110")) else '0';
Save_Mux <= BusB when ExchangeRp = '1' else
DI_Reg when Save_ALU_r = '0' else
ALU_Q;
process (RESET_n, CLK_n)
begin
if RESET_n = '0' then
PC <= (others => '0'); -- Program Counter
A <= (others => '0');
TmpAddr <= (others => '0');
IR <= "00000000";
ISet <= "00";
XY_State <= "00";
IStatus <= "00";
MCycles <= "000";
DO <= "00000000";
ACC <= (others => '1');
F <= (others => '1');
Ap <= (others => '1');
Fp <= (others => '1');
I <= (others => '0');
R <= (others => '0');
SP <= (others => '1');
Alternate <= '0';
Read_To_Reg_r <= "00000";
F <= (others => '1');
Arith16_r <= '0';
BTR_r <= '0';
Z16_r <= '0';
ALU_Op_r <= "0000";
Save_ALU_r <= '0';
PreserveC_r <= '0';
XY_Ind <= '0';
elsif CLK_n'event and CLK_n = '1' then
if ClkEn = '1' then
ALU_Op_r <= "0000";
Save_ALU_r <= '0';
Read_To_Reg_r <= "00000";
MCycles <= MCycles_d;
if IMode /= "11" then
IStatus <= IMode;
end if;
Arith16_r <= Arith16;
PreserveC_r <= PreserveC;
if ISet = "10" and ALU_OP(2) = '0' and ALU_OP(0) = '1' and MCycle = "011" then
Z16_r <= '1';
else
Z16_r <= '0';
end if;
if MCycle = "001" and TState(2) = '0' then
-- MCycle = 1 and TState = 1, 2, or 3
if TState = 2 and Wait_n = '1' then
if Mode < 2 then
A(7 downto 0) <= std_logic_vector(R);
A(15 downto 8) <= I;
R(6 downto 0) <= R(6 downto 0) + 1;
end if;
if Jump = '0' and Call = '0' and NMICycle = '0' and IntCycle = '0' and not (Halt_FF = '1' or Halt = '1') then
PC <= PC + 1;
end if;
if IntCycle = '1' and IStatus = "01" then
IR <= "11111111";
elsif Halt_FF = '1' or (IntCycle = '1' and IStatus = "10") or NMICycle = '1' then
IR <= "00000000";
else
IR <= DInst;
end if;
ISet <= "00";
if Prefix /= "00" then
if Prefix = "11" then
if IR(5) = '1' then
XY_State <= "10";
else
XY_State <= "01";
end if;
else
if Prefix = "10" then
XY_State <= "00";
XY_Ind <= '0';
end if;
ISet <= Prefix;
end if;
else
XY_State <= "00";
XY_Ind <= '0';
end if;
end if;
else
-- either (MCycle > 1) OR (MCycle = 1 AND TState > 3)
if MCycle = "110" then
XY_Ind <= '1';
if Prefix = "01" then
ISet <= "01";
end if;
end if;
if T_Res = '1' then
BTR_r <= (I_BT or I_BC or I_BTR) and not No_BTR;
if Jump = '1' then
A(15 downto 8) <= DI_Reg;
A(7 downto 0) <= TmpAddr(7 downto 0);
PC(15 downto 8) <= unsigned(DI_Reg);
PC(7 downto 0) <= unsigned(TmpAddr(7 downto 0));
elsif JumpXY = '1' then
A <= RegBusC;
PC <= unsigned(RegBusC);
elsif Call = '1' or RstP = '1' then
A <= TmpAddr;
PC <= unsigned(TmpAddr);
elsif MCycle = MCycles and NMICycle = '1' then
A <= "0000000001100110";
PC <= "0000000001100110";
elsif MCycle = "011" and IntCycle = '1' and IStatus = "10" then
A(15 downto 8) <= I;
A(7 downto 0) <= TmpAddr(7 downto 0);
PC(15 downto 8) <= unsigned(I);
PC(7 downto 0) <= unsigned(TmpAddr(7 downto 0));
else
case Set_Addr_To is
when aXY =>
if XY_State = "00" then
A <= RegBusC;
else
if NextIs_XY_Fetch = '1' then
A <= std_logic_vector(PC);
else
A <= TmpAddr;
end if;
end if;
when aIOA =>
if Mode = 3 then
-- Memory map I/O on GBZ80
A(15 downto 8) <= (others => '1');
elsif Mode = 2 then
-- Duplicate I/O address on 8080
A(15 downto 8) <= DI_Reg;
else
A(15 downto 8) <= ACC;
end if;
A(7 downto 0) <= DI_Reg;
when aSP =>
A <= std_logic_vector(SP);
when aBC =>
if Mode = 3 and IORQ_i = '1' then
-- Memory map I/O on GBZ80
A(15 downto 8) <= (others => '1');
A(7 downto 0) <= RegBusC(7 downto 0);
else
A <= RegBusC;
end if;
when aDE =>
A <= RegBusC;
when aZI =>
if Inc_WZ = '1' then
A <= std_logic_vector(unsigned(TmpAddr) + 1);
else
A(15 downto 8) <= DI_Reg;
A(7 downto 0) <= TmpAddr(7 downto 0);
end if;
when others =>
A <= std_logic_vector(PC);
end case;
end if;
Save_ALU_r <= Save_ALU;
ALU_Op_r <= ALU_Op;
if I_CPL = '1' then
-- CPL
ACC <= not ACC;
F(Flag_Y) <= not ACC(5);
F(Flag_H) <= '1';
F(Flag_X) <= not ACC(3);
F(Flag_N) <= '1';
end if;
if I_CCF = '1' then
-- CCF
F(Flag_C) <= not F(Flag_C);
F(Flag_Y) <= ACC(5);
F(Flag_H) <= F(Flag_C);
F(Flag_X) <= ACC(3);
F(Flag_N) <= '0';
end if;
if I_SCF = '1' then
-- SCF
F(Flag_C) <= '1';
F(Flag_Y) <= ACC(5);
F(Flag_H) <= '0';
F(Flag_X) <= ACC(3);
F(Flag_N) <= '0';
end if;
end if;
if TState = 2 and Wait_n = '1' then
if ISet = "01" and MCycle = "111" then
IR <= DInst;
end if;
if JumpE = '1' then
PC <= unsigned(signed(PC) + signed(DI_Reg));
elsif Inc_PC = '1' then
PC <= PC + 1;
end if;
if BTR_r = '1' then
PC <= PC - 2;
end if;
if RstP = '1' then
TmpAddr <= (others =>'0');
TmpAddr(5 downto 3) <= IR(5 downto 3);
end if;
end if;
if TState = 3 and MCycle = "110" then
TmpAddr <= std_logic_vector(signed(RegBusC) + signed(DI_Reg));
end if;
if (TState = 2 and Wait_n = '1') or (TState = 4 and MCycle = "001") then
if IncDec_16(2 downto 0) = "111" then
if IncDec_16(3) = '1' then
SP <= SP - 1;
else
SP <= SP + 1;
end if;
end if;
end if;
if LDSPHL = '1' then
SP <= unsigned(RegBusC);
end if;
if ExchangeAF = '1' then
Ap <= ACC;
ACC <= Ap;
Fp <= F;
F <= Fp;
end if;
if ExchangeRS = '1' then
Alternate <= not Alternate;
end if;
end if;
if TState = 3 then
if LDZ = '1' then
TmpAddr(7 downto 0) <= DI_Reg;
end if;
if LDW = '1' then
TmpAddr(15 downto 8) <= DI_Reg;
end if;
if Special_LD(2) = '1' then
case Special_LD(1 downto 0) is
when "00" =>
ACC <= I;
F(Flag_P) <= IntE_FF2;
when "01" =>
ACC <= std_logic_vector(R);
F(Flag_P) <= IntE_FF2;
when "10" =>
I <= ACC;
when others =>
R <= unsigned(ACC);
end case;
end if;
end if;
if (I_DJNZ = '0' and Save_ALU_r = '1') or ALU_Op_r = "1001" then
if Mode = 3 then
F(6) <= F_Out(6);
F(5) <= F_Out(5);
F(7) <= F_Out(7);
if PreserveC_r = '0' then
F(4) <= F_Out(4);
end if;
else
F(7 downto 1) <= F_Out(7 downto 1);
if PreserveC_r = '0' then
F(Flag_C) <= F_Out(0);
end if;
end if;
end if;
if T_Res = '1' and I_INRC = '1' then
F(Flag_H) <= '0';
F(Flag_N) <= '0';
if DI_Reg(7 downto 0) = "00000000" then
F(Flag_Z) <= '1';
else
F(Flag_Z) <= '0';
end if;
F(Flag_S) <= DI_Reg(7);
F(Flag_P) <= not (DI_Reg(0) xor DI_Reg(1) xor DI_Reg(2) xor DI_Reg(3) xor
DI_Reg(4) xor DI_Reg(5) xor DI_Reg(6) xor DI_Reg(7));
end if;
if TState = 1 then
DO <= BusB;
if I_RLD = '1' then
DO(3 downto 0) <= BusA(3 downto 0);
DO(7 downto 4) <= BusB(3 downto 0);
end if;
if I_RRD = '1' then
DO(3 downto 0) <= BusB(7 downto 4);
DO(7 downto 4) <= BusA(3 downto 0);
end if;
end if;
if T_Res = '1' then
Read_To_Reg_r(3 downto 0) <= Set_BusA_To;
Read_To_Reg_r(4) <= Read_To_Reg;
if Read_To_Acc = '1' then
Read_To_Reg_r(3 downto 0) <= "0111";
Read_To_Reg_r(4) <= '1';
end if;
end if;
if TState = 1 and I_BT = '1' then
F(Flag_X) <= ALU_Q(3);
F(Flag_Y) <= ALU_Q(1);
F(Flag_H) <= '0';
F(Flag_N) <= '0';
end if;
if I_BC = '1' or I_BT = '1' then
F(Flag_P) <= IncDecZ;
end if;
if (TState = 1 and Save_ALU_r = '0') or
(Save_ALU_r = '1' and ALU_OP_r /= "0111") then
case Read_To_Reg_r is
when "10111" =>
ACC <= Save_Mux;
when "10110" =>
DO <= Save_Mux;
when "11000" =>
SP(7 downto 0) <= unsigned(Save_Mux);
when "11001" =>
SP(15 downto 8) <= unsigned(Save_Mux);
when "11011" =>
F <= Save_Mux;
when others =>
end case;
if XYbit_undoc='1' then
DO <= ALU_Q;
end if;
end if;
end if;
end if;
end process;
---------------------------------------------------------------------------
--
-- BC('), DE('), HL('), IX and IY
--
---------------------------------------------------------------------------
process (CLK_n)
begin
if CLK_n'event and CLK_n = '1' then
if ClkEn = '1' then
-- Bus A / Write
RegAddrA_r <= Alternate & Set_BusA_To(2 downto 1);
if XY_Ind = '0' and XY_State /= "00" and Set_BusA_To(2 downto 1) = "10" then
RegAddrA_r <= XY_State(1) & "11";
end if;
-- Bus B
RegAddrB_r <= Alternate & Set_BusB_To(2 downto 1);
if XY_Ind = '0' and XY_State /= "00" and Set_BusB_To(2 downto 1) = "10" then
RegAddrB_r <= XY_State(1) & "11";
end if;
-- Address from register
RegAddrC <= Alternate & Set_Addr_To(1 downto 0);
-- Jump (HL), LD SP,HL
if (JumpXY = '1' or LDSPHL = '1') then
RegAddrC <= Alternate & "10";
end if;
if ((JumpXY = '1' or LDSPHL = '1') and XY_State /= "00") or (MCycle = "110") then
RegAddrC <= XY_State(1) & "11";
end if;
if I_DJNZ = '1' and Save_ALU_r = '1' and Mode < 2 then
IncDecZ <= F_Out(Flag_Z);
end if;
if (TState = 2 or (TState = 3 and MCycle = "001")) and IncDec_16(2 downto 0) = "100" then
if ID16 = 0 then
IncDecZ <= '0';
else
IncDecZ <= '1';
end if;
end if;
RegBusA_r <= RegBusA;
end if;
end if;
end process;
RegAddrA <=
-- 16 bit increment/decrement
Alternate & IncDec_16(1 downto 0) when (TState = 2 or
(TState = 3 and MCycle = "001" and IncDec_16(2) = '1')) and XY_State = "00" else
XY_State(1) & "11" when (TState = 2 or
(TState = 3 and MCycle = "001" and IncDec_16(2) = '1')) and IncDec_16(1 downto 0) = "10" else
-- EX HL,DL
Alternate & "10" when ExchangeDH = '1' and TState = 3 else
Alternate & "01" when ExchangeDH = '1' and TState = 4 else
-- Bus A / Write
RegAddrA_r;
RegAddrB <=
-- EX HL,DL
Alternate & "01" when ExchangeDH = '1' and TState = 3 else
-- Bus B
RegAddrB_r;
ID16 <= signed(RegBusA) - 1 when IncDec_16(3) = '1' else
signed(RegBusA) + 1;
process (Save_ALU_r, Auto_Wait_t1, ALU_OP_r, Read_To_Reg_r,
ExchangeDH, IncDec_16, MCycle, TState, Wait_n)
begin
RegWEH <= '0';
RegWEL <= '0';
if (TState = 1 and Save_ALU_r = '0') or
(Save_ALU_r = '1' and ALU_OP_r /= "0111") then
case Read_To_Reg_r is
when "10000" | "10001" | "10010" | "10011" | "10100" | "10101" =>
RegWEH <= not Read_To_Reg_r(0);
RegWEL <= Read_To_Reg_r(0);
when others =>
end case;
end if;
if ExchangeDH = '1' and (TState = 3 or TState = 4) then
RegWEH <= '1';
RegWEL <= '1';
end if;
if IncDec_16(2) = '1' and ((TState = 2 and Wait_n = '1' and MCycle /= "001") or (TState = 3 and MCycle = "001")) then
case IncDec_16(1 downto 0) is
when "00" | "01" | "10" =>
RegWEH <= '1';
RegWEL <= '1';
when others =>
end case;
end if;
end process;
process (Save_Mux, RegBusB, RegBusA_r, ID16,
ExchangeDH, IncDec_16, MCycle, TState, Wait_n)
begin
RegDIH <= Save_Mux;
RegDIL <= Save_Mux;
if ExchangeDH = '1' and TState = 3 then
RegDIH <= RegBusB(15 downto 8);
RegDIL <= RegBusB(7 downto 0);
end if;
if ExchangeDH = '1' and TState = 4 then
RegDIH <= RegBusA_r(15 downto 8);
RegDIL <= RegBusA_r(7 downto 0);
end if;
if IncDec_16(2) = '1' and ((TState = 2 and MCycle /= "001") or (TState = 3 and MCycle = "001")) then
RegDIH <= std_logic_vector(ID16(15 downto 8));
RegDIL <= std_logic_vector(ID16(7 downto 0));
end if;
end process;
Regs : T80_Reg
port map(
Clk => CLK_n,
CEN => ClkEn,
WEH => RegWEH,
WEL => RegWEL,
AddrA => RegAddrA,
AddrB => RegAddrB,
AddrC => RegAddrC,
DIH => RegDIH,
DIL => RegDIL,
DOAH => RegBusA(15 downto 8),
DOAL => RegBusA(7 downto 0),
DOBH => RegBusB(15 downto 8),
DOBL => RegBusB(7 downto 0),
DOCH => RegBusC(15 downto 8),
DOCL => RegBusC(7 downto 0));
---------------------------------------------------------------------------
--
-- Buses
--
---------------------------------------------------------------------------
process (CLK_n)
begin
if CLK_n'event and CLK_n = '1' then
if ClkEn = '1' then
case Set_BusB_To is
when "0111" =>
BusB <= ACC;
when "0000" | "0001" | "0010" | "0011" | "0100" | "0101" =>
if Set_BusB_To(0) = '1' then
BusB <= RegBusB(7 downto 0);
else
BusB <= RegBusB(15 downto 8);
end if;
when "0110" =>
BusB <= DI_Reg;
when "1000" =>
BusB <= std_logic_vector(SP(7 downto 0));
when "1001" =>
BusB <= std_logic_vector(SP(15 downto 8));
when "1010" =>
BusB <= "00000001";
when "1011" =>
BusB <= F;
when "1100" =>
BusB <= std_logic_vector(PC(7 downto 0));
when "1101" =>
BusB <= std_logic_vector(PC(15 downto 8));
when "1110" =>
BusB <= "00000000";
when others =>
BusB <= "--------";
end case;
case Set_BusA_To is
when "0111" =>
BusA <= ACC;
when "0000" | "0001" | "0010" | "0011" | "0100" | "0101" =>
if Set_BusA_To(0) = '1' then
BusA <= RegBusA(7 downto 0);
else
BusA <= RegBusA(15 downto 8);
end if;
when "0110" =>
BusA <= DI_Reg;
when "1000" =>
BusA <= std_logic_vector(SP(7 downto 0));
when "1001" =>
BusA <= std_logic_vector(SP(15 downto 8));
when "1010" =>
BusA <= "00000000";
when others =>
BusA <= "--------";
end case;
if XYbit_undoc='1' then
BusA <= DI_Reg;
BusB <= DI_Reg;
end if;
end if;
end if;
end process;
---------------------------------------------------------------------------
--
-- Generate external control signals
--
---------------------------------------------------------------------------
process (RESET_n,CLK_n)
begin
if RESET_n = '0' then
RFSH_n <= '1';
elsif CLK_n'event and CLK_n = '1' then
if CEN = '1' then
if MCycle = "001" and ((TState = 2 and Wait_n = '1') or TState = 3) then
RFSH_n <= '0';
else
RFSH_n <= '1';
end if;
end if;
end if;
end process;
MC <= std_logic_vector(MCycle);
TS <= std_logic_vector(TState);
DI_Reg <= DI;
HALT_n <= not Halt_FF;
BUSAK_n <= not BusAck;
IntCycle_n <= not IntCycle;
IntE <= IntE_FF1;
IORQ <= IORQ_i;
Stop <= I_DJNZ;
-------------------------------------------------------------------------
--
-- Syncronise inputs
--
-------------------------------------------------------------------------
process (RESET_n, CLK_n)
variable OldNMI_n : std_logic;
begin
if RESET_n = '0' then
BusReq_s <= '0';
INT_s <= '0';
NMI_s <= '0';
OldNMI_n := '0';
elsif CLK_n'event and CLK_n = '1' then
if CEN = '1' then
BusReq_s <= not BUSRQ_n;
INT_s <= not INT_n;
if NMICycle = '1' then
NMI_s <= '0';
elsif NMI_n = '0' and OldNMI_n = '1' then
NMI_s <= '1';
end if;
OldNMI_n := NMI_n;
end if;
end if;
end process;
-------------------------------------------------------------------------
--
-- Main state machine
--
-------------------------------------------------------------------------
process (RESET_n, CLK_n)
begin
if RESET_n = '0' then
MCycle <= "001";
TState <= "000";
Pre_XY_F_M <= "000";
Halt_FF <= '0';
BusAck <= '0';
NMICycle <= '0';
IntCycle <= '0';
IntE_FF1 <= '0';
IntE_FF2 <= '0';
No_BTR <= '0';
Auto_Wait_t1 <= '0';
Auto_Wait_t2 <= '0';
M1_n <= '1';
elsif CLK_n'event and CLK_n = '1' then
if CEN = '1' then
Auto_Wait_t1 <= Auto_Wait;
Auto_Wait_t2 <= Auto_Wait_t1;
No_BTR <= (I_BT and (not IR(4) or not F(Flag_P))) or
(I_BC and (not IR(4) or F(Flag_Z) or not F(Flag_P))) or
(I_BTR and (not IR(4) or F(Flag_Z)));
if TState = 2 then
if SetEI = '1' then
IntE_FF1 <= '1';
IntE_FF2 <= '1';
end if;
if I_RETN = '1' then
IntE_FF1 <= IntE_FF2;
end if;
end if;
if TState = 3 then
if SetDI = '1' then
IntE_FF1 <= '0';
IntE_FF2 <= '0';
end if;
end if;
if IntCycle = '1' or NMICycle = '1' then
Halt_FF <= '0';
end if;
if MCycle = "001" and TState = 2 and Wait_n = '1' then
M1_n <= '1';
end if;
if BusReq_s = '1' and BusAck = '1' then
else
BusAck <= '0';
if TState = 2 and Wait_n = '0' then
elsif T_Res = '1' then
if Halt = '1' then
Halt_FF <= '1';
end if;
if BusReq_s = '1' then
BusAck <= '1';
else
TState <= "001";
if NextIs_XY_Fetch = '1' then
MCycle <= "110";
Pre_XY_F_M <= MCycle;
if IR = "00110110" and Mode = 0 then
Pre_XY_F_M <= "010";
end if;
elsif (MCycle = "111") or
(MCycle = "110" and Mode = 1 and ISet /= "01") then
MCycle <= std_logic_vector(unsigned(Pre_XY_F_M) + 1);
elsif (MCycle = MCycles) or
No_BTR = '1' or
(MCycle = "010" and I_DJNZ = '1' and IncDecZ = '1') then
M1_n <= '0';
MCycle <= "001";
IntCycle <= '0';
NMICycle <= '0';
if NMI_s = '1' and Prefix = "00" then
NMICycle <= '1';
IntE_FF1 <= '0';
elsif (IntE_FF1 = '1' and INT_s = '1') and Prefix = "00" and SetEI = '0' then
IntCycle <= '1';
IntE_FF1 <= '0';
IntE_FF2 <= '0';
end if;
else
MCycle <= std_logic_vector(unsigned(MCycle) + 1);
end if;
end if;
else
if Auto_Wait = '1' nand Auto_Wait_t2 = '0' then
TState <= TState + 1;
end if;
end if;
end if;
if TState = 0 then
M1_n <= '0';
end if;
end if;
end if;
end process;
process (IntCycle, NMICycle, MCycle)
begin
Auto_Wait <= '0';
if IntCycle = '1' or NMICycle = '1' then
if MCycle = "001" then
Auto_Wait <= '1';
end if;
end if;
end process;
end;
| gpl-3.0 | bccd5735ddfe4f75abd323551d47aba2 | 0.495923 | 3.04935 | false | false | false | false |
223323/lab2 | HDL/source/rtl/vhdl/text_mem.vhd | 1 | 2,009 | -------------------------------------------------------------------------------
-- Department of Computer Engineering and Communications
-- Author: LPRS2 <[email protected]>
--
-- Module Name: text_mem
--
-- Description:
--
-- Dual-port RAM for Text (cahar address in char_rom)
--
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity text_mem is
generic(
MEM_ADDR_WIDTH : natural := 32;
MEM_DATA_WIDTH : natural := 32;
MEM_SIZE : natural := 4800
);
port(
clk_i : in std_logic;
reset_n_i : in std_logic;
wr_addr_i : in std_logic_vector(MEM_ADDR_WIDTH-1 downto 0); -- Slave address input
rd_addr_i : in std_logic_vector(MEM_ADDR_WIDTH-1 downto 0); -- Slave address input
wr_data_i : in std_logic_vector(MEM_DATA_WIDTH-1 downto 0); -- Write data output
we_i : in std_logic; -- 1-write transaction
rd_data_o : out std_logic_vector(MEM_DATA_WIDTH-1 downto 0) -- read data output
);
end entity;
architecture arc_text_mem of text_mem is
type t_text_mem is array (0 to MEM_SIZE-1) of std_logic_vector(MEM_DATA_WIDTH-1 downto 0);
signal index_t : natural;
signal index : natural;
signal text_mem : t_text_mem := (
-- 0 => "000000",
-- 1 => "000001",
-- 2 => "000010",
others => (others => '0')
);
begin
DP_TEXT_MEM : process (clk_i) begin
if (rising_edge(clk_i)) then
if (we_i = '1') then
text_mem(conv_integer(wr_addr_i)) <= wr_data_i; -- update img_mem from out_mem
end if;
rd_data_o <= text_mem(conv_integer(index));
end if;
end process;
index_t <= conv_integer(rd_addr_i);
index <= index_t when (index_t < text_mem'length) else 0;
end arc_text_mem; | mit | 44891381b096834a88e52655b0fe97df | 0.519164 | 3.342762 | false | false | false | false |
ILoveSpeccy/Aeon-Lite | cores/atari800xl/src/dac.vhd | 1 | 1,150 | library ieee;
use ieee.std_logic_1164.all;
entity dac is
generic (
msbi_g : integer := 15
);
port (
clk_i : in std_logic;
res_n_i : in std_logic;
dac_i : in std_logic_vector(msbi_g downto 0);
dac_o : out std_logic
);
end dac;
library ieee;
use ieee.numeric_std.all;
architecture rtl of dac is
signal DACout_q : std_logic;
signal DeltaAdder_s,
SigmaAdder_s,
SigmaLatch_q,
DeltaB_s : unsigned(msbi_g+2 downto 0);
begin
DeltaB_s(msbi_g+2 downto msbi_g+1) <= SigmaLatch_q(msbi_g+2) &
SigmaLatch_q(msbi_g+2);
DeltaB_s(msbi_g downto 0) <= (others => '0');
DeltaAdder_s <= unsigned('0' & '0' & dac_i) + DeltaB_s;
SigmaAdder_s <= DeltaAdder_s + SigmaLatch_q;
seq: process (clk_i, res_n_i)
begin
if res_n_i = '0' then
SigmaLatch_q <= to_unsigned(2**(msbi_g+1), SigmaLatch_q'length);
DACout_q <= '0';
elsif clk_i'event and clk_i = '1' then
SigmaLatch_q <= SigmaAdder_s;
DACout_q <= SigmaLatch_q(msbi_g+2);
end if;
end process seq;
dac_o <= DACout_q;
end rtl;
| gpl-3.0 | 82f9322add49a2487843ed6edc278452 | 0.55913 | 2.738095 | false | false | false | false |
APastorG/APG | pipelines/pipelines_core.vhd | 1 | 2,743 | /***************************************************************************************************
/
/ Author: Antonio Pastor González
/ ¯¯¯¯¯¯
/
/ Date:
/ ¯¯¯¯
/
/ Version:
/ ¯¯¯¯¯¯¯
/
/ Notes:
/ ¯¯¯¯¯
/ This design makes use of some features from VHDL-2008, all of which have been implemented by
/ Altera and Xilinx in their software.
/ A 3 space tab is used throughout the document
/
/
/ Description:
/ ¯¯¯¯¯¯¯¯¯¯¯
/
/
**************************************************************************************************/
library ieee;
use ieee.numeric_std.all;
use ieee.std_logic_1164.all;
use ieee.math_real.all;
library work;
use work.fixed_float_types.all;
use work.fixed_generic_pkg.all;
use work.common_data_types_pkg.all;
/*================================================================================================*/
/*================================================================================================*/
/*================================================================================================*/
entity pipelines_core is
generic(
LENGTH : natural;
INPUT_HIGH : integer;
INPUT_LOW : integer
);
port(
clk : in std_ulogic;
input : in std_ulogic_vector;
output : out std_ulogic_vector
);
end entity;
/*================================================================================================*/
/*================================================================================================*/
/*================================================================================================*/
architecture pipelines_core_1 of pipelines_core is
/*================================================================================================*/
/*================================================================================================*/
begin
generate_pipelines:
if LENGTH = 0 generate
begin
output <= input;
end;
elsif LENGTH = 1 generate
signal aux : std_ulogic_vector(input'range);
begin
process (clk) is
begin
if rising_edge(clk) then
aux <= input;
output <= aux;
end if;
end process;
end;
else generate
signal aux : sulv_v(1 to LENGTH)(input'range);
begin
process (clk) is
begin
if rising_edge(clk) then
aux(1) <= input;
aux(2 to LENGTH) <= aux(1 to LENGTH-1);
output <= aux(LENGTH);
end if;
end process;
end;
end generate;
end architecture; | mit | bc99d18440867a4c5bcd3f6f8da3c258 | 0.336286 | 5.239845 | false | false | false | false |
VHDLTool/VHDL_Handbook_STD | Extras/VHDL/STD_06000_good.vhd | 1 | 3,264 | -------------------------------------------------------------------------------------------------
-- Company : CNES
-- Author : Mickael Carl (CNES)
-- Copyright : Copyright (c) CNES.
-- Licensing : GNU GPLv3
-------------------------------------------------------------------------------------------------
-- Version : V1
-- Version history :
-- V1 : 2015-04-13 : Mickael Carl (CNES): Creation
-------------------------------------------------------------------------------------------------
-- File name : STD_06000_good.vhd
-- File Creation date : 2015-04-13
-- Project name : VHDL Handbook CNES Edition
-------------------------------------------------------------------------------------------------
-- Softwares : Microsoft Windows (Windows 7) - Editor (Eclipse + VEditor)
-------------------------------------------------------------------------------------------------
-- Description : Handbook example: Range direction for arrays: good example
--
-- Limitations : This file is an example of the VHDL handbook made by CNES. It is a stub aimed at
-- demonstrating good practices in VHDL and as such, its design is minimalistic.
-- It is provided as is, without any warranty.
-- This example is compliant with the Handbook version 1.
--
-------------------------------------------------------------------------------------------------
-- Naming conventions:
--
-- i_Port: Input entity port
-- o_Port: Output entity port
-- b_Port: Bidirectional entity port
-- g_My_Generic: Generic entity port
--
-- c_My_Constant: Constant definition
-- t_My_Type: Custom type definition
--
-- My_Signal_n: Active low signal
-- v_My_Variable: Variable
-- sm_My_Signal: FSM signal
-- pkg_Param: Element Param coming from a package
--
-- My_Signal_re: Rising edge detection of My_Signal
-- My_Signal_fe: Falling edge detection of My_Signal
-- My_Signal_rX: X times registered My_Signal signal
--
-- P_Process_Name: Process
--
-------------------------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity STD_06000_good is
generic(
g_Data_Width : positive := 4;
g_Pipeline_Length : positive := 4
);
port (
i_Reset_n : in std_logic; -- Reset signal
i_Clock : in std_logic; -- Clock signal
i_Data : in std_logic_vector(g_Data_Width-1 downto 0); -- Incoming data to write
o_Data : out std_logic_vector(g_Data_Width-1 downto 0) -- Data read
);
end STD_06000_good;
--CODE
architecture Behavioral of STD_06000_good is
type t_Pipeline is array (0 to g_Pipeline_Length-1) of std_logic_vector(g_Data_Width-1 downto 0); -- Array for signal registration
signal D : t_Pipeline; -- Actual signal
begin
P_Register_Bank : process(i_Reset_n, i_Clock)
begin
if (i_Reset_n = '0') then
D <= (others => (others => '0'));
elsif (rising_edge(i_Clock)) then
D(0) <= i_Data;
for i in 1 to g_Pipeline_Length-1 loop
D(i) <= D(i-1);
end loop;
end if;
end process;
o_Data <= D(g_Pipeline_Length-1);
end Behavioral;
--CODE
| gpl-3.0 | d5a63da4d9c77798b7dc5f9875416dcd | 0.494792 | 4.352 | false | false | false | false |
benjmarshall/hls_scratchpad | hls_cmd_line_testing/hls_gui_proj/hls_sin_proj/solution1/syn/vhdl/sin_taylor_serieseOg.vhd | 4 | 3,084 | -- ==============================================================
-- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC
-- Version: 2017.1
-- Copyright (C) 1986-2017 Xilinx, Inc. All Rights Reserved.
--
-- ==============================================================
Library ieee;
use ieee.std_logic_1164.all;
entity sin_taylor_serieseOg is
generic (
ID : integer := 9;
NUM_STAGE : integer := 31;
din0_WIDTH : integer := 64;
din1_WIDTH : integer := 64;
dout_WIDTH : integer := 64
);
port (
clk : in std_logic;
reset : in std_logic;
ce : in std_logic;
din0 : in std_logic_vector(din0_WIDTH-1 downto 0);
din1 : in std_logic_vector(din1_WIDTH-1 downto 0);
dout : out std_logic_vector(dout_WIDTH-1 downto 0)
);
end entity;
architecture arch of sin_taylor_serieseOg is
--------------------- Component ---------------------
component sin_taylor_series_ap_ddiv_29_no_dsp_64 is
port (
aclk : in std_logic;
aclken : in std_logic;
s_axis_a_tvalid : in std_logic;
s_axis_a_tdata : in std_logic_vector(63 downto 0);
s_axis_b_tvalid : in std_logic;
s_axis_b_tdata : in std_logic_vector(63 downto 0);
m_axis_result_tvalid : out std_logic;
m_axis_result_tdata : out std_logic_vector(63 downto 0)
);
end component;
--------------------- Local signal ------------------
signal aclk : std_logic;
signal aclken : std_logic;
signal a_tvalid : std_logic;
signal a_tdata : std_logic_vector(63 downto 0);
signal b_tvalid : std_logic;
signal b_tdata : std_logic_vector(63 downto 0);
signal r_tvalid : std_logic;
signal r_tdata : std_logic_vector(63 downto 0);
signal din0_buf1 : std_logic_vector(din0_WIDTH-1 downto 0);
signal din1_buf1 : std_logic_vector(din1_WIDTH-1 downto 0);
begin
--------------------- Instantiation -----------------
sin_taylor_series_ap_ddiv_29_no_dsp_64_u : component sin_taylor_series_ap_ddiv_29_no_dsp_64
port map (
aclk => aclk,
aclken => aclken,
s_axis_a_tvalid => a_tvalid,
s_axis_a_tdata => a_tdata,
s_axis_b_tvalid => b_tvalid,
s_axis_b_tdata => b_tdata,
m_axis_result_tvalid => r_tvalid,
m_axis_result_tdata => r_tdata
);
--------------------- Assignment --------------------
aclk <= clk;
aclken <= ce;
a_tvalid <= '1';
a_tdata <= din0_buf1;
b_tvalid <= '1';
b_tdata <= din1_buf1;
dout <= r_tdata;
--------------------- Input buffer ------------------
process (clk) begin
if clk'event and clk = '1' then
if ce = '1' then
din0_buf1 <= din0;
din1_buf1 <= din1;
end if;
end if;
end process;
end architecture;
| mit | 0ed37b617b206f935df034536a091d4c | 0.480545 | 3.654028 | false | false | false | false |
APastorG/APG | pipelines/pipelines_s.vhd | 1 | 2,171 | /***************************************************************************************************
/
/ Author: Antonio Pastor González
/ ¯¯¯¯¯¯
/
/ Date:
/ ¯¯¯¯
/
/ Version:
/ ¯¯¯¯¯¯¯
/
/ Notes:
/ ¯¯¯¯¯
/ This design makes use of some features from VHDL-2008, all of which have been implemented by
/ Altera and Xilinx in their software.
/ A 3 space tab is used throughout the document
/
/
/ Description:
/ ¯¯¯¯¯¯¯¯¯¯¯
/
**************************************************************************************************/
library ieee;
use ieee.numeric_std.all;
use ieee.std_logic_1164.all;
use ieee.math_real.all;
library work;
use work.fixed_float_types.all;
use work.fixed_generic_pkg.all;
/*================================================================================================*/
/*================================================================================================*/
/*================================================================================================*/
entity pipelines_s is
generic(
LENGTH : natural
);
port(
clk : in std_ulogic;
input : in u_sfixed;
output : out u_sfixed
);
end entity;
/*================================================================================================*/
/*================================================================================================*/
/*================================================================================================*/
architecture pipelines_s_1 of pipelines_s is
signal input_aux : std_ulogic_vector(input'length-1 downto 0);
signal output_aux : std_ulogic_vector(input'length-1 downto 0);
begin
pipelines_core_1:
entity work.pipelines_core
generic map(
LENGTH => LENGTH,
INPUT_HIGH => input'high,
INPUT_LOW => input'low
)
port map(
clk => clk,
input => input_aux,
output => output_aux
);
output <= to_sfixed(output_aux, input'high, input'low);
input_aux <= std_ulogic_vector(input);
end architecture; | mit | ac51700cdd158c73842e2551760229ac | 0.36453 | 4.79148 | false | false | false | false |
223323/lab2 | HDL/source/rtl/vhdl/vga_sync.vhd | 1 | 14,759 | -------------------------------------------------------------------------------
-- Department of Computer Engineering and Communications
-- Author: LPRS2 <[email protected]>
--
-- Module Name: vga_sync
--
-- Description:
--
-- Implementation of VGA synchronization
--
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity vga_sync is
generic (
HORIZONTAL_RES : natural := 800;
VERTICAL_RES : natural := 600;
FRAME_SIZE : natural := 4
);
port (
clk_i : in std_logic;
rst_n_i : in std_logic;
show_frame_i : in std_logic;
--
direct_mode_i : in std_logic; -- 0 - text and graphics interface mode, 1 - direct mode (direct force RGB component)
dir_red_i : in std_logic_vector(7 downto 0);
dir_green_i : in std_logic_vector(7 downto 0);
dir_blue_i : in std_logic_vector(7 downto 0);
--
active_pixel_i : in std_logic;
foreground_color_i : in std_logic_vector(23 downto 0);
background_color_i : in std_logic_vector(23 downto 0);
frame_color_i : in std_logic_vector(23 downto 0);
red_o : out std_logic_vector(7 downto 0);
green_o : out std_logic_vector(7 downto 0);
blue_o : out std_logic_vector(7 downto 0);
pixel_row_o : out std_logic_vector (10 downto 0);
pixel_column_o : out std_logic_vector (10 downto 0);
horiz_sync_o : out std_logic;
vert_sync_o : out std_logic;
psave_o : out std_logic;
blank_o : out std_logic;
pix_clk_o : out std_logic;
sync_o : out std_logic
);
end vga_sync;
architecture rtl of vga_sync is
signal horiz_sync_r : std_logic;
signal vert_sync_r : std_logic;
signal enable_s : std_logic;
signal h_count_r : std_logic_vector( 10 downto 0 );
signal v_count_r : std_logic_vector( 10 downto 0 );
signal horiz_sync_out_d_r : std_logic;
signal vert_sync_out_d_r : std_logic;
signal psave_d_r : std_logic;
signal blank_d_r : std_logic;
signal sync_d_r : std_logic;
-- signali za registrovanje izlaza
signal red_r : std_logic_vector(7 downto 0);
signal green_r : std_logic_vector(7 downto 0);
signal blue_r : std_logic_vector(7 downto 0);
signal horiz_sync_out_r : std_logic;
signal vert_sync_out_r : std_logic;
signal pixel_row_r : std_logic_vector(10 downto 0);
signal pixel_column_r : std_logic_vector(10 downto 0);
signal psave_r : std_logic;
signal blank_r : std_logic;
signal sync_r : std_logic;
-- konstatne horizontalne sinhronizacije
signal h_pixels : integer range 0 to 2047;
signal h_frontporch : integer range 0 to 2047;
signal h_sync_time : integer range 0 to 2047;
signal h_backporch : integer range 0 to 2047;
-- konstatne vertikalne sinhronizacije
signal v_lines : integer range 0 to 2047;
signal v_frontporch : integer range 0 to 2047;
signal v_sync_time : integer range 0 to 2047;
signal v_backporch : integer range 0 to 2047;
signal active_frame : std_logic;
begin
-------------------------------------------------------------------------------------------------------------------------------------------------
-------------------------------------------------------------------------------------------------------------------------------------------------
-------------------------------------------------------------------------------------------------------------------------------------------------
-- definisanje parametara potrebnih za sihronizacione signale
-- ovi parametri zavise od rezolucije
res_0 : if ( HORIZONTAL_RES = 64 and VERTICAL_RES = 48 ) generate
h_pixels <= 64;
h_frontporch <= 2 ;
h_sync_time <= 2 ;
h_backporch <= 2 ;
v_lines <= 48;
v_frontporch <= 2 ;
v_sync_time <= 2 ;
v_backporch <= 2 ;
end generate res_0;
res_1 : if ( HORIZONTAL_RES = 640 and VERTICAL_RES = 480 ) generate
h_pixels <= 640;
h_frontporch <= 16 ;
h_sync_time <= 96 ;
h_backporch <= 40 ;
v_lines <= 480;
v_frontporch <= 11 ;
v_sync_time <= 2 ;
v_backporch <= 31 ;
end generate res_1;
res_2 : if ( HORIZONTAL_RES = 800 and VERTICAL_RES = 600 ) generate
h_pixels <= 800;
h_frontporch <= 56 ;
h_sync_time <= 120;
h_backporch <= 64 ;
v_lines <= 600;
v_frontporch <= 37 ;
v_sync_time <= 6 ;
v_backporch <= 23 ;
end generate res_2;
res_3 : if ( HORIZONTAL_RES = 1024 and VERTICAL_RES = 768 ) generate
h_pixels <= 1024;
h_frontporch <= 24 ;
h_sync_time <= 136 ;
h_backporch <= 144 ;
v_lines <= 768 ;
v_frontporch <= 3 ;
v_sync_time <= 6 ;
v_backporch <= 29 ;
end generate res_3;
res_4 : if ( HORIZONTAL_RES = 1152 and VERTICAL_RES = 864 ) generate
h_pixels <= 1152;
h_frontporch <= 64 ;
h_sync_time <= 128 ;
h_backporch <= 256 ;
v_lines <= 864 ;
v_frontporch <= 1 ;
v_sync_time <= 3 ;
v_backporch <= 32 ;
end generate res_4;
res_5 : if ( HORIZONTAL_RES = 1280 and VERTICAL_RES = 1024 ) generate
h_pixels <= 1280;
h_frontporch <= 48 ;
h_sync_time <= 112 ;
h_backporch <= 248 ;
v_lines <= 1024;
v_frontporch <= 1 ;
v_sync_time <= 3 ;
v_backporch <= 38 ;
end generate res_5;
-------------------------------------------------------------------------------------------------------------------------------------------------
-------------------------------------------------------------------------------------------------------------------------------------------------
--
-- |<--- active region --->|<----------- blanking region ---------->|<--- active region --->|<----------- blanking region ---------->|
-- | (pixels) | | (pixels) | |
-- | (lines) | | (lines) | |
-- | | | | |
-- ----+---------- ... --------+------------- --------------+---------- ... --------+------------- --------------+--
-- | | | | | | | | | |
-- | | |<--front |<---sync |<---back | |<--front |<---sync |<---back |
-- | | | porch-->| time--->| porch--->| | porch-->| time--->| porch--->|
------ | | --------------- | | --------------- |
-- | | | | |
-- |<------------------- period ----------------------------------->|<------------------- period ----------------------------------->|
--
-------------------------------------------------------------------------------------------------------------------------------------------------
-------------------------------------------------------------------------------------------------------------------------------------------------
-- broji od nule do pune velicine linije
process(clk_i)
begin
if ( clk_i'event and clk_i = '1' ) then
if ( rst_n_i = '0' ) then h_count_r <= (others => '0');
else
if ( h_count_r < ( h_sync_time + h_pixels + h_frontporch + h_backporch) ) then h_count_r <= h_count_r + 1;
else h_count_r <= (others => '0');
end if;
end if;
end if;
end process;
-- generise hsyncb : nula je nad hor sync_o
process(clk_i)
begin
if ( clk_i'event and clk_i = '1') then
if ( rst_n_i = '0') then horiz_sync_r <= '1';
else
if ( (h_count_r >= (h_frontporch + h_pixels)) and (h_count_r < (h_pixels + h_frontporch + h_sync_time) )) then horiz_sync_r <= '0';
else horiz_sync_r <= '1';
end if;
end if;
end if;
end process;
-- uvecava vcnt na rastucu ivicu hor sync_o
process(clk_i)
begin
if (clk_i'event and clk_i = '1') then
if ( rst_n_i = '0' ) then v_count_r <= (others => '0');
else
if ( h_count_r = h_pixels + h_frontporch + h_sync_time ) then
if ( v_count_r < (v_sync_time + v_lines + v_frontporch + v_backporch) ) then v_count_r <= v_count_r + 1;
else v_count_r <= (others => '0');
end if;
end if;
end if;
end if;
end process;
process(clk_i)
begin
if (clk_i'event and clk_i = '1') then
if ( rst_n_i = '0' ) then vert_sync_r <= '1';
else
if ( h_count_r = h_pixels + h_frontporch + h_sync_time ) then
if (v_count_r >= (v_lines + v_frontporch) and v_count_r < (v_lines + v_frontporch + v_sync_time)) then vert_sync_r <= '0';
else vert_sync_r <= '1';
end if;
end if;
end if;
end if;
end process;
process (h_count_r,v_count_r,h_pixels, v_lines)
begin
if ( (h_count_r >= h_pixels) or (v_count_r >= v_lines) ) then enable_s <= '0';
else enable_s <= '1';
end if;
end process;
-------------------------------------------------------------------------------------------------------------------------------------------------
-------------------------------------------------------------------------------------------------------------------------------------------------
-------------------------------------------------------------------------------------------------------------------------------------------------
-- registrovanje signala
reg_outputs_1:process (clk_i)
begin
if (clk_i'event and clk_i = '1') then
if (rst_n_i = '0') then
horiz_sync_out_r <= '0';
vert_sync_out_r <= '0';
psave_r <= '0';
blank_r <= '0';
sync_r <= '0';
horiz_sync_out_d_r <= '0';
vert_sync_out_d_r <= '0';
psave_d_r <= '0';
blank_d_r <= '0';
sync_d_r <= '0';
else
horiz_sync_out_d_r <= horiz_sync_r ;
vert_sync_out_d_r <= vert_sync_r ;
psave_d_r <= '1' ;
blank_d_r <= enable_s ;
sync_d_r <= vert_sync_r and horiz_sync_r;
horiz_sync_out_r <= horiz_sync_out_d_r;
vert_sync_out_r <= vert_sync_out_d_r ;
psave_r <= psave_d_r ;
blank_r <= blank_d_r ;
sync_r <= sync_d_r ;
end if;
end if;
end process reg_outputs_1;
reg_outputs_2:process (clk_i)
begin
if (clk_i'event and clk_i = '1') then
if (rst_n_i = '0') then
red_r <= (others => '0');
green_r <= (others => '0');
blue_r <= (others => '0');
else
if ( enable_s = '1' ) then
if (direct_mode_i = '1') then
red_r <= dir_red_i;
green_r <= dir_green_i;
blue_r <= dir_blue_i;
elsif (show_frame_i = '1' and active_frame = '1') then
red_r <= frame_color_i(23 downto 16);
green_r <= frame_color_i(15 downto 8);
blue_r <= frame_color_i(7 downto 0);
elsif (active_pixel_i = '1') then
red_r <= foreground_color_i(23 downto 16);
green_r <= foreground_color_i(15 downto 8);
blue_r <= foreground_color_i(7 downto 0);
else
red_r <= background_color_i(23 downto 16);
green_r <= background_color_i(15 downto 8);
blue_r <= background_color_i(7 downto 0);
end if;
end if;
end if;
end if;
end process reg_outputs_2;
process (v_count_r,h_count_r)begin
if (--okvir
v_count_r < FRAME_SIZE or
v_count_r > (VERTICAL_RES - FRAME_SIZE-1) or
h_count_r < FRAME_SIZE or
h_count_r > (HORIZONTAL_RES - FRAME_SIZE-1)) then
active_frame <= '1';
else
active_frame <= '0';
end if;
end process;
-- povezivanje signala na izlaz
red_o <= red_r ;
green_o <= green_r ;
blue_o <= blue_r ;
horiz_sync_o <= horiz_sync_out_r;
vert_sync_o <= vert_sync_out_r ;
pixel_row_o <= v_count_r ;
pixel_column_o <= h_count_r ;
psave_o <= psave_r ;
blank_o <= blank_r ;
pix_clk_o <= clk_i ;
sync_o <= sync_r ;
end rtl;
| mit | 5eb72a208b0a801e5aa62680407b24f8 | 0.363643 | 3.983536 | false | false | false | false |
APastorG/APG | butterfly/butterfly.vhd | 1 | 2,872 | /***************************************************************************************************
/
/ Author: Antonio Pastor González
/ ¯¯¯¯¯¯
/
/ Date:
/ ¯¯¯¯
/
/ Version:
/ ¯¯¯¯¯¯¯
/
/ Notes:
/ ¯¯¯¯¯
/ This design makes use of some features from VHDL-2008, all of which have been implemented by
/ Altera and Xilinx in their software.
/ A 3 space tab is used throughout the document
/
/
/ Description:
/ ¯¯¯¯¯¯¯¯¯¯¯
/ The input must have ranges of type for example (0 to 7)(high downto low)
/
**************************************************************************************************/
library ieee;
use ieee.numeric_std.all;
use ieee.std_logic_1164.all;
use ieee.math_real.all;
library work;
use work.fixed_float_types.all;
use work.fixed_generic_pkg.all;
use work.common_data_types_pkg.all;
use work.common_pkg.all;
/*================================================================================================*/
/*================================================================================================*/
/*================================================================================================*/
entity butterfly is
generic(
SPEED_opt : T_speed;
EXTEND_opt : boolean
);
port(
clk : in std_ulogic;
input : in sulv_v;
output : out sulv_v
);
end entity;
/*================================================================================================*/
/*================================================================================================*/
/*================================================================================================*/
architecture butterfly_1 of butterfly is
signal aux_in : u_sfixed_v(input'range)
(input'element'high downto input'element'low);
signal aux_out : u_sfixed_v(input'range)
(input'element'high+1 downto input'element'low);
/*================================================================================================*/
/*================================================================================================*/
begin
butterfly_core_s_1:
entity work.butterfly_core_s
generic map(
SPEED_opt => SPEED_opt,
EXTEND_opt => EXTEND_opt,
RANGE1_LEFT => input'left,
RANGE1_RIGHT => input'right,
RANGE2_LEFT => input'element'left,
RANGE2_RIGHT => input'element'right
)
port map(
clk => clk,
input => aux_in,
output => aux_out
);
generate_ports:
for i in input'range generate
begin
aux_in(i) <= to_sfixed(input(i), aux_in(i));
output(i) <= to_sulv(aux_out(i));
end;
end generate;
end architecture; | mit | f1d9ee491be91918506d979367fff292 | 0.366455 | 4.714286 | false | false | false | false |
sonologic/gmzpu | vhdl/testbenches/pic_tb.vhdl | 2 | 13,625 | ------------------------------------------------------------------------------
---- ----
---- gmzpu programmable interrupt controller (pic) testbench ----
---- ----
---- http://github.com/sonologic/gmzpu ----
---- ----
---- Description: ----
---- This is the testbench for the PIC ----
---- ----
---- To Do: ----
---- - ----
---- ----
---- Author: ----
---- - Salvador E. Tropea, salvador inti.gob.ar ----
---- - "Koen Martens" <gmc sonologic.nl> ----
---- ----
------------------------------------------------------------------------------
---- ----
---- Copyright (c) 2008 Salvador E. Tropea <salvador inti.gob.ar> ----
---- Copyright (c) 2008 Instituto Nacional de Tecnología Industrial ----
---- Copyright (c) 2014 Koen Martens ----
---- ----
---- Distributed under the BSD license ----
---- ----
------------------------------------------------------------------------------
---- ----
---- Design unit: pic_TB ----
---- File name: pic_tb.vhdl ----
---- Note: None ----
---- Limitations: None known ----
---- Errors: None known ----
---- Library: zpu ----
---- Dependencies: IEEE.std_logic_1164 ----
---- IEEE.numeric_std ----
---- Target FPGA: n/a ----
---- Language: VHDL ----
---- Wishbone: No ----
---- Synthesis tools: Modelsim ----
---- Simulation tools: Modelsim ----
---- Text editor: vim ----
---- ----
------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
library gmzpu;
use gmzpu.pic;
entity pic_TB is
end entity pic_TB;
architecture Behave of pic_TB is
constant CLK_FREQ : positive:=50; -- 50 MHz clock
constant CLK_S_PER : time:=1 us/(2.0*real(CLK_FREQ)); -- Clock semi period
constant DATA_WIDTH : natural:=16;
constant ADR_WIDTH : natural:=16;
constant N_BANKS : natural:=2;
component interrupt_controller is
generic(
-- address width (truncated to DATA_WIDTH)
ADR_WIDTH : natural:=4;
-- data bus width
DATA_WIDTH : natural:=32;
-- number of interrupt banks (each bank is DATA_WIDTH interrupt lines)
N_BANKS : natural:=2
);
port (
irq_o : out std_logic;
-- interrupt lines
int_i : in std_logic_vector((N_BANKS*DATA_WIDTH)-1 downto 0);
-- wishbone bus
rst_i : in std_logic;
clk_i : in std_logic;
wb_dat_o : out unsigned(DATA_WIDTH-1 downto 0);
wb_dat_i : in unsigned(DATA_WIDTH-1 downto 0);
wb_tgd_o : out unsigned(DATA_WIDTH-1 downto 0);
wb_tgd_i : in unsigned(DATA_WIDTH-1 downto 0);
wb_ack_o : out std_logic;
wb_adr_i : in unsigned(ADR_WIDTH-1 downto 0);
wb_cyc_i : in std_logic;
wb_stall_o : out std_logic;
wb_err_o : out std_logic;
wb_lock_i : in std_logic;
wb_rty_o : out std_logic;
wb_sel_i : in std_logic_vector(DATA_WIDTH-1 downto 0);
wb_stb_i : in std_logic;
wb_tga_i : in unsigned(ADR_WIDTH-1 downto 0);
wb_tgc_i : in unsigned(DATA_WIDTH-1 downto 0); -- size correct?
wb_we_i : in std_logic
);
end component interrupt_controller;
type sample is record
-- inputs
int_i : std_logic_vector((N_BANKS*DATA_WIDTH)-1 downto 0);
rst_i : std_logic;
wb_dat_i : unsigned(DATA_WIDTH-1 downto 0);
wb_tgd_i : unsigned(DATA_WIDTH-1 downto 0);
wb_adr_i : unsigned(ADR_WIDTH-1 downto 0);
wb_cyc_i : std_logic;
wb_lock_i : std_logic;
wb_sel_i : std_logic_vector(DATA_WIDTH-1 downto 0);
wb_stb_i : std_logic;
wb_tga_i : unsigned(ADR_WIDTH-1 downto 0);
wb_tgc_i : unsigned(DATA_WIDTH-1 downto 0);
wb_we_i : std_logic;
-- outputs
end record;
type sample_array is array(natural range <>) of sample;
constant test_data : sample_array :=
(
-- int_i rst wb_dat_i wb_tgd_i wb_adr_i cyc lock wb_sel_i stb wb_tga_i wb_tgc_i we
-- reset
(X"00000000", '1', X"0000", X"0000", X"0000", '0', '0', X"0000", '0', X"0000", X"0000", '0'),
(X"00000000", '1', X"0000", X"0000", X"0000", '0', '0', X"0000", '0', X"0000", X"0000", '0'),
(X"00000000", '0', X"0000", X"0000", X"0000", '0', '0', X"0000", '0', X"0000", X"0000", '0'),
(X"00000000", '0', X"0000", X"0000", X"0000", '0', '0', X"0000", '0', X"0000", X"0000", '0'),
-- write IMR0 <= X"FFFF"
(X"00000000", '0', X"FFFF", X"0000", X"0001", '1', '0', X"0000", '1', X"0000", X"0000", '1'),
(X"00000000", '0', X"FFFF", X"0000", X"0001", '1', '0', X"0000", '1', X"0000", X"0000", '1'),
(X"00000000", '0', X"0000", X"0000", X"0000", '0', '0', X"0000", '0', X"0000", X"0000", '0'),
(X"00000000", '0', X"0000", X"0000", X"0000", '0', '0', X"0000", '0', X"0000", X"0000", '0'),
-- read IMR0 (X"FFFF")
(X"00000000", '0', X"0000", X"0000", X"0001", '1', '0', X"0000", '1', X"0000", X"0000", '0'),
(X"00000000", '0', X"0000", X"0000", X"0001", '1', '0', X"0000", '1', X"0000", X"0000", '0'),
(X"00000000", '0', X"0000", X"0000", X"0000", '0', '0', X"0000", '0', X"0000", X"0000", '0'),
(X"00000000", '0', X"0000", X"0000", X"0000", '0', '0', X"0000", '0', X"0000", X"0000", '0'),
-- read IMR1 (X"0000")
(X"00000000", '0', X"0000", X"0000", X"0005", '1', '0', X"0000", '1', X"0000", X"0000", '0'),
(X"00000000", '0', X"0000", X"0000", X"0005", '1', '0', X"0000", '1', X"0000", X"0000", '0'),
(X"00000000", '0', X"0000", X"0000", X"0000", '0', '0', X"0000", '0', X"0000", X"0000", '0'),
(X"00000000", '0', X"0000", X"0000", X"0000", '0', '0', X"0000", '0', X"0000", X"0000", '0'),
-- raise interrupt in bank 0
(X"00000100", '0', X"0000", X"0000", X"0000", '0', '0', X"0000", '0', X"0000", X"0000", '0'),
(X"00000000", '0', X"0000", X"0000", X"0000", '0', '0', X"0000", '0', X"0000", X"0000", '0'),
(X"00000000", '0', X"0000", X"0000", X"0000", '0', '0', X"0000", '0', X"0000", X"0000", '0'),
-- read ICR0 (X"0100")
-- int_i rst wb_dat_i wb_tgd_i wb_adr_i cyc lock wb_sel_i stb wb_tga_i wb_tgc_i we
(X"00000000", '0', X"0000", X"0000", X"0000", '1', '0', X"0000", '1', X"0000", X"0000", '0'),
(X"00000000", '0', X"0000", X"0000", X"0000", '1', '0', X"0000", '1', X"0000", X"0000", '0'),
(X"00000000", '0', X"0000", X"0000", X"0000", '0', '0', X"0000", '0', X"0000", X"0000", '0'),
(X"00000000", '0', X"0000", X"0000", X"0000", '0', '0', X"0000", '0', X"0000", X"0000", '0'),
-- read ICR1 (X"0000")
(X"00000000", '0', X"0000", X"0000", X"0004", '1', '0', X"0000", '1', X"0000", X"0000", '0'),
(X"00000000", '0', X"0000", X"0000", X"0004", '1', '0', X"0000", '1', X"0000", X"0000", '0'),
(X"00000000", '0', X"0000", X"0000", X"0000", '0', '0', X"0000", '0', X"0000", X"0000", '0'),
(X"00000000", '0', X"0000", X"0000", X"0000", '0', '0', X"0000", '0', X"0000", X"0000", '0'),
-- reset ICR0 (X"0000")
(X"00000000", '0', X"0000", X"0000", X"0000", '1', '0', X"0000", '1', X"0000", X"0000", '1'),
(X"00000000", '0', X"0000", X"0000", X"0000", '1', '0', X"0000", '1', X"0000", X"0000", '1'),
(X"00000000", '0', X"0000", X"0000", X"0000", '0', '0', X"0000", '0', X"0000", X"0000", '0'),
(X"00000000", '0', X"0000", X"0000", X"0000", '0', '0', X"0000", '0', X"0000", X"0000", '0'),
-- raise interrupt in bank 1
(X"00200000", '0', X"0000", X"0000", X"0000", '0', '0', X"0000", '0', X"0000", X"0000", '0'),
(X"00000000", '0', X"0000", X"0000", X"0000", '0', '0', X"0000", '0', X"0000", X"0000", '0'),
(X"00000000", '0', X"0000", X"0000", X"0000", '0', '0', X"0000", '0', X"0000", X"0000", '0'),
-- read ICR1 (X"0020"), pipelined mode
(X"00000000", '0', X"0000", X"0000", X"0004", '1', '0', X"0000", '1', X"0000", X"0000", '0'),
(X"00000000", '0', X"0000", X"0000", X"0004", '1', '0', X"0000", '0', X"0000", X"0000", '0'),
(X"00000000", '0', X"0000", X"0000", X"0000", '0', '0', X"0000", '0', X"0000", X"0000", '0'),
(X"00000000", '0', X"0000", X"0000", X"0000", '0', '0', X"0000", '0', X"0000", X"0000", '0'),
-- term
(X"00000000", '0', X"0000", X"0000", X"0000", '0', '0', X"0000", '0', X"0000", X"0000", '0')
);
signal clk : std_logic;
signal irq_o : std_logic;
-- interrupt lines
signal int_i : std_logic_vector((N_BANKS*DATA_WIDTH)-1 downto 0);
-- wishbone bus
signal rst_i : std_logic;
signal clk_i : std_logic;
signal wb_dat_o : unsigned(DATA_WIDTH-1 downto 0);
signal wb_dat_i : unsigned(DATA_WIDTH-1 downto 0);
signal wb_tgd_o : unsigned(DATA_WIDTH-1 downto 0);
signal wb_tgd_i : unsigned(DATA_WIDTH-1 downto 0);
signal wb_ack_o : std_logic;
signal wb_adr_i : unsigned(ADR_WIDTH-1 downto 0);
signal wb_cyc_i : std_logic;
signal wb_stall_o : std_logic;
signal wb_err_o : std_logic;
signal wb_lock_i : std_logic;
signal wb_rty_o : std_logic;
signal wb_sel_i : std_logic_vector(DATA_WIDTH-1 downto 0);
signal wb_stb_i : std_logic;
signal wb_tga_i : unsigned(ADR_WIDTH-1 downto 0);
signal wb_tgc_i : unsigned(DATA_WIDTH-1 downto 0); -- size correct?
signal wb_we_i : std_logic;
begin
pic : interrupt_controller
generic map(
DATA_WIDTH => DATA_WIDTH, N_BANKS => N_BANKS, ADR_WIDTH => ADR_WIDTH
)
port map(irq_o => irq_o, int_i => int_i, rst_i => rst_i, clk_i => clk,
wb_dat_o => wb_dat_o, wb_dat_i => wb_dat_i, wb_tgd_o => wb_tgd_o,
wb_tgd_i => wb_tgd_i, wb_ack_o => wb_ack_o, wb_adr_i => wb_adr_i,
wb_cyc_i => wb_cyc_i, wb_stall_o => wb_stall_o, wb_err_o => wb_err_o,
wb_lock_i => wb_lock_i, wb_rty_o => wb_rty_o, wb_sel_i => wb_sel_i,
wb_stb_i => wb_stb_i, wb_tga_i => wb_tga_i, wb_tgc_i => wb_tgc_i,
wb_we_i => wb_we_i);
process
variable cycle_count : integer:=0;
begin
for i in test_data'range loop
clk <= '0';
wait for CLK_S_PER;
int_i <= test_data(i).int_i;
rst_i <= test_data(i).rst_i;
wb_dat_i <= test_data(i).wb_dat_i;
wb_tgd_i <= test_data(i).wb_tgd_i;
wb_adr_i <= test_data(i).wb_adr_i;
wb_cyc_i <= test_data(i).wb_cyc_i;
wb_lock_i <= test_data(i).wb_lock_i;
wb_sel_i <= test_data(i).wb_sel_i;
wb_stb_i <= test_data(i).wb_stb_i;
wb_tga_i <= test_data(i).wb_tga_i;
wb_tgc_i <= test_data(i).wb_tgc_i;
wb_we_i <= test_data(i).wb_we_i;
clk <= '1';
wait for CLK_S_PER;
--assert (irq_o = test_data(i).irq_o) report "irq_o output mismatch" severity failure;
--assert (icr_o = test_data(i).icr_o) report "icr_o output mismatch" severity failure;
end loop;
clk <= '0';
wait;
end process;
end architecture Behave;
| bsd-3-clause | decc6c4e1223c4f0b3e1aca12b8767e8 | 0.397798 | 3.347666 | false | true | false | false |
ILoveSpeccy/Aeon-Lite | cores/speccy/src/divrom/divrom.vhd | 1 | 5,434 | --------------------------------------------------------------------------------
-- This file is owned and controlled by Xilinx and must be used solely --
-- for design, simulation, implementation and creation of design files --
-- limited to Xilinx devices or technologies. Use with non-Xilinx --
-- devices or technologies is expressly prohibited and immediately --
-- terminates your license. --
-- --
-- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY --
-- FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY --
-- PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE --
-- IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS --
-- MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY --
-- CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY --
-- RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY --
-- DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE --
-- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR --
-- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF --
-- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A --
-- PARTICULAR PURPOSE. --
-- --
-- Xilinx products are not intended for use in life support appliances, --
-- devices, or systems. Use in such applications are expressly --
-- prohibited. --
-- --
-- (c) Copyright 1995-2014 Xilinx, Inc. --
-- All rights reserved. --
--------------------------------------------------------------------------------
--------------------------------------------------------------------------------
-- You must compile the wrapper file divrom.vhd when simulating
-- the core, divrom. When compiling the wrapper file, be sure to
-- reference the XilinxCoreLib VHDL simulation library. For detailed
-- instructions, please refer to the "CORE Generator Help".
-- The synthesis directives "translate_off/translate_on" specified
-- below are supported by Xilinx, Mentor Graphics and Synplicity
-- synthesis tools. Ensure they are correct for your synthesis tool(s).
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
-- synthesis translate_off
LIBRARY XilinxCoreLib;
-- synthesis translate_on
ENTITY divrom IS
PORT (
clka : IN STD_LOGIC;
addra : IN STD_LOGIC_VECTOR(12 DOWNTO 0);
douta : OUT STD_LOGIC_VECTOR(7 DOWNTO 0)
);
END divrom;
ARCHITECTURE divrom_a OF divrom IS
-- synthesis translate_off
COMPONENT wrapped_divrom
PORT (
clka : IN STD_LOGIC;
addra : IN STD_LOGIC_VECTOR(12 DOWNTO 0);
douta : OUT STD_LOGIC_VECTOR(7 DOWNTO 0)
);
END COMPONENT;
-- Configuration specification
FOR ALL : wrapped_divrom USE ENTITY XilinxCoreLib.blk_mem_gen_v6_3(behavioral)
GENERIC MAP (
c_addra_width => 13,
c_addrb_width => 13,
c_algorithm => 1,
c_axi_id_width => 4,
c_axi_slave_type => 0,
c_axi_type => 1,
c_byte_size => 9,
c_common_clk => 0,
c_default_data => "0",
c_disable_warn_bhv_coll => 0,
c_disable_warn_bhv_range => 0,
c_enable_32bit_address => 0,
c_family => "spartan6",
c_has_axi_id => 0,
c_has_ena => 0,
c_has_enb => 0,
c_has_injecterr => 0,
c_has_mem_output_regs_a => 0,
c_has_mem_output_regs_b => 0,
c_has_mux_output_regs_a => 0,
c_has_mux_output_regs_b => 0,
c_has_regcea => 0,
c_has_regceb => 0,
c_has_rsta => 0,
c_has_rstb => 0,
c_has_softecc_input_regs_a => 0,
c_has_softecc_output_regs_b => 0,
c_init_file_name => "divrom.mif",
c_inita_val => "0",
c_initb_val => "0",
c_interface_type => 0,
c_load_init_file => 1,
c_mem_type => 3,
c_mux_pipeline_stages => 0,
c_prim_type => 1,
c_read_depth_a => 8192,
c_read_depth_b => 8192,
c_read_width_a => 8,
c_read_width_b => 8,
c_rst_priority_a => "CE",
c_rst_priority_b => "CE",
c_rst_type => "SYNC",
c_rstram_a => 0,
c_rstram_b => 0,
c_sim_collision_check => "ALL",
c_use_byte_wea => 0,
c_use_byte_web => 0,
c_use_default_data => 0,
c_use_ecc => 0,
c_use_softecc => 0,
c_wea_width => 1,
c_web_width => 1,
c_write_depth_a => 8192,
c_write_depth_b => 8192,
c_write_mode_a => "WRITE_FIRST",
c_write_mode_b => "WRITE_FIRST",
c_write_width_a => 8,
c_write_width_b => 8,
c_xdevicefamily => "spartan6"
);
-- synthesis translate_on
BEGIN
-- synthesis translate_off
U0 : wrapped_divrom
PORT MAP (
clka => clka,
addra => addra,
douta => douta
);
-- synthesis translate_on
END divrom_a;
| gpl-3.0 | c534914f74dfd3538cbb7d4c86dc7dfb | 0.515274 | 3.969321 | false | false | false | false |
VHDLTool/VHDL_Handbook_STD | Extras/VHDL/STD_03800_good.vhd | 1 | 3,011 | -------------------------------------------------------------------------------------------------
-- Company : CNES
-- Author : Mickael Carl (CNES)
-- Copyright : Copyright (c) CNES.
-- Licensing : GNU GPLv3
-------------------------------------------------------------------------------------------------
-- Version : V1
-- Version history :
-- V1 : 2015-04-07 : Mickael Carl (CNES): Creation
-------------------------------------------------------------------------------------------------
-- File name : STD_03800_good.vhd
-- File Creation date : 2015-04-07
-- Project name : VHDL Handbook CNES Edition
-------------------------------------------------------------------------------------------------
-- Softwares : Microsoft Windows (Windows 7) - Editor (Eclipse + VEditor)
-------------------------------------------------------------------------------------------------
-- Description : Handbook example: Synchronous elements initialization: good example
--
-- Limitations : This file is an example of the VHDL handbook made by CNES. It is a stub aimed at
-- demonstrating good practices in VHDL and as such, its design is minimalistic.
-- It is provided as is, without any warranty.
--
-------------------------------------------------------------------------------------------------
-- Naming conventions:
--
-- i_Port: Input entity port
-- o_Port: Output entity port
-- b_Port: Bidirectional entity port
-- g_My_Generic: Generic entity port
--
-- c_My_Constant: Constant definition
-- t_My_Type: Custom type definition
--
-- My_Signal_n: Active low signal
-- v_My_Variable: Variable
-- sm_My_Signal: FSM signal
-- pkg_Param: Element Param coming from a package
--
-- My_Signal_re: Rising edge detection of My_Signal
-- My_Signal_fe: Falling edge detection of My_Signal
-- My_Signal_rX: X times registered My_Signal signal
--
-- P_Process_Name: Process
--
-------------------------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity STD_03800_good is
port (
i_Clock : in std_logic; -- Clock signal
i_Reset_n : in std_logic; -- Reset signal
i_D : in std_logic; -- D Flip-Flop input signal
o_Q : out std_logic; -- D Flip-Flop output signal
o_Q_n : out std_logic -- D Flip-Flop output signal, inverted
);
end STD_03800_good;
--CODE
architecture Behavioral of STD_03800_good is
signal Q : std_logic; -- D Flip-Flop output
signal Q_n : std_logic; -- Same as Q, inverted
begin
-- D FlipFlop process
P_FlipFlop : process(i_Clock, i_Reset_n)
begin
if (i_Reset_n = '0') then
Q <= '0';
Q_n <= '1';
elsif (rising_edge(i_Clock)) then
Q <= i_D;
Q_n <= not i_D;
end if;
end process;
o_Q <= Q;
o_Q_n <= Q_n;
end Behavioral;
--CODE
| gpl-3.0 | ee780c8cbb60acbc13ab8a49327716b6 | 0.47094 | 4.427941 | false | false | false | false |
APastorG/APG | adder/adder_u.vhd | 1 | 4,647 | /***************************************************************************************************
/
/ Author: Antonio Pastor González
/ ¯¯¯¯¯¯
/
/ Date:
/ ¯¯¯¯
/
/ Version:
/ ¯¯¯¯¯¯¯
/
/ Notes:
/ ¯¯¯¯¯
/ This design makes use of some features from VHDL-2008, all of which have been implemented in
/ Vivado by Xilinx
/ A 3 space tab is used throughout the document
/
/
/ Description:
/ ¯¯¯¯¯¯¯¯¯¯¯
/ This is the interface between the instantiation of an adder an its core. It exists to make it
/ possible to use external std_ulogic_vector which contain the numeric values while having modules
/ which are able to manipulate this data as fixed point types (either u_ufixed or u_sfixed).
/ As std_ulogic_vector have a natural range and the u_ufixed and u_sfixed types have an integer
/ range ('high downto 0 is the integer part and -1 downto 'low is the fractional part) it is needed
/ a solution so as to represent the negative indexes in the std_ulogic_vector. A solution is
/ adopted where the integer indexes of the fixed point types are moved to the natural space with a
/ transformation. This consists in limiting the indexes of the fixed point data to +-2**30 and
/ adding 2**30 to obtain the std_ulogic_vector's indexes. [-2**30, 2**30]->[0, 2**31]. For example,
/ fixed point indexes (3 donwto -2) would become (1073741827, 1073741822) in a std_ulogic_vector
/ Additionally, the generics' consistency and correctness are checked in here.
/
**************************************************************************************************/
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.math_real.all;
library work;
use work.common_data_types_pkg.all;
use work.common_pkg.all;
use work.adder_pkg.all;
use work.fixed_generic_pkg.all;
/*================================================================================================*/
/*================================================================================================*/
/*================================================================================================*/
entity adder_u is
generic(
DATA_IMM_AFTER_START_opt : boolean := false; --default
SPEED_opt : T_speed := t_min; --exception: value not set
MAX_POSSIBLE_BIT_opt : integer_exc := integer'low; --exception: value not set
TRUNCATE_TO_BIT_opt : integer_exc := integer'low; --exception: value not set
S : positive --compulsory
);
port(
input : in u_ufixed_v;
clk : in std_ulogic;
start : in std_ulogic;
valid_input : in std_ulogic;
output : out u_ufixed; --unconstrained array
valid_output : out std_ulogic
);
end entity;
/*================================================================================================*/
/*================================================================================================*/
/*================================================================================================*/
architecture adder_u1 of adder_u is
constant P : positive := input'length(1);
constant CHECKS : integer := adder_CHECKS(MAX_POSSIBLE_BIT_opt,
TRUNCATE_TO_BIT_opt,
S,
P,
input(1)'high,
input(1)'low);
/*================================================================================================*/
/*================================================================================================*/
begin
adder_core_u_1:
entity work.adder_core_u
generic map(
DATA_IMM_AFTER_START_opt => DATA_IMM_AFTER_START_opt,
SPEED_opt => SPEED_opt,
MAX_POSSIBLE_BIT_opt => MAX_POSSIBLE_BIT_opt,
TRUNCATE_TO_BIT_opt => TRUNCATE_TO_BIT_opt,
S => S,
P => P,
input_high => input(1)'high,
input_low => input(1)'low
)
port map(
clk => clk,
input => input,
valid_input => valid_input,
start => start,
output => output,
valid_output => valid_output
);
end architecture; | mit | fcba3567da7c732f672f6cee35123ee0 | 0.428138 | 4.721597 | false | false | false | false |
VHDLTool/VHDL_Handbook_STD | Extras/VHDL/STD_03000_good.vhd | 1 | 2,944 | -------------------------------------------------------------------------------------------------
-- Company : CNES
-- Author : Mickael Carl (CNES)
-- Copyright : Copyright (c) CNES.
-- Licensing : GNU GPLv3
-------------------------------------------------------------------------------------------------
-- Version : V1
-- Version history :
-- V1 : 2015-04-02 : Mickael Carl (CNES): Creation
-------------------------------------------------------------------------------------------------
-- File name : STD_03000_good.vhd
-- File Creation date : 2015-04-02
-- Project name : VHDL Handbook CNES Edition
-------------------------------------------------------------------------------------------------
-- Softwares : Microsoft Windows (Windows 7) - Editor (Eclipse + VEditor)
-------------------------------------------------------------------------------------------------
-- Description: Handbook example: Comments for objects declaration statements: good example
--
-- Limitations : This file is an example of the VHDL handbook made by CNES. It is a stub aimed at
-- demonstrating good practices in VHDL and as such, its design is minimalistic.
-- It is provided as is, without any warranty.
--
-------------------------------------------------------------------------------------------------
-- Naming conventions:
--
-- i_Port: Input entity port
-- o_Port: Output entity port
-- b_Port: Bidirectional entity port
-- g_My_Generic: Generic entity port
--
-- c_My_Constant: Constant definition
-- t_My_Type: Custom type definition
--
-- My_Signal_n: Active low signal
-- v_My_Variable: Variable
-- sm_My_Signal: FSM signal
-- pkg_Param: Element Param coming from a package
--
-- My_Signal_re: Rising edge detection of My_Signal
-- My_Signal_fe: Falling edge detection of My_Signal
-- My_Signal_rX: X times registered My_Signal signal
--
-- P_Process_Name: Process
--
-------------------------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity STD_03000_good is
port (
i_Clock : in std_logic; -- Clock signal
i_Reset_n : in std_logic; -- Reset signal
i_Enable : in std_logic; -- Enable signal
i_D : in std_logic; -- D Flip-Flop input signal
o_Q : out std_logic -- D Flip-Flop output signal
);
end STD_03000_good;
--CODE
architecture Behavioral of STD_03000_good is
signal Q : std_logic; -- D Flip-Flop output
begin
-- D FlipFlop process
P_FlipFlop : process(i_Clock, i_Reset_n)
begin
if (i_Reset_n = '0') then
Q <= '0';
elsif (rising_edge(i_Clock)) then
if (i_Enable = '1') then -- D Flip-Flop enabled
Q <= i_D;
end if;
end if;
end process;
o_Q <= Q;
end Behavioral;
--CODE
| gpl-3.0 | 52a0cc3a7e504d3592cf43ef731fc24c | 0.475204 | 4.550232 | false | false | false | false |
Subsets and Splits