repo_name
stringlengths
6
79
path
stringlengths
6
236
copies
int64
1
472
size
int64
137
1.04M
content
stringlengths
137
1.04M
license
stringclasses
15 values
hash
stringlengths
32
32
alpha_frac
float64
0.25
0.96
ratio
float64
1.51
17.5
autogenerated
bool
1 class
config_or_test
bool
2 classes
has_no_keywords
bool
1 class
has_few_assignments
bool
1 class
sonologic/gmzpu
vhdl/ZetaIO/timer/timer.vhdl
1
10,946
library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity timer is generic ( ADR_WIDTH : natural:=3; DATA_WIDTH : natural:=32 ); port ( clk_i : in std_logic; rst_i : in std_logic; inc_i : in std_logic; addr_i : in unsigned(ADR_WIDTH-1 downto 0); dat_o : out unsigned(DATA_WIDTH-1 downto 0); dat_i : in unsigned(DATA_WIDTH-1 downto 0); we_i : in std_logic; en_i : in std_logic; thresh_o: out std_logic ); end entity timer; architecture rtl of timer is -- single timer -- registers: -- CNT 0x0 -- THR 0x1 -- output: -- thresh_o signal CNT : unsigned(DATA_WIDTH-1 downto 0); signal THR : unsigned(DATA_WIDTH-1 downto 0); signal CFG : unsigned(DATA_WIDTH-1 downto 0); signal halt_r : std_logic; signal thresh_r : std_logic; signal dat_en_r : std_logic; -- CFG reg signal cfg_hlt_r: std_logic; signal cfg_rst_r: std_logic; signal cfg_stk_r: std_logic; signal cfg_ien_r: std_logic; signal cfg_ten_r: std_logic; -- cross clock domain handshake -- reset CNT signal clk_to_inc_rst_r : std_logic; signal inc_to_clk_rst_r : std_logic; -- acknowledge theshold signal clk_to_inc_ack_r : std_logic; signal inc_to_clk_ack_r : std_logic; begin -- config register cfg_hlt_r <= CFG(0); cfg_rst_r <= CFG(1); cfg_stk_r <= CFG(2); cfg_ien_r <= CFG(3); cfg_ten_r <= CFG(4); -- enable dat_o when not in reset and read enable dat_en_r <= (not rst_i) and en_i and (not we_i); -- assign register or high-z to dat_o dat_o <= CNT when dat_en_r='1' and addr_i=to_unsigned(0,ADR_WIDTH) else THR when dat_en_r='1' and addr_i=to_unsigned(1,ADR_WIDTH) else CFG when dat_en_r='1' and addr_i=to_unsigned(2,ADR_WIDTH) else (others => 'Z'); process(clk_i) begin end process; write_regs: process(clk_i,rst_i) begin if rst_i='1' then THR <= (others => '1'); CFG <= (others => '0'); clk_to_inc_rst_r <= '0'; clk_to_inc_ack_r <= '0'; elsif rising_edge(clk_i) then if en_i='1' then -- mem i/o if we_i='1' then case addr_i(1 downto 0) is when "00" => -- write to CNT, trigger CNT reset clk_to_inc_rst_r <= '1'; when "01" => -- write to THR THR <= dat_i; when "10" => -- write to CFG CFG <= dat_i; if dat_i(3)='1' then -- if ien is written, reset cnt and ack threshold clk_to_inc_rst_r <= '1'; clk_to_inc_ack_r <= '1'; end if; when others => -- write to ACK, trigger threshold reset clk_to_inc_ack_r <= '1'; end case; end if; end if; if inc_to_clk_rst_r='1' then clk_to_inc_rst_r <= '0'; end if; if inc_to_clk_ack_r='1' then clk_to_inc_ack_r <= '0'; end if; end if; end process write_regs; counter: process(inc_i,rst_i,cfg_ten_r) variable newCNT : unsigned(DATA_WIDTH-1 downto 0); begin if rst_i='1' then CNT <= (others => '0'); thresh_r <= '0'; inc_to_clk_rst_r <= '0'; inc_to_clk_ack_r <= '0'; elsif rising_edge(inc_i) then -- reset if clk_to_inc_rst_r='1' then CNT <= (others => '0'); inc_to_clk_rst_r <= '1'; else -- clk_to_inc_rst_r='0' inc_to_clk_rst_r <= '0'; end if; -- ack threshold if clk_to_inc_ack_r='1' then thresh_r <= '0'; inc_to_clk_ack_r <= '1'; else inc_to_clk_ack_r <= '0'; end if; -- count and compare if cfg_ten_r='1' and clk_to_inc_rst_r='0' then newCNT := CNT; if halt_r='0' then -- inc(CNT) newCNT := CNT + to_unsigned(1, CNT'length); end if; CNT <= newCNT; if newCNT>=THR then -- set threshold output thresh_r <= '1'; -- reset counter if cfg_rst_r='1' then CNT <= (others => '0'); end if; else -- reset threshold output (if not sticky) if cfg_stk_r='0' and thresh_r='1' then thresh_r <= '0'; end if; end if; end if; end if; end process counter; thresh_o <= cfg_ien_r and thresh_r; -- halt counter when cfg_hlt_r asserted and threshold detected halt_r <= cfg_hlt_r and thresh_r; end architecture rtl; library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity timers is generic ( DATA_WIDTH : natural:=32; ADR_WIDTH : natural:=4; N_TIMERS : natural:=4 ); port ( -- wishbone bus rst_i : in std_logic; clk_i : in std_logic; wb_dat_o : out unsigned(DATA_WIDTH-1 downto 0); wb_dat_i : in unsigned(DATA_WIDTH-1 downto 0); wb_tgd_o : out unsigned(DATA_WIDTH-1 downto 0); wb_tgd_i : in unsigned(DATA_WIDTH-1 downto 0); wb_ack_o : out std_logic; wb_adr_i : in unsigned(ADR_WIDTH-1 downto 0); wb_cyc_i : in std_logic; wb_stall_o : out std_logic; wb_err_o : out std_logic; wb_lock_i : in std_logic; wb_rty_o : out std_logic; wb_sel_i : in std_logic_vector(DATA_WIDTH-1 downto 0); wb_stb_i : in std_logic; wb_tga_i : in unsigned(ADR_WIDTH-1 downto 0); wb_tgc_i : in unsigned(DATA_WIDTH-1 downto 0); -- size correct? wb_we_i : in std_logic; -- non wishbone irq_o : out std_logic ); end entity timers; architecture rtl of timers is component timer is generic ( ADR_WIDTH : natural:=4; DATA_WIDTH : natural:=32 ); port ( clk_i : in std_logic; rst_i : in std_logic; inc_i : in std_logic; addr_i : in unsigned(ADR_WIDTH-1 downto 0); dat_o : out unsigned(DATA_WIDTH-1 downto 0); dat_i : in unsigned(DATA_WIDTH-1 downto 0); en_i : in std_logic; we_i : in std_logic; thresh_o: out std_logic ); end component timer; signal irq_r : std_logic_vector(N_TIMERS-1 downto 0); signal ten_r : std_logic_vector(N_TIMERS-1 downto 0); signal cs_r : unsigned(ADR_WIDTH-3 downto 0); signal addr_r : unsigned(ADR_WIDTH-1 downto 0); signal en_r : std_logic; signal we_r : std_logic; signal dat_r : unsigned(DATA_WIDTH-1 downto 0); -- delayed signals signal we_d : std_logic; signal dat_d : unsigned(DATA_WIDTH-1 downto 0); signal addr_d : unsigned(ADR_WIDTH-1 downto 0); signal my_cyc_r : std_logic; begin timer_gen: for i in N_TIMERS-1 downto 0 generate timerX : timer generic map(ADR_WIDTH => 2, DATA_WIDTH => DATA_WIDTH) port map(clk_i => clk_i, rst_i => rst_i, inc_i => clk_i, addr_i => addr_d(3 downto 2), thresh_o => irq_r(i), dat_o => wb_dat_o, dat_i => dat_d, we_i => we_d, en_i => ten_r(i)); end generate; wb_tgd_o <= (others => 'Z'); wb_tgd_o <= (others => 'Z'); wb_stall_o <= '0' when my_cyc_r='1' else 'Z'; wb_err_o <= '0' when my_cyc_r='1' else 'Z'; wb_rty_o <= '0' when my_cyc_r='1' else 'Z'; cs_r <= addr_r(ADR_WIDTH-1 downto 2); --wb_ack_o <= cyc_r and not en_r; --wb_ack_o <= '0' when ten_r=(ten_r'range => '0') else -- wb_cyc_i; process(clk_i,rst_i) begin if rst_i='1' then addr_r <= (others => '0'); en_r <= '0'; we_r <= '0'; dat_r <= (others => '0'); addr_d <= (others => '0'); we_d <= '0'; dat_d <= (others => '0'); ten_r <= (others => '0'); my_cyc_r <= '0'; elsif rising_edge(clk_i) then if my_cyc_r='1' and wb_cyc_i='0' then my_cyc_r <= '0'; end if; if wb_stb_i='1' or en_r='1' then -- clock in input signals if wb_stb_i='1' then addr_r <= wb_adr_i; end if; if wb_stb_i='1' and wb_cyc_i='1' then en_r <= '1'; my_cyc_r <= '1'; else en_r <= '0'; end if; we_r <= wb_we_i; dat_r <= wb_dat_i; -- delay signals to allow one cycle for chip select addr_d <= addr_r; we_d <= we_r; dat_d <= dat_r; -- decode cs_i to ten_r for i in ten_r'range loop if i=cs_r then ten_r(i) <= en_r and not rst_i; else ten_r(i) <= '0'; end if; end loop; else ten_r <= (others => '0'); end if; end if; end process; wb_ack_o <= 'Z' when rst_i='1' else '0' when my_cyc_r='1' and wb_stb_i='1' else '1' when my_cyc_r='1' else 'Z'; --process(clk_i,rst_i) --begin -- if rst_i='1' then -- wb_ack_o <= 'Z'; -- elsif rising_edge(clk_i) then -- if my_cyc_r='1' then -- wb_ack_o <= '1'; -- elsif wb_stb_i='1' and wb_cyc_i='1' then -- wb_ack_o <= '0'; -- else -- wb_ack_o <= 'Z'; -- end if; -- end if; --end process; irq_o <= '0' when irq_r=(irq_r'range => '0') or rst_i='1' else '1'; --decode_cs: for i in ten_r'range generate -- ten_r(i) <= en_i and not rst_i when i=cs_r else '0'; --end generate; end architecture rtl;
bsd-3-clause
d1430c9018fe85bb53951b3d0b06e24f
0.436689
3.430273
false
false
false
false
ILoveSpeccy/Aeon-Lite
cores/service/src/vram/vram.vhd
1
6,163
-------------------------------------------------------------------------------- -- This file is owned and controlled by Xilinx and must be used solely -- -- for design, simulation, implementation and creation of design files -- -- limited to Xilinx devices or technologies. Use with non-Xilinx -- -- devices or technologies is expressly prohibited and immediately -- -- terminates your license. -- -- -- -- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY -- -- FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY -- -- PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE -- -- IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS -- -- MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY -- -- CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY -- -- RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY -- -- DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE -- -- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR -- -- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF -- -- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A -- -- PARTICULAR PURPOSE. -- -- -- -- Xilinx products are not intended for use in life support appliances, -- -- devices, or systems. Use in such applications are expressly -- -- prohibited. -- -- -- -- (c) Copyright 1995-2014 Xilinx, Inc. -- -- All rights reserved. -- -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- -- You must compile the wrapper file vram.vhd when simulating -- the core, vram. When compiling the wrapper file, be sure to -- reference the XilinxCoreLib VHDL simulation library. For detailed -- instructions, please refer to the "CORE Generator Help". -- The synthesis directives "translate_off/translate_on" specified -- below are supported by Xilinx, Mentor Graphics and Synplicity -- synthesis tools. Ensure they are correct for your synthesis tool(s). LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- synthesis translate_off LIBRARY XilinxCoreLib; -- synthesis translate_on ENTITY vram IS PORT ( clka : IN STD_LOGIC; wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0); addra : IN STD_LOGIC_VECTOR(11 DOWNTO 0); dina : IN STD_LOGIC_VECTOR(15 DOWNTO 0); douta : OUT STD_LOGIC_VECTOR(15 DOWNTO 0); clkb : IN STD_LOGIC; web : IN STD_LOGIC_VECTOR(0 DOWNTO 0); addrb : IN STD_LOGIC_VECTOR(11 DOWNTO 0); dinb : IN STD_LOGIC_VECTOR(15 DOWNTO 0); doutb : OUT STD_LOGIC_VECTOR(15 DOWNTO 0) ); END vram; ARCHITECTURE vram_a OF vram IS -- synthesis translate_off COMPONENT wrapped_vram PORT ( clka : IN STD_LOGIC; wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0); addra : IN STD_LOGIC_VECTOR(11 DOWNTO 0); dina : IN STD_LOGIC_VECTOR(15 DOWNTO 0); douta : OUT STD_LOGIC_VECTOR(15 DOWNTO 0); clkb : IN STD_LOGIC; web : IN STD_LOGIC_VECTOR(0 DOWNTO 0); addrb : IN STD_LOGIC_VECTOR(11 DOWNTO 0); dinb : IN STD_LOGIC_VECTOR(15 DOWNTO 0); doutb : OUT STD_LOGIC_VECTOR(15 DOWNTO 0) ); END COMPONENT; -- Configuration specification FOR ALL : wrapped_vram USE ENTITY XilinxCoreLib.blk_mem_gen_v6_3(behavioral) GENERIC MAP ( c_addra_width => 12, c_addrb_width => 12, c_algorithm => 1, c_axi_id_width => 4, c_axi_slave_type => 0, c_axi_type => 1, c_byte_size => 9, c_common_clk => 0, c_default_data => "0", c_disable_warn_bhv_coll => 0, c_disable_warn_bhv_range => 0, c_enable_32bit_address => 0, c_family => "spartan6", c_has_axi_id => 0, c_has_ena => 0, c_has_enb => 0, c_has_injecterr => 0, c_has_mem_output_regs_a => 0, c_has_mem_output_regs_b => 0, c_has_mux_output_regs_a => 0, c_has_mux_output_regs_b => 0, c_has_regcea => 0, c_has_regceb => 0, c_has_rsta => 0, c_has_rstb => 0, c_has_softecc_input_regs_a => 0, c_has_softecc_output_regs_b => 0, c_init_file_name => "no_coe_file_loaded", c_inita_val => "0", c_initb_val => "0", c_interface_type => 0, c_load_init_file => 0, c_mem_type => 2, c_mux_pipeline_stages => 0, c_prim_type => 1, c_read_depth_a => 4096, c_read_depth_b => 4096, c_read_width_a => 16, c_read_width_b => 16, c_rst_priority_a => "CE", c_rst_priority_b => "CE", c_rst_type => "SYNC", c_rstram_a => 0, c_rstram_b => 0, c_sim_collision_check => "ALL", c_use_byte_wea => 0, c_use_byte_web => 0, c_use_default_data => 0, c_use_ecc => 0, c_use_softecc => 0, c_wea_width => 1, c_web_width => 1, c_write_depth_a => 4096, c_write_depth_b => 4096, c_write_mode_a => "WRITE_FIRST", c_write_mode_b => "WRITE_FIRST", c_write_width_a => 16, c_write_width_b => 16, c_xdevicefamily => "spartan6" ); -- synthesis translate_on BEGIN -- synthesis translate_off U0 : wrapped_vram PORT MAP ( clka => clka, wea => wea, addra => addra, dina => dina, douta => douta, clkb => clkb, web => web, addrb => addrb, dinb => dinb, doutb => doutb ); -- synthesis translate_on END vram_a;
gpl-3.0
7908264800d61889a3ab8f65cd6618f1
0.522797
3.898166
false
false
false
false
freecores/lq057q3dc02
design/vsyncx_control.vhd
1
12,541
------------------------------------------------------------------------------ -- Copyright (C) 2007 Jonathon W. Donaldson -- jwdonal a t opencores DOT org -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 675 Mass Ave, Cambridge, MA 02139, USA. -- ------------------------------------------------------------------------------ -- -- $Id: vsyncx_control.vhd,v 1.1 2008-11-07 00:48:12 jwdonal Exp $ -- -- Description: -- This file controls VSYNCx. VSYNCx is dependent upon the number of HSYNCx -- activations (i.e. the numbers of lines) that have passed. The really cool -- thing about the VSYNCx control state machine is that it is _EXACTLY_ the -- same as the HSYNCx control state machine expect that instead of have the -- counter process counting CLK_LCD cycles we have counting HSYNCx cycles! -- It's really that simple! -- -- VSYNCx signifies the start of a frame. HSYNCx must pulse exactly 7 times -- (i.e. 7 lines) after (minimum of 0 ns after - TVh) VSYNCx pulse occurs -- before sending data to the LCD. You can consider these 7 lines as blank -- lines that "live" above the physical top of the screen. After 7 HSYNCx -- pulses have passed we can then start with line 1 and go to line 240 for a -- total of 7 + 240 lines = 247 lines (or HSYNCx pulses) for every complete -- image or "frame" drawn to the screen! -- -- Note: Even though VSYNCx controls the start of a frame you cannot simply -- disable HSYNCx cycling once the data has been shifted into the LCD. This -- is b/c there is a MAX cycle time spec in the datasheet of 450 clocks! -- It is simplest to just leave HSYNCx running at all times no matter what. -- -- Structure: -- - xupv2p.ucf -- - components.vhd -- - lq057q3dc02_tb.vhd -- - lq057q3dc02.vhd -- - dcm_sys_to_lcd.xaw -- - video_controller.vhd -- - enab_control.vhd -- - hsyncx_control.vhd -- - vsyncx_control.vhd -- - clk_lcd_cyc_cntr.vhd -- - image_gen_bram.vhd -- - image_gen_bram_red.xco -- - image_gen_bram_green.xco -- - image_gen_bram_blue.xco -- ------------------------------------------------------------------------------ -- -- Naming Conventions: -- active low signals "*x" -- clock signal "CLK_*" -- reset signal "RST" -- generic/constant "C_*" -- user defined type "TYPE_*" -- state machine next state "*_ns" -- state machine current state "*_cs"" -- pipelined signals "*_d#" -- register delay signals "*_p#" -- signal "*_sig" -- variable "*_var" -- storage register "*_reg" -- clock enable signals "*_ce" -- internal version of output port used as connecting wire "*_wire" -- input/output port "ALL_CAPS" -- process "*_PROC" -- ------------------------------------------------------------------------------ --////////////////////-- -- LIBRARY INCLUSIONS -- --////////////////////-- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; --////////////////////-- -- ENTITY DECLARATION -- --////////////////////-- ENTITY vsyncx_control IS ----------------------------------------------------------------- -- Generic Descriptions: -- -- C_LINE_NUM_WIDTH -- Must be at least 9 bits to hold maximum -- -- timespec of 280 lines. ----------------------------------------------------------------- generic ( C_VSYNC_TV, C_VSYNC_TVP, C_LINE_NUM_WIDTH : POSITIVE ); port ( RSTx, CLK_LCD, HSYNCx : IN STD_LOGIC; LINE_NUM : OUT STD_LOGIC_VECTOR(C_LINE_NUM_WIDTH-1 downto 0); VSYNCx : OUT STD_LOGIC ); END ENTITY vsyncx_control; --////////////////////////-- -- ARCHITECTURE OF ENTITY -- --////////////////////////-- ARCHITECTURE vsyncx_control_arch OF vsyncx_control IS --Enables/Disables the line counter process signal line_cnt_en_sig : std_logic; --Stores current line number. --This register is attached to the LINE_NUM output. signal line_num_reg : std_logic_vector(C_LINE_NUM_WIDTH-1 downto 0) := (others => '0'); --------------------------------------------------------------- -- States for VSYNCx_Line_Cntr_*_PROC --------------------------------------------------------------- --FRAME_START => Start of a new frame --ADD => Add one (1) to the line count --ADD_WAIT => Wait for HSYNCx pulse to pass --READY => Get ready to add one (1) for the next line type TYPE_Line_Cntr_Sts is ( FRAME_START, ADD, ADD_WAIT, READY ); signal Line_Cntr_cs : TYPE_Line_Cntr_Sts; signal Line_Cntr_ns : TYPE_Line_Cntr_Sts; begin --///////////////////////-- -- CONCURRENT STATEMENTS -- --///////////////////////-- LINE_NUM <= line_num_reg; --///////////-- -- PROCESSES -- --///////////-- ------------------------------------------------------------------ -- Process Description: -- This is finite state machine process 1 of 3 for the VSYNCx -- signal controller. This process only controls the reset of -- the state and the "current state to next state" assignment. -- -- Inputs: -- RSTx -- CLK_LCD -- -- Outputs: -- Line_Cntr_cs -- -- Notes: -- N/A ------------------------------------------------------------------ VSYNCx_Line_Cntr_1_PROC : process( RSTx, CLK_LCD ) begin if( RSTx = '0' ) then Line_Cntr_cs <= READY; elsif( CLK_LCD'event and CLK_LCD = '1' ) then Line_Cntr_cs <= Line_Cntr_ns; end if; end process VSYNCx_Line_Cntr_1_PROC; ------------------------------------------------------------------ -- Process Description: -- This is finite state machine process 2 of 3 for the VSYNCx -- signal controller. This process controls all of the state -- changes. -- -- Inputs: -- Line_Cntr_cs -- HSYNCx -- line_num_reg -- -- Outputs: -- Line_Cntr_ns -- -- Notes: -- We only want to start counting lines at the first HSYNCx pulse -- we see _after_ VSYNCx has been activated. This is because -- VSYNCx must occur before HSYNCx can be counted (NOTE: there is -- no sense in couting lines unless we know that a new frame has -- started - this is _most_ important for the ENAB_Cntrl process!) ------------------------------------------------------------------ VSYNCx_Line_Cntr_2_PROC : process( Line_Cntr_cs, HSYNCx, line_num_reg ) begin case Line_Cntr_cs is when FRAME_START => --reset the counter because we have started a new frame! if( HSYNCx = '0' ) then -- a new frame is starting (controlled by VSYNCx_control state machine) and here is our first line! Line_Cntr_ns <= ADD_WAIT; -- do not add +1 lines until HSYNCx goes high! The rising edge is what counts as a line, not the falling edge! else Line_Cntr_ns <= FRAME_START; -- keep waiting for first line to occur after start of new frame end if; when ADD_WAIT => if( HSYNCx = '1' ) then Line_Cntr_ns <= ADD; -- line_num_reg + 1 ! else Line_Cntr_ns <= ADD_WAIT; -- stay here until HSYNCx has been released b/c we only want to count the rising edge of HSYNCx as a line! end if; when ADD => Line_Cntr_ns <= READY; -- get ready to count another line if necessary when READY => if( line_num_reg = C_VSYNC_TV - 1 ) then -- 0 to 254 = 255 lines (first make sure we haven't reach the end of the VSYNC cycle - which is just a little bit longer than the actual number of lines on the screen - TV) Line_Cntr_ns <= FRAME_START; -- if we've reached the max VSYNC cycle time (i.e. TV) then start over! elsif( HSYNCx = '0' ) then Line_Cntr_ns <= ADD_WAIT; -- a new line has started! line_num_reg + 1!! else Line_Cntr_ns <= READY; -- stay here until HSYNCx pulse occurs end if; when others => --UH OH! How did we get here??? Line_Cntr_ns <= FRAME_START; end case; end process VSYNCx_Line_Cntr_2_PROC; ------------------------------------------------------------------ -- Process Description: -- This is finite state machine process 3 of 3 for the VSYNCx -- signal controller. This process only controls the change of -- of output values based on the current state. -- -- Inputs: -- Line_Cntr_cs -- -- Outputs: -- line_cnt_en_sig -- -- Notes: -- N/A ------------------------------------------------------------------ VSYNCx_Line_Cntr_3_PROC : process( Line_Cntr_cs ) begin case Line_Cntr_cs is when FRAME_START => --reset line_num_reg at start of new frame line_cnt_en_sig <= '0'; when READY => line_cnt_en_sig <= '0'; when ADD_WAIT => line_cnt_en_sig <= '0'; when ADD => --we will only ever be in this state for one CLK_LCD cycle. This is IMPORTANT! b/c we only want to count one CLK_LCD cycle worth of the HSYNCx active pulse no matter how long the HSYNCx pulse is! line_cnt_en_sig <= '1'; when others => --UH OH! How did we get here??? line_cnt_en_sig <= '0'; end case; end process VSYNCx_Line_Cntr_3_PROC; ------------------------------------------------------------------ -- Process Description: -- This process starts, stops, and resets the line counter -- based on the line count enable signal and the current state -- of the line counter state machine. -- -- Inputs: -- RSTx -- CLK_LCD -- -- Outputs: -- line_num_reg -- -- Notes: -- N/A ------------------------------------------------------------------ Line_cntr_PROC : process( RSTx, CLK_LCD ) begin if( RSTx = '0' ) then line_num_reg <= (others => '0'); elsif( CLK_LCD'event and CLK_LCD = '1' ) then if( line_cnt_en_sig = '1' ) then line_num_reg <= line_num_reg + 1; elsif( Line_Cntr_cs = FRAME_START ) then line_num_reg <= (others => '0'); else line_num_reg <= line_num_reg; end if; end if; end process Line_cntr_PROC; ------------------------------------------------------------------ -- Process Description: -- This process activates/deactivates the VSYNCx signal depending -- on the current line number relative to the VSYNC pulse width -- paramter. -- -- Inputs: -- RSTx -- CLK_LCD -- -- Outputs: -- VSYNCx -- -- Notes: -- N/A ------------------------------------------------------------------ VSYNCx_cntrl_PROC : process( RSTx, CLK_LCD ) begin if( RSTx = '0' ) then VSYNCx <= '1'; --INACTIVE elsif( CLK_LCD'event and CLK_LCD = '1' ) then if( line_num_reg < C_VSYNC_TVP ) then VSYNCx <= '0'; --ACTIVE else VSYNCx <= '1'; --INACTIVE end if; end if; end process VSYNCx_cntrl_PROC; END ARCHITECTURE vsyncx_control_arch;
gpl-2.0
5559117e1eb68973c892498a866c075d
0.497409
4.166445
false
false
false
false
ILoveSpeccy/Aeon-Lite
cores/lvov-pk02-mips/src/host/plasma v3.0/pipeline.vhd
1
5,007
--------------------------------------------------------------------- -- TITLE: Pipeline -- AUTHOR: Steve Rhoads ([email protected]) -- DATE CREATED: 6/24/02 -- FILENAME: pipeline.vhd -- PROJECT: Plasma CPU core -- COPYRIGHT: Software placed into the public domain by the author. -- Software 'as is' without warranty. Author liable for nothing. -- DESCRIPTION: -- Controls the three stage pipeline by delaying the signals: -- a_bus, b_bus, alu/shift/mult_func, c_source, and rs_index. --------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use work.mlite_pack.all; --Note: sigD <= sig after rising_edge(clk) entity pipeline is port(clk : in std_logic; reset : in std_logic; a_bus : in std_logic_vector(31 downto 0); a_busD : out std_logic_vector(31 downto 0); b_bus : in std_logic_vector(31 downto 0); b_busD : out std_logic_vector(31 downto 0); alu_func : in alu_function_type; alu_funcD : out alu_function_type; shift_func : in shift_function_type; shift_funcD : out shift_function_type; mult_func : in mult_function_type; mult_funcD : out mult_function_type; reg_dest : in std_logic_vector(31 downto 0); reg_destD : out std_logic_vector(31 downto 0); rd_index : in std_logic_vector(5 downto 0); rd_indexD : out std_logic_vector(5 downto 0); rs_index : in std_logic_vector(5 downto 0); rt_index : in std_logic_vector(5 downto 0); pc_source : in pc_source_type; mem_source : in mem_source_type; a_source : in a_source_type; b_source : in b_source_type; c_source : in c_source_type; c_bus : in std_logic_vector(31 downto 0); pause_any : in std_logic; pause_pipeline : out std_logic); end; --entity pipeline architecture logic of pipeline is signal rd_index_reg : std_logic_vector(5 downto 0); signal reg_dest_reg : std_logic_vector(31 downto 0); signal reg_dest_delay : std_logic_vector(31 downto 0); signal c_source_reg : c_source_type; signal pause_enable_reg : std_logic; begin --When operating in three stage pipeline mode, the following signals --are delayed by one clock cycle: a_bus, b_bus, alu/shift/mult_func, --c_source, and rd_index. pipeline3: process(clk, reset, a_bus, b_bus, alu_func, shift_func, mult_func, rd_index, rd_index_reg, pause_any, pause_enable_reg, rs_index, rt_index, pc_source, mem_source, a_source, b_source, c_source, c_source_reg, reg_dest, reg_dest_reg, reg_dest_delay, c_bus) variable pause_mult_clock : std_logic; variable freeze_pipeline : std_logic; begin if (pc_source /= FROM_INC4 and pc_source /= FROM_OPCODE25_0) or mem_source /= MEM_FETCH or (mult_func = MULT_READ_LO or mult_func = MULT_READ_HI) then pause_mult_clock := '1'; else pause_mult_clock := '0'; end if; freeze_pipeline := not (pause_mult_clock and pause_enable_reg) and pause_any; pause_pipeline <= pause_mult_clock and pause_enable_reg; rd_indexD <= rd_index_reg; if c_source_reg = C_FROM_ALU then reg_dest_delay <= c_bus; --delayed by 1 clock cycle via a_busD & b_busD else reg_dest_delay <= reg_dest_reg; --need to delay 1 clock cycle from reg_dest end if; reg_destD <= reg_dest_delay; if reset = '1' then a_busD <= ZERO; b_busD <= ZERO; alu_funcD <= ALU_NOTHING; shift_funcD <= SHIFT_NOTHING; mult_funcD <= MULT_NOTHING; reg_dest_reg <= ZERO; c_source_reg <= "000"; rd_index_reg <= "000000"; pause_enable_reg <= '0'; elsif rising_edge(clk) then if freeze_pipeline = '0' then if (rs_index = "000000" or rs_index /= rd_index_reg) or (a_source /= A_FROM_REG_SOURCE or pause_enable_reg = '0') then a_busD <= a_bus; else a_busD <= reg_dest_delay; --rs from previous operation (bypass stage) end if; if (rt_index = "000000" or rt_index /= rd_index_reg) or (b_source /= B_FROM_REG_TARGET or pause_enable_reg = '0') then b_busD <= b_bus; else b_busD <= reg_dest_delay; --rt from previous operation end if; alu_funcD <= alu_func; shift_funcD <= shift_func; mult_funcD <= mult_func; reg_dest_reg <= reg_dest; c_source_reg <= c_source; rd_index_reg <= rd_index; end if; if pause_enable_reg = '0' and pause_any = '0' then pause_enable_reg <= '1'; --enable pause_pipeline elsif pause_mult_clock = '1' then pause_enable_reg <= '0'; --disable pause_pipeline end if; end if; end process; --pipeline3 end; --logic
gpl-3.0
a37fb13cf982452fe4fe723306185c97
0.569203
3.401495
false
false
false
false
sonologic/gmzpu
vhdl/roms/zwc_timer_test.vhdl
1
67,260
------------------------------------------------------------------------------ ---- ---- ---- Single Port RAM that maps to a Xilinx BRAM ---- ---- ---- ---- http://www.opencores.org/ ---- ---- ---- ---- Description: ---- ---- This is a program+data memory for the ZPU. It maps to a Xilinx BRAM ---- ---- ---- ---- To Do: ---- ---- - ---- ---- ---- ---- Author: ---- ---- - Salvador E. Tropea, salvador inti.gob.ar ---- ---- ---- ------------------------------------------------------------------------------ ---- ---- ---- Copyright (c) 2008 Salvador E. Tropea <salvador inti.gob.ar> ---- ---- Copyright (c) 2008 Instituto Nacional de Tecnología Industrial ---- ---- ---- ---- Distributed under the BSD license ---- ---- ---- ------------------------------------------------------------------------------ ---- ---- ---- Design unit: SinglePortRAM(Xilinx) (Entity and architecture) ---- ---- File name: rom_s.in.vhdl (template used) ---- ---- Note: None ---- ---- Limitations: None known ---- ---- Errors: None known ---- ---- Library: work ---- ---- Dependencies: IEEE.std_logic_1164 ---- ---- IEEE.numeric_std ---- ---- Target FPGA: Spartan 3 (XC3S1500-4-FG456) ---- ---- Language: VHDL ---- ---- Wishbone: No ---- ---- Synthesis tools: Xilinx Release 9.2.03i - xst J.39 ---- ---- Simulation tools: GHDL [Sokcho edition] (0.2x) ---- ---- Text editor: SETEdit 0.5.x ---- ---- ---- ------------------------------------------------------------------------------ library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity SinglePortRAM is generic( WORD_SIZE : integer:=32; -- Word Size 16/32 BYTE_BITS : integer:=2; -- Bits used to address bytes BRAM_W : integer:=15); -- Address Width port( clk_i : in std_logic; we_i : in std_logic; re_i : in std_logic; addr_i : in unsigned(BRAM_W-1 downto BYTE_BITS); write_i : in unsigned(WORD_SIZE-1 downto 0); read_o : out unsigned(WORD_SIZE-1 downto 0); busy_o : out std_logic); end entity SinglePortRAM; architecture Xilinx of SinglePortRAM is type ram_type is array(natural range 0 to ((2**BRAM_W)/4)-1) of unsigned(WORD_SIZE-1 downto 0); signal addr_r : unsigned(BRAM_W-1 downto BYTE_BITS); signal ram : ram_type := ( 0 => x"0b0b0b0b", 1 => x"82700b0b", 2 => x"80cfa40c", 3 => x"3a0b0b80", 4 => x"c7970400", 5 => x"00000000", 6 => x"00000000", 7 => x"00000000", 8 => x"0b0b0b89", 9 => x"90040000", 10 => x"00000000", 11 => x"00000000", 12 => x"00000000", 13 => x"00000000", 14 => x"00000000", 15 => x"00000000", 16 => x"71fd0608", 17 => x"72830609", 18 => x"81058205", 19 => x"832b2a83", 20 => x"ffff0652", 21 => x"04000000", 22 => x"00000000", 23 => x"00000000", 24 => x"71fd0608", 25 => x"83ffff73", 26 => x"83060981", 27 => x"05820583", 28 => x"2b2b0906", 29 => x"7383ffff", 30 => x"0b0b0b0b", 31 => x"83a70400", 32 => x"72098105", 33 => x"72057373", 34 => x"09060906", 35 => x"73097306", 36 => x"070a8106", 37 => x"53510400", 38 => x"00000000", 39 => x"00000000", 40 => x"72722473", 41 => x"732e0753", 42 => x"51040000", 43 => x"00000000", 44 => x"00000000", 45 => x"00000000", 46 => x"00000000", 47 => x"00000000", 48 => x"71737109", 49 => x"71068106", 50 => x"30720a10", 51 => x"0a720a10", 52 => x"0a31050a", 53 => x"81065151", 54 => x"53510400", 55 => x"00000000", 56 => x"72722673", 57 => x"732e0753", 58 => x"51040000", 59 => x"00000000", 60 => x"00000000", 61 => x"00000000", 62 => x"00000000", 63 => x"00000000", 64 => x"00000000", 65 => x"00000000", 66 => x"00000000", 67 => x"00000000", 68 => x"00000000", 69 => x"00000000", 70 => x"00000000", 71 => x"00000000", 72 => x"0b0b0b88", 73 => x"c4040000", 74 => x"00000000", 75 => x"00000000", 76 => x"00000000", 77 => x"00000000", 78 => x"00000000", 79 => x"00000000", 80 => x"720a722b", 81 => x"0a535104", 82 => x"00000000", 83 => x"00000000", 84 => x"00000000", 85 => x"00000000", 86 => x"00000000", 87 => x"00000000", 88 => x"72729f06", 89 => x"0981050b", 90 => x"0b0b88a7", 91 => x"05040000", 92 => x"00000000", 93 => x"00000000", 94 => x"00000000", 95 => x"00000000", 96 => x"72722aff", 97 => x"739f062a", 98 => x"0974090a", 99 => x"8106ff05", 100 => x"06075351", 101 => x"04000000", 102 => x"00000000", 103 => x"00000000", 104 => x"71715351", 105 => x"020d0406", 106 => x"73830609", 107 => x"81058205", 108 => x"832b0b2b", 109 => x"0772fc06", 110 => x"0c515104", 111 => x"00000000", 112 => x"72098105", 113 => x"72050970", 114 => x"81050906", 115 => x"0a810653", 116 => x"51040000", 117 => x"00000000", 118 => x"00000000", 119 => x"00000000", 120 => x"72098105", 121 => x"72050970", 122 => x"81050906", 123 => x"0a098106", 124 => x"53510400", 125 => x"00000000", 126 => x"00000000", 127 => x"00000000", 128 => x"71098105", 129 => x"52040000", 130 => x"00000000", 131 => x"00000000", 132 => x"00000000", 133 => x"00000000", 134 => x"00000000", 135 => x"00000000", 136 => x"72720981", 137 => x"05055351", 138 => x"04000000", 139 => x"00000000", 140 => x"00000000", 141 => x"00000000", 142 => x"00000000", 143 => x"00000000", 144 => x"72097206", 145 => x"73730906", 146 => x"07535104", 147 => x"00000000", 148 => x"00000000", 149 => x"00000000", 150 => x"00000000", 151 => x"00000000", 152 => x"71fc0608", 153 => x"72830609", 154 => x"81058305", 155 => x"1010102a", 156 => x"81ff0652", 157 => x"04000000", 158 => x"00000000", 159 => x"00000000", 160 => x"71fc0608", 161 => x"0b0b80ce", 162 => x"d0738306", 163 => x"10100508", 164 => x"060b0b0b", 165 => x"88aa0400", 166 => x"00000000", 167 => x"00000000", 168 => x"0b0b0b88", 169 => x"f8040000", 170 => x"00000000", 171 => x"00000000", 172 => x"00000000", 173 => x"00000000", 174 => x"00000000", 175 => x"00000000", 176 => x"0b0b0b88", 177 => x"e0040000", 178 => x"00000000", 179 => x"00000000", 180 => x"00000000", 181 => x"00000000", 182 => x"00000000", 183 => x"00000000", 184 => x"72097081", 185 => x"0509060a", 186 => x"8106ff05", 187 => x"70547106", 188 => x"73097274", 189 => x"05ff0506", 190 => x"07515151", 191 => x"04000000", 192 => x"72097081", 193 => x"0509060a", 194 => x"098106ff", 195 => x"05705471", 196 => x"06730972", 197 => x"7405ff05", 198 => x"06075151", 199 => x"51040000", 200 => x"05ff0504", 201 => x"00000000", 202 => x"00000000", 203 => x"00000000", 204 => x"00000000", 205 => x"00000000", 206 => x"00000000", 207 => x"00000000", 208 => x"810b0b0b", 209 => x"80cfa00c", 210 => x"51040000", 211 => x"00000000", 212 => x"00000000", 213 => x"00000000", 214 => x"00000000", 215 => x"00000000", 216 => x"71810552", 217 => x"04000000", 218 => x"00000000", 219 => x"00000000", 220 => x"00000000", 221 => x"00000000", 222 => x"00000000", 223 => x"00000000", 224 => x"00000000", 225 => x"00000000", 226 => x"00000000", 227 => x"00000000", 228 => x"00000000", 229 => x"00000000", 230 => x"00000000", 231 => x"00000000", 232 => x"02840572", 233 => x"10100552", 234 => x"04000000", 235 => x"00000000", 236 => x"00000000", 237 => x"00000000", 238 => x"00000000", 239 => x"00000000", 240 => x"00000000", 241 => x"00000000", 242 => x"00000000", 243 => x"00000000", 244 => x"00000000", 245 => x"00000000", 246 => x"00000000", 247 => x"00000000", 248 => x"717105ff", 249 => x"05715351", 250 => x"020d0400", 251 => x"00000000", 252 => x"00000000", 253 => x"00000000", 254 => x"00000000", 255 => x"00000000", 256 => x"83853f80", 257 => x"c69c3f04", 258 => x"10101010", 259 => x"10101010", 260 => x"10101010", 261 => x"10101010", 262 => x"10101010", 263 => x"10101010", 264 => x"10101010", 265 => x"10101053", 266 => x"51047381", 267 => x"ff067383", 268 => x"06098105", 269 => x"83051010", 270 => x"102b0772", 271 => x"fc060c51", 272 => x"51043c04", 273 => x"72728072", 274 => x"8106ff05", 275 => x"09720605", 276 => x"71105272", 277 => x"0a100a53", 278 => x"72ed3851", 279 => x"51535104", 280 => x"b008b408", 281 => x"b8087575", 282 => x"8ec72d50", 283 => x"50b00856", 284 => x"b80cb40c", 285 => x"b00c5104", 286 => x"b008b408", 287 => x"b8087575", 288 => x"8d952d50", 289 => x"50b00856", 290 => x"b80cb40c", 291 => x"b00c5104", 292 => x"b008b408", 293 => x"b8088bb6", 294 => x"2db80cb4", 295 => x"0cb00c04", 296 => x"fe3d0d0b", 297 => x"0b80df94", 298 => x"08538413", 299 => x"0870882a", 300 => x"70810651", 301 => x"52527080", 302 => x"2ef03871", 303 => x"81ff06b0", 304 => x"0c843d0d", 305 => x"04ff3d0d", 306 => x"0b0b80df", 307 => x"94085271", 308 => x"0870882a", 309 => x"81327081", 310 => x"06515151", 311 => x"70f13873", 312 => x"720c833d", 313 => x"0d0480cf", 314 => x"a008802e", 315 => x"a43880cf", 316 => x"a408822e", 317 => x"bd388380", 318 => x"800b0b0b", 319 => x"80df940c", 320 => x"82a0800b", 321 => x"80df980c", 322 => x"8290800b", 323 => x"80df9c0c", 324 => x"04f88080", 325 => x"80a40b0b", 326 => x"0b80df94", 327 => x"0cf88080", 328 => x"82800b80", 329 => x"df980cf8", 330 => x"80808480", 331 => x"0b80df9c", 332 => x"0c0480c0", 333 => x"a8808c0b", 334 => x"0b0b80df", 335 => x"940c80c0", 336 => x"a880940b", 337 => x"80df980c", 338 => x"80cee00b", 339 => x"80df9c0c", 340 => x"04ff3d0d", 341 => x"80dfa033", 342 => x"5170a738", 343 => x"80cfac08", 344 => x"70085252", 345 => x"70802e94", 346 => x"38841280", 347 => x"cfac0c70", 348 => x"2d80cfac", 349 => x"08700852", 350 => x"5270ee38", 351 => x"810b80df", 352 => x"a034833d", 353 => x"0d040480", 354 => x"3d0d0b0b", 355 => x"80df9008", 356 => x"802e8e38", 357 => x"0b0b0b0b", 358 => x"800b802e", 359 => x"09810685", 360 => x"38823d0d", 361 => x"040b0b80", 362 => x"df90510b", 363 => x"0b0bf4d0", 364 => x"3f823d0d", 365 => x"0404ff3d", 366 => x"0d8e8080", 367 => x"0880cfb0", 368 => x"08811180", 369 => x"cfb00c52", 370 => x"52800b8e", 371 => x"80800c71", 372 => x"822a8106", 373 => x"5271802e", 374 => x"8738800b", 375 => x"8e908c0c", 376 => x"833d0d04", 377 => x"f73d0d7b", 378 => x"54870b89", 379 => x"3d80cfb4", 380 => x"08585855", 381 => x"7417748f", 382 => x"06175353", 383 => x"71337334", 384 => x"73842aff", 385 => x"16565474", 386 => x"8025e938", 387 => x"800b8b3d", 388 => x"34765186", 389 => x"d53f8b3d", 390 => x"0d04f73d", 391 => x"0d80cef8", 392 => x"5186c73f", 393 => x"800b8c80", 394 => x"800c868d", 395 => x"a00b8e90", 396 => x"840c9e0b", 397 => x"8e90880c", 398 => x"840b8e80", 399 => x"840c80ce", 400 => x"fc5186a6", 401 => x"3f80cfb0", 402 => x"08893d58", 403 => x"5380cfb0", 404 => x"08527272", 405 => x"2ef73880", 406 => x"cf845186", 407 => x"8d3f80cf", 408 => x"b0085487", 409 => x"0b80cfb4", 410 => x"08575574", 411 => x"17748f06", 412 => x"17535371", 413 => x"33733473", 414 => x"842aff16", 415 => x"56547480", 416 => x"25e93880", 417 => x"0b8b3d34", 418 => x"765185de", 419 => x"3f80cfb0", 420 => x"0853ffb9", 421 => x"39bc0802", 422 => x"bc0cf93d", 423 => x"0d800bbc", 424 => x"08fc050c", 425 => x"bc088805", 426 => x"088025ab", 427 => x"38bc0888", 428 => x"050830bc", 429 => x"0888050c", 430 => x"800bbc08", 431 => x"f4050cbc", 432 => x"08fc0508", 433 => x"8838810b", 434 => x"bc08f405", 435 => x"0cbc08f4", 436 => x"0508bc08", 437 => x"fc050cbc", 438 => x"088c0508", 439 => x"8025ab38", 440 => x"bc088c05", 441 => x"0830bc08", 442 => x"8c050c80", 443 => x"0bbc08f0", 444 => x"050cbc08", 445 => x"fc050888", 446 => x"38810bbc", 447 => x"08f0050c", 448 => x"bc08f005", 449 => x"08bc08fc", 450 => x"050c8053", 451 => x"bc088c05", 452 => x"0852bc08", 453 => x"88050851", 454 => x"81a73fb0", 455 => x"0870bc08", 456 => x"f8050c54", 457 => x"bc08fc05", 458 => x"08802e8c", 459 => x"38bc08f8", 460 => x"050830bc", 461 => x"08f8050c", 462 => x"bc08f805", 463 => x"0870b00c", 464 => x"54893d0d", 465 => x"bc0c04bc", 466 => x"0802bc0c", 467 => x"fb3d0d80", 468 => x"0bbc08fc", 469 => x"050cbc08", 470 => x"88050880", 471 => x"259338bc", 472 => x"08880508", 473 => x"30bc0888", 474 => x"050c810b", 475 => x"bc08fc05", 476 => x"0cbc088c", 477 => x"05088025", 478 => x"8c38bc08", 479 => x"8c050830", 480 => x"bc088c05", 481 => x"0c8153bc", 482 => x"088c0508", 483 => x"52bc0888", 484 => x"050851ad", 485 => x"3fb00870", 486 => x"bc08f805", 487 => x"0c54bc08", 488 => x"fc050880", 489 => x"2e8c38bc", 490 => x"08f80508", 491 => x"30bc08f8", 492 => x"050cbc08", 493 => x"f8050870", 494 => x"b00c5487", 495 => x"3d0dbc0c", 496 => x"04bc0802", 497 => x"bc0cfd3d", 498 => x"0d810bbc", 499 => x"08fc050c", 500 => x"800bbc08", 501 => x"f8050cbc", 502 => x"088c0508", 503 => x"bc088805", 504 => x"0827ac38", 505 => x"bc08fc05", 506 => x"08802ea3", 507 => x"38800bbc", 508 => x"088c0508", 509 => x"249938bc", 510 => x"088c0508", 511 => x"10bc088c", 512 => x"050cbc08", 513 => x"fc050810", 514 => x"bc08fc05", 515 => x"0cc939bc", 516 => x"08fc0508", 517 => x"802e80c9", 518 => x"38bc088c", 519 => x"0508bc08", 520 => x"88050826", 521 => x"a138bc08", 522 => x"880508bc", 523 => x"088c0508", 524 => x"31bc0888", 525 => x"050cbc08", 526 => x"f80508bc", 527 => x"08fc0508", 528 => x"07bc08f8", 529 => x"050cbc08", 530 => x"fc050881", 531 => x"2abc08fc", 532 => x"050cbc08", 533 => x"8c050881", 534 => x"2abc088c", 535 => x"050cffaf", 536 => x"39bc0890", 537 => x"0508802e", 538 => x"8f38bc08", 539 => x"88050870", 540 => x"bc08f405", 541 => x"0c518d39", 542 => x"bc08f805", 543 => x"0870bc08", 544 => x"f4050c51", 545 => x"bc08f405", 546 => x"08b00c85", 547 => x"3d0dbc0c", 548 => x"04fc3d0d", 549 => x"7670797b", 550 => x"55555555", 551 => x"8f72278c", 552 => x"38727507", 553 => x"83065170", 554 => x"802ea738", 555 => x"ff125271", 556 => x"ff2e9838", 557 => x"72708105", 558 => x"54337470", 559 => x"81055634", 560 => x"ff125271", 561 => x"ff2e0981", 562 => x"06ea3874", 563 => x"b00c863d", 564 => x"0d047451", 565 => x"72708405", 566 => x"54087170", 567 => x"8405530c", 568 => x"72708405", 569 => x"54087170", 570 => x"8405530c", 571 => x"72708405", 572 => x"54087170", 573 => x"8405530c", 574 => x"72708405", 575 => x"54087170", 576 => x"8405530c", 577 => x"f0125271", 578 => x"8f26c938", 579 => x"83722795", 580 => x"38727084", 581 => x"05540871", 582 => x"70840553", 583 => x"0cfc1252", 584 => x"718326ed", 585 => x"387054ff", 586 => x"8339f73d", 587 => x"0d7c7052", 588 => x"5380c83f", 589 => x"7254b008", 590 => x"5580cf8c", 591 => x"568157b0", 592 => x"0881055a", 593 => x"8b3de411", 594 => x"59538259", 595 => x"f413527b", 596 => x"88110852", 597 => x"5381833f", 598 => x"b0083070", 599 => x"b008079f", 600 => x"2c8a07b0", 601 => x"0c538b3d", 602 => x"0d04ff3d", 603 => x"0d735280", 604 => x"cfb80851", 605 => x"ffb43f83", 606 => x"3d0d04fd", 607 => x"3d0d7570", 608 => x"71830653", 609 => x"555270b8", 610 => x"38717008", 611 => x"7009f7fb", 612 => x"fdff1206", 613 => x"70f88482", 614 => x"81800651", 615 => x"51525370", 616 => x"9d388413", 617 => x"70087009", 618 => x"f7fbfdff", 619 => x"120670f8", 620 => x"84828180", 621 => x"06515152", 622 => x"5370802e", 623 => x"e5387252", 624 => x"71335170", 625 => x"802e8a38", 626 => x"81127033", 627 => x"525270f8", 628 => x"38717431", 629 => x"b00c853d", 630 => x"0d04f23d", 631 => x"0d606288", 632 => x"11087057", 633 => x"575f5a74", 634 => x"802e818f", 635 => x"388c1a22", 636 => x"70832a81", 637 => x"32708106", 638 => x"51555873", 639 => x"8638901a", 640 => x"08913879", 641 => x"5190a13f", 642 => x"ff54b008", 643 => x"80ed388c", 644 => x"1a22587d", 645 => x"08578078", 646 => x"83ffff06", 647 => x"70812a70", 648 => x"81065156", 649 => x"57557375", 650 => x"2e80d738", 651 => x"74903876", 652 => x"08841808", 653 => x"88195956", 654 => x"5974802e", 655 => x"f2387454", 656 => x"88807527", 657 => x"84388880", 658 => x"54735378", 659 => x"529c1a08", 660 => x"51a41a08", 661 => x"54732d80", 662 => x"0bb00825", 663 => x"82e638b0", 664 => x"081975b0", 665 => x"08317f88", 666 => x"0508b008", 667 => x"31706188", 668 => x"050c5656", 669 => x"5973ffb4", 670 => x"38805473", 671 => x"b00c903d", 672 => x"0d047581", 673 => x"32708106", 674 => x"76415154", 675 => x"73802e81", 676 => x"c1387490", 677 => x"38760884", 678 => x"18088819", 679 => x"59565974", 680 => x"802ef238", 681 => x"881a0878", 682 => x"83ffff06", 683 => x"70892a70", 684 => x"81065156", 685 => x"59567380", 686 => x"2e82fa38", 687 => x"7575278d", 688 => x"3877872a", 689 => x"70810651", 690 => x"547382b5", 691 => x"38747627", 692 => x"83387456", 693 => x"75537852", 694 => x"79085185", 695 => x"823f881a", 696 => x"08763188", 697 => x"1b0c7908", 698 => x"167a0c74", 699 => x"56751975", 700 => x"77317f88", 701 => x"05087831", 702 => x"70618805", 703 => x"0c565659", 704 => x"73802efe", 705 => x"f4388c1a", 706 => x"2258ff86", 707 => x"39777854", 708 => x"79537b52", 709 => x"5684c83f", 710 => x"881a0878", 711 => x"31881b0c", 712 => x"7908187a", 713 => x"0c7c7631", 714 => x"5d7c8e38", 715 => x"79518fdb", 716 => x"3fb00881", 717 => x"8f38b008", 718 => x"5f751975", 719 => x"77317f88", 720 => x"05087831", 721 => x"70618805", 722 => x"0c565659", 723 => x"73802efe", 724 => x"a8387481", 725 => x"83387608", 726 => x"84180888", 727 => x"19595659", 728 => x"74802ef2", 729 => x"3874538a", 730 => x"52785182", 731 => x"d33fb008", 732 => x"79318105", 733 => x"5db00884", 734 => x"3881155d", 735 => x"815f7c58", 736 => x"747d2783", 737 => x"38745894", 738 => x"1a08881b", 739 => x"0811575c", 740 => x"807a085c", 741 => x"54901a08", 742 => x"7b278338", 743 => x"81547578", 744 => x"25843873", 745 => x"ba387b78", 746 => x"24fee238", 747 => x"7b537852", 748 => x"9c1a0851", 749 => x"a41a0854", 750 => x"732db008", 751 => x"56b00880", 752 => x"24fee238", 753 => x"8c1a2280", 754 => x"c0075473", 755 => x"8c1b23ff", 756 => x"5473b00c", 757 => x"903d0d04", 758 => x"7effa338", 759 => x"ff873975", 760 => x"5378527a", 761 => x"5182f83f", 762 => x"7908167a", 763 => x"0c79518e", 764 => x"9a3fb008", 765 => x"cf387c76", 766 => x"315d7cfe", 767 => x"bc38feac", 768 => x"39901a08", 769 => x"7a087131", 770 => x"76117056", 771 => x"5a575280", 772 => x"cfb80851", 773 => x"848c3fb0", 774 => x"08802eff", 775 => x"a738b008", 776 => x"901b0cb0", 777 => x"08167a0c", 778 => x"77941b0c", 779 => x"74881b0c", 780 => x"7456fd99", 781 => x"39790858", 782 => x"901a0878", 783 => x"27833881", 784 => x"54757527", 785 => x"843873b3", 786 => x"38941a08", 787 => x"56757526", 788 => x"80d33875", 789 => x"5378529c", 790 => x"1a0851a4", 791 => x"1a085473", 792 => x"2db00856", 793 => x"b0088024", 794 => x"fd83388c", 795 => x"1a2280c0", 796 => x"0754738c", 797 => x"1b23ff54", 798 => x"fed73975", 799 => x"53785277", 800 => x"5181dc3f", 801 => x"7908167a", 802 => x"0c79518c", 803 => x"fe3fb008", 804 => x"802efcd9", 805 => x"388c1a22", 806 => x"80c00754", 807 => x"738c1b23", 808 => x"ff54fead", 809 => x"39747554", 810 => x"79537852", 811 => x"5681b03f", 812 => x"881a0875", 813 => x"31881b0c", 814 => x"7908157a", 815 => x"0cfcae39", 816 => x"fa3d0d7a", 817 => x"79028805", 818 => x"a7053356", 819 => x"52538373", 820 => x"278a3870", 821 => x"83065271", 822 => x"802ea838", 823 => x"ff135372", 824 => x"ff2e9738", 825 => x"70335273", 826 => x"722e9138", 827 => x"8111ff14", 828 => x"545172ff", 829 => x"2e098106", 830 => x"eb388051", 831 => x"70b00c88", 832 => x"3d0d0470", 833 => x"72575583", 834 => x"51758280", 835 => x"2914ff12", 836 => x"52567080", 837 => x"25f33883", 838 => x"7327bf38", 839 => x"74087632", 840 => x"7009f7fb", 841 => x"fdff1206", 842 => x"70f88482", 843 => x"81800651", 844 => x"51517080", 845 => x"2e993874", 846 => x"51805270", 847 => x"33577377", 848 => x"2effb938", 849 => x"81118113", 850 => x"53518372", 851 => x"27ed38fc", 852 => x"13841656", 853 => x"53728326", 854 => x"c3387451", 855 => x"fefe39fa", 856 => x"3d0d787a", 857 => x"7c727272", 858 => x"57575759", 859 => x"56567476", 860 => x"27b23876", 861 => x"15517571", 862 => x"27aa3870", 863 => x"7717ff14", 864 => x"54555371", 865 => x"ff2e9638", 866 => x"ff14ff14", 867 => x"54547233", 868 => x"7434ff12", 869 => x"5271ff2e", 870 => x"098106ec", 871 => x"3875b00c", 872 => x"883d0d04", 873 => x"768f2697", 874 => x"38ff1252", 875 => x"71ff2eed", 876 => x"38727081", 877 => x"05543374", 878 => x"70810556", 879 => x"34eb3974", 880 => x"76078306", 881 => x"5170e238", 882 => x"75755451", 883 => x"72708405", 884 => x"54087170", 885 => x"8405530c", 886 => x"72708405", 887 => x"54087170", 888 => x"8405530c", 889 => x"72708405", 890 => x"54087170", 891 => x"8405530c", 892 => x"72708405", 893 => x"54087170", 894 => x"8405530c", 895 => x"f0125271", 896 => x"8f26c938", 897 => x"83722795", 898 => x"38727084", 899 => x"05540871", 900 => x"70840553", 901 => x"0cfc1252", 902 => x"718326ed", 903 => x"387054ff", 904 => x"8839ef3d", 905 => x"0d636567", 906 => x"405d427b", 907 => x"802e84fa", 908 => x"386151a5", 909 => x"b43ff81c", 910 => x"70841208", 911 => x"70fc0670", 912 => x"628b0570", 913 => x"f8064159", 914 => x"455b5c41", 915 => x"57967427", 916 => x"82c33880", 917 => x"7b247e7c", 918 => x"26075980", 919 => x"5478742e", 920 => x"09810682", 921 => x"a938777b", 922 => x"2581fc38", 923 => x"771780d6", 924 => x"f40b8805", 925 => x"085e567c", 926 => x"762e84bd", 927 => x"38841608", 928 => x"70fe0617", 929 => x"84110881", 930 => x"06515555", 931 => x"73828b38", 932 => x"74fc0659", 933 => x"7c762e84", 934 => x"dd387719", 935 => x"5f7e7b25", 936 => x"81fd3879", 937 => x"81065473", 938 => x"82bf3876", 939 => x"77083184", 940 => x"1108fc06", 941 => x"565a7580", 942 => x"2e91387c", 943 => x"762e84ea", 944 => x"38741918", 945 => x"59787b25", 946 => x"84893879", 947 => x"802e8299", 948 => x"38771556", 949 => x"7a762482", 950 => x"90388c1a", 951 => x"08881b08", 952 => x"718c120c", 953 => x"88120c55", 954 => x"79765957", 955 => x"881761fc", 956 => x"05575975", 957 => x"a42685ef", 958 => x"387b7955", 959 => x"55937627", 960 => x"80c9387b", 961 => x"7084055d", 962 => x"087c5679", 963 => x"0c747084", 964 => x"0556088c", 965 => x"180c9017", 966 => x"549b7627", 967 => x"ae387470", 968 => x"84055608", 969 => x"740c7470", 970 => x"84055608", 971 => x"94180c98", 972 => x"1754a376", 973 => x"27953874", 974 => x"70840556", 975 => x"08740c74", 976 => x"70840556", 977 => x"089c180c", 978 => x"a0175474", 979 => x"70840556", 980 => x"08747084", 981 => x"05560c74", 982 => x"70840556", 983 => x"08747084", 984 => x"05560c74", 985 => x"08740c77", 986 => x"7b315675", 987 => x"8f2680c9", 988 => x"38841708", 989 => x"81067807", 990 => x"84180c77", 991 => x"17841108", 992 => x"81078412", 993 => x"0c546151", 994 => x"a2e03f88", 995 => x"175473b0", 996 => x"0c933d0d", 997 => x"04905bfd", 998 => x"ba397856", 999 => x"fe85398c", 1000 => x"16088817", 1001 => x"08718c12", 1002 => x"0c88120c", 1003 => x"557e707c", 1004 => x"3157588f", 1005 => x"7627ffb9", 1006 => x"387a1784", 1007 => x"18088106", 1008 => x"7c078419", 1009 => x"0c768107", 1010 => x"84120c76", 1011 => x"11841108", 1012 => x"81078412", 1013 => x"0c558805", 1014 => x"5261518c", 1015 => x"f63f6151", 1016 => x"a2883f88", 1017 => x"1754ffa6", 1018 => x"397d5261", 1019 => x"5194f53f", 1020 => x"b00859b0", 1021 => x"08802e81", 1022 => x"a338b008", 1023 => x"f8056084", 1024 => x"0508fe06", 1025 => x"61055557", 1026 => x"76742e83", 1027 => x"e638fc18", 1028 => x"5675a426", 1029 => x"81aa387b", 1030 => x"b0085555", 1031 => x"93762780", 1032 => x"d8387470", 1033 => x"84055608", 1034 => x"b0087084", 1035 => x"05b00c0c", 1036 => x"b0087570", 1037 => x"84055708", 1038 => x"71708405", 1039 => x"530c549b", 1040 => x"7627b638", 1041 => x"74708405", 1042 => x"56087470", 1043 => x"8405560c", 1044 => x"74708405", 1045 => x"56087470", 1046 => x"8405560c", 1047 => x"a3762799", 1048 => x"38747084", 1049 => x"05560874", 1050 => x"70840556", 1051 => x"0c747084", 1052 => x"05560874", 1053 => x"70840556", 1054 => x"0c747084", 1055 => x"05560874", 1056 => x"70840556", 1057 => x"0c747084", 1058 => x"05560874", 1059 => x"70840556", 1060 => x"0c740874", 1061 => x"0c7b5261", 1062 => x"518bb83f", 1063 => x"6151a0ca", 1064 => x"3f785473", 1065 => x"b00c933d", 1066 => x"0d047d52", 1067 => x"615193b4", 1068 => x"3fb008b0", 1069 => x"0c933d0d", 1070 => x"04841608", 1071 => x"55fbd139", 1072 => x"75537b52", 1073 => x"b00851ef", 1074 => x"c83f7b52", 1075 => x"61518b83", 1076 => x"3fca398c", 1077 => x"16088817", 1078 => x"08718c12", 1079 => x"0c88120c", 1080 => x"558c1a08", 1081 => x"881b0871", 1082 => x"8c120c88", 1083 => x"120c5579", 1084 => x"795957fb", 1085 => x"f7397719", 1086 => x"901c5555", 1087 => x"737524fb", 1088 => x"a2387a17", 1089 => x"7080d6f4", 1090 => x"0b88050c", 1091 => x"757c3181", 1092 => x"0784120c", 1093 => x"5d841708", 1094 => x"81067b07", 1095 => x"84180c61", 1096 => x"519fc73f", 1097 => x"881754fc", 1098 => x"e5397419", 1099 => x"18901c55", 1100 => x"5d737d24", 1101 => x"fb95388c", 1102 => x"1a08881b", 1103 => x"08718c12", 1104 => x"0c88120c", 1105 => x"55881a61", 1106 => x"fc055759", 1107 => x"75a42681", 1108 => x"ae387b79", 1109 => x"55559376", 1110 => x"2780c938", 1111 => x"7b708405", 1112 => x"5d087c56", 1113 => x"790c7470", 1114 => x"84055608", 1115 => x"8c1b0c90", 1116 => x"1a549b76", 1117 => x"27ae3874", 1118 => x"70840556", 1119 => x"08740c74", 1120 => x"70840556", 1121 => x"08941b0c", 1122 => x"981a54a3", 1123 => x"76279538", 1124 => x"74708405", 1125 => x"5608740c", 1126 => x"74708405", 1127 => x"56089c1b", 1128 => x"0ca01a54", 1129 => x"74708405", 1130 => x"56087470", 1131 => x"8405560c", 1132 => x"74708405", 1133 => x"56087470", 1134 => x"8405560c", 1135 => x"7408740c", 1136 => x"7a1a7080", 1137 => x"d6f40b88", 1138 => x"050c7d7c", 1139 => x"31810784", 1140 => x"120c5484", 1141 => x"1a088106", 1142 => x"7b07841b", 1143 => x"0c61519e", 1144 => x"893f7854", 1145 => x"fdbd3975", 1146 => x"537b5278", 1147 => x"51eda23f", 1148 => x"faf53984", 1149 => x"1708fc06", 1150 => x"18605858", 1151 => x"fae93975", 1152 => x"537b5278", 1153 => x"51ed8a3f", 1154 => x"7a1a7080", 1155 => x"d6f40b88", 1156 => x"050c7d7c", 1157 => x"31810784", 1158 => x"120c5484", 1159 => x"1a088106", 1160 => x"7b07841b", 1161 => x"0cffb639", 1162 => x"fa3d0d78", 1163 => x"80cfb808", 1164 => x"5455b813", 1165 => x"08802e81", 1166 => x"b5388c15", 1167 => x"227083ff", 1168 => x"ff067083", 1169 => x"2a813270", 1170 => x"81065155", 1171 => x"55567280", 1172 => x"2e80dc38", 1173 => x"73842a81", 1174 => x"32810657", 1175 => x"ff537680", 1176 => x"f6387382", 1177 => x"2a708106", 1178 => x"51537280", 1179 => x"2eb938b0", 1180 => x"15085473", 1181 => x"802e9c38", 1182 => x"80c01553", 1183 => x"73732e8f", 1184 => x"38735280", 1185 => x"cfb80851", 1186 => x"87c93f8c", 1187 => x"15225676", 1188 => x"b0160c75", 1189 => x"db065372", 1190 => x"8c162380", 1191 => x"0b84160c", 1192 => x"90150875", 1193 => x"0c725675", 1194 => x"88075372", 1195 => x"8c162390", 1196 => x"1508802e", 1197 => x"80c0388c", 1198 => x"15227081", 1199 => x"06555373", 1200 => x"9d387281", 1201 => x"2a708106", 1202 => x"51537285", 1203 => x"38941508", 1204 => x"54738816", 1205 => x"0c805372", 1206 => x"b00c883d", 1207 => x"0d04800b", 1208 => x"88160c94", 1209 => x"15083098", 1210 => x"160c8053", 1211 => x"ea397251", 1212 => x"82fb3ffe", 1213 => x"c5397451", 1214 => x"8ce83f8c", 1215 => x"15227081", 1216 => x"06555373", 1217 => x"802effba", 1218 => x"38d439f8", 1219 => x"3d0d7a58", 1220 => x"77802e81", 1221 => x"993880cf", 1222 => x"b80854b8", 1223 => x"1408802e", 1224 => x"80ed388c", 1225 => x"18227090", 1226 => x"2b70902c", 1227 => x"70832a81", 1228 => x"3281065c", 1229 => x"51575478", 1230 => x"80cd3890", 1231 => x"18085776", 1232 => x"802e80c3", 1233 => x"38770877", 1234 => x"3177790c", 1235 => x"7683067a", 1236 => x"58555573", 1237 => x"85389418", 1238 => x"08567588", 1239 => x"190c8075", 1240 => x"25a53874", 1241 => x"5376529c", 1242 => x"180851a4", 1243 => x"18085473", 1244 => x"2d800bb0", 1245 => x"082580c9", 1246 => x"38b00817", 1247 => x"75b00831", 1248 => x"56577480", 1249 => x"24dd3880", 1250 => x"0bb00c8a", 1251 => x"3d0d0473", 1252 => x"5181da3f", 1253 => x"8c182270", 1254 => x"902b7090", 1255 => x"2c70832a", 1256 => x"81328106", 1257 => x"5c515754", 1258 => x"78dd38ff", 1259 => x"8e39a68b", 1260 => x"5280cfb8", 1261 => x"085189f1", 1262 => x"3fb008b0", 1263 => x"0c8a3d0d", 1264 => x"048c1822", 1265 => x"80c00754", 1266 => x"738c1923", 1267 => x"ff0bb00c", 1268 => x"8a3d0d04", 1269 => x"803d0d72", 1270 => x"5180710c", 1271 => x"800b8412", 1272 => x"0c800b88", 1273 => x"120c028e", 1274 => x"05228c12", 1275 => x"23029205", 1276 => x"228e1223", 1277 => x"800b9012", 1278 => x"0c800b94", 1279 => x"120c800b", 1280 => x"98120c70", 1281 => x"9c120c80", 1282 => x"c29f0ba0", 1283 => x"120c80c2", 1284 => x"eb0ba412", 1285 => x"0c80c3e7", 1286 => x"0ba8120c", 1287 => x"80c4b80b", 1288 => x"ac120c82", 1289 => x"3d0d04fa", 1290 => x"3d0d7970", 1291 => x"80dc298c", 1292 => x"11547a53", 1293 => x"56578cac", 1294 => x"3fb008b0", 1295 => x"085556b0", 1296 => x"08802ea2", 1297 => x"38b0088c", 1298 => x"0554800b", 1299 => x"b0080c76", 1300 => x"b0088405", 1301 => x"0c73b008", 1302 => x"88050c74", 1303 => x"53805273", 1304 => x"5197f73f", 1305 => x"755473b0", 1306 => x"0c883d0d", 1307 => x"04fc3d0d", 1308 => x"76ab800b", 1309 => x"bc120c55", 1310 => x"810bb816", 1311 => x"0c800b84", 1312 => x"dc160c83", 1313 => x"0b84e016", 1314 => x"0c84e815", 1315 => x"84e4160c", 1316 => x"74548053", 1317 => x"84528415", 1318 => x"0851feb8", 1319 => x"3f745481", 1320 => x"53895288", 1321 => x"150851fe", 1322 => x"ab3f7454", 1323 => x"82538a52", 1324 => x"8c150851", 1325 => x"fe9e3f86", 1326 => x"3d0d04f9", 1327 => x"3d0d7980", 1328 => x"cfb80854", 1329 => x"57b81308", 1330 => x"802e80c8", 1331 => x"3884dc13", 1332 => x"56881608", 1333 => x"841708ff", 1334 => x"05555580", 1335 => x"74249f38", 1336 => x"8c152270", 1337 => x"902b7090", 1338 => x"2c515458", 1339 => x"72802e80", 1340 => x"ca3880dc", 1341 => x"15ff1555", 1342 => x"55738025", 1343 => x"e3387508", 1344 => x"5372802e", 1345 => x"9f387256", 1346 => x"88160884", 1347 => x"1708ff05", 1348 => x"5555c839", 1349 => x"7251fed5", 1350 => x"3f80cfb8", 1351 => x"0884dc05", 1352 => x"56ffae39", 1353 => x"84527651", 1354 => x"fdfd3fb0", 1355 => x"08760cb0", 1356 => x"08802e80", 1357 => x"c038b008", 1358 => x"56ce3981", 1359 => x"0b8c1623", 1360 => x"72750c72", 1361 => x"88160c72", 1362 => x"84160c72", 1363 => x"90160c72", 1364 => x"94160c72", 1365 => x"98160cff", 1366 => x"0b8e1623", 1367 => x"72b0160c", 1368 => x"72b4160c", 1369 => x"7280c416", 1370 => x"0c7280c8", 1371 => x"160c74b0", 1372 => x"0c893d0d", 1373 => x"048c770c", 1374 => x"800bb00c", 1375 => x"893d0d04", 1376 => x"ff3d0da6", 1377 => x"8b527351", 1378 => x"869f3f83", 1379 => x"3d0d0480", 1380 => x"3d0d80cf", 1381 => x"b80851e8", 1382 => x"3f823d0d", 1383 => x"04fb3d0d", 1384 => x"77705256", 1385 => x"96c33f80", 1386 => x"d6f40b88", 1387 => x"05088411", 1388 => x"08fc0670", 1389 => x"7b319fef", 1390 => x"05e08006", 1391 => x"e0800556", 1392 => x"5653a080", 1393 => x"74249438", 1394 => x"80527551", 1395 => x"969d3f80", 1396 => x"d6fc0815", 1397 => x"5372b008", 1398 => x"2e8f3875", 1399 => x"51968b3f", 1400 => x"805372b0", 1401 => x"0c873d0d", 1402 => x"04733052", 1403 => x"755195fb", 1404 => x"3fb008ff", 1405 => x"2ea83880", 1406 => x"d6f40b88", 1407 => x"05087575", 1408 => x"31810784", 1409 => x"120c5380", 1410 => x"d6b80874", 1411 => x"3180d6b8", 1412 => x"0c755195", 1413 => x"d53f810b", 1414 => x"b00c873d", 1415 => x"0d048052", 1416 => x"755195c7", 1417 => x"3f80d6f4", 1418 => x"0b880508", 1419 => x"b0087131", 1420 => x"56538f75", 1421 => x"25ffa438", 1422 => x"b00880d6", 1423 => x"e8083180", 1424 => x"d6b80c74", 1425 => x"81078414", 1426 => x"0c755195", 1427 => x"9d3f8053", 1428 => x"ff9039f6", 1429 => x"3d0d7c7e", 1430 => x"545b7280", 1431 => x"2e828338", 1432 => x"7a519585", 1433 => x"3ff81384", 1434 => x"110870fe", 1435 => x"06701384", 1436 => x"1108fc06", 1437 => x"5d585954", 1438 => x"5880d6fc", 1439 => x"08752e82", 1440 => x"de387884", 1441 => x"160c8073", 1442 => x"8106545a", 1443 => x"727a2e81", 1444 => x"d5387815", 1445 => x"84110881", 1446 => x"06515372", 1447 => x"a0387817", 1448 => x"577981e6", 1449 => x"38881508", 1450 => x"537280d6", 1451 => x"fc2e82f9", 1452 => x"388c1508", 1453 => x"708c150c", 1454 => x"7388120c", 1455 => x"56768107", 1456 => x"84190c76", 1457 => x"1877710c", 1458 => x"53798191", 1459 => x"3883ff77", 1460 => x"2781c838", 1461 => x"76892a77", 1462 => x"832a5653", 1463 => x"72802ebf", 1464 => x"3876862a", 1465 => x"b8055584", 1466 => x"7327b438", 1467 => x"80db1355", 1468 => x"947327ab", 1469 => x"38768c2a", 1470 => x"80ee0555", 1471 => x"80d47327", 1472 => x"9e38768f", 1473 => x"2a80f705", 1474 => x"5582d473", 1475 => x"27913876", 1476 => x"922a80fc", 1477 => x"05558ad4", 1478 => x"73278438", 1479 => x"80fe5574", 1480 => x"10101080", 1481 => x"d6f40588", 1482 => x"11085556", 1483 => x"73762e82", 1484 => x"b3388414", 1485 => x"08fc0653", 1486 => x"7673278d", 1487 => x"38881408", 1488 => x"5473762e", 1489 => x"098106ea", 1490 => x"388c1408", 1491 => x"708c1a0c", 1492 => x"74881a0c", 1493 => x"7888120c", 1494 => x"56778c15", 1495 => x"0c7a5193", 1496 => x"893f8c3d", 1497 => x"0d047708", 1498 => x"78713159", 1499 => x"77058819", 1500 => x"08545772", 1501 => x"80d6fc2e", 1502 => x"80e0388c", 1503 => x"1808708c", 1504 => x"150c7388", 1505 => x"120c56fe", 1506 => x"89398815", 1507 => x"088c1608", 1508 => x"708c130c", 1509 => x"5788170c", 1510 => x"fea33976", 1511 => x"832a7054", 1512 => x"55807524", 1513 => x"81983872", 1514 => x"822c8171", 1515 => x"2b80d6f8", 1516 => x"080780d6", 1517 => x"f40b8405", 1518 => x"0c537410", 1519 => x"101080d6", 1520 => x"f4058811", 1521 => x"08555675", 1522 => x"8c190c73", 1523 => x"88190c77", 1524 => x"88170c77", 1525 => x"8c150cff", 1526 => x"8439815a", 1527 => x"fdb43978", 1528 => x"17738106", 1529 => x"54577298", 1530 => x"38770878", 1531 => x"71315977", 1532 => x"058c1908", 1533 => x"881a0871", 1534 => x"8c120c88", 1535 => x"120c5757", 1536 => x"76810784", 1537 => x"190c7780", 1538 => x"d6f40b88", 1539 => x"050c80d6", 1540 => x"f0087726", 1541 => x"fec73880", 1542 => x"d6ec0852", 1543 => x"7a51fafd", 1544 => x"3f7a5191", 1545 => x"c53ffeba", 1546 => x"3981788c", 1547 => x"150c7888", 1548 => x"150c738c", 1549 => x"1a0c7388", 1550 => x"1a0c5afd", 1551 => x"80398315", 1552 => x"70822c81", 1553 => x"712b80d6", 1554 => x"f8080780", 1555 => x"d6f40b84", 1556 => x"050c5153", 1557 => x"74101010", 1558 => x"80d6f405", 1559 => x"88110855", 1560 => x"56fee439", 1561 => x"74538075", 1562 => x"24a73872", 1563 => x"822c8171", 1564 => x"2b80d6f8", 1565 => x"080780d6", 1566 => x"f40b8405", 1567 => x"0c53758c", 1568 => x"190c7388", 1569 => x"190c7788", 1570 => x"170c778c", 1571 => x"150cfdcd", 1572 => x"39831570", 1573 => x"822c8171", 1574 => x"2b80d6f8", 1575 => x"080780d6", 1576 => x"f40b8405", 1577 => x"0c5153d6", 1578 => x"39f93d0d", 1579 => x"797b5853", 1580 => x"800b80cf", 1581 => x"b8085356", 1582 => x"72722e80", 1583 => x"c03884dc", 1584 => x"13557476", 1585 => x"2eb73888", 1586 => x"15088416", 1587 => x"08ff0554", 1588 => x"54807324", 1589 => x"9d388c14", 1590 => x"2270902b", 1591 => x"70902c51", 1592 => x"53587180", 1593 => x"d83880dc", 1594 => x"14ff1454", 1595 => x"54728025", 1596 => x"e5387408", 1597 => x"5574d038", 1598 => x"80cfb808", 1599 => x"5284dc12", 1600 => x"5574802e", 1601 => x"b1388815", 1602 => x"08841608", 1603 => x"ff055454", 1604 => x"8073249c", 1605 => x"388c1422", 1606 => x"70902b70", 1607 => x"902c5153", 1608 => x"5871ad38", 1609 => x"80dc14ff", 1610 => x"14545472", 1611 => x"8025e638", 1612 => x"74085574", 1613 => x"d13875b0", 1614 => x"0c893d0d", 1615 => x"04735176", 1616 => x"2d75b008", 1617 => x"0780dc15", 1618 => x"ff155555", 1619 => x"56ff9e39", 1620 => x"7351762d", 1621 => x"75b00807", 1622 => x"80dc15ff", 1623 => x"15555556", 1624 => x"ca39ea3d", 1625 => x"0d688c11", 1626 => x"2270812a", 1627 => x"81065758", 1628 => x"567480e4", 1629 => x"388e1622", 1630 => x"70902b70", 1631 => x"902c5155", 1632 => x"58807424", 1633 => x"b138983d", 1634 => x"c4055373", 1635 => x"5280cfb8", 1636 => x"085192ac", 1637 => x"3f800bb0", 1638 => x"08249738", 1639 => x"7983e080", 1640 => x"06547380", 1641 => x"c0802e81", 1642 => x"8f387382", 1643 => x"80802e81", 1644 => x"91388c16", 1645 => x"22577690", 1646 => x"80075473", 1647 => x"8c172388", 1648 => x"805280cf", 1649 => x"b8085181", 1650 => x"9b3fb008", 1651 => x"9d388c16", 1652 => x"22820754", 1653 => x"738c1723", 1654 => x"80c31670", 1655 => x"770c9017", 1656 => x"0c810b94", 1657 => x"170c983d", 1658 => x"0d0480cf", 1659 => x"b808ab80", 1660 => x"0bbc120c", 1661 => x"548c1622", 1662 => x"81800754", 1663 => x"738c1723", 1664 => x"b008760c", 1665 => x"b0089017", 1666 => x"0c88800b", 1667 => x"94170c74", 1668 => x"802ed338", 1669 => x"8e162270", 1670 => x"902b7090", 1671 => x"2c535558", 1672 => x"98a53fb0", 1673 => x"08802eff", 1674 => x"bd388c16", 1675 => x"22810754", 1676 => x"738c1723", 1677 => x"983d0d04", 1678 => x"810b8c17", 1679 => x"225855fe", 1680 => x"f539a816", 1681 => x"0880c3e7", 1682 => x"2e098106", 1683 => x"fee4388c", 1684 => x"16228880", 1685 => x"0754738c", 1686 => x"17238880", 1687 => x"0b80cc17", 1688 => x"0cfedc39", 1689 => x"f33d0d7f", 1690 => x"618b1170", 1691 => x"f8065c55", 1692 => x"555e7296", 1693 => x"26833890", 1694 => x"59807924", 1695 => x"747a2607", 1696 => x"53805472", 1697 => x"742e0981", 1698 => x"0680cb38", 1699 => x"7d518cd9", 1700 => x"3f7883f7", 1701 => x"2680c638", 1702 => x"78832a70", 1703 => x"10101080", 1704 => x"d6f4058c", 1705 => x"11085959", 1706 => x"5a76782e", 1707 => x"83b03884", 1708 => x"1708fc06", 1709 => x"568c1708", 1710 => x"88180871", 1711 => x"8c120c88", 1712 => x"120c5875", 1713 => x"17841108", 1714 => x"81078412", 1715 => x"0c537d51", 1716 => x"8c983f88", 1717 => x"175473b0", 1718 => x"0c8f3d0d", 1719 => x"0478892a", 1720 => x"79832a5b", 1721 => x"5372802e", 1722 => x"bf387886", 1723 => x"2ab8055a", 1724 => x"847327b4", 1725 => x"3880db13", 1726 => x"5a947327", 1727 => x"ab38788c", 1728 => x"2a80ee05", 1729 => x"5a80d473", 1730 => x"279e3878", 1731 => x"8f2a80f7", 1732 => x"055a82d4", 1733 => x"73279138", 1734 => x"78922a80", 1735 => x"fc055a8a", 1736 => x"d4732784", 1737 => x"3880fe5a", 1738 => x"79101010", 1739 => x"80d6f405", 1740 => x"8c110858", 1741 => x"5576752e", 1742 => x"a3388417", 1743 => x"08fc0670", 1744 => x"7a315556", 1745 => x"738f2488", 1746 => x"d5387380", 1747 => x"25fee638", 1748 => x"8c170857", 1749 => x"76752e09", 1750 => x"8106df38", 1751 => x"811a5a80", 1752 => x"d7840857", 1753 => x"7680d6fc", 1754 => x"2e82c038", 1755 => x"841708fc", 1756 => x"06707a31", 1757 => x"5556738f", 1758 => x"2481f938", 1759 => x"80d6fc0b", 1760 => x"80d7880c", 1761 => x"80d6fc0b", 1762 => x"80d7840c", 1763 => x"738025fe", 1764 => x"b23883ff", 1765 => x"762783df", 1766 => x"3875892a", 1767 => x"76832a55", 1768 => x"5372802e", 1769 => x"bf387586", 1770 => x"2ab80554", 1771 => x"847327b4", 1772 => x"3880db13", 1773 => x"54947327", 1774 => x"ab38758c", 1775 => x"2a80ee05", 1776 => x"5480d473", 1777 => x"279e3875", 1778 => x"8f2a80f7", 1779 => x"055482d4", 1780 => x"73279138", 1781 => x"75922a80", 1782 => x"fc05548a", 1783 => x"d4732784", 1784 => x"3880fe54", 1785 => x"73101010", 1786 => x"80d6f405", 1787 => x"88110856", 1788 => x"5874782e", 1789 => x"86cf3884", 1790 => x"1508fc06", 1791 => x"53757327", 1792 => x"8d388815", 1793 => x"08557478", 1794 => x"2e098106", 1795 => x"ea388c15", 1796 => x"0880d6f4", 1797 => x"0b840508", 1798 => x"718c1a0c", 1799 => x"76881a0c", 1800 => x"7888130c", 1801 => x"788c180c", 1802 => x"5d587953", 1803 => x"807a2483", 1804 => x"e6387282", 1805 => x"2c81712b", 1806 => x"5c537a7c", 1807 => x"26819838", 1808 => x"7b7b0653", 1809 => x"7282f138", 1810 => x"79fc0684", 1811 => x"055a7a10", 1812 => x"707d0654", 1813 => x"5b7282e0", 1814 => x"38841a5a", 1815 => x"f1398817", 1816 => x"8c110858", 1817 => x"5876782e", 1818 => x"098106fc", 1819 => x"c238821a", 1820 => x"5afdec39", 1821 => x"78177981", 1822 => x"0784190c", 1823 => x"7080d788", 1824 => x"0c7080d7", 1825 => x"840c80d6", 1826 => x"fc0b8c12", 1827 => x"0c8c1108", 1828 => x"88120c74", 1829 => x"81078412", 1830 => x"0c741175", 1831 => x"710c5153", 1832 => x"7d5188c6", 1833 => x"3f881754", 1834 => x"fcac3980", 1835 => x"d6f40b84", 1836 => x"05087a54", 1837 => x"5c798025", 1838 => x"fef83882", 1839 => x"da397a09", 1840 => x"7c067080", 1841 => x"d6f40b84", 1842 => x"050c5c7a", 1843 => x"105b7a7c", 1844 => x"2685387a", 1845 => x"85b83880", 1846 => x"d6f40b88", 1847 => x"05087084", 1848 => x"1208fc06", 1849 => x"707c317c", 1850 => x"72268f72", 1851 => x"25075757", 1852 => x"5c5d5572", 1853 => x"802e80db", 1854 => x"38797a16", 1855 => x"80d6ec08", 1856 => x"1b90115a", 1857 => x"55575b80", 1858 => x"d6e808ff", 1859 => x"2e8838a0", 1860 => x"8f13e080", 1861 => x"06577652", 1862 => x"7d5187cf", 1863 => x"3fb00854", 1864 => x"b008ff2e", 1865 => x"9038b008", 1866 => x"76278299", 1867 => x"387480d6", 1868 => x"f42e8291", 1869 => x"3880d6f4", 1870 => x"0b880508", 1871 => x"55841508", 1872 => x"fc06707a", 1873 => x"317a7226", 1874 => x"8f722507", 1875 => x"52555372", 1876 => x"83e63874", 1877 => x"79810784", 1878 => x"170c7916", 1879 => x"7080d6f4", 1880 => x"0b88050c", 1881 => x"75810784", 1882 => x"120c547e", 1883 => x"525786fa", 1884 => x"3f881754", 1885 => x"fae03975", 1886 => x"832a7054", 1887 => x"54807424", 1888 => x"819b3872", 1889 => x"822c8171", 1890 => x"2b80d6f8", 1891 => x"08077080", 1892 => x"d6f40b84", 1893 => x"050c7510", 1894 => x"101080d6", 1895 => x"f4058811", 1896 => x"08585a5d", 1897 => x"53778c18", 1898 => x"0c748818", 1899 => x"0c768819", 1900 => x"0c768c16", 1901 => x"0cfcf339", 1902 => x"797a1010", 1903 => x"1080d6f4", 1904 => x"05705759", 1905 => x"5d8c1508", 1906 => x"5776752e", 1907 => x"a3388417", 1908 => x"08fc0670", 1909 => x"7a315556", 1910 => x"738f2483", 1911 => x"ca387380", 1912 => x"25848138", 1913 => x"8c170857", 1914 => x"76752e09", 1915 => x"8106df38", 1916 => x"8815811b", 1917 => x"70830655", 1918 => x"5b5572c9", 1919 => x"387c8306", 1920 => x"5372802e", 1921 => x"fdb838ff", 1922 => x"1df81959", 1923 => x"5d881808", 1924 => x"782eea38", 1925 => x"fdb53983", 1926 => x"1a53fc96", 1927 => x"39831470", 1928 => x"822c8171", 1929 => x"2b80d6f8", 1930 => x"08077080", 1931 => x"d6f40b84", 1932 => x"050c7610", 1933 => x"101080d6", 1934 => x"f4058811", 1935 => x"08595b5e", 1936 => x"5153fee1", 1937 => x"3980d6b8", 1938 => x"081758b0", 1939 => x"08762e81", 1940 => x"8d3880d6", 1941 => x"e808ff2e", 1942 => x"83ec3873", 1943 => x"76311880", 1944 => x"d6b80c73", 1945 => x"87067057", 1946 => x"5372802e", 1947 => x"88388873", 1948 => x"31701555", 1949 => x"5676149f", 1950 => x"ff06a080", 1951 => x"71311770", 1952 => x"547f5357", 1953 => x"5384e43f", 1954 => x"b00853b0", 1955 => x"08ff2e81", 1956 => x"a03880d6", 1957 => x"b8081670", 1958 => x"80d6b80c", 1959 => x"747580d6", 1960 => x"f40b8805", 1961 => x"0c747631", 1962 => x"18708107", 1963 => x"51555658", 1964 => x"7b80d6f4", 1965 => x"2e839c38", 1966 => x"798f2682", 1967 => x"cb38810b", 1968 => x"84150c84", 1969 => x"1508fc06", 1970 => x"707a317a", 1971 => x"72268f72", 1972 => x"25075255", 1973 => x"5372802e", 1974 => x"fcf93880", 1975 => x"db39b008", 1976 => x"9fff0653", 1977 => x"72feeb38", 1978 => x"7780d6b8", 1979 => x"0c80d6f4", 1980 => x"0b880508", 1981 => x"7b188107", 1982 => x"84120c55", 1983 => x"80d6e408", 1984 => x"78278638", 1985 => x"7780d6e4", 1986 => x"0c80d6e0", 1987 => x"087827fc", 1988 => x"ac387780", 1989 => x"d6e00c84", 1990 => x"1508fc06", 1991 => x"707a317a", 1992 => x"72268f72", 1993 => x"25075255", 1994 => x"5372802e", 1995 => x"fca53888", 1996 => x"39807454", 1997 => x"56fedb39", 1998 => x"7d5183ae", 1999 => x"3f800bb0", 2000 => x"0c8f3d0d", 2001 => x"04735380", 2002 => x"7424a938", 2003 => x"72822c81", 2004 => x"712b80d6", 2005 => x"f8080770", 2006 => x"80d6f40b", 2007 => x"84050c5d", 2008 => x"53778c18", 2009 => x"0c748818", 2010 => x"0c768819", 2011 => x"0c768c16", 2012 => x"0cf9b739", 2013 => x"83147082", 2014 => x"2c81712b", 2015 => x"80d6f808", 2016 => x"077080d6", 2017 => x"f40b8405", 2018 => x"0c5e5153", 2019 => x"d4397b7b", 2020 => x"065372fc", 2021 => x"a338841a", 2022 => x"7b105c5a", 2023 => x"f139ff1a", 2024 => x"8111515a", 2025 => x"f7b93978", 2026 => x"17798107", 2027 => x"84190c8c", 2028 => x"18088819", 2029 => x"08718c12", 2030 => x"0c88120c", 2031 => x"597080d7", 2032 => x"880c7080", 2033 => x"d7840c80", 2034 => x"d6fc0b8c", 2035 => x"120c8c11", 2036 => x"0888120c", 2037 => x"74810784", 2038 => x"120c7411", 2039 => x"75710c51", 2040 => x"53f9bd39", 2041 => x"75178411", 2042 => x"08810784", 2043 => x"120c538c", 2044 => x"17088818", 2045 => x"08718c12", 2046 => x"0c88120c", 2047 => x"587d5181", 2048 => x"e93f8817", 2049 => x"54f5cf39", 2050 => x"7284150c", 2051 => x"f41af806", 2052 => x"70841e08", 2053 => x"81060784", 2054 => x"1e0c701d", 2055 => x"545b850b", 2056 => x"84140c85", 2057 => x"0b88140c", 2058 => x"8f7b27fd", 2059 => x"cf38881c", 2060 => x"527d51ec", 2061 => x"9e3f80d6", 2062 => x"f40b8805", 2063 => x"0880d6b8", 2064 => x"085955fd", 2065 => x"b7397780", 2066 => x"d6b80c73", 2067 => x"80d6e80c", 2068 => x"fc913972", 2069 => x"84150cfd", 2070 => x"a339fc3d", 2071 => x"0d767971", 2072 => x"028c059f", 2073 => x"05335755", 2074 => x"53558372", 2075 => x"278a3874", 2076 => x"83065170", 2077 => x"802ea238", 2078 => x"ff125271", 2079 => x"ff2e9338", 2080 => x"73737081", 2081 => x"055534ff", 2082 => x"125271ff", 2083 => x"2e098106", 2084 => x"ef3874b0", 2085 => x"0c863d0d", 2086 => x"04747488", 2087 => x"2b750770", 2088 => x"71902b07", 2089 => x"5154518f", 2090 => x"7227a538", 2091 => x"72717084", 2092 => x"05530c72", 2093 => x"71708405", 2094 => x"530c7271", 2095 => x"70840553", 2096 => x"0c727170", 2097 => x"8405530c", 2098 => x"f0125271", 2099 => x"8f26dd38", 2100 => x"83722790", 2101 => x"38727170", 2102 => x"8405530c", 2103 => x"fc125271", 2104 => x"8326f238", 2105 => x"7053ff90", 2106 => x"390404fd", 2107 => x"3d0d800b", 2108 => x"80dfac0c", 2109 => x"765184ee", 2110 => x"3fb00853", 2111 => x"b008ff2e", 2112 => x"883872b0", 2113 => x"0c853d0d", 2114 => x"0480dfac", 2115 => x"08547380", 2116 => x"2ef03875", 2117 => x"74710c52", 2118 => x"72b00c85", 2119 => x"3d0d04f9", 2120 => x"3d0d797c", 2121 => x"557b548e", 2122 => x"11227090", 2123 => x"2b70902c", 2124 => x"555780cf", 2125 => x"b8085358", 2126 => x"5683f33f", 2127 => x"b0085780", 2128 => x"0bb00824", 2129 => x"933880d0", 2130 => x"1608b008", 2131 => x"0580d017", 2132 => x"0c76b00c", 2133 => x"893d0d04", 2134 => x"8c162283", 2135 => x"dfff0655", 2136 => x"748c1723", 2137 => x"76b00c89", 2138 => x"3d0d04fa", 2139 => x"3d0d788c", 2140 => x"11227088", 2141 => x"2a708106", 2142 => x"51575856", 2143 => x"74a9388c", 2144 => x"162283df", 2145 => x"ff065574", 2146 => x"8c17237a", 2147 => x"5479538e", 2148 => x"16227090", 2149 => x"2b70902c", 2150 => x"545680cf", 2151 => x"b8085256", 2152 => x"81b23f88", 2153 => x"3d0d0482", 2154 => x"5480538e", 2155 => x"16227090", 2156 => x"2b70902c", 2157 => x"545680cf", 2158 => x"b8085257", 2159 => x"82b83f8c", 2160 => x"162283df", 2161 => x"ff065574", 2162 => x"8c17237a", 2163 => x"5479538e", 2164 => x"16227090", 2165 => x"2b70902c", 2166 => x"545680cf", 2167 => x"b8085256", 2168 => x"80f23f88", 2169 => x"3d0d04f9", 2170 => x"3d0d797c", 2171 => x"557b548e", 2172 => x"11227090", 2173 => x"2b70902c", 2174 => x"555780cf", 2175 => x"b8085358", 2176 => x"5681f33f", 2177 => x"b00857b0", 2178 => x"08ff2e99", 2179 => x"388c1622", 2180 => x"a0800755", 2181 => x"748c1723", 2182 => x"b00880d0", 2183 => x"170c76b0", 2184 => x"0c893d0d", 2185 => x"048c1622", 2186 => x"83dfff06", 2187 => x"55748c17", 2188 => x"2376b00c", 2189 => x"893d0d04", 2190 => x"fe3d0d74", 2191 => x"8e112270", 2192 => x"902b7090", 2193 => x"2c555151", 2194 => x"5380cfb8", 2195 => x"0851bd3f", 2196 => x"843d0d04", 2197 => x"fb3d0d80", 2198 => x"0b80dfac", 2199 => x"0c7a5379", 2200 => x"52785182", 2201 => x"f93fb008", 2202 => x"55b008ff", 2203 => x"2e883874", 2204 => x"b00c873d", 2205 => x"0d0480df", 2206 => x"ac085675", 2207 => x"802ef038", 2208 => x"7776710c", 2209 => x"5474b00c", 2210 => x"873d0d04", 2211 => x"fd3d0d80", 2212 => x"0b80dfac", 2213 => x"0c765184", 2214 => x"c73fb008", 2215 => x"53b008ff", 2216 => x"2e883872", 2217 => x"b00c853d", 2218 => x"0d0480df", 2219 => x"ac085473", 2220 => x"802ef038", 2221 => x"7574710c", 2222 => x"5272b00c", 2223 => x"853d0d04", 2224 => x"fc3d0d80", 2225 => x"0b80dfac", 2226 => x"0c785277", 2227 => x"5186af3f", 2228 => x"b00854b0", 2229 => x"08ff2e88", 2230 => x"3873b00c", 2231 => x"863d0d04", 2232 => x"80dfac08", 2233 => x"5574802e", 2234 => x"f0387675", 2235 => x"710c5373", 2236 => x"b00c863d", 2237 => x"0d04fb3d", 2238 => x"0d800b80", 2239 => x"dfac0c7a", 2240 => x"53795278", 2241 => x"51848b3f", 2242 => x"b00855b0", 2243 => x"08ff2e88", 2244 => x"3874b00c", 2245 => x"873d0d04", 2246 => x"80dfac08", 2247 => x"5675802e", 2248 => x"f0387776", 2249 => x"710c5474", 2250 => x"b00c873d", 2251 => x"0d04fb3d", 2252 => x"0d800b80", 2253 => x"dfac0c7a", 2254 => x"53795278", 2255 => x"5182933f", 2256 => x"b00855b0", 2257 => x"08ff2e88", 2258 => x"3874b00c", 2259 => x"873d0d04", 2260 => x"80dfac08", 2261 => x"5675802e", 2262 => x"f0387776", 2263 => x"710c5474", 2264 => x"b00c873d", 2265 => x"0d04fe3d", 2266 => x"0d80dfa4", 2267 => x"0851708a", 2268 => x"3880dfb0", 2269 => x"7080dfa4", 2270 => x"0c517075", 2271 => x"125252ff", 2272 => x"537087fb", 2273 => x"80802688", 2274 => x"387080df", 2275 => x"a40c7153", 2276 => x"72b00c84", 2277 => x"3d0d04fd", 2278 => x"3d0d800b", 2279 => x"80cfa408", 2280 => x"54547281", 2281 => x"2e9b3873", 2282 => x"80dfa80c", 2283 => x"c2b83fc0", 2284 => x"cf3f80de", 2285 => x"fc528151", 2286 => x"c4e03fb0", 2287 => x"085185be", 2288 => x"3f7280df", 2289 => x"a80cc29e", 2290 => x"3fc0b53f", 2291 => x"80defc52", 2292 => x"8151c4c6", 2293 => x"3fb00851", 2294 => x"85a43f00", 2295 => x"ff39f53d", 2296 => x"0d7e6080", 2297 => x"dfa80870", 2298 => x"5b585b5b", 2299 => x"7580c238", 2300 => x"777a25a1", 2301 => x"38771b70", 2302 => x"337081ff", 2303 => x"06585859", 2304 => x"758a2e98", 2305 => x"387681ff", 2306 => x"0651c1b9", 2307 => x"3f811858", 2308 => x"797824e1", 2309 => x"3879b00c", 2310 => x"8d3d0d04", 2311 => x"8d51c1a5", 2312 => x"3f783370", 2313 => x"81ff0652", 2314 => x"57c19a3f", 2315 => x"811858e0", 2316 => x"3979557a", 2317 => x"547d5385", 2318 => x"528d3dfc", 2319 => x"0551c082", 2320 => x"3fb00856", 2321 => x"84b13f7b", 2322 => x"b0080c75", 2323 => x"b00c8d3d", 2324 => x"0d04f63d", 2325 => x"0d7d7f80", 2326 => x"dfa80870", 2327 => x"5b585a5a", 2328 => x"7580c138", 2329 => x"777925b3", 2330 => x"38c0b53f", 2331 => x"b00881ff", 2332 => x"06708d32", 2333 => x"7030709f", 2334 => x"2a515157", 2335 => x"57768a2e", 2336 => x"80c43875", 2337 => x"802ebf38", 2338 => x"771a5676", 2339 => x"76347651", 2340 => x"c0b33f81", 2341 => x"18587878", 2342 => x"24cf3877", 2343 => x"5675b00c", 2344 => x"8c3d0d04", 2345 => x"78557954", 2346 => x"7c538452", 2347 => x"8c3dfc05", 2348 => x"51ffbf8e", 2349 => x"3fb00856", 2350 => x"83bd3f7a", 2351 => x"b0080c75", 2352 => x"b00c8c3d", 2353 => x"0d04771a", 2354 => x"568a7634", 2355 => x"8118588d", 2356 => x"51ffbff1", 2357 => x"3f8a51ff", 2358 => x"bfeb3f77", 2359 => x"56ffbe39", 2360 => x"fb3d0d80", 2361 => x"dfa80870", 2362 => x"56547388", 2363 => x"3874b00c", 2364 => x"873d0d04", 2365 => x"77538352", 2366 => x"873dfc05", 2367 => x"51ffbec2", 2368 => x"3fb00854", 2369 => x"82f13f75", 2370 => x"b0080c73", 2371 => x"b00c873d", 2372 => x"0d04fa3d", 2373 => x"0d80dfa8", 2374 => x"08802ea3", 2375 => x"387a5579", 2376 => x"54785386", 2377 => x"52883dfc", 2378 => x"0551ffbe", 2379 => x"953fb008", 2380 => x"5682c43f", 2381 => x"76b0080c", 2382 => x"75b00c88", 2383 => x"3d0d0482", 2384 => x"b63f9d0b", 2385 => x"b0080cff", 2386 => x"0bb00c88", 2387 => x"3d0d04fb", 2388 => x"3d0d7779", 2389 => x"56568070", 2390 => x"54547375", 2391 => x"259f3874", 2392 => x"101010f8", 2393 => x"05527216", 2394 => x"70337074", 2395 => x"2b760781", 2396 => x"16f81656", 2397 => x"56565151", 2398 => x"747324ea", 2399 => x"3873b00c", 2400 => x"873d0d04", 2401 => x"fc3d0d76", 2402 => x"785555bc", 2403 => x"53805273", 2404 => x"51f5c73f", 2405 => x"84527451", 2406 => x"ffb53fb0", 2407 => x"08742384", 2408 => x"52841551", 2409 => x"ffa93fb0", 2410 => x"08821523", 2411 => x"84528815", 2412 => x"51ff9c3f", 2413 => x"b0088415", 2414 => x"0c84528c", 2415 => x"1551ff8f", 2416 => x"3fb00888", 2417 => x"15238452", 2418 => x"901551ff", 2419 => x"823fb008", 2420 => x"8a152384", 2421 => x"52941551", 2422 => x"fef53fb0", 2423 => x"088c1523", 2424 => x"84529815", 2425 => x"51fee83f", 2426 => x"b0088e15", 2427 => x"2388529c", 2428 => x"1551fedb", 2429 => x"3fb00890", 2430 => x"150c863d", 2431 => x"0d04e93d", 2432 => x"0d6a80df", 2433 => x"a8085757", 2434 => x"75933880", 2435 => x"c0800b84", 2436 => x"180c75ac", 2437 => x"180c75b0", 2438 => x"0c993d0d", 2439 => x"04893d70", 2440 => x"556a5455", 2441 => x"8a52993d", 2442 => x"ffbc0551", 2443 => x"ffbc933f", 2444 => x"b0087753", 2445 => x"755256fe", 2446 => x"cb3fbc3f", 2447 => x"77b0080c", 2448 => x"75b00c99", 2449 => x"3d0d04fc", 2450 => x"3d0d8154", 2451 => x"80dfa808", 2452 => x"883873b0", 2453 => x"0c863d0d", 2454 => x"04765397", 2455 => x"b952863d", 2456 => x"fc0551ff", 2457 => x"bbdc3fb0", 2458 => x"08548c3f", 2459 => x"74b0080c", 2460 => x"73b00c86", 2461 => x"3d0d0480", 2462 => x"cfb808b0", 2463 => x"0c04f73d", 2464 => x"0d7b80cf", 2465 => x"b80882c8", 2466 => x"11085a54", 2467 => x"5a77802e", 2468 => x"80da3881", 2469 => x"88188419", 2470 => x"08ff0581", 2471 => x"712b5955", 2472 => x"59807424", 2473 => x"80ea3880", 2474 => x"7424b538", 2475 => x"73822b78", 2476 => x"11880556", 2477 => x"56818019", 2478 => x"08770653", 2479 => x"72802eb6", 2480 => x"38781670", 2481 => x"08535379", 2482 => x"51740853", 2483 => x"722dff14", 2484 => x"fc17fc17", 2485 => x"79812c5a", 2486 => x"57575473", 2487 => x"8025d638", 2488 => x"77085877", 2489 => x"ffad3880", 2490 => x"cfb80853", 2491 => x"bc1308a5", 2492 => x"387951f9", 2493 => x"e63f7408", 2494 => x"53722dff", 2495 => x"14fc17fc", 2496 => x"1779812c", 2497 => x"5a575754", 2498 => x"738025ff", 2499 => x"a838d139", 2500 => x"8057ff93", 2501 => x"397251bc", 2502 => x"13085372", 2503 => x"2d7951f9", 2504 => x"ba3fff3d", 2505 => x"0d80df84", 2506 => x"0bfc0570", 2507 => x"08525270", 2508 => x"ff2e9138", 2509 => x"702dfc12", 2510 => x"70085252", 2511 => x"70ff2e09", 2512 => x"8106f138", 2513 => x"833d0d04", 2514 => x"04ffbc85", 2515 => x"3f040000", 2516 => x"00ffffff", 2517 => x"ff00ffff", 2518 => x"ffff00ff", 2519 => x"ffffff00", 2520 => x"00000040", 2521 => x"30313233", 2522 => x"34353637", 2523 => x"38396162", 2524 => x"63646566", 2525 => x"00000000", 2526 => x"2d2d0000", 2527 => x"6c6f6f70", 2528 => x"00000000", 2529 => x"636e743a", 2530 => x"20000000", 2531 => x"0a000000", 2532 => x"43000000", 2533 => x"64756d6d", 2534 => x"792e6578", 2535 => x"65000000", 2536 => x"00000000", 2537 => x"00000000", 2538 => x"00000000", 2539 => x"00002f8c", 2540 => x"00000000", 2541 => x"00002764", 2542 => x"000027bc", 2543 => x"00000000", 2544 => x"00002a24", 2545 => x"00002a80", 2546 => x"00002adc", 2547 => x"00000000", 2548 => x"00000000", 2549 => x"00000000", 2550 => x"00000000", 2551 => x"00000000", 2552 => x"00000000", 2553 => x"00000000", 2554 => x"00000000", 2555 => x"00000000", 2556 => x"00002790", 2557 => x"00000000", 2558 => x"00000000", 2559 => x"00000000", 2560 => x"00000000", 2561 => x"00000000", 2562 => x"00000000", 2563 => x"00000000", 2564 => x"00000000", 2565 => x"00000000", 2566 => x"00000000", 2567 => x"00000000", 2568 => x"00000000", 2569 => x"00000000", 2570 => x"00000000", 2571 => x"00000000", 2572 => x"00000000", 2573 => x"00000000", 2574 => x"00000000", 2575 => x"00000000", 2576 => x"00000000", 2577 => x"00000000", 2578 => x"00000000", 2579 => x"00000000", 2580 => x"00000000", 2581 => x"00000000", 2582 => x"00000000", 2583 => x"00000000", 2584 => x"00000000", 2585 => x"00000001", 2586 => x"330eabcd", 2587 => x"1234e66d", 2588 => x"deec0005", 2589 => x"000b0000", 2590 => x"00000000", 2591 => x"00000000", 2592 => x"00000000", 2593 => x"00000000", 2594 => x"00000000", 2595 => x"00000000", 2596 => x"00000000", 2597 => x"00000000", 2598 => x"00000000", 2599 => x"00000000", 2600 => x"00000000", 2601 => x"00000000", 2602 => x"00000000", 2603 => x"00000000", 2604 => x"00000000", 2605 => x"00000000", 2606 => x"00000000", 2607 => x"00000000", 2608 => x"00000000", 2609 => x"00000000", 2610 => x"00000000", 2611 => x"00000000", 2612 => x"00000000", 2613 => x"00000000", 2614 => x"00000000", 2615 => x"00000000", 2616 => x"00000000", 2617 => x"00000000", 2618 => x"00000000", 2619 => x"00000000", 2620 => x"00000000", 2621 => x"00000000", 2622 => x"00000000", 2623 => x"00000000", 2624 => x"00000000", 2625 => x"00000000", 2626 => x"00000000", 2627 => x"00000000", 2628 => x"00000000", 2629 => x"00000000", 2630 => x"00000000", 2631 => x"00000000", 2632 => x"00000000", 2633 => x"00000000", 2634 => x"00000000", 2635 => x"00000000", 2636 => x"00000000", 2637 => x"00000000", 2638 => x"00000000", 2639 => x"00000000", 2640 => x"00000000", 2641 => x"00000000", 2642 => x"00000000", 2643 => x"00000000", 2644 => x"00000000", 2645 => x"00000000", 2646 => x"00000000", 2647 => x"00000000", 2648 => x"00000000", 2649 => x"00000000", 2650 => x"00000000", 2651 => x"00000000", 2652 => x"00000000", 2653 => x"00000000", 2654 => x"00000000", 2655 => x"00000000", 2656 => x"00000000", 2657 => x"00000000", 2658 => x"00000000", 2659 => x"00000000", 2660 => x"00000000", 2661 => x"00000000", 2662 => x"00000000", 2663 => x"00000000", 2664 => x"00000000", 2665 => x"00000000", 2666 => x"00000000", 2667 => x"00000000", 2668 => x"00000000", 2669 => x"00000000", 2670 => x"00000000", 2671 => x"00000000", 2672 => x"00000000", 2673 => x"00000000", 2674 => x"00000000", 2675 => x"00000000", 2676 => x"00000000", 2677 => x"00000000", 2678 => x"00000000", 2679 => x"00000000", 2680 => x"00000000", 2681 => x"00000000", 2682 => x"00000000", 2683 => x"00000000", 2684 => x"00000000", 2685 => x"00000000", 2686 => x"00000000", 2687 => x"00000000", 2688 => x"00000000", 2689 => x"00000000", 2690 => x"00000000", 2691 => x"00000000", 2692 => x"00000000", 2693 => x"00000000", 2694 => x"00000000", 2695 => x"00000000", 2696 => x"00000000", 2697 => x"00000000", 2698 => x"00000000", 2699 => x"00000000", 2700 => x"00000000", 2701 => x"00000000", 2702 => x"00000000", 2703 => x"00000000", 2704 => x"00000000", 2705 => x"00000000", 2706 => x"00000000", 2707 => x"00000000", 2708 => x"00000000", 2709 => x"00000000", 2710 => x"00000000", 2711 => x"00000000", 2712 => x"00000000", 2713 => x"00000000", 2714 => x"00000000", 2715 => x"00000000", 2716 => x"00000000", 2717 => x"00000000", 2718 => x"00000000", 2719 => x"00000000", 2720 => x"00000000", 2721 => x"00000000", 2722 => x"00000000", 2723 => x"00000000", 2724 => x"00000000", 2725 => x"00000000", 2726 => x"00000000", 2727 => x"00000000", 2728 => x"00000000", 2729 => x"00000000", 2730 => x"00000000", 2731 => x"00000000", 2732 => x"00000000", 2733 => x"00000000", 2734 => x"00000000", 2735 => x"00000000", 2736 => x"00000000", 2737 => x"00000000", 2738 => x"00000000", 2739 => x"00000000", 2740 => x"00000000", 2741 => x"00000000", 2742 => x"00000000", 2743 => x"00000000", 2744 => x"00000000", 2745 => x"00000000", 2746 => x"00000000", 2747 => x"00000000", 2748 => x"00000000", 2749 => x"00000000", 2750 => x"00000000", 2751 => x"00000000", 2752 => x"00000000", 2753 => x"00000000", 2754 => x"00000000", 2755 => x"00000000", 2756 => x"00000000", 2757 => x"00000000", 2758 => x"00000000", 2759 => x"00000000", 2760 => x"00000000", 2761 => x"00000000", 2762 => x"00000000", 2763 => x"00000000", 2764 => x"00000000", 2765 => x"00000000", 2766 => x"00000000", 2767 => x"00000000", 2768 => x"00000000", 2769 => x"00000000", 2770 => x"00000000", 2771 => x"00000000", 2772 => x"00000000", 2773 => x"00000000", 2774 => x"00000000", 2775 => x"00000000", 2776 => x"00000000", 2777 => x"00000000", 2778 => x"ffffffff", 2779 => x"00000000", 2780 => x"00020000", 2781 => x"00000000", 2782 => x"00000000", 2783 => x"00002b74", 2784 => x"00002b74", 2785 => x"00002b7c", 2786 => x"00002b7c", 2787 => x"00002b84", 2788 => x"00002b84", 2789 => x"00002b8c", 2790 => x"00002b8c", 2791 => x"00002b94", 2792 => x"00002b94", 2793 => x"00002b9c", 2794 => x"00002b9c", 2795 => x"00002ba4", 2796 => x"00002ba4", 2797 => x"00002bac", 2798 => x"00002bac", 2799 => x"00002bb4", 2800 => x"00002bb4", 2801 => x"00002bbc", 2802 => x"00002bbc", 2803 => x"00002bc4", 2804 => x"00002bc4", 2805 => x"00002bcc", 2806 => x"00002bcc", 2807 => x"00002bd4", 2808 => x"00002bd4", 2809 => x"00002bdc", 2810 => x"00002bdc", 2811 => x"00002be4", 2812 => x"00002be4", 2813 => x"00002bec", 2814 => x"00002bec", 2815 => x"00002bf4", 2816 => x"00002bf4", 2817 => x"00002bfc", 2818 => x"00002bfc", 2819 => x"00002c04", 2820 => x"00002c04", 2821 => x"00002c0c", 2822 => x"00002c0c", 2823 => x"00002c14", 2824 => x"00002c14", 2825 => x"00002c1c", 2826 => x"00002c1c", 2827 => x"00002c24", 2828 => x"00002c24", 2829 => x"00002c2c", 2830 => x"00002c2c", 2831 => x"00002c34", 2832 => x"00002c34", 2833 => x"00002c3c", 2834 => x"00002c3c", 2835 => x"00002c44", 2836 => x"00002c44", 2837 => x"00002c4c", 2838 => x"00002c4c", 2839 => x"00002c54", 2840 => x"00002c54", 2841 => x"00002c5c", 2842 => x"00002c5c", 2843 => x"00002c64", 2844 => x"00002c64", 2845 => x"00002c6c", 2846 => x"00002c6c", 2847 => x"00002c74", 2848 => x"00002c74", 2849 => x"00002c7c", 2850 => x"00002c7c", 2851 => x"00002c84", 2852 => x"00002c84", 2853 => x"00002c8c", 2854 => x"00002c8c", 2855 => x"00002c94", 2856 => x"00002c94", 2857 => x"00002c9c", 2858 => x"00002c9c", 2859 => x"00002ca4", 2860 => x"00002ca4", 2861 => x"00002cac", 2862 => x"00002cac", 2863 => x"00002cb4", 2864 => x"00002cb4", 2865 => x"00002cbc", 2866 => x"00002cbc", 2867 => x"00002cc4", 2868 => x"00002cc4", 2869 => x"00002ccc", 2870 => x"00002ccc", 2871 => x"00002cd4", 2872 => x"00002cd4", 2873 => x"00002cdc", 2874 => x"00002cdc", 2875 => x"00002ce4", 2876 => x"00002ce4", 2877 => x"00002cec", 2878 => x"00002cec", 2879 => x"00002cf4", 2880 => x"00002cf4", 2881 => x"00002cfc", 2882 => x"00002cfc", 2883 => x"00002d04", 2884 => x"00002d04", 2885 => x"00002d0c", 2886 => x"00002d0c", 2887 => x"00002d14", 2888 => x"00002d14", 2889 => x"00002d1c", 2890 => x"00002d1c", 2891 => x"00002d24", 2892 => x"00002d24", 2893 => x"00002d2c", 2894 => x"00002d2c", 2895 => x"00002d34", 2896 => x"00002d34", 2897 => x"00002d3c", 2898 => x"00002d3c", 2899 => x"00002d44", 2900 => x"00002d44", 2901 => x"00002d4c", 2902 => x"00002d4c", 2903 => x"00002d54", 2904 => x"00002d54", 2905 => x"00002d5c", 2906 => x"00002d5c", 2907 => x"00002d64", 2908 => x"00002d64", 2909 => x"00002d6c", 2910 => x"00002d6c", 2911 => x"00002d74", 2912 => x"00002d74", 2913 => x"00002d7c", 2914 => x"00002d7c", 2915 => x"00002d84", 2916 => x"00002d84", 2917 => x"00002d8c", 2918 => x"00002d8c", 2919 => x"00002d94", 2920 => x"00002d94", 2921 => x"00002d9c", 2922 => x"00002d9c", 2923 => x"00002da4", 2924 => x"00002da4", 2925 => x"00002dac", 2926 => x"00002dac", 2927 => x"00002db4", 2928 => x"00002db4", 2929 => x"00002dbc", 2930 => x"00002dbc", 2931 => x"00002dc4", 2932 => x"00002dc4", 2933 => x"00002dcc", 2934 => x"00002dcc", 2935 => x"00002dd4", 2936 => x"00002dd4", 2937 => x"00002ddc", 2938 => x"00002ddc", 2939 => x"00002de4", 2940 => x"00002de4", 2941 => x"00002dec", 2942 => x"00002dec", 2943 => x"00002df4", 2944 => x"00002df4", 2945 => x"00002dfc", 2946 => x"00002dfc", 2947 => x"00002e04", 2948 => x"00002e04", 2949 => x"00002e0c", 2950 => x"00002e0c", 2951 => x"00002e14", 2952 => x"00002e14", 2953 => x"00002e1c", 2954 => x"00002e1c", 2955 => x"00002e24", 2956 => x"00002e24", 2957 => x"00002e2c", 2958 => x"00002e2c", 2959 => x"00002e34", 2960 => x"00002e34", 2961 => x"00002e3c", 2962 => x"00002e3c", 2963 => x"00002e44", 2964 => x"00002e44", 2965 => x"00002e4c", 2966 => x"00002e4c", 2967 => x"00002e54", 2968 => x"00002e54", 2969 => x"00002e5c", 2970 => x"00002e5c", 2971 => x"00002e64", 2972 => x"00002e64", 2973 => x"00002e6c", 2974 => x"00002e6c", 2975 => x"00002e74", 2976 => x"00002e74", 2977 => x"00002e7c", 2978 => x"00002e7c", 2979 => x"00002e84", 2980 => x"00002e84", 2981 => x"00002e8c", 2982 => x"00002e8c", 2983 => x"00002e94", 2984 => x"00002e94", 2985 => x"00002e9c", 2986 => x"00002e9c", 2987 => x"00002ea4", 2988 => x"00002ea4", 2989 => x"00002eac", 2990 => x"00002eac", 2991 => x"00002eb4", 2992 => x"00002eb4", 2993 => x"00002ebc", 2994 => x"00002ebc", 2995 => x"00002ec4", 2996 => x"00002ec4", 2997 => x"00002ecc", 2998 => x"00002ecc", 2999 => x"00002ed4", 3000 => x"00002ed4", 3001 => x"00002edc", 3002 => x"00002edc", 3003 => x"00002ee4", 3004 => x"00002ee4", 3005 => x"00002eec", 3006 => x"00002eec", 3007 => x"00002ef4", 3008 => x"00002ef4", 3009 => x"00002efc", 3010 => x"00002efc", 3011 => x"00002f04", 3012 => x"00002f04", 3013 => x"00002f0c", 3014 => x"00002f0c", 3015 => x"00002f14", 3016 => x"00002f14", 3017 => x"00002f1c", 3018 => x"00002f1c", 3019 => x"00002f24", 3020 => x"00002f24", 3021 => x"00002f2c", 3022 => x"00002f2c", 3023 => x"00002f34", 3024 => x"00002f34", 3025 => x"00002f3c", 3026 => x"00002f3c", 3027 => x"00002f44", 3028 => x"00002f44", 3029 => x"00002f4c", 3030 => x"00002f4c", 3031 => x"00002f54", 3032 => x"00002f54", 3033 => x"00002f5c", 3034 => x"00002f5c", 3035 => x"00002f64", 3036 => x"00002f64", 3037 => x"00002f6c", 3038 => x"00002f6c", 3039 => x"00002794", 3040 => x"ffffffff", 3041 => x"00000000", 3042 => x"ffffffff", 3043 => x"00000000", others => x"00000000" ); begin busy_o <= re_i; -- we're done on the cycle after we serve the read request do_ram: process (clk_i) variable iaddr : integer; begin if rising_edge(clk_i) then if we_i='1' then ram(to_integer(addr_i)) <= write_i; end if; addr_r <= addr_i; end if; end process do_ram; read_o <= ram(to_integer(addr_r)); end architecture Xilinx; -- Entity: SinglePortRAM
bsd-3-clause
d2825d8632f84b4723f4b82c2e3d9f1c
0.592417
2.280387
false
false
false
false
ILoveSpeccy/Aeon-Lite
cores/lvov-pk02-mips/src/host/plasma v3.0/mem_ctrl.vhd
1
6,140
--------------------------------------------------------------------- -- TITLE: Memory Controller -- AUTHOR: Steve Rhoads ([email protected]) -- DATE CREATED: 1/31/01 -- FILENAME: mem_ctrl.vhd -- PROJECT: Plasma CPU core -- COPYRIGHT: Software placed into the public domain by the author. -- Software 'as is' without warranty. Author liable for nothing. -- DESCRIPTION: -- Memory controller for the Plasma CPU. -- Supports Big or Little Endian mode. --------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use work.mlite_pack.all; entity mem_ctrl is port(clk : in std_logic; reset_in : in std_logic; pause_in : in std_logic; nullify_op : in std_logic; address_pc : in std_logic_vector(31 downto 2); opcode_out : out std_logic_vector(31 downto 0); address_in : in std_logic_vector(31 downto 0); mem_source : in mem_source_type; data_write : in std_logic_vector(31 downto 0); data_read : out std_logic_vector(31 downto 0); pause_out : out std_logic; mem_address : out std_logic_vector(31 downto 2); mem_data_w : out std_logic_vector(31 downto 0); mem_data_r : in std_logic_vector(31 downto 0); mem_byte_we : out std_logic_vector(3 downto 0)); end; --entity mem_ctrl architecture logic of mem_ctrl is --"00" = big_endian; "11" = little_endian constant ENDIAN_MODE : std_logic_vector(1 downto 0) := "11"; signal opcode_reg : std_logic_vector(31 downto 0); signal next_opcode_reg : std_logic_vector(31 downto 0); signal mem_state_reg : std_logic; constant STATE_ADDR : std_logic := '0'; constant STATE_ACCESS : std_logic := '1'; begin mem_proc: process(clk, reset_in, pause_in, nullify_op, address_pc, address_in, mem_source, data_write, mem_data_r, opcode_reg, next_opcode_reg, mem_state_reg) variable address_var : std_logic_vector(31 downto 2); variable data_read_var : std_logic_vector(31 downto 0); variable data_write_var : std_logic_vector(31 downto 0); variable opcode_next : std_logic_vector(31 downto 0); variable byte_sel_var : std_logic_vector(3 downto 0); variable mem_state_next : std_logic; variable pause_var : std_logic; variable bits : std_logic_vector(1 downto 0); begin byte_sel_var := "0000"; pause_var := '0'; data_read_var := ZERO; data_write_var := ZERO; mem_state_next := mem_state_reg; opcode_next := opcode_reg; case mem_source is when MEM_READ32 => data_read_var := mem_data_r; when MEM_READ16 | MEM_READ16S => if address_in(1) = ENDIAN_MODE(1) then data_read_var(15 downto 0) := mem_data_r(31 downto 16); else data_read_var(15 downto 0) := mem_data_r(15 downto 0); end if; if mem_source = MEM_READ16 or data_read_var(15) = '0' then data_read_var(31 downto 16) := ZERO(31 downto 16); else data_read_var(31 downto 16) := ONES(31 downto 16); end if; when MEM_READ8 | MEM_READ8S => bits := address_in(1 downto 0) xor ENDIAN_MODE; case bits is when "00" => data_read_var(7 downto 0) := mem_data_r(31 downto 24); when "01" => data_read_var(7 downto 0) := mem_data_r(23 downto 16); when "10" => data_read_var(7 downto 0) := mem_data_r(15 downto 8); when others => data_read_var(7 downto 0) := mem_data_r(7 downto 0); end case; if mem_source = MEM_READ8 or data_read_var(7) = '0' then data_read_var(31 downto 8) := ZERO(31 downto 8); else data_read_var(31 downto 8) := ONES(31 downto 8); end if; when MEM_WRITE32 => data_write_var := data_write; byte_sel_var := "1111"; when MEM_WRITE16 => data_write_var := data_write(15 downto 0) & data_write(15 downto 0); if address_in(1) = ENDIAN_MODE(1) then byte_sel_var := "1100"; else byte_sel_var := "0011"; end if; when MEM_WRITE8 => data_write_var := data_write(7 downto 0) & data_write(7 downto 0) & data_write(7 downto 0) & data_write(7 downto 0); bits := address_in(1 downto 0) xor ENDIAN_MODE; case bits is when "00" => byte_sel_var := "1000"; when "01" => byte_sel_var := "0100"; when "10" => byte_sel_var := "0010"; when others => byte_sel_var := "0001"; end case; when others => end case; if mem_source = MEM_FETCH then --opcode fetch address_var := address_pc; opcode_next := mem_data_r; mem_state_next := STATE_ADDR; else if mem_state_reg = STATE_ADDR then if pause_in = '0' then address_var := address_in(31 downto 2); mem_state_next := STATE_ACCESS; pause_var := '1'; else address_var := address_pc; byte_sel_var := "0000"; end if; else --STATE_ACCESS if pause_in = '0' then address_var := address_pc; opcode_next := next_opcode_reg; mem_state_next := STATE_ADDR; byte_sel_var := "0000"; else address_var := address_in(31 downto 2); byte_sel_var := "0000"; end if; end if; end if; if nullify_op = '1' and pause_in = '0' then opcode_next := ZERO; --NOP after beql end if; if reset_in = '1' then mem_state_reg <= STATE_ADDR; opcode_reg <= ZERO; next_opcode_reg <= ZERO; elsif rising_edge(clk) then if pause_in = '0' then mem_state_reg <= mem_state_next; opcode_reg <= opcode_next; if mem_state_reg = STATE_ADDR then next_opcode_reg <= mem_data_r; end if; end if; end if; mem_address <= address_var; opcode_out <= opcode_reg; data_read <= data_read_var; pause_out <= pause_var; mem_data_w <= data_write_var; mem_byte_we <= byte_sel_var; end process; --data_proc end; --architecture logic
gpl-3.0
da198c8d77ca6eb52738c889199ce19a
0.561726
3.369923
false
false
false
false
VHDLTool/VHDL_Handbook_STD
Extras/VHDL/STD_07100_good.vhd
1
3,802
------------------------------------------------------------------------------------------------- -- Company : CNES -- Author : Mickael Carl (CNES) -- Copyright : Copyright (c) CNES. -- Licensing : GNU GPLv3 ------------------------------------------------------------------------------------------------- -- Version : V1 -- Version history : -- V1 : 2015-04-10 : Mickael Carl (CNES): Creation ------------------------------------------------------------------------------------------------- -- File name : STD_07100_good.vhd -- File Creation date : 2015-04-10 -- Project name : VHDL Handbook CNES Edition ------------------------------------------------------------------------------------------------- -- Softwares : Microsoft Windows (Windows 7) - Editor (Eclipse + VEditor) ------------------------------------------------------------------------------------------------- -- Description : Handbook example: Simulation ending: good example -- -- Limitations : This file is an example of the VHDL handbook made by CNES. It is a stub aimed at -- demonstrating good practices in VHDL and as such, its design is minimalistic. -- It is provided as is, without any warranty. -- This example is compliant with the Handbook version 1. -- ------------------------------------------------------------------------------------------------- -- Naming conventions: -- -- i_Port: Input entity port -- o_Port: Output entity port -- b_Port: Bidirectional entity port -- g_My_Generic: Generic entity port -- -- c_My_Constant: Constant definition -- t_My_Type: Custom type definition -- -- My_Signal_n: Active low signal -- v_My_Variable: Variable -- sm_My_Signal: FSM signal -- pkg_Param: Element Param coming from a package -- -- My_Signal_re: Rising edge detection of My_Signal -- My_Signal_fe: Falling edge detection of My_Signal -- My_Signal_rX: X times registered My_Signal signal -- -- P_Process_Name: Process -- ------------------------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity STD_07100_good is end STD_07100_good; architecture Simulation of STD_07100_good is -- All signals for tested modules inputs/outputs signal Clock : std_logic := '0'; signal Reset_n : std_logic; signal D_Signal : std_logic; signal Q_Signal : std_logic; -- Used to stop simulation when no more stimulus are present signal End_Sim : std_logic; component DFlipFlop port ( i_Clock : in std_logic; -- Clock signal i_Reset_n : in std_logic; -- Reset signal i_D : in std_logic; -- D Flip-Flop input signal o_Q : out std_logic; -- D Flip-Flop output signal o_Q_n : out std_logic -- D Flip-Flop output signal, inverted ); end component; begin -- The D Flip-Flop to test T_DFlipFlop : DFlipFlop port map ( i_Clock => Clock, i_Reset_n => Reset_n, i_D => D_Signal, o_Q => Q_Signal, o_Q_n => open ); --CODE -- Clock process P_Clock : process begin while (End_Sim /= '1') loop -- End_Sim is a std_logic signal Clock <= not Clock after 5 ns; end loop; wait; end process; -- Test process P_Test : process begin Reset_n <= '0'; D_Signal <= '0'; wait until rising_edge(Clock); Reset_n <= '1'; wait until rising_edge(Clock); D_Signal <= '1'; wait until rising_edge(Clock); D_Signal <= '0'; End_Sim <= '1'; wait; -- Or if your simulator supports VHDL-2008: -- finish(2); end process; --CODE end Simulation;
gpl-3.0
673305def112d194732972bc4db6a821
0.494477
4.350114
false
false
false
false
APastorG/APG
real_const_mult/real_const_mult_core_s.vhd
1
28,142
/*************************************************************************************************** / Author: Antonio Pastor González / ¯¯¯¯¯¯ / / Date: / ¯¯¯¯ / / Version: / ¯¯¯¯¯¯¯ / / Notes: / ¯¯¯¯¯ / This design makes use of some features from VHDL-2008, all of which have been implemented in / Xilinx's Vivado / 3 space tabs are used throughout the document / / Description: / ¯¯¯¯¯¯¯¯¯¯¯ / This design of a parameterized real constant multiplier implements the multiplierless multiple / constants multiplier by Voronenko-Püschel. / The input signal is multiplied by the multiplicands and the result is sent to the output on / each clk cycle. / **************************************************************************************************/ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.math_real.all; use std.textio.all; library work; use work.common_data_types_pkg.all; use work.common_pkg.all; use work.fixed_float_types.all; use work.fixed_generic_pkg.all; use work.real_const_mult_pkg.all; /*================================================================================================*/ /*================================================================================================*/ /*================================================================================================*/ entity real_const_mult_core_s is generic( SPEED_opt : T_speed := t_exc; --exception: value not set ROUND_STYLE_opt : T_round_style := fixed_truncate; --default ROUND_TO_BIT_opt : integer_exc := integer'low; --exception: value not set MAX_ERROR_PCT_opt : real_exc := real'low; --exception: value not set CONSTANTS : real_v; --compulsory input_high : integer; input_low : integer ); port( input : in u_sfixed; clk : in std_ulogic; valid_input : in std_ulogic; output : out u_sfixed_v(1 to CONSTANTS'length) (real_const_mult_OH(ROUND_STYLE_opt, ROUND_TO_BIT_opt, MAX_ERROR_PCT_opt, CONSTANTS, input_high, input_low, is_signed => true) downto real_const_mult_OL(ROUND_STYLE_opt, ROUND_TO_BIT_opt, MAX_ERROR_PCT_opt, CONSTANTS, input_low, is_signed => true) ); valid_output : out std_ulogic ); end entity; /*================================================================================================*/ /*================================================================================================*/ /*================================================================================================*/ architecture real_const_mult_core_s_1 of real_const_mult_core_s is /* corrected generics */ /***********************************************************************************************/ constant CHECKS : integer := real_const_mult_CHECKS(input_high, input_low, false, --unsigned_2comp_opt ROUND_TO_BIT_opt, MAX_ERROR_PCT_opt, CONSTANTS); /* constants for the calculation of port sizes */ /***********************************************************************************************/ --the common output size constant OUT_HIGH : integer := real_const_mult_OH(ROUND_STYLE_opt, ROUND_TO_BIT_opt, MAX_ERROR_PCT_opt, CONSTANTS, input_high, input_low, is_signed => true); constant OUT_LOW : integer := real_const_mult_OL(ROUND_STYLE_opt, ROUND_TO_BIT_opt, MAX_ERROR_PCT_opt, CONSTANTS, input_low, is_signed => true); /* constants related to the multiplicands */ /***********************************************************************************************/ --vector to preserve the sign of each constant constant MULT_SIGN_POSITIVE : boolean_v := is_positive_vector_from_constants(CONSTANTS); --vector with the values of the constants in fixed point (applying parameters of error percentage, --round style and bit to which to round) constant NO_NEGATIONS : boolean := all_positive(MULT_SIGN_POSITIVE); constant MULT_FIXED : u_ufixed_v := fixed_from_real_constants(ROUND_STYLE_opt, ROUND_TO_BIT_opt, MAX_ERROR_PCT_opt, abs(CONSTANTS), input_high, input_low, is_signed => true); --vector with the left shift needed(possibly negative) to transform the constants to odd natural values constant PRE_VP_SHIFT : integer_v := calculate_pre_vp_shift(MULT_FIXED); --maximum left shift needed constant MAX_PRE_VP_SHIFT : integer := maximum(PRE_VP_SHIFT); --vector with the constants in positive odd form, ready for the Voronenko-Püschel algorithm constant MULT_FUNDAMENTAL : positive_v := calculate_mult_fundamental(MULT_FIXED, PRE_VP_SHIFT); constant INTER_LOW : integer := input_low - MAX_PRE_VP_SHIFT; /* file constants */ /***********************************************************************************************/ constant FILE_NAME : string := generate_file_name(ROUND_STYLE_opt, ROUND_TO_BIT_opt, MAX_ERROR_PCT_opt, MULT_FUNDAMENTAL); constant FILE_PATH : string := DATA_FILE_DIRECTORY & "\" /*"*/ & FILE_NAME; --comment inserted to prevent nonsense syntax highlighting on sublime text 3 file solution_input : text; /* constants obtained from files */ /***********************************************************************************************/ --carries out the Voronenko_Püschel algorithm and saves the solution to a file procedure generate_solutions_file is --pragma translate off --synthesis translate_off package mmcm is new work.mmcm_pkg generic map( MAX_TARGET => maximum(MULT_FUNDAMENTAL), FILE_PATH => FILE_PATH ); --pragma translate on --synthesis translate_on begin --pragma translate off --synthesis translate_off mmcm.VorPus(MULT_FUNDAMENTAL); --pragma translate on --synthesis translate_on end procedure; --reads the number of vertexes in the solution that is in the file. Additionally, as it is the first --function that is called in the module, the file with the solutions is also generated, or produces --an error if the file doesn't exist and we are in synthesis trying to read it impure function read_number_of_vertexes return natural is variable currentline : line; variable currentchar : character; variable solution : natural := 0; begin --pragma translate off --synthesis translate_off file_open(solution_input, FILE_PATH, WRITE_MODE); file_close(solution_input); generate_solutions_file; --pragma translate on --synthesis translate_on file_open(solution_input, FILE_PATH, READ_MODE); if endfile(solution_input) then assert false report "The values needed to generate multiplication/divisions have not yet been " & "generated. It is first required to launch the simulation in order to achieve this" severity error; end if; if not endfile(solution_input) then readline(solution_input, currentline); for i in 1 to currentline'length loop read(currentline, currentchar); solution := 10*solution + (character'pos(currentchar)-character'pos('0')); end loop; end if; file_close(solution_input); return solution; end function; constant NUMBER_OF_VERTEXES : natural := read_number_of_vertexes; type T_solutions is record fundamental : positive; u : positive; l1 : natural; v : positive; l2 : natural; s : boolean; --true: v is positive, false: v is negative is_target : boolean; flevel : natural; max_child_flevel : natural; high : integer; end record; type T_solutions_v is array(natural range <>) of T_solutions; procedure insert( vector : inout T_solutions_v; index : in positive; member : in natural; value : in natural) is begin case member is when 0 => vector(index).fundamental := value; when 1 => vector(index).u := value; when 2 => vector(index).l1 := value; when 3 => vector(index).v := value; when 4 => vector(index).l2 := value; when others => vector(index).s := ite(value=1, true, false); end case; end procedure; function contains( vector : positive_v; number : positive) return boolean is begin for i in vector'range loop if vector(i) = number then return true; end if; end loop; return false; end function; function index_from_fund( vertexes : T_solutions_v; fund : positive) return natural is variable result : natural := 0; begin for1: for i in 1 to NUMBER_OF_VERTEXES loop if vertexes(i).fundamental = fund then return i; end if; end loop; return result; end function; function calculate_max_flevel( vertexes : T_solutions_v) return natural is variable vertex : T_solutions_v(vertexes'range) := vertexes; variable max_f : natural := 0; variable aux : natural; begin --calculate max_flevel if NUMBER_OF_VERTEXES > 0 then vertex(1).flevel := 0; end if; if NUMBER_OF_VERTEXES > 1 then for i in 2 to NUMBER_OF_VERTEXES loop aux := 1 + maximum(vertex(index_from_fund(vertexes, vertexes(i).u)).flevel, vertex(index_from_fund(vertexes, vertexes(i).v)).flevel); vertex(i).flevel := aux; max_f := maximum(max_f, aux); end loop; end if; return max_f; end function; procedure populate_vertexes( vertexes : inout T_solutions_v; max_flevel : in natural) is variable aux : natural; variable lowest_child_flevel : natural_v(1 to NUMBER_OF_VERTEXES) := (others => natural'high); begin if NUMBER_OF_VERTEXES>0 then vertexes(1).flevel := 0; vertexes(1).high := OUT_LOW + input_high - input_low; end if; if NUMBER_OF_VERTEXES>1 then --generate high values for all fundamentals but the first for i in 2 to NUMBER_OF_VERTEXES loop vertexes(i).high := calculate_high(vertexes(i).fundamental, vertexes(1).high, is_signed => true); end loop; --assign values of flevel for all fundamentals' parents but the first for i in 2 to NUMBER_OF_VERTEXES loop aux := 1 + maximum(vertexes(index_from_fund(vertexes, vertexes(i).u)).flevel, vertexes(index_from_fund(vertexes, vertexes(i).v)).flevel); vertexes(i).flevel := aux; end loop; --increase the flevel value of each fundamental to the highest possible(so as to delay the --operations the most and reduce the registers used in the pipelining) for j in 1 to NUMBER_OF_VERTEXES loop --update the lowest flevel of the children for each vertex for i in 2 to NUMBER_OF_VERTEXES loop aux := index_from_fund(vertexes, vertexes(i).u); lowest_child_flevel(aux) := minimum(lowest_child_flevel(aux), vertexes(i).flevel); aux := index_from_fund(vertexes, vertexes(i).v); lowest_child_flevel(aux) := minimum(lowest_child_flevel(aux), vertexes(i).flevel); end loop; --then increase the flevel when possible for i in NUMBER_OF_VERTEXES downto 2 loop if lowest_child_flevel(i) = natural'high then vertexes(i).flevel := max_flevel; else vertexes(i).flevel := lowest_child_flevel(i) - 1; end if; end loop; end loop; --assign values to max_child_flevel for all fundamentals for i in 2 to NUMBER_OF_VERTEXES loop aux := index_from_fund(vertexes, vertexes(i).u); vertexes(aux).max_child_flevel := maximum(vertexes(aux).max_child_flevel, vertexes(i).flevel); aux := index_from_fund(vertexes, vertexes(i).v); vertexes(aux).max_child_flevel := maximum(vertexes(aux).max_child_flevel, vertexes(i).flevel); end loop; --if the fundamental is a target increase the max_child_flevel to max_flevel for i in 1 to NUMBER_OF_VERTEXES loop if vertexes(i).is_target then vertexes(i).max_child_flevel := max_flevel + 1; end if; end loop; end if; end procedure; --reads the solution vertexes and returns a static structure with the data impure function read_vertexes return T_solutions_v is variable result : T_solutions_v(1 to NUMBER_OF_VERTEXES); variable currentline : line; variable currentchar : character; variable aux : natural := 0; variable member : natural := 0; variable max_f : natural; begin file_open(solution_input, FILE_PATH, READ_MODE); if not endfile(solution_input) then readline(solution_input, currentline);--discard first line if not endfile(solution_input) then for i in 1 to NUMBER_OF_VERTEXES loop readline(solution_input, currentline); member := 0; for j in 1 to currentline'length loop read(currentline, currentchar); if currentchar = ' ' then insert(result, i, member, aux); aux := 0; member := member + 1; else aux := 10*aux + (character'pos(currentchar)-character'pos('0')); end if; end loop; --add the last read member insert(result, i, member, aux); --add whether the actual fundamental is a target (which is not read from the file) result(i).is_target := contains(MULT_FUNDAMENTAL, result(i).fundamental); aux := 0; end loop; end if; end if; file_close(solution_input); max_f := calculate_max_flevel(result); populate_vertexes(result, max_f); return result; end function; constant VERTEXES : T_solutions_v(1 to NUMBER_OF_VERTEXES) := read_vertexes; --same as before but referred directly to the constant VERTEXES function index_from_fund( fund : positive) return natural is begin for1: for i in 1 to NUMBER_OF_VERTEXES loop if VERTEXES(i).fundamental = fund then return i; end if; end loop; return 0; --when not found return 0 end function; constant MAX_FLEVEL : natural := calculate_max_flevel(VERTEXES); /* constants related to pipelines */ /***********************************************************************************************/ --number of possible positions to place pipelines constant PIPELINE_POSITIONS : natural := MAX_FLEVEL + ite(NO_NEGATIONS, 1, 2); --boolean vector which indicates whether a pipeline is placed or not on each possible position constant IS_PIPELINED : boolean_v(0 to PIPELINE_POSITIONS-1) := generate_pipelines(PIPELINE_POSITIONS, SPEED_opt); --number of pipelines constant PIPELINES : natural := number_of_pipelines(PIPELINE_POSITIONS, SPEED_opt); /* signals */ /***********************************************************************************************/ signal fundamental_signals : u_sfixed_vv(1 to NUMBER_OF_VERTEXES)(0 to MAX_FLEVEL)(OUT_HIGH downto INTER_LOW); signal valid_input_sh : std_ulogic_vector(1 to PIPELINES); signal pre_output : u_sfixed_v(1 to MULT_FUNDAMENTAL'length)(OUT_HIGH downto OUT_LOW); /*================================================================================================*/ /*================================================================================================*/ begin generate_valid_output: if PIPELINES > 0 generate begin valid_output <= valid_input_sh(PIPELINES); process(clk) is begin if rising_edge(clk) then valid_input_sh <= valid_input_sh srl 1; valid_input_sh(1) <= valid_input; end if; end process; end; else generate begin valid_output <= valid_input; end; end generate; msg_debug("real_const_mult_core_s VERTEXES(1).high: " & image(VERTEXES(1).high)); msg_debug("real_const_mult_core_s input'low: " & image(input'low)); msg_debug("real_const_mult_core_s input'high: " & image(input'high)); msg_debug("real_const_mult_core_s OUT_LOW: " & image(OUT_LOW)); msg_debug("real_const_mult_core_s OUT_HIGH: " & image(OUT_HIGH)); msg_debug("real_const_mult_core_s INTER_LOW: " & image(INTER_LOW)); msg_debug("real_const_mult_core_s FILE_PATH: " & string'(FILE_PATH)); msg_debug("real_const_mult_core_s NUMBER_OF_VERTEXES: " & image(NUMBER_OF_VERTEXES)); msg_debug("real_const_mult_core_s OUT_HIGH: " & image(OUT_HIGH)); msg_debug("real_const_mult_core_s OUT_LOW: " & image(OUT_LOW)); generate_each_constant: for i in 1 to CONSTANTS'length generate begin msg_debug("real_const_mult_core_s CONSTANTS(" & image(i) & "): " & image(CONSTANTS(i))); msg_debug("real_const_mult_core_s MULT_FUNDAMENTAL(" & image(i) & "): " & image(MULT_FUNDAMENTAL(i))); msg_debug("real_const_mult_core_s PRE_VP_SHIFT(" & image(i) & "): " & image(PRE_VP_SHIFT(i))); end generate; pipeline_or_connection_of_input: if IS_PIPELINED(0) generate begin process(clk) is begin if rising_edge(clk) then fundamental_signals(1)(0)(VERTEXES(1).high downto OUT_LOW) <= input; end if; end process; end; else generate fundamental_signals(1)(0)(VERTEXES(1).high downto OUT_LOW) <= input; end generate; if_max_child_of_input_is_higher_than_1: if VERTEXES(1).max_child_flevel > 1 generate generate_pipelines_fundamental_for_1_for_each_flevel: for j in 1 to VERTEXES(1).max_child_flevel - 1 generate constant high : integer := VERTEXES(1).high; begin pipeline_or_connection: if IS_PIPELINED(j) generate begin process(clk) is begin if rising_edge(clk) then fundamental_signals(1)(j)(high downto OUT_LOW) <= fundamental_signals(1)(j-1)(high downto OUT_LOW); end if; end process; end; else generate fundamental_signals(1)(j)(high downto OUT_LOW) <= fundamental_signals(1)(j-1)(high downto OUT_LOW); end generate; end; end generate; end generate; generate_pipelines_for_other_fundamentals: for i in 2 to NUMBER_OF_VERTEXES generate constant first : natural := VERTEXES(i).flevel; constant last : natural := VERTEXES(i).max_child_flevel - 1; constant high : integer := vertexes(i).high; begin if_last_greater_than_first: if last > first generate for_each_flevel: for j in first+1 to last generate pipeline_or_connection: if IS_PIPELINED(j) generate begin process(clk) is begin if rising_edge(clk) then fundamental_signals(i)(j)(high downto OUT_LOW) <= fundamental_signals(i)(j-1)(high downto OUT_LOW); end if; end process; end; else generate fundamental_signals(i)(j)(high downto OUT_LOW) <= fundamental_signals(i)(j-1)(high downto OUT_LOW); end generate; end generate; end generate; end; end generate; generate_fundamental_signals: for i in 2 to NUMBER_OF_VERTEXES generate constant current : T_solutions := VERTEXES(i); constant u : positive := current.u; constant l1 : natural := current.l1; constant v : positive := current.v; constant l2 : natural := current.l2; constant s : boolean := current.s; constant flevel : natural := current.flevel; constant high : integer := current.high; constant u_high : integer := vertexes(index_from_fund(u)).high; constant v_high : integer := vertexes(index_from_fund(v)).high; signal signal1 : u_sfixed(u_high downto OUT_LOW); signal signal2 : u_sfixed(v_high downto OUT_LOW); signal signal3 : u_sfixed(u_high+1 downto OUT_LOW); signal aux1 : u_sfixed(high downto OUT_LOW); signal aux2 : u_sfixed(high downto OUT_LOW); signal aux3 : u_sfixed(high+1 downto OUT_LOW); signal result1 : u_sfixed(high downto OUT_LOW); signal result2 : u_sfixed(high+1 downto OUT_LOW); begin signal1 <= fundamental_signals(index_from_fund(u))(flevel-1)(u_high downto OUT_LOW); signal2 <= fundamental_signals(index_from_fund(v))(flevel-1)(v_high downto OUT_LOW); signal3 <= resize(fundamental_signals(index_from_fund(u))(flevel-1)(u_high downto OUT_LOW), signal3); aux1 <= resize(signal1, aux1) sll l1; aux2 <= resize(signal2, aux2) sll l2; aux3 <= resize(signal3, aux3) sll l1; result1 <= resize(aux1 + aux2, result1); result2 <= resize(aux3 - resize(aux2, aux3), result2); pipeline_or_connection: if IS_PIPELINED(flevel) generate begin process(clk) is begin if rising_edge(clk) then fundamental_signals(i)(flevel)(high downto OUT_LOW) <= result1(high downto OUT_LOW) when s else result2(high downto OUT_LOW); end if; end process; end; else generate begin positive_or_negative: if s generate fundamental_signals(i)(flevel)(high downto OUT_LOW) <= result1(high downto OUT_LOW); else generate fundamental_signals(i)(flevel)(high downto OUT_LOW) <= result2(high downto OUT_LOW); end generate; end; end generate; end; end generate; invert_output: for i in 1 to CONSTANTS'length generate constant index : integer := index_from_fund(MULT_FUNDAMENTAL(i)); constant high : integer := VERTEXES(index).high; signal aux : u_sfixed(high downto OUT_LOW); signal result1 : u_sfixed(high downto OUT_LOW); signal result2 : u_sfixed(high downto OUT_LOW); begin aux <= fundamental_signals(index)(MAX_FLEVEL)(high downto OUT_LOW); result1 <= resize(aux, result1); result2 <= resize(-aux, result2); pipeline_or_connection: if NO_NEGATIONS or not IS_PIPELINED(IS_PIPELINED'high) generate begin positive_or_negative: if MULT_SIGN_POSITIVE(i) generate pre_output(i)(result1'range) <= result1; else generate pre_output(i)(result1'range) <= result2; end generate; end; else generate begin process(clk) is begin if rising_edge(clk) then pre_output(i)(result1'range) <= result1 when MULT_SIGN_POSITIVE(i) else result2; end if; end process; end; end generate; end; end generate; generate_output_shifts: for i in 1 to CONSTANTS'length generate constant index : integer := index_from_fund(MULT_FUNDAMENTAL(i)); constant high : integer := VERTEXES(index).high; constant adjustment : integer := -PRE_VP_SHIFT(i) - (OUT_LOW - input_low); begin depending_on_adjustment_value: if adjustment > 0 generate output(i) <= resize(pre_output(i)(high downto OUT_LOW), output(i)) sll adjustment; else generate output(i) <= resize(pre_output(i)(high downto OUT_LOW), output(i)) sra abs(adjustment); --to introduce the leftmost bit when shifting to the right end generate; end; end generate; end architecture;
mit
bfb35a3c242a388d2386f98260df55ae
0.491621
4.496081
false
false
false
false
ILoveSpeccy/Aeon-Lite
cores/atari800xl/src/a8core/enable_divider.vhdl
1
2,056
--------------------------------------------------------------------------- -- (c) 2013 mark watson -- I am happy for anyone to use this for non-commercial use. -- If my vhdl files are used commercially or otherwise sold, -- please contact me for explicit permission at scrameta (gmail). -- This applies for source and binary form and derived works. --------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.all; use ieee.numeric_std.all; ENTITY enable_divider IS generic(COUNT : natural := 1); PORT ( CLK : IN STD_LOGIC; RESET_N : IN STD_LOGIC; ENABLE_IN : IN STD_LOGIC; ENABLE_OUT : OUT STD_LOGIC ); END enable_divider; ARCHITECTURE vhdl OF enable_divider IS function log2c(n : integer) return integer is variable m,p : integer; begin m := 0; p := 1; while p<n loop m:=m+1; p:=p*2; end loop; return m; end log2c; constant WIDTH : natural := log2c(COUNT); signal count_reg : std_logic_vector(WIDTH-1 downto 0); -- width should depend on count signal count_next : std_logic_vector(WIDTH-1 downto 0); signal enabled_out_next : std_logic; signal enabled_out_reg : std_logic; BEGIN -- register process(clk,reset_n) begin if (reset_n = '0') then count_reg <=std_logic_vector(to_unsigned(COUNT-1,WIDTH)); enabled_out_reg <= '0'; elsif (clk'event and clk='1') then count_reg <= count_next; enabled_out_reg <= enabled_out_next; end if; end process; -- Maintain a count in order to calculate a clock circa 1.79 (in this case 25/14) -> 64KHz -> /28 process(count_reg,enable_in,enabled_out_reg) begin count_next <= count_reg; enabled_out_next <= enabled_out_reg; if (enable_in = '1') then count_next <= std_logic_vector(unsigned(count_reg) + 1); enabled_out_next <= '0'; if (unsigned(count_reg) = to_unsigned(COUNT-1,WIDTH)) then count_next <= std_logic_vector(to_unsigned(0,WIDTH)); enabled_out_next <= '1'; end if; end if; end process; -- output enable_out <= enabled_out_reg and enable_in; END vhdl;
gpl-3.0
63c8b414f99d58e6782c3efc55fe2b04
0.625
3.091729
false
false
false
false
freecores/lq057q3dc02
design/image_gen_bram_green.vhd
1
235,881
-------------------------------------------------------------------------------- -- Copyright (c) 1995-2007 Xilinx, Inc. All rights reserved. -------------------------------------------------------------------------------- -- ____ ____ -- / /\/ / -- /___/ \ / Vendor: Xilinx -- \ \ \/ Version: J.40 -- \ \ Application: netgen -- / / Filename: image_gen_bram_green.vhd -- /___/ /\ Timestamp: Thu Nov 06 17:02:39 2008 -- \ \ / \ -- \___\/\___\ -- -- Command : -intstyle ise -w -sim -ofmt vhdl D:\MyDocuments\OpenCores\projects\lq057q3dc02\coregen\tmp\_cg\image_gen_bram_green.ngc D:\MyDocuments\OpenCores\projects\lq057q3dc02\coregen\tmp\_cg\image_gen_bram_green.vhd -- Device : 2vp30ff896-7 -- Input file : D:/MyDocuments/OpenCores/projects/lq057q3dc02/coregen/tmp/_cg/image_gen_bram_green.ngc -- Output file : D:/MyDocuments/OpenCores/projects/lq057q3dc02/coregen/tmp/_cg/image_gen_bram_green.vhd -- # of Entities : 1 -- Design Name : image_gen_bram_green -- Xilinx : C:\Xilinx\ISE_9_2 -- -- Purpose: -- This VHDL netlist is a verification model and uses simulation -- primitives which may not represent the true implementation of the -- device, however the netlist is functionally correct and should not -- be modified. This file cannot be synthesized and should only be used -- with supported simulation tools. -- -- Reference: -- Development System Reference Guide, Chapter 23 -- Synthesis and Simulation Design Guide, Chapter 6 -- -------------------------------------------------------------------------------- -- synopsys translate_off library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; use UNISIM.VPKG.ALL; entity image_gen_bram_green is port ( clka : in STD_LOGIC := 'X'; addra : in STD_LOGIC_VECTOR ( 16 downto 0 ); douta : out STD_LOGIC_VECTOR ( 5 downto 0 ) ); end image_gen_bram_green; architecture STRUCTURE of image_gen_bram_green is signal BU2_U0_blk_mem_generator_valid_cstr_ram_ena13 : STD_LOGIC; signal BU2_N18 : STD_LOGIC; signal BU2_N16 : STD_LOGIC; signal BU2_N14 : STD_LOGIC; signal BU2_N12 : STD_LOGIC; signal BU2_U0_blk_mem_generator_valid_cstr_ram_douta3 : STD_LOGIC; signal BU2_U0_blk_mem_generator_valid_cstr_ram_douta8 : STD_LOGIC; signal BU2_U0_blk_mem_generator_valid_cstr_bindec_a_bindec_inst_a_enout_18_cmp_eq0000 : STD_LOGIC; signal BU2_U0_blk_mem_generator_valid_cstr_ram_ena3 : STD_LOGIC; signal BU2_U0_blk_mem_generator_valid_cstr_N13 : STD_LOGIC; signal BU2_U0_blk_mem_generator_valid_cstr_ram_ena : STD_LOGIC; signal BU2_U0_blk_mem_generator_valid_cstr_ram_ena0 : STD_LOGIC; signal BU2_U0_blk_mem_generator_valid_cstr_ram_ena1_2 : STD_LOGIC; signal BU2_U0_blk_mem_generator_valid_cstr_ram_ena12 : STD_LOGIC; signal BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_Mmux_dout_mux_5_f55 : STD_LOGIC; signal BU2_U0_blk_mem_generator_valid_cstr_N12 : STD_LOGIC; signal BU2_U0_blk_mem_generator_valid_cstr_ram_douta25 : STD_LOGIC; signal BU2_U0_blk_mem_generator_valid_cstr_ram_douta24 : STD_LOGIC; signal BU2_U0_blk_mem_generator_valid_cstr_N11 : STD_LOGIC; signal BU2_U0_blk_mem_generator_valid_cstr_ram_douta27 : STD_LOGIC; signal BU2_U0_blk_mem_generator_valid_cstr_ram_douta26 : STD_LOGIC; signal BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_Mmux_dout_mux_5_f54 : STD_LOGIC; signal BU2_U0_blk_mem_generator_valid_cstr_N10 : STD_LOGIC; signal BU2_U0_blk_mem_generator_valid_cstr_ram_douta20 : STD_LOGIC; signal BU2_U0_blk_mem_generator_valid_cstr_ram_douta19 : STD_LOGIC; signal BU2_U0_blk_mem_generator_valid_cstr_N9 : STD_LOGIC; signal BU2_U0_blk_mem_generator_valid_cstr_ram_douta22 : STD_LOGIC; signal BU2_U0_blk_mem_generator_valid_cstr_ram_douta21 : STD_LOGIC; signal BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_Mmux_dout_mux_5_f53 : STD_LOGIC; signal BU2_U0_blk_mem_generator_valid_cstr_N8 : STD_LOGIC; signal BU2_U0_blk_mem_generator_valid_cstr_ram_douta16 : STD_LOGIC; signal BU2_U0_blk_mem_generator_valid_cstr_ram_douta15 : STD_LOGIC; signal BU2_U0_blk_mem_generator_valid_cstr_N7 : STD_LOGIC; signal BU2_U0_blk_mem_generator_valid_cstr_ram_douta18 : STD_LOGIC; signal BU2_U0_blk_mem_generator_valid_cstr_ram_douta17 : STD_LOGIC; signal BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_Mmux_dout_mux_5_f52 : STD_LOGIC; signal BU2_U0_blk_mem_generator_valid_cstr_N6 : STD_LOGIC; signal BU2_U0_blk_mem_generator_valid_cstr_ram_douta10 : STD_LOGIC; signal BU2_U0_blk_mem_generator_valid_cstr_ram_douta9 : STD_LOGIC; signal BU2_U0_blk_mem_generator_valid_cstr_N5 : STD_LOGIC; signal BU2_U0_blk_mem_generator_valid_cstr_ram_douta12 : STD_LOGIC; signal BU2_U0_blk_mem_generator_valid_cstr_ram_douta11 : STD_LOGIC; signal BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_Mmux_dout_mux_5_f51 : STD_LOGIC; signal BU2_U0_blk_mem_generator_valid_cstr_N4 : STD_LOGIC; signal BU2_U0_blk_mem_generator_valid_cstr_ram_douta5 : STD_LOGIC; signal BU2_U0_blk_mem_generator_valid_cstr_ram_douta4 : STD_LOGIC; signal BU2_U0_blk_mem_generator_valid_cstr_N3 : STD_LOGIC; signal BU2_U0_blk_mem_generator_valid_cstr_ram_douta7 : STD_LOGIC; signal BU2_U0_blk_mem_generator_valid_cstr_ram_douta6 : STD_LOGIC; signal BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_Mmux_dout_mux_5_f5_5 : STD_LOGIC; signal BU2_U0_blk_mem_generator_valid_cstr_N2 : STD_LOGIC; signal BU2_U0_blk_mem_generator_valid_cstr_ram_douta0 : STD_LOGIC; signal BU2_U0_blk_mem_generator_valid_cstr_ram_douta : STD_LOGIC; signal BU2_U0_blk_mem_generator_valid_cstr_N1 : STD_LOGIC; signal BU2_U0_blk_mem_generator_valid_cstr_ram_douta2 : STD_LOGIC; signal BU2_U0_blk_mem_generator_valid_cstr_ram_douta1 : STD_LOGIC; signal BU2_N1 : STD_LOGIC; signal NLW_VCC_P_UNCONNECTED : STD_LOGIC; signal NLW_GND_G_UNCONNECTED : STD_LOGIC; signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v2_init_ram_dp1x1_ram_DOB_0_UNCONNECTED : STD_LOGIC; signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v2_init_ram_dp1x1_ram_DOB_0_UNCONNECTED : STD_LOGIC; signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v2_init_ram_dp1x1_ram_DOB_0_UNCONNECTED : STD_LOGIC; signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v2_init_ram_dp1x1_ram_DOB_0_UNCONNECTED : STD_LOGIC; signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v2_init_ram_dp1x1_ram_DOB_0_UNCONNECTED : STD_LOGIC; signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v2_init_ram_dp1x1_ram_DOB_0_UNCONNECTED : STD_LOGIC; signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_6_ram_r_v2_init_ram_dp1x1_ram_DOB_0_UNCONNECTED : STD_LOGIC; signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_7_ram_r_v2_init_ram_dp1x1_ram_DOB_0_UNCONNECTED : STD_LOGIC; signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_8_ram_r_v2_init_ram_dp1x1_ram_DOB_0_UNCONNECTED : STD_LOGIC; signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_9_ram_r_v2_init_ram_dp1x1_ram_DOB_0_UNCONNECTED : STD_LOGIC; signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_10_ram_r_v2_init_ram_dp1x1_ram_DOB_0_UNCONNECTED : STD_LOGIC; signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_11_ram_r_v2_init_ram_dp1x1_ram_DOB_0_UNCONNECTED : STD_LOGIC; signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_12_ram_r_v2_init_ram_dp1x1_ram_DOB_0_UNCONNECTED : STD_LOGIC; signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_13_ram_r_v2_init_ram_dp1x1_ram_DOB_0_UNCONNECTED : STD_LOGIC; signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_14_ram_r_v2_init_ram_dp2x2_ram_DOB_1_UNCONNECTED : STD_LOGIC; signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_14_ram_r_v2_init_ram_dp2x2_ram_DOB_0_UNCONNECTED : STD_LOGIC; signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_15_ram_r_v2_init_ram_dp4x4_ram_DOB_3_UNCONNECTED : STD_LOGIC; signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_15_ram_r_v2_init_ram_dp4x4_ram_DOB_2_UNCONNECTED : STD_LOGIC; signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_15_ram_r_v2_init_ram_dp4x4_ram_DOB_1_UNCONNECTED : STD_LOGIC; signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_15_ram_r_v2_init_ram_dp4x4_ram_DOB_0_UNCONNECTED : STD_LOGIC; signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_16_ram_r_v2_init_ram_dp1x1_ram_DOB_0_UNCONNECTED : STD_LOGIC; signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_17_ram_r_v2_init_ram_dp1x1_ram_DOB_0_UNCONNECTED : STD_LOGIC; signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_18_ram_r_v2_init_ram_dp1x1_ram_DOB_0_UNCONNECTED : STD_LOGIC; signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_19_ram_r_v2_init_ram_dp1x1_ram_DOB_0_UNCONNECTED : STD_LOGIC; signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_20_ram_r_v2_init_ram_dp1x1_ram_DOB_0_UNCONNECTED : STD_LOGIC; signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_21_ram_r_v2_init_ram_dp1x1_ram_DOB_0_UNCONNECTED : STD_LOGIC; signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_22_ram_r_v2_init_ram_dp1x1_ram_DOB_0_UNCONNECTED : STD_LOGIC; signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_23_ram_r_v2_init_ram_dp1x1_ram_DOB_0_UNCONNECTED : STD_LOGIC; signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_24_ram_r_v2_init_ram_dp2x2_ram_DOB_1_UNCONNECTED : STD_LOGIC; signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_24_ram_r_v2_init_ram_dp2x2_ram_DOB_0_UNCONNECTED : STD_LOGIC; signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_25_ram_r_v2_init_ram_dp1x1_ram_DOB_0_UNCONNECTED : STD_LOGIC; signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_26_ram_r_v2_init_ram_dp1x1_ram_DOB_0_UNCONNECTED : STD_LOGIC; signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_27_ram_r_v2_init_ram_dp1x1_ram_DOB_0_UNCONNECTED : STD_LOGIC; signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_28_ram_r_v2_init_ram_dp1x1_ram_DOB_0_UNCONNECTED : STD_LOGIC; signal addra_6 : STD_LOGIC_VECTOR ( 16 downto 0 ); signal douta_7 : STD_LOGIC_VECTOR ( 5 downto 0 ); signal BU2_U0_blk_mem_generator_valid_cstr_ram_douta13 : STD_LOGIC_VECTOR ( 1 downto 0 ); signal BU2_U0_blk_mem_generator_valid_cstr_ram_douta14 : STD_LOGIC_VECTOR ( 3 downto 0 ); signal BU2_U0_blk_mem_generator_valid_cstr_ram_douta23 : STD_LOGIC_VECTOR ( 1 downto 0 ); signal BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe : STD_LOGIC_VECTOR ( 4 downto 0 ); signal BU2_doutb : STD_LOGIC_VECTOR ( 0 downto 0 ); begin addra_6(16) <= addra(16); addra_6(15) <= addra(15); addra_6(14) <= addra(14); addra_6(13) <= addra(13); addra_6(12) <= addra(12); addra_6(11) <= addra(11); addra_6(10) <= addra(10); addra_6(9) <= addra(9); addra_6(8) <= addra(8); addra_6(7) <= addra(7); addra_6(6) <= addra(6); addra_6(5) <= addra(5); addra_6(4) <= addra(4); addra_6(3) <= addra(3); addra_6(2) <= addra(2); addra_6(1) <= addra(1); addra_6(0) <= addra(0); douta(5) <= douta_7(5); douta(4) <= douta_7(4); douta(3) <= douta_7(3); douta(2) <= douta_7(2); douta(1) <= douta_7(1); douta(0) <= douta_7(0); VCC_0 : VCC port map ( P => NLW_VCC_P_UNCONNECTED ); GND_1 : GND port map ( G => NLW_GND_G_UNCONNECTED ); BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v2_init_ram_dp1x1_ram : RAMB16_S1_S1 generic map( SRVAL_A => X"0", SRVAL_B => X"0", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"C65BF1825AEA49D64E8911080801FF0B820843E78E97F6220000000000000000", INIT_02 => X"C1D167FE7F57FCDC9BF27FDFBEC1026015E4E5FC803FEB525D78380C9307DF90", INIT_03 => X"B00E83831A923B1874199EFDF9FE23D562086C80C85ABC16CBE30F94D64C95F7", INIT_04 => X"363D04B25C5C03C2F7DE339F6314B370F3909CDA13A39BF1C1E0BC87F3931CF9", INIT_05 => X"97C059D19FA05EADBB7FDC204E07F6ED64E3D381CE7F1FBFE36E83811850E2A0", INIT_06 => X"CB7BBEBD46B3DE8F8C82E481723FEFBE300172013FF140764180DBB087001ACF", INIT_07 => X"348896E26BBA71FEE2053F000D25AE4A7E75B250B14A115517385E4F81A514C1", INIT_08 => X"CE0E7018EE1CF88A0727713AC79215F98321311CF7D7F8201BE106747205F849", INIT_09 => X"3D758B6E04B9CD08DC3F6E7AF837B2C61C02C08E3EF79E4EDD7174F077A08D7C", INIT_0A => X"7ADEDA0182E467E3135971402A35888DDFF5E199FF4BBB7FF91F77E0DFAADE9A", INIT_0B => X"277A9C07300AD503CF5C469F2853DF7FF51F77E08B4BAC2A652F86063A23E97B", INIT_0C => X"D993A41F47633D7FF01DC7C02985403C5249DF05833BFA166BFCBA80CF9FAFFE", INIT_0D => X"FFCD83C073F93CFE0354F3DFFDF3FFB10BFF64FF0A60954CCD77D8E1C7864551", INIT_0E => X"54316F0B8BA5F9090F02FE03B22236DE81FB5DC062552A43756E021F406C3BFF", INIT_0F => X"00FF5E7CDF0D2E8EA955EEC7E58F1100B12D83FFED657BFFDAED030033FDFBFE", INIT_10 => X"0B1ECD033F884A2668AB860E715DFB3FD66D70205E41F1FE6BE92EFCF2A73D0A", INIT_11 => X"0D5344C4B88EBC33C52DFC00765EF1FE7B0D4B8425103FFE008080FE1908385B", INIT_12 => X"5414FC00A99137FE7C05D15005FA3F4600801FEE3A511321948D0B1CE31CE914", INIT_13 => X"7366360C0BE5DF3A41064EEFCB681D8BF1E9593F6188DE43684D23DD008F3A3B", INIT_14 => X"CABE80FB5BC2BC45E44DB2F1E210D222EB7FC6455764707E4090C14FD9123F7E", INIT_15 => X"E872099AAC3690E56A686D615EDBF77F7EF7C7E91BDBBE7E7E9153247D2EBB8E", INIT_16 => X"F8FE6ED94594F8FD4D5D0F657F21BE7E375D469AA07C56F9389F80813DACCC99", INIT_17 => X"4F621F1F7CFD1F7E1E27D3D6BF5F03F05C1EFF8E0661E6E2F31506731151A4A7", INIT_18 => X"7C2951EFD33B6DF41E8CFFCF7186FEDE772B0ACD53C216292342BB5AC7D2A67C", INIT_19 => X"A8C07FCCEB9C7F6709FC8D5D96EBE8710509237B84A225FC50DABFF6DE715D7E", INIT_1A => X"F42BAFB924BED9AB374A5A2838C728FFE964BEFD3BFF6CFE7BC09CA44092EDBF", INIT_1B => X"24CB54D13A4E7AFFEA0E80722FFD90FE7FF8B2148081A00D9C001FFB6536473B", INIT_1C => X"D0CF81D59DFFA2FE722B0B21009DA06DD98007FE2F9D7B7C7033FE1D5A583CE3", INIT_1D => X"5ABDD6962EE0259FFD4302FCBD2BF15EB02FF269916F3AB0363C7515F6DF15FF", INIT_1E => X"4950AF67BD1C97594146F115360DFC4407B14FEF350050F7D5C181807DFFE13E", INIT_1F => X"8B96E6EB38F85B8B904F56DB2DD6A0FFFD8E5F8B3D1AF83A73D7846122078EAD", INIT_20 => X"DACFE37B0D9009FF7374415C7C5CF73A7FFAC0FC1EC0B436B0B99FCF77E5F04D", INIT_21 => X"D4DCB64BCFAA4CBC7FFF95232E2F1ED72574BEDE3D1988F738FAA7F915B6F044", INIT_22 => X"7FFEDA603E4F81F09B1421C13BBD5F8426296BFF505277D8F303B7FBE569D13F", INIT_23 => X"776CD33F748A1DFD554AEBFFF5DE2C00603477702E23323F0538BCB147C460BC", INIT_24 => X"1D4DADFF18D99DADE5795D417B5ABEBC2FDE850647EDAEB67F7F26747426DB53", INIT_25 => X"817B9BF955779FBDD5565A09FFD78B367F7FF7C864F4673AF56720E05828A38B", INIT_26 => X"BA8C62D3FF1F25F87FFFF6D0E656E6E54115FF51C10DE67473F30BFE8AB54C00", INIT_27 => X"7FFFC3BF766476BD059403EAED015C87328F8817830D87DBD91A0F2B90959F3D", INIT_28 => X"B7DD7740D006CB9A42B272F7C05F744FEBED711411482FFAD891DA45FB91183C", INIT_29 => X"983986C7389FB43CDD8D6C0DDAA317F9EB7F301DEAD85F8A67F091A70591A18F", INIT_2A => X"812D8AEF32BA1FFB93E7354FDB4528C67FF777FB178F18E788499EA458594593", INIT_2B => X"02E6065FA638D7C27DB04A3FE2636EB6E6851DDC5DF6A290CAEF0F95F9BB657C", INIT_2C => X"4FF57EFCD40784167FAB7E15C81A52EC6636C3E7A0549A1FE31BFDF478710FF8", INIT_2D => X"0FE2C482BD74870F92BE436E16993EBFE3E1F49F5C50EFFFCDF93E1FADFD0A7A", INIT_2E => X"93BF40C7FB7F527FCCB7BFF8C1900FFC71FF07BFC180BEFA5C7BA49ED925B137", INIT_2F => X"C1DBFDE63D51CFF513F0C5EFE04AE0325ACFDAE000957DB23FD50CC50236CAD0", INIT_30 => X"2FF8F69F6718F5866CB2E4EF688B3438BFAF82F0B713E730D4C1563C83EDC0E6", INIT_31 => X"41E70BC861D1ED3ABFF5DB52EEF6B0457B2569B7439F87FFDFB0FEF4C9BAEFF1", INIT_32 => X"7FFDEFC4458E886C7FF2A43A4D9DBDC7E41A73555F7ABFFAEDE6A38F3C09FFE2", INIT_33 => X"53C81752F1033FCBEE9BC6FCE390BFFA2EBD3CA460707FCC6EB57961E1958AB0", INIT_34 => X"8B8DF75F19263EFFF6DAFCC99B6CFFBA41865147EFDDC73B7FEBF3CFBEBFE8BE", INIT_35 => X"DFF0EEA5C44BF7E8386CB004F9D5F8A73F2FF6C7A33FE45FFDCF5F58FFFCEFCF", INIT_36 => X"5DB47F9700DBF97FBE0713B120F97A7399472AF67F7CBF48000821FE15DFBEF8", INIT_37 => X"1FC003B677623AAB72C97B9E3FDF4FFEFBC989706854FC7C1BB17CF8CB30E3C6", INIT_38 => X"CC4C7767D7BF736216F3027EC662FC7D0AD9BBF7C335E3EA3A9A7F1162C28BC7", INIT_39 => X"AA1F85FFC2B1FE7D673D71FFFE4BCFF045E13F9721A75B988FAEFCC78626BAF0", INIT_3A => X"6FFF3BF7D1528F1A3098AF5A140D07F1AF87FFD29E1FFC0BFB1F6B72031D86E6", INIT_3B => X"0A93FD2FC537898BF7D8FFA988D5FE22818DA981956DD947DACDB0FFD9517CF8", INIT_3C => X"679A3FCD8EEEE35E76A2A060A7B8406FFFDF7DFF27977FFE0D7F1FF3D48D0EDE", INIT_3D => X"F200E4A4C156307FE8C897FFA6E6FFFE37C737C7B4F70E5871938E7494E89680", WRITE_MODE_B => "WRITE_FIRST", INIT_3E => X"C80EEFFF70317FFC6EA033E7923FF8845C6D5820878FB58ABEC3EFD93C64DA70", SIM_COLLISION_CHECK => "NONE", INIT_A => X"0", INIT_B => X"0", WRITE_MODE_A => "WRITE_FIRST", INIT_3F => X"47B3F9EF5C6FF8F82A7C5DED86F1CBC88CFAE7E07846FAD8FEF3C745511FABBF" ) port map ( CLKA => clka, CLKB => BU2_doutb(0), ENA => BU2_U0_blk_mem_generator_valid_cstr_ram_ena, ENB => BU2_N1, SSRA => BU2_doutb(0), SSRB => BU2_doutb(0), WEA => BU2_doutb(0), WEB => BU2_doutb(0), ADDRA(13) => addra_6(13), ADDRA(12) => addra_6(12), ADDRA(11) => addra_6(11), ADDRA(10) => addra_6(10), ADDRA(9) => addra_6(9), ADDRA(8) => addra_6(8), ADDRA(7) => addra_6(7), ADDRA(6) => addra_6(6), ADDRA(5) => addra_6(5), ADDRA(4) => addra_6(4), ADDRA(3) => addra_6(3), ADDRA(2) => addra_6(2), ADDRA(1) => addra_6(1), ADDRA(0) => addra_6(0), ADDRB(13) => BU2_doutb(0), ADDRB(12) => BU2_doutb(0), ADDRB(11) => BU2_doutb(0), ADDRB(10) => BU2_doutb(0), ADDRB(9) => BU2_doutb(0), ADDRB(8) => BU2_doutb(0), ADDRB(7) => BU2_doutb(0), ADDRB(6) => BU2_doutb(0), ADDRB(5) => BU2_doutb(0), ADDRB(4) => BU2_doutb(0), ADDRB(3) => BU2_doutb(0), ADDRB(2) => BU2_doutb(0), ADDRB(1) => BU2_doutb(0), ADDRB(0) => BU2_doutb(0), DIA(0) => BU2_doutb(0), DIB(0) => BU2_doutb(0), DOA(0) => BU2_U0_blk_mem_generator_valid_cstr_ram_douta, DOB(0) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v2_init_ram_dp1x1_ram_DOB_0_UNCONNECTED ); BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v2_init_ram_dp1x1_ram : RAMB16_S1_S1 generic map( SRVAL_A => X"0", SRVAL_B => X"0", INIT_00 => X"318F4AE23407009620FFABFF963BEBDAF91B20D7CE2A9DFFCBB11FCF93E47FFF", INIT_01 => X"E01E7FFF20778BA3FD87710F36A387FBEA02EF8FF26FFFF979215DFFD17DF978", INIT_02 => X"FB6CBE00642ABFFF184B1E0FF648FFFFFB77C3EF16BDE75C0FEB1B82F84C8D96", INIT_03 => X"51F7BE0FFC81FFFCA3000FED653FE91A3EC76B0B54A08E8E3A0EE7FFB3606B8C", INIT_04 => X"86617FFE19BFFA407DF1C9BAB19CEF4CCBF9AADFF2EC67CCFFFFBAB70A283FFF", INIT_05 => X"7D6EE646C7313F806DAE7D5FBA85CFC0F7F261280665FE7FFD7B3FBFF0F7FFFC", INIT_06 => X"8EF4725FFC255FC4E7E18BE569E7F8FFF53B7EFEEDE9FFFB1074FFE4EA7EED64", INIT_07 => X"63F434F5FD73FFF1F5DFBFFFE877FFF0D27BFFF688FFC2FA605395C9111D5787", INIT_08 => X"FCBA7FFFB5AFFFE33205FFF610FFBCAE69A3227C401F37E349938FF1FE4FAF9A", INIT_09 => X"8187FFF452FFD31C0BC9275A4E31921B8D6B5BF8FF0D751AE3F7AF8821F51FE4", INIT_0A => X"32D0215D77AAC66F7DD446FCFF061A8673E371ABFD3C1FF5EAF87FFFD777FFF8", INIT_0B => X"97F94B7FFF1C284773F9B5EE7BF98FFFF8FF3FFC263FFFFB25D7FFF3BBFD28CE", INIT_0C => X"F7F18EDBA7FE6FFFDDFF3FF5617FFFF8A953FCF65BFE547050761AB0BB7DB45C", INIT_0D => X"89C931E06D3FFFFA41C3FCE57FFD8AFE64180199C349D7FEAF42803FFFF5360E", INIT_0E => X"1146F87ABEFF515E310066F7E80D24F4F0E981BFE1FF67347FB72CFD8088C8FF", INIT_0F => X"660BE5988A5428FDEFBC3A47EEF8A6673FF87384DE0E03FFF7FBF3E253BFFFFE", INIT_10 => X"3C555C53F7F91CC07FFCFF8845AEE0FFC7F33FFEF9FFFFF03266F6FF98FDD252", INIT_11 => X"7FFFFEE70D2E8DFF73FFFFE392BFFFEC95DFFFC9F97D98C2671C99C153D089FF", INIT_12 => X"5FF7FFF1C73FFFECCB1FF1E8FEEC36E67903F97F919C7EFF1EC95E5CEEFCEDC9", INIT_13 => X"1BBFF3EB3FE8C5BE67FC871379C1E7FFFFA1214D687FCF8C5886FD2AFB284CFE", INIT_14 => X"6C417FBC824AABFFFFA01C0D943FE2128E7FFCDEF0B07C7EF7C3F1FFD8FFFFFF", INIT_15 => X"FFFE93B0DFCFDCB9536BEF2E0B144C399FC7F8FF443EFFF127BFFFCC3FFE717E", INIT_16 => X"0B07C7D2384EDF6D3FC7FF7F3EFFFFC2DF07FF4EFFE5377E566E7FBE337D31F3", INIT_17 => X"3FCFFFFFF57E7F97FFFFFFC19FE38F7E5849F7DFEE7EFAFFFFFFF87A17FFFEDB", INIT_18 => X"FFFFFF291FCBDFFE105501F0A6DB983FFFB7EF9A2FFFF92EC24FDF898187F677", INIT_19 => X"7FAF03F70F8341100F0FBED0DABCFFF4787F3FE34F87BAE37FB730FFEBFE7F93", INIT_1A => X"6C0FFFFB262FFF97FE2EFF3A177FEFD0F1DF3DBF27FCFFE4FFFFCFE95FD38FFE", INIT_1B => X"0537EFAE4E1FAEDB33EB6ABE17FEFF10FFFEC619D3DF8F7E7C6685F50F8A418E", INIT_1C => X"EDF331BF3BFFFFE5FFFC45E3FBD75DFE0591A1F19FFF7AEFE007FFFF57F67FD7", INIT_1D => X"FFFCEB1552D4F9C44818078EFFECD4A16FFFFFFF3AB2EFF0086FE43356E7CEF3", INIT_1E => X"17910381FA1BC26F47FFFFFEB9F337875435F9100A7FFF83F84E24FE67FFFFEF", INIT_1F => X"C3FFFFD9FC6DFFC96878FECB361F7EB7FF1B35F547C7FF6EFFFF78317AEDFFEE", INIT_20 => X"749DFAAD72355FEFC10F6075B7C7FFF7FFFFFED4F18EFFA641B0DB317019284A", INIT_21 => X"E10073A1AFCFFFFF3FFFFB29392E9F5E4B434724001CA359D3BFFFDFFF5E6EF3", INIT_22 => X"1FFFF8C1F9AF9F3A1C95140F4060986B0E3FFAEFFFA7BAFFDBFBE7DABFA03BC5", INIT_23 => X"4D6589590766E5A18FAEFFF3FFF5E68FFE7EAC167BBEE5B0CF7CD420FFD7FF9F", INIT_24 => X"342072736FF03013FFF5DE0A38EEF3CFDFE3756CDFC7FF7FFFFFFA8EF3FFFA12", INIT_25 => X"FC8FB33D740BFFEB8F90E605CFEFBE3FBFBFE6FF7FFE4C6A03D384C78CFD1331", INIT_26 => X"8873267B3FEFFFFFFF3FFCBFFFFC2B844212D4E3357E492BE643BC5B5FDFCE15", INIT_27 => X"FFFFD8DB7F62D0DC180EF7019600D8FCAD4016F7FF1FEE387CC7E64ECE29FF0A", INIT_28 => X"6414AFFFB46EC208721D22A3FE1FFB948C79FEFCB916FF34DDA7C3E53FFFFFFF", INIT_29 => X"0C870233F67FFCAC47E7FC424379FFBE71CF83FD3FFFFFFFFFFF837F47DB36A6", INIT_2A => X"E727FDF62DFB5F7CF5D587C05FFFFFFFFFFE3264DB9163CE691E61FBC846F827", INIT_2B => X"D56FC7F0BFFFE3FFFFFD85D0E7EE9BC051FF7DE03668A268F38C25ABD9F8FE2A", INIT_2C => X"FFFC7ED7FF7633FA790EA600EC752C41E16171F151FFBFDEAF40CBF746F5CF2E", INIT_2D => X"65F3DEFA1D19AE1CCF6828714AFF3FC6175B19F89379174ADFBD4FF4C7FFFBFF", INIT_2E => X"5A6CFE8E1EFDFFE82DBFA5E00FDCB155A0A6A7F03FFFFBFFFFEFA1EBFFDEF7FE", INIT_2F => X"224BDFEA8A72937B235C5FBB3DFFB3FFFFF1BDBBFFDD5FFE17877FA3D4150762", INIT_30 => X"8D1CBDDA9DF87BFFFFE61647FFFDF1FE420FE747E7B886535376E91353BFFEFE", INIT_31 => X"FFD4DE03FBFDDDFE7EC783E17ADA373555ABD5C97893FFFF825818690EF1FEFF", INIT_32 => X"58C06760B98617AD93A6AB85A517FFFFF0F4909493953F3DD67FDDDEDDFB67FF", INIT_33 => X"9B58E4D109F1FFFFF81C55E473F4B27CC97A3040DCFEFFFFFFFA9707FDFCE1FC", INIT_34 => X"FF5996B70C9A7C3D2BD10FC2BEF3A7FF9F66BF7E3FFFFFFC07C0FF8BDC30A60F", INIT_35 => X"ED1DE835F8F553FF9D3EFEFEBFBF7F8E0B00FFC502D69E4F41F4D38680789FFF", INIT_36 => X"F8E777FF7F0FFF0E5040E17CC2E804B876E7CDE48096D3FFDBA89C0C5CB3FA64", INIT_37 => X"6041FA77BDC3863913138036BE934BFFABE6CFF0068662498570254BFCFEEFFF", INIT_38 => X"3C6E4E18BD9F0F8763D2C8147E278DED63A2B9BBFFB39FFFF11FBFFFFFFFEC7E", INIT_39 => X"A861670CC49F21FEA4B51305BEE566FFE7BF3FFFFFFFEEFE2BCF72FFBFB73208", INIT_3A => X"F8BCBA14FE517FFFE67FFFFFFFFFF3FE35E0FF82BBA525B714424734FA811B47", INIT_3B => X"A23FFFFFFFFFFFFE05FF00FFA9DAFB2B44CF33DC0BDF63872E32686991503DE3", INIT_3C => X"75730010B5E96ACD8EFD0925784C4D5F4FD00BFC8684E201BA5D56157F6C7FFF", INIT_3D => X"F04F5FCD311C6149679EBE0AB9847A4CA2B5D859FF477FFE7707FFFFFFFF3FCE", WRITE_MODE_B => "WRITE_FIRST", INIT_3E => X"DFF3B10759DB900A5C17EA55F238FFFF936FFFFFFFFFFF8E31630F038E0377B7", SIM_COLLISION_CHECK => "NONE", INIT_A => X"0", INIT_B => X"0", WRITE_MODE_A => "WRITE_FIRST", INIT_3F => X"0BA4645DD6EDFFF5899FFFFFFFFFFFC6757E0F02DCD71F1FFF9255323C764F49" ) port map ( CLKA => clka, CLKB => BU2_doutb(0), ENA => BU2_U0_blk_mem_generator_valid_cstr_ram_ena0, ENB => BU2_doutb(0), SSRA => BU2_doutb(0), SSRB => BU2_doutb(0), WEA => BU2_doutb(0), WEB => BU2_doutb(0), ADDRA(13) => addra_6(13), ADDRA(12) => addra_6(12), ADDRA(11) => addra_6(11), ADDRA(10) => addra_6(10), ADDRA(9) => addra_6(9), ADDRA(8) => addra_6(8), ADDRA(7) => addra_6(7), ADDRA(6) => addra_6(6), ADDRA(5) => addra_6(5), ADDRA(4) => addra_6(4), ADDRA(3) => addra_6(3), ADDRA(2) => addra_6(2), ADDRA(1) => addra_6(1), ADDRA(0) => addra_6(0), ADDRB(13) => BU2_doutb(0), ADDRB(12) => BU2_doutb(0), ADDRB(11) => BU2_doutb(0), ADDRB(10) => BU2_doutb(0), ADDRB(9) => BU2_doutb(0), ADDRB(8) => BU2_doutb(0), ADDRB(7) => BU2_doutb(0), ADDRB(6) => BU2_doutb(0), ADDRB(5) => BU2_doutb(0), ADDRB(4) => BU2_doutb(0), ADDRB(3) => BU2_doutb(0), ADDRB(2) => BU2_doutb(0), ADDRB(1) => BU2_doutb(0), ADDRB(0) => BU2_doutb(0), DIA(0) => BU2_doutb(0), DIB(0) => BU2_doutb(0), DOA(0) => BU2_U0_blk_mem_generator_valid_cstr_ram_douta0, DOB(0) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v2_init_ram_dp1x1_ram_DOB_0_UNCONNECTED ); BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v2_init_ram_dp1x1_ram : RAMB16_S1_S1 generic map( SRVAL_A => X"0", SRVAL_B => X"0", INIT_00 => X"DFFDFFFFFFFFBFE20EF30FEF41A6E9BFFFF4D4F5501A2F50EC2AA6B30AF3AFD1", INIT_01 => X"3BE787FDAD41FF9FFFFF27FCFDDB6253A15C4F7765371E27BAE33315507BFFDC", INIT_02 => X"FFFF8BFFFFB3A22E408C9FC46A839FAEB0166AF509EBFF08D7FD3FFFFDFF3FF2", INIT_03 => X"0FCD80A80A7EC592B9E8362FE7E5FF501FFCFFFFFFFF7FF830678369C78FFF7F", INIT_04 => X"F5F77A662711F7037FFDFFFF7FFFE7E078E6704653EEFFF1FFFFBFFFFFECFBE8", INIT_05 => X"FFFFFFFFFFFFEFE64701F91B169FFFFF00C5FF6FFF1CEE4BCCF383649B730437", INIT_06 => X"001F84CCB7BF8FFFFFFFFE97FFEDBD0807390EE1C8DD0F4AB44C1FE87B3FC242", INIT_07 => X"FFBFFE77FFF07F5662083CB69744AF4757C9DA15F15FFDFBFFFFFFFFFFFF8806", INIT_08 => X"E5A3776F2755825ED7F9098F2C07C4DFFFFFFFFFFFFFDC9A50B800B0E84383FE", INIT_09 => X"64395BF75D2B933FFEFFFFFFFFFCFEEE409882AF0269FFC8FF9FFFFFFF31FED6", INIT_0A => X"FFFFF33FFFFE7D1840C7F779D38FFFBC460F3FFFFFFFFFE5AF7BE7C05A181388", INIT_0B => X"00F352F635D326D66A37B1FCFFFFFB427F3FF66C586B688EB977F2A9F8A2E3FF", INIT_0C => X"0D19CF8778FFFE97CDE4C847744EDD450E205ED0356121FFFFDFFB3FFFFF02A0", INIT_0D => X"4E209FC349F1904AF6C9B3AFDFAB1FFF7F1FEFFFFFFEA5FA06DBF70B0BD8C2FB", INIT_0E => X"4428DEDF9DA87FFFFFFFF8FFFFF1984C1AF4F2F2E3A715AF8D94262A3A2BFF39", INIT_0F => X"BDF1FFFFFEE6D110271DCB965372D099380843CB8BBAFFFF98D43E130ECAA9E5", INIT_10 => X"629D3763736A602C1B1B6EAA9579DFFF33C5CE81E133209950456D571C4CFFF3", INIT_11 => X"FCD61E26AA27CFFE82D5C280E65B83892CF8D8B7BF2BFCF3DDD53FFFFFEFAFEA", INIT_12 => X"CBBD831FB4A9D785E698CC39DDAFF6ADE32FBFFFFE1FF86A037AFA4EAF15E879", INIT_13 => X"643A4EBFF0FFF1208C753FFF183FE0643D9613248D68453F00836D131FFFFFFC", INIT_14 => X"5766FFFF403FC94C2608864A963697F81CEB31CF9FFFFFFBB54281C6F670D462", INIT_15 => X"1A88CE6B8F8BB7CF9F7E82FFFFC1FFF725F2C3F0F34E9BF049B8743F17FFF2FA", INIT_16 => X"FDB1FFFFFFA2FFFA696B4EA3ACC58C55E82ED9DC8BF53ECEAB83FFFF59C02374", INIT_17 => X"DF598CD981F37430DEEF9FF907F7E094ED5FFF7F1FF71CB82C90B476E0E63DF9", INIT_18 => X"813DFDA67FD0755E6A07FFFFFF87DC70283B0F9D00135C39BB9FFFF8FF997CF4", INIT_19 => X"083FFFFFFE9FAFFC73FF519343DC629655DFFFC0FFFFE0E616B18E78A297BD39", INIT_1A => X"689E7A77C25CF8431A7BFFFFFFFFE4848D61DE70145A828AE83524D5FFD46BFF", INIT_1B => X"F2C3037FC13F7A883FACF269BE0EED504908DC76FC9025640BDFFFFFFD45D8BC", INIT_1C => X"8EA1F20F2F9787452C9E8F8BF37495B73C7FFFFFFC20A1D67FECBC00DA0CB46C", INIT_1D => X"D9BB9D8FE0C308092DFFFF7CF1BD7EF67FCFC64CFE1C8EA02FF100FFF03F1F57", INIT_1E => X"A17DFFF1B9D055607F632C00F85C70DEFFFFFFAFFC00FCAA2CB31AE18E3FB71F", INIT_1F => X"73DE3596D5247EFFF9FFFEB2F1D55757747C9CF973EADDE01CFDB79EC7A43683", INIT_20 => X"F7FF3D234B114879FDC8503BFF007BFFAECBFDDFFE91BD75E878FFEE817E76F2", INIT_21 => X"FD7DD14BA3EE5BBBB19F49EB188C81C002FFFF00FFF1FDF87F4596D3ECAE749F", INIT_22 => X"D64AAFF770C5A2FEF7FFD44A99B12E627EFA765C3B83BF5FC1FF3DE0BE7E507D", INIT_23 => X"97FE98292A60F87C7CEA1493CF0FBF8FC0FE7521F38EF0FFFF966B436A14FB45", INIT_24 => X"6DE7EBAF2110C767F87F2D8AB1705DFFFDF47465D90D44CB2E695FFE63D7219A", INIT_25 => X"F7EEDE8528577FFFEAC14935283B2A7E9164BFEDB7C375AFFFD54654DFFEFBFE", INIT_26 => X"E7F635CC9C1C55ADFE09FFFB3A53101FFFD2DF841FCF3FFE45592E93DA638A21", INIT_27 => X"B7E2FFFA9F47F15FF8F930579FEBF0BE64CFE53F54E02960E9F6C6FBFB59BFFF", INIT_28 => X"D20F70FFCFFFF1BE104C08DD874EAF37F49D1D3181637FFCB3FC231BD30B059C", INIT_29 => X"11828E894F41ADF54B3C98DDFB91FFC0D0B217552A670CE5B793FAE4E9A7C0FF", INIT_2A => X"B96D58824BE2FFFB29F9DF4865567A2A0665D54FA31F8DFE9AEA69FFCBFFF0FE", INIT_2B => X"F0F2958EAD5FDF5AFF4E9239CBFFCFFDACD6C7FFC9FDFEFE5DA67862C9ED25A3", INIT_2C => X"F8BAB4298EFFFFC36B46DFFFF3FDFFFE0971FE23CE1A54854109A7FB4BFC3F98", INIT_2D => X"19EBFFFFFFFCFFFE5AE2EF6AA84EB332BDB8946879E0DF8E83C7CC524E17EE1D", INIT_2E => X"1A9145C7E9AE69DEE1023B1FAEDF1FEF07F7FE91B2AFC3BCBF5B83B82D7FEDCE", INIT_2F => X"73B0E3504F7F3F8C67EFDE4085FFA752BCEFA2BC4EFFFB3FAC8DFF9FFFFEFFFE", INIT_30 => X"FFC7CC3FF529B99AF8932BD33BFFFF46A6B0BEFFFFFFFFFE551A805ECB309A24", INIT_31 => X"1F5E2E3EFFFE9E49DFB4B3FFF1FE3FFE712EC35736F4F492E67BB1C64EFFFE6D", INIT_32 => X"FD8A9FFFE37FBFFE7E80E469A8718EDDCD3F6516533FFF8BFE85FF3E9E726106", INIT_33 => X"1F3EF7888CE70E154FC454636E7FFEDBFE57A37536CF57F7029AC4F3FF4203B9", INIT_34 => X"10435E5BC0FFF547FE6FF374BF27E78A9F3427FFFCCCBD95FCB8F1DFFF3FFE2E", INIT_35 => X"FFC7D76CA14D7B8D003F8FFF7F36038EF8C67F0F3F3FFE1E7BC09919D3C921E4", INIT_36 => X"1CFBED381108DFBE2D8C67FF3F87FFFE7E54A383C3E6B674A5034FC226FEFA5F", INIT_37 => X"64890FFFFFFADFFE37D0C911C437BD83BC9B0FF4AF3F88BFFFE7B3693D0AE830", INIT_38 => X"45F12880FDA812BF7D80A7FB7F37C9BFCFF721AA0E68D02CDD2BA97FE392BFF5", INIT_39 => X"84198F77FFAD51FFC7FFB36DEE16920DD9C81654854EDEA9666D0BAEFE3827FE", INIT_3A => X"C7FEE2C95347787BC38523D7F6A09F2B83DE458FFF070FFE6136F75C7C2585B0", INIT_3B => X"037F22E7CCFE5E9E5C217FE95FFFCBFE4346AADB1B04CDB8E4E7FF7FFFB13FFF", INIT_3C => X"FFFC02704FFFAE7E4622AF048AAFF600209EFE7FFFF063E7FF7F3E1A6287A2B3", INIT_3D => X"50281183DBCE801E278FFF7FCFE1ABA7F0FFE76DE8CF63238ED997DA1F319FD5", WRITE_MODE_B => "WRITE_FIRST", INIT_3E => X"027FFFFFF7EAAFAFF16CBE6BC30FC023D2C1BAFCC42B4EAD99A5A394EFFFE7D6", SIM_COLLISION_CHECK => "NONE", INIT_A => X"0", INIT_B => X"0", WRITE_MODE_A => "WRITE_FIRST", INIT_3F => X"FFFE1002E9119ECD8547247ECF493703A55FDB09BFFF437A34F70BC34DDC5EE4" ) port map ( CLKA => clka, CLKB => BU2_doutb(0), ENA => BU2_U0_blk_mem_generator_valid_cstr_ram_ena1_2, ENB => BU2_doutb(0), SSRA => BU2_doutb(0), SSRB => BU2_doutb(0), WEA => BU2_doutb(0), WEB => BU2_doutb(0), ADDRA(13) => addra_6(13), ADDRA(12) => addra_6(12), ADDRA(11) => addra_6(11), ADDRA(10) => addra_6(10), ADDRA(9) => addra_6(9), ADDRA(8) => addra_6(8), ADDRA(7) => addra_6(7), ADDRA(6) => addra_6(6), ADDRA(5) => addra_6(5), ADDRA(4) => addra_6(4), ADDRA(3) => addra_6(3), ADDRA(2) => addra_6(2), ADDRA(1) => addra_6(1), ADDRA(0) => addra_6(0), ADDRB(13) => BU2_doutb(0), ADDRB(12) => BU2_doutb(0), ADDRB(11) => BU2_doutb(0), ADDRB(10) => BU2_doutb(0), ADDRB(9) => BU2_doutb(0), ADDRB(8) => BU2_doutb(0), ADDRB(7) => BU2_doutb(0), ADDRB(6) => BU2_doutb(0), ADDRB(5) => BU2_doutb(0), ADDRB(4) => BU2_doutb(0), ADDRB(3) => BU2_doutb(0), ADDRB(2) => BU2_doutb(0), ADDRB(1) => BU2_doutb(0), ADDRB(0) => BU2_doutb(0), DIA(0) => BU2_doutb(0), DIB(0) => BU2_doutb(0), DOA(0) => BU2_U0_blk_mem_generator_valid_cstr_ram_douta1, DOB(0) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v2_init_ram_dp1x1_ram_DOB_0_UNCONNECTED ); BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v2_init_ram_dp1x1_ram : RAMB16_S1_S1 generic map( SRVAL_A => X"0", SRVAL_B => X"0", INIT_00 => X"989BC8BEAA3AC56D387307616FFECF623CDE0110B945D82967FCFFFFCEB5BF8F", INIT_01 => X"FF4364FB0F7E87D227ED03FF9736DFD98FFFFFFF7ECC5FFFFFF43EB73D2BB4FE", INIT_02 => X"64E584E10EDF9AD63FE99FFFF8BFFFFDFFF0BEA8DEF0C2C1195A59FA847C1B2B", INIT_03 => X"FF153FFFBC98FFFCFFFB3EE89D332D222B8774992E706FF9FCBA636AFFFC98B0", INIT_04 => X"FFFB9ED9FE58A020E8FE95ECDB34F1EAA2892143FFFB2F926F4CFE2AA681E889", INIT_05 => X"FFF920AC810219790D21C607DDF9993A72D5FB6F0EED0C0C7F18CFFF169593FE", INIT_06 => X"01F40D4CA9BF2B161FAFDB08D23836C6FF528BFCB0D7E9FF7FE90C1D74F0F379", INIT_07 => X"61C30682B3EFB63F1EA1ABFCC4EDF0FFFFCA7B0E263C8C7A22E3B3BD96FB2EF6", INIT_08 => X"97AD4FFE5F53FFFFFDC0FFE530C9F5F525C2223DC6BE52E428D0EDAFC13C2B1E", INIT_09 => X"FCA73C8D5A82BD0A47777C4210BF21AFFE20AA5FFF0788967280796F5EA86D3F", INIT_0A => X"84798A8A39ED22C46723DC3FECA58F462FE3000BA4EBAFFF1E981E7DD89BFFFF", INIT_0B => X"8D29B8F814DA9CFC26B3352401BCEFFF52711FFE23BBFFFFFC1FFCC1AA6F971D", INIT_0C => X"67188DAB415BDFFC4E593FFBB33FFFFFFEE8FCCB9B72BDF417EE5317FBC3FE85", INIT_0D => X"8F2E7F3A8DBEFFFF3FE1FDA11E6205BBFBB36B7374FD4AF7F49A61E7D71F7FE2", INIT_0E => X"3F08FD512F3DD179E537423187973D7A4A067BC081BDDF8A1EE7FD77FEBEEFFA", INIT_0F => X"5D6AE49511016C7D4E87AA0394BFFFA03DA7B59216566FF666D87F67087EFFFF", INIT_10 => X"90D41200DFBBF878240CC94A18587CC7531FB91ECDBFFFFF3F0FFDDD0E1BAEFD", INIT_11 => X"7491607D4E66EABE93DD77577BBDFFFF3E2DFCB5B266D3F83455E08B51FA0177", INIT_12 => X"784FB287ACFFFFFF3D8DFEAAF2031FBF1CE9DD40D7C70357DB8A087F9EFF7EE8", INIT_13 => X"BE77F90F25CC291E9459FD1025D1F66223DCA59FF9DFF75A5CED4CDC6576220F", INIT_14 => X"017FEC91C8E8C915CE38F0C7F02EE7F679DDCDEE8F9299A2DB38201F517FFFFF", INIT_15 => X"4BA4B0DFF37EE7D62EB8C89EFCA8E1174968AE1B0EE7FFFE74D7660065B4D70F", INIT_16 => X"3F166BCF3C11CE5BE8CDD20A23E37EFFB95F7B9D89BA67CED15F69DC908F199C", INIT_17 => X"7D682BC64FE728BFB457EB994FC2B9CE8C13F2C4A9248390F7CE8B7FC45FCEF2", INIT_18 => X"9CAE9966E22A71FE4E3BBAFD8DB16281721DC5E7C94CAFAE27FDF65F79A430F7", INIT_19 => X"24D3FBFD8563C7F06A7696F7F5D56CBA723B8F9FE01796FCD32041C497EF3E5F", INIT_1A => X"8EFEE0377B066F52423F1EE7EC33A970C7586FCD2FFF134CDBEFA2736415F2FF", INIT_1B => X"3B283F0DA5084DA371E6D2275FFDB14BE4A7E982741C19FE0230BD4BF65DD516", INIT_1C => X"C1E0341FDDFF7EBFE35325BC671DDFBFD961A26C1011AE73927EFB6E9E7F27FE", INIT_1D => X"A113C87D4EC9553FB075EC2F163AF6F8DFCC684533FFDBFE2673FFFFCC258FAF", INIT_1E => X"B979EF88B4BF9FFE69827B43D41FDBFE645AFFFE4A212EB37CDC3BC9B8F4C8FF", INIT_1F => X"90A761D6E7DFE7FE46F7FFFC05D82C1CBEA819547FE41DFFE5A3D6B0FF0E1F3F", INIT_20 => X"5C6FF7FBF0305135BFA0E095FF8A8E7FCF67DB705644D1FFA043F3086E5623DF", INIT_21 => X"7FBCF8F7BF5743FFC8DFF34B5296F07FFB03FC5263363FAFEA5D35A7BE15FFFE", INIT_22 => X"CB7FE069EA2D36FFDD33FB21CCEB95DF781AEDCDC7C3FC7E539FA7F6E47604CF", INIT_23 => X"D124FF28702093BB1BC41C9EBB1FFB121A57F7C09D8217C1BD59C53F3F5E7FFF", INIT_24 => X"48FC52663C6613AA6FFBFFC26E86C9F6FE77A7CAFF46A0E7FCFFDE6C9CD2D77F", INIT_25 => X"2FB1FFCA49E798DC329E75A5FE3B6AE7F7F6BB0CD6278B7FAD62C4EF306D4783", INIT_26 => X"4B6A6E6FEE2E87D6F8F5723C9070B4BBFE2A6918F0A89C58DD36A3063FF7FF32", INIT_27 => X"ECFB055E06735B9FE385C9EAF7E9886470AB0410FE9FFDC6417FFFFBED396F1A", INIT_28 => X"E263CDDE9730364EFACD5C9B3EFFFECE73FFADDF681ADBFD91236C7FFB2DBEEF", INIT_29 => X"FCB36ECA478FFFFE7BFFD6F6D2E30BF047F5421FF3F57BFA02F6E0F6F5B34D8F", INIT_2A => X"7FFFD011D74572A0B11E81B7BA49A5B86CE08BCAEC01723DEC09949E97FC4DE8", INIT_2B => X"CD2B72FFF461593E25ECB42C88F8E0DF7FF386D18B157EA2B0F43EB507C1FFFE", INIT_2C => X"07E22E5D98CF549FF428C70E7CC96EC2BF706A255FFFFFFE7FEFB6CE3A04675A", INIT_2D => X"FFCA31F7390A9F1B1F83B9726FFFFFFE7FD76A4CCCEEB99C977902797F8B7D7C", INIT_2E => X"6F17A20587FFFFFE1FD53A9C98D3A4570526D579BD684E7ECFD9C6E981A035D7", INIT_2F => X"38E1E3A2F3C36A061351D2F643294E7A197D80B38916478A7E0B56677EDD0C53", INIT_30 => X"81A4CFE53D35FF3F676551514E87AFF1909300E4AFFE9D8FBF87ED013BFF9FFE", INIT_31 => X"94E9C8A4E41D01C6A3014DE5EF5BDCA50FEFF00607FFBFFE4EF9076D7E7843B7", INIT_32 => X"307C8B2FCFE0F87CB1FFFAD21FFFFFFE4EFE05DD8A42F6772D72F1FBACDD2F79", INIT_33 => X"9CFFFF494FC7FFFE7FFCF419B7C12BBE5DC903FE2A4A2F7F91FC149C08F982BF", INIT_34 => X"7FFBFFFE5620AD99692533FD7CD12FF134E0014E6EA7372EF7B9E6F2FFF0497B", INIT_35 => X"B7C46FCC8B5C3F61DE20430A188B2DCDC2D612AA7FA9DDAB5B7E3FC10FFFFFFC", INIT_36 => X"B97AA13F31BDD47B958E46EFFF8492C3A170FFEB8BFFEF3E6C36FFFF5F2004A9", INIT_37 => X"5DF7DBD7FC33B26E94D5FF7F9C7FFF3E61E1FFFFCEBA15EA27A4F2BE5BFF47E8", INIT_38 => X"96F87FFF8F37FF3E6087FE1FFFF567EBB7412343227C47F3CCF26F6DA24C643E", INIT_39 => X"7FFFFE8FFFCEDFF2013EDE8EAF0D73FCF7FF75C081617DCFBD6E2947FFFA72C2", INIT_3A => X"988D7726A780739DA1F97DB1206EC572C243CE5783F70E3608B9FEFFB4A7CF5E", INIT_3B => X"5DF5BB40CAF03D66512BBE4BBF7BBCDC5FEABFBFCCD019761FFFFE7FFC56F7F3", INIT_3C => X"E8C08D9DD3FEDA5C8CA0BF8FF4A9C46A2FFFFEFFFE687FF8396FF809CC41F3D8", INIT_3D => X"F4E39FC3FFED42EA07F8FFFFF8D47FFDEDB0FDE0DEB4FF9FEAAD7743A7DF5F61", WRITE_MODE_B => "WRITE_FIRST", INIT_3E => X"79FB7FFFD6FA07F77B1BDDEEADD9FF65378A5DC565AD25609182B849E0FE91AE", SIM_COLLISION_CHECK => "NONE", INIT_A => X"0", INIT_B => X"0", WRITE_MODE_A => "WRITE_FIRST", INIT_3F => X"B929DFF4D12CFFA25EADEAABAE67F660B9D77D2438EF661D7FC78FF1FF2EAFE0" ) port map ( CLKA => clka, CLKB => BU2_doutb(0), ENA => BU2_U0_blk_mem_generator_valid_cstr_ram_ena12, ENB => BU2_doutb(0), SSRA => BU2_doutb(0), SSRB => BU2_doutb(0), WEA => BU2_doutb(0), WEB => BU2_doutb(0), ADDRA(13) => addra_6(13), ADDRA(12) => addra_6(12), ADDRA(11) => addra_6(11), ADDRA(10) => addra_6(10), ADDRA(9) => addra_6(9), ADDRA(8) => addra_6(8), ADDRA(7) => addra_6(7), ADDRA(6) => addra_6(6), ADDRA(5) => addra_6(5), ADDRA(4) => addra_6(4), ADDRA(3) => addra_6(3), ADDRA(2) => addra_6(2), ADDRA(1) => addra_6(1), ADDRA(0) => addra_6(0), ADDRB(13) => BU2_doutb(0), ADDRB(12) => BU2_doutb(0), ADDRB(11) => BU2_doutb(0), ADDRB(10) => BU2_doutb(0), ADDRB(9) => BU2_doutb(0), ADDRB(8) => BU2_doutb(0), ADDRB(7) => BU2_doutb(0), ADDRB(6) => BU2_doutb(0), ADDRB(5) => BU2_doutb(0), ADDRB(4) => BU2_doutb(0), ADDRB(3) => BU2_doutb(0), ADDRB(2) => BU2_doutb(0), ADDRB(1) => BU2_doutb(0), ADDRB(0) => BU2_doutb(0), DIA(0) => BU2_doutb(0), DIB(0) => BU2_doutb(0), DOA(0) => BU2_U0_blk_mem_generator_valid_cstr_ram_douta2, DOB(0) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v2_init_ram_dp1x1_ram_DOB_0_UNCONNECTED ); BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v2_init_ram_dp1x1_ram : RAMB16_S1_S1 generic map( SRVAL_A => X"0", SRVAL_B => X"0", INIT_00 => X"3FEE7A4EB9EDABDC4FE89C9D42EF0C2AFEA78BFFFFE31A167B9B7F3DB916F3F5", INIT_01 => X"F7FC15134CF7C80AFE07F3FFFFF444787B4FFCE5E7B787F6DC247FB5CF30FF47", INIT_02 => X"7E17FFFFF3FA16187B9FBADF657FF3FF3A1BFB2704743E8AFF3D46FEBEE32F56", INIT_03 => X"73FF828A387FF7EE018DF44E1D7C3F3E1EE6AF7F4EE509FE7FE109BFA6F7CCAD", INIT_04 => X"9F9AF6A28C8FFBB7E617CFC712A598FC5FE02699A0FFFE832FE3E7EFFFFE8780", INIT_05 => X"FE1F53E34CF998FC5FE7FEA7C47FCDF4AFC7E7CFFFFD73925BFFFE38C0FFDFE4", INIT_06 => X"67EFDC230EFFCFDDC7C1FFCFFFFF420C67FFC0A7B1BE6BC4700CDF820C8ABDD5", INIT_07 => X"FFFDFBFBFFFFDFC87FFFFECE46FEE7CD31D1D873DDB65EDF9857F7B33EB7F8F8", INIT_08 => X"7F8FFFF92F7EFF8EDDE72871550516FFE96D37A2CC8B3CD039FFD32C90FFE439", INIT_09 => X"43FF067C56D7665BA159FF42929E08F287FFE6D647FFEC51FFF168F0FFFFFD38", INIT_0A => X"FE5FFB25CB3500F33FFFFDE231FFF5737FFAF1FAFFFF7FCE7F77FFFE30FEFF97", INIT_0B => X"B9FFFAEAF7FFF5A33FF978FDFFFFFFE07F2FFFF2CDFEFFE60C7FB277668FE26B", INIT_0C => X"D72F7BFCFF7FFCB86FFFF66E193DFFCE081FC23CA6B9F9C7BEF4BB81EBFF006F", INIT_0D => X"47FFE62114FDFF5FC5878ABD392FF5FB9E0E3A8F7640E07481FF79DA2FFFF0DA", INIT_0E => X"50AEB2ED514FE7EF254C7F47F9C8CF0285FFF8D43F7FF602CCCFFFFFFFFFDFA8", INIT_0F => X"8A2F7ECFFCB460C1E3FFFCB1C6AFF17E3ADFFFFFFFFFCFF00FFCC4F4FAFFD6BE", INIT_10 => X"25FFDD08347FB397D2FFBFFFFFFFCFF84FFA77B8C6BFD7FEF61EBCCA500FE8FF", INIT_11 => X"07FE07FFFFFFFF3C7F0FAEE65F7FD7FEF77DC23E5DEFCAF9827D3E91FA740C7F", INIT_12 => X"7F9CCB6D7FFFFFFF67726F7E3D47D27812CEBF7EFFE4CF3C51FC5E1FC7FF7BFF", INIT_13 => X"E6FFF371BD57E5780CAD3E63F09204D9A3FC1E7146EFFFFF31FEAFDFFFFFFF3E", INIT_14 => X"11BD7F87B79F6B6EDC7D9E56423FFF07B5FCFF6FFFFFFE3E7FE329A07EFFB7F8", INIT_15 => X"EA7FBFCA57DFFF1BC3FCFE8F9FFFFFBE7BFF3FE4BFFFAFF2227FF96CCCC32F33", INIT_16 => X"DFF8FD5F1FFFFFBE73FFBC9EBFCFDFFEC8FFBCA7C938B927037F5D119729077A", INIT_17 => X"63FF2CDF7FE7FFF8BDFCC0FAD011F7B97FDADD33E7BE38E7E43E77DADA3FFEBE", INIT_18 => X"3554921D947F9BB8CC6BCE6DF3E7C424E27EEBE8389EFFFDFFFCF19FBEFFFFFE", INIT_19 => X"6561EE71F1F31254D43FE3F1849FFFFC5EF8FD5FCFFFFFFE63F99363FFC7BFC5", INIT_1A => X"D2FFFFFAC65FFFFF7EF7F32FD7FFFFFE7FF4807AFFFFBFC50916A865F947C5BA", INIT_1B => X"FEE7F377E7FFFFFE7FF8364FDFFF3FCFEDB80AD30A07C253D9B8FFD5F1FEC70E", INIT_1C => X"7FFFF9D9BE3F7FD8483E587AA40689641B08FF33F7FC71F3527FF3FD835DFFFF", INIT_1D => X"577B63FA8C6F3345E1B7FAD7F7F1890CD77EE4FE7A5FFF3FFFE7FA80FBFFFFFE", INIT_1E => X"0107F893FFEF630E24FFEFFDE9BFFFFFFFE7BF7BFEFFFFFE7F9E6D3C7E7FFFFC", INIT_1F => X"DFFFF0FD5FB7FFFFCFE70FFD37FFFFFE7CE26134FE7FFFEF5ACD3022997E7D7F", INIT_20 => X"B7E2BFFFC3FFFFFE782687B7FCFFFFFB79D6D723373EE8E16275F693BFE8ED70", INIT_21 => X"70E2A42FF8FEFFFD52BC87E6EEFEDBF372ED3804BFF291EEB1EFFBFF1AF7FF7F", INIT_22 => X"7F8E0862907EF7F13939665AFEF6DD665A91FFFFC8FFFFFFE7E778FF3BFFFFFE", INIT_23 => X"23D66CC3FEF4E4FEE7AFFFFFC3B7FFFFE7E1FFFFDBFFFFFE6FFAB687FFFFFFFE", INIT_24 => X"42D3FFFFBC0FFFFFFFE0FBFFF3FFFFFE4FF9F4C7FFFFFFF07FF4C9AB461E6BED", INIT_25 => X"FFE3733FFFFFFFFE4FFE49C7FFFFFFE0FFAE7C692C96C4EC066ADBEF7FFBE039", INIT_26 => X"1FFCFBBFFFFFFFE13FF9FDA5D918917FB17A6A5BF1F33D3BB17FFFFFDEEFFFFF", INIT_27 => X"FFB33A2831D24EAEE7483EA175F7EAF3E19FFFFFDDEFFFFFFFF379BEFFFFFFFE", INIT_28 => X"9137D53A79FF5D23BB7FFFFFFF97FFFFFFF7F93EFFFFFFFE1F67A60FFFFFFFD7", INIT_29 => X"CD6FFFFFE1FFFFFF7FFFE2FFFFFFFFFE1FE810BFFFC6FFD87EFFDD456409347A", INIT_2A => X"00000000000000003FD33F7FFFC67FE2F71E26E1FE4C3C858222D832FCFFD068", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", WRITE_MODE_B => "WRITE_FIRST", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", SIM_COLLISION_CHECK => "NONE", INIT_A => X"0", INIT_B => X"0", WRITE_MODE_A => "WRITE_FIRST", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( CLKA => clka, CLKB => BU2_doutb(0), ENA => BU2_U0_blk_mem_generator_valid_cstr_ram_ena3, ENB => BU2_doutb(0), SSRA => BU2_doutb(0), SSRB => BU2_doutb(0), WEA => BU2_doutb(0), WEB => BU2_doutb(0), ADDRA(13) => addra_6(13), ADDRA(12) => addra_6(12), ADDRA(11) => addra_6(11), ADDRA(10) => addra_6(10), ADDRA(9) => addra_6(9), ADDRA(8) => addra_6(8), ADDRA(7) => addra_6(7), ADDRA(6) => addra_6(6), ADDRA(5) => addra_6(5), ADDRA(4) => addra_6(4), ADDRA(3) => addra_6(3), ADDRA(2) => addra_6(2), ADDRA(1) => addra_6(1), ADDRA(0) => addra_6(0), ADDRB(13) => BU2_doutb(0), ADDRB(12) => BU2_doutb(0), ADDRB(11) => BU2_doutb(0), ADDRB(10) => BU2_doutb(0), ADDRB(9) => BU2_doutb(0), ADDRB(8) => BU2_doutb(0), ADDRB(7) => BU2_doutb(0), ADDRB(6) => BU2_doutb(0), ADDRB(5) => BU2_doutb(0), ADDRB(4) => BU2_doutb(0), ADDRB(3) => BU2_doutb(0), ADDRB(2) => BU2_doutb(0), ADDRB(1) => BU2_doutb(0), ADDRB(0) => BU2_doutb(0), DIA(0) => BU2_doutb(0), DIB(0) => BU2_doutb(0), DOA(0) => BU2_U0_blk_mem_generator_valid_cstr_ram_douta3, DOB(0) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v2_init_ram_dp1x1_ram_DOB_0_UNCONNECTED ); BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v2_init_ram_dp1x1_ram : RAMB16_S1_S1 generic map( SRVAL_A => X"0", SRVAL_B => X"0", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0FB400FDDB4B6FC0816988FE2AE7FE3841F3BC180DF83AC40000000000000000", INIT_02 => X"F04BA2003F2FFE19AC018000427C7AD452189680BFFF60E7DEFFF0AFD576E061", INIT_03 => X"640D0000E6282F341403F74077FF5B4C7CF0AAB09F54C0E607ECF029092B39C3", INIT_04 => X"55FB0A55283FE84107DF8BA2F825046D370C600B6B249ED4805C70003E7FFEDF", INIT_05 => X"67C0C96185D7476E37832002B13873645A9E9C0022FFFF7DE16D0000E76D2602", INIT_06 => X"078340F11A41F0A15DEB9800827EDF7C26038100C00CE44A1BB5EEBA1BAF66C0", INIT_07 => X"99F0B2028BF1EF7C0C07C000010973EA1FC6286C6A8D9971E700B729E7924A44", INIT_08 => X"F80D8000E0C47D205AE7C3280B1AFF4603010E790955CB6107010089F589C9C2", INIT_09 => X"3B8EB1666DD3ADA8C000D6951896DD2B0300C06E0074595E462792008FDAFFFF", INIT_0A => X"7420AEFE32F7AF77ED497000669140468F588DE00E4FBCFFFF1C8000DA21D272", INIT_0B => X"BC83800000EA6457639C0FE0CC919CFFEF1C8000D105213E3E1A4AD6B5D5F652", INIT_0C => X"4BB04BE085A01DFFFE1C00004E0E3FFE136EBCE87800FD2764008E00EAF6B7FA", INIT_0D => X"E60C00005117FFFE428D1DAB872BFD86040004FF244AE63F21AA20E025C9445B", INIT_0E => X"6663E5BE8C1FFC8300FD00035FC8DEBFFF03ECC0207A34782461FCE08258BE7F", INIT_0F => X"000080005AA85B755A480EC7DA5B667070E3FC0009DBBC7FE00C0000738BFCFE", INIT_10 => X"E734C1036F9279E844E5B9000EC9B97FE88C0000517BF8FE72F1657326BBFD32", INIT_11 => X"2E8830C0865AF97FFCCC00003285F8FE031D2EA35B13FEFE008000002FBEA477", INIT_12 => X"FEE40000155AF9FE00EFAA8A3515FF9A00801F100045AE40E81245006418CBE9", INIT_13 => X"7C0AF43E5B00FF9D40000E10EDD00DEEEE1D8600045427129F7BA8C10331767F", INIT_14 => X"210000037D436A69F133D00D31CDAF677AF1EE38CFD2797DCE60C000FD96FFFE", INIT_15 => X"F714A5627981F61ED62F303DC1597E7CE87FC00897A1BFFE7F1BCE058F50F7E7", INIT_16 => X"3E8C8A31340A727EDD980004349C3FFE7E67DB59CC373BF0210000010A9E821B", INIT_17 => X"FC7D00006DE77EFE7E7A9040CEE730FCA00000005B9FF9F5EBA57671DFDEF8F0", INIT_18 => X"7F2CCC80809582FE338000006644FF24E7A8007B9E9DEA0BC3413E3CF6786AFF", INIT_19 => X"53C0000355E5FF3EF0760EB2017A8DEB67D84686B939EAFFFCB90068D1F88EFE", INIT_1A => X"3BF7D8B61A4F9CF0DF21CEFB81215DFFD7AF0060B7FC9FFE7F2844A781AADF7F", INIT_1B => X"6F743D687FD8F9FFD7CF0062CFFEB9FE7BDA1CCB00008C3FC80000042F7540F0", INIT_1C => X"FC0601C3FF7DDFFE7D70E7FA0003BA11EB0000003EDB093E31E7FC0AFDC71BAF", INIT_1D => X"37B9106F0062E038CD8000006E90B21AED8FFCBC34B2ACA5F123D352BAB3F5FF", INIT_1E => X"6A730007C4AA1C3E3FEDFFF8DA1DBF8B65F3A709423781FFD20601843E3E45FE", INIT_1F => X"0384FFF141DC195A58E502F40165A1FFD66E9FB0FE3816FE7FE20E0895000E62", INIT_20 => X"4DA252FD57D5DDFFC5FA813FBFB1A5FE7FFCC226B70000808482000FD3A0A221", INIT_21 => X"8606C8A2BFF8AB7E7FFE341AA1CF03071EC3001E3688EE98D3FB7FF0B4BFA562", INIT_22 => X"7FFF959D196F00A18AFF1E00ED80474BFF3B97FEA02BB3A31DE4BEFF4A5CC3FF", INIT_23 => X"8350CC00AD8F187AB89F67FEFABF28BD682235F109A88FFF84E963D13FE73D7E", INIT_24 => X"2F4B1BFFFFBD1DDEFD8CF7F69AB80F7F37593C57FFCB2A7C7FFFBF4517360340", INIT_25 => X"9043E1B69C97F77E306166E3FFC7CA7C7FFFB8EA01F8983BE013E0DFD9D570C4", INIT_26 => X"FAFFEB37FFDD5ABC7FFFF5E92E381906C6C2FFCEF568996B8D9E08BFA88DA559", INIT_27 => X"7FFFE81633D80658758603E75F339E678FBE254FFE60CCEF28DF86C57EFFE7FD", INIT_28 => X"1630E87BD4C52F1D806216CFE631D91CF4BDE64AD89097FC215A3BBFF7AA5F7E", INIT_29 => X"BAB187E3F33C59FFF1DED823B18D6FFB1BB2136FF779569E7FFF611FEFF04128", INIT_2A => X"F4DE9CF132B14FF80EF0C7DF8663887A7FF80F37E34C5801096FF13C41068DD0", INIT_2B => X"E1F10FBFA1E62BF87E88F1FFF716BE335109BC1F41B8FEB679B1BDDBF84CFD5F", INIT_2C => X"7EC18D3FEADE0409709F3EE6C06CD4A966A095487C7652FFD5BC57A13D52CFFD", INIT_2D => X"075C2A7B8A0A44EDBA083822DF366EFF8FB1B283C5E17FFFDDF7F0FFA915662E", INIT_2E => X"3BB6020FC825B3FFFA9A0A185FFEDFFBF7F506DF8A54BDAE7E1628BFE62D90F9", INIT_2F => X"978679E5C7987FFE53FB065F8575BC283E99540E7F629CC51F77DE390C642BC0", INIT_30 => X"DBFBC8AF9977EFC02A9EC72607F681C3FFC1840CB6B0C3A027C50BEEAA2747FF", INIT_31 => X"354F6B0853A934FA7FE3E38C455090A7031589FF20CFFFFFFE6FFE7555A1BFFC", INIT_32 => X"FFF5FDEF7D3F2CA1014EF69CF1A08FFFFE9CFA210ABDBFFFFFFBD79F4FA37FE6", INIT_33 => X"6C41850DFEDA8FF7C8BC99BB4F6E7FFFDF79C29BECB3FFDC2DFFDF7236D3EE85", INIT_34 => X"8FA2077E36913FFB1F8C32B0FE86FFE013C1DD7D75F4A727FFF8FF5B30FFA4C7", INIT_35 => X"D7B8A474BBB3FFB82C727A85EBB4F22FFFFBFA9D46FFDAC2C34CCC5EFFF4DFFF", INIT_36 => X"32947F88C22FED17FFFBEF09C2FEF4EB61CD8897FFFD5FFCAF6BE51F4250FFFD", INIT_37 => X"FFFFFDC94AD67A02D94B49013FFE2FD402D65D1F655AFFFFBD7C89EDDA99FFBE", INIT_38 => X"F24201D26FDFE1116D57D8FF23AF7FFC8FF0B5E5FFB7FFBE41917E717EA28B83", INIT_39 => X"E1DB13FF827F7DFD125447F3F01BFFFA7103FF9721868DD3BFDFFF09C897FD4B", INIT_3A => X"E77F0DFFCD5BFFA44B939FAD26B3A8FC5FFFFFDDB357FCF2781055D8D80FCBB7", INIT_3B => X"151DA3C524F30D80AFE0FFC09D4FFDEEFA5817ED8B836D5FE12785FFAB98FFFC", INIT_3C => X"7FE0BFEB3893FCA6FDA1D7907AE65197FA7FC3FFB07F7FFE25B71BCFD01BFF58", INIT_3D => X"FE7373D3CD9E60EFECFDFFFF32AC7FFE8E1F07FF502FFDC01B60EFED132D8315", WRITE_MODE_B => "WRITE_FIRST", INIT_3E => X"EAE6AFFFF5AAFFFF67A153FFD46FFFB230CAB639F693BE0633F8EFE75D67E673", SIM_COLLISION_CHECK => "NONE", INIT_A => X"0", INIT_B => X"0", WRITE_MODE_A => "WRITE_FIRST", INIT_3F => X"F7BA3BF797BFFAA449DA090400E47407E5FDCFFC331AE703FA0810729817FA7F" ) port map ( CLKA => clka, CLKB => BU2_doutb(0), ENA => BU2_U0_blk_mem_generator_valid_cstr_ram_ena, ENB => BU2_N1, SSRA => BU2_doutb(0), SSRB => BU2_doutb(0), WEA => BU2_doutb(0), WEB => BU2_doutb(0), ADDRA(13) => addra_6(13), ADDRA(12) => addra_6(12), ADDRA(11) => addra_6(11), ADDRA(10) => addra_6(10), ADDRA(9) => addra_6(9), ADDRA(8) => addra_6(8), ADDRA(7) => addra_6(7), ADDRA(6) => addra_6(6), ADDRA(5) => addra_6(5), ADDRA(4) => addra_6(4), ADDRA(3) => addra_6(3), ADDRA(2) => addra_6(2), ADDRA(1) => addra_6(1), ADDRA(0) => addra_6(0), ADDRB(13) => BU2_doutb(0), ADDRB(12) => BU2_doutb(0), ADDRB(11) => BU2_doutb(0), ADDRB(10) => BU2_doutb(0), ADDRB(9) => BU2_doutb(0), ADDRB(8) => BU2_doutb(0), ADDRB(7) => BU2_doutb(0), ADDRB(6) => BU2_doutb(0), ADDRB(5) => BU2_doutb(0), ADDRB(4) => BU2_doutb(0), ADDRB(3) => BU2_doutb(0), ADDRB(2) => BU2_doutb(0), ADDRB(1) => BU2_doutb(0), ADDRB(0) => BU2_doutb(0), DIA(0) => BU2_doutb(0), DIB(0) => BU2_doutb(0), DOA(0) => BU2_U0_blk_mem_generator_valid_cstr_ram_douta4, DOB(0) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v2_init_ram_dp1x1_ram_DOB_0_UNCONNECTED ); BU2_U0_blk_mem_generator_valid_cstr_ramloop_6_ram_r_v2_init_ram_dp1x1_ram : RAMB16_S1_S1 generic map( SRVAL_A => X"0", SRVAL_B => X"0", INIT_00 => X"4E79EAA625573F0F867E47FFAC88777DFC49D77A2C27FFFFE0052FFFE32DFFFC", INIT_01 => X"06BF3DFFAF5AB7BDFE93D79CE92FEFFFE12A4FFFED6AFFFC6751C3E7FB3FF4C6", INIT_02 => X"FF9D6115D671BFFF64951FFFFAC3FFFCDD2F0FFE383FF0587FA2D2AEE04E8F0F", INIT_03 => X"B202BFFFF8C5FFFFC6215FFF3E7FEFC87FFFC59F70E36D0005C724FFFBAF37D7", INIT_04 => X"7844BFFFED7FF1183E663087E504AC7FDC1BC53FE2F74FCDFFF5C14D0E357FFF", INIT_05 => X"7ECC51B88AB8FCFF6012F23FFE23C7E4FFFBE155E45AFFFFFE05BFFFE18FFFFE", INIT_06 => X"E17E7CBFFE8727FEFFE07D870F7BFFFFFB383FFFFEDFFFFCBC9BFFFCC7FFE8CC", INIT_07 => X"FFE0C7C0815BFFFFF7D37FFFFEA7FFFF1D07FFFDBDFFF7C07CD4C1A3C5E7B727", INIT_08 => X"E26EFFFE69EBFFFF6DF5FFFFF1FFEF5C7748D4A1506992B85E0E63FFFED649F8", INIT_09 => X"6B61FFFC75FF7920776918E60EA2EC65B0094FFFFEC8E7FE7FE48BF37848FFFB", INIT_0A => X"2ED10147C2E0C60659A379FFFFA3F3FEFFEABA0BBF522FFBEAF0FFFD4237FFFF", INIT_0B => X"CC06DCFEFFCEA6BFFFF9C94B989FE3FFF1FEFFFA2E7FFFFDC207FFFD4BFEE61C", INIT_0C => X"7FE5DBFFB7B5B3FFE3FEFFF70D7FFFFD350DFFF36FFC696E5BF06436E4912FBE", INIT_0D => X"F1FEFFF2E9FFFFFD84B7FFFB5FFE35DE2707FE99A2FF65FFD30C08FFFFFA05BF", INIT_0E => X"F83FFFF497FFAAFE30006680124257FF7820B22FFFFDE1FDBFFF0B4C111E93FF", INIT_0F => X"00F06467C0888BFEFC25A0D7F1FE93F23FFFBE5408B8EEFFDFFFFFF3F19FFFFD", INIT_10 => X"FEB79C97FFFD4B12BFFF7F0E337A38FF5BFFFFE3A03FFFFEB027F9FA5FFE383E", INIT_11 => X"3FFEFF3415A76DFF57FFFFF4517FFFFF1387F1F63EFF38FE001CF83E9071A3FF", INIT_12 => X"E7FFFFFFC67FFFFEFDAFFFFB3FFD1ABE0600F80011027FFFFF1AE601FFFF588E", INIT_13 => X"977FFFDA7FFCFA7E41030010813C6FFFFFC4A4AFFFFFE104FBCFFD426969A27E", INIT_14 => X"113F803C7C3721FFFFC7BD7B7BFFC8B99A9FFE4DA487F7FDFFFFFFFF91FFFFEF", INIT_15 => X"FFFFE79ADFFFF8030827FF742966727CAFFFFFFFB7FFFFEC637FFFD2DFE75FFE", INIT_16 => X"2593FF25ED0FF6365FFFFFFF057CFFFB8FFFFFA0DFF64FFE2811803E0C0639FF", INIT_17 => X"BFFFFFFFF1FDFFFF1FFFFF615FF13FFE4030001FE004CBFFFFFFBA3D3FFFFE53", INIT_18 => X"FFFFFF303FFF3FFC47270000E004F5BFFFCF9F1EB3FFFE5BC613FFA9825FF1F3", INIT_19 => X"577700080001E2EFFFFFFFFB4DFFFF0FFC57FFC9069FF690BFCFFFFFB3FDFFFD", INIT_1A => X"9FFFFFF266CEFFF16587FFFD0F1FDFA0FFEF1AFF0BFFFFFBFFFFB6B97FF7EFFC", INIT_1B => X"CAB3FF6C9CBFDFACFFFF23FFABFFFFCFFFFFBE139FCABFFC24F6040E00819C03", INIT_1C => X"F3F361FEFBFFFFC9FFFFFF5E97D67FFE3F95200E0000E343DFFFFFFFDF7DFFFE", INIT_1D => X"FFFFFEF0BFBC7FBA154AB800000073A67FFFFFFFD416BFF9AAF3FB226F1BFFF7", INIT_1E => X"08EDA401001B031AEFFFFFFF7AE4CFF862FEF58F74FEFE51EF8D39FFE7FFFFEB", INIT_1F => X"57FFFFFFFEFC47F612BFF3D0A4CBFF63D7B294FFD7FFFFF7FFFFF8B4FFAAFF8C", INIT_20 => X"BCFBF586E85F3FEBEE2750FD9FFFFFEFFFFFFD303F86FFDE5D3814310019DFF7", INIT_21 => X"FEA3527F5FF7FFFFFFFFFFBCFF8FFF6004D7F0200016432FD27FFFFFFFAE15FF", INIT_22 => X"FFFFF7C87FDFFE40740C880040092548B84FFC7FFF6059BFD28FF29D8645C7E3", INIT_23 => X"146C95460009C581D6E6FA57FFD38BFFFF3FFB75266DC3CFFFD8497F7FEFFFFF", INIT_24 => X"1B220B3B9FFF1C6FF5C7E2D735D9FF81B7E9C5181FFFFFFFFFFFF7697FFFDD86", INIT_25 => X"FAC3CB09D7BBFF92CB77427FEFFFFFFFFFFFEE81FFFFE4D241EFDEC0E9013A81", INIT_26 => X"7B4721F34FFFFFFFFFFFE8517FFF9C6401EFB8E038BE964BEFAB7243BFFF8D37", INIT_27 => X"FFFFD0F5FFF0771C0007F40052F9E5912142F5E3FFFFEDF69DF3F9D77E33FFB6", INIT_28 => X"18129200107FDE078C03F591FFFFFE808E77FABEEB31FF9FA287CDFD3FFFFFFF", INIT_29 => X"0083F5947FFFFC07D7E2FFE653A33F8FFFF807F05FFFFFFFFFFFDBE0FFE15DF6", INIT_2A => X"0BA4FFFFB8273F2BFF4B7FFFFFFFFFFFFFFFEE41E7C15F9E101EC4006626BE18", INIT_2B => X"F199BFCE7FFFFFFFFFFE44FDFFC81FBE00FF8A0049BF8B38F38160ABBFFFFF57", INIT_2C => X"FFFE9DDFFFF13FFE00002000E1E4E896E115CE08F3FC7FCE4A569DF93CAF305B", INIT_2D => X"180CA000F338F075C00CD03B55FCFFC9F6CBD3F77257E78A3A5EBF521FFFE7FF", INIT_2E => X"BD1056B1AAFEFFF8D3A04AFA55EDB2D9F0CD1F595FFFE7FFFFFAB3FBFFC47FFE", INIT_2F => X"278ADDE590B146742393CFDE9FFFD7FFFFF2BBFBFFEF3FFE08008020B715E8F0", INIT_30 => X"9751AECBBFFFAFFFFFF9F7FBFFF8FFFE010000806197EAE4448DF0FC6E3FFFFD", INIT_31 => X"FFEFC7AFFFF8E3FE3EC00000800C362D02608BAD793FFFFFC59780E4D12C7EC4", INIT_32 => X"00C00000C07765C8E26DB6A1E54FFFFFF32570D40FACFE7E982CAF9EBFFCB7FF", INIT_33 => X"66D6828C0054FFFFFAE9394F1CAE7D7F73AF35F2BFFBF7FFFFBD5FFFFFFFFFFE", INIT_34 => X"FDB8C3CBDBACE73CFF0BF54C7DF92FFFFFD40FFFFFFFFFFE38C00093000B13F0", INIT_35 => X"B9DD03D53FFFCFFFFE5F9FFF7FFFFFFE1000006903C4AA30583E384A00A53FFF", INIT_36 => X"FDE56FFFFFFFFFFE0B800091C33D548086EC7A7F8014CFFFE7C160443B772049", INIT_37 => X"268000A1814E7100E41666C6806967FFCBF627361EF240B817A4FC3FFFF253FF", INIT_38 => X"048B8407C18027CF9FFF78764F5D5C5EB5B27D20BEFDC7FFF9F77FFFFFFFF3FE", INIT_39 => X"DF39EFFE8609DCF5B1A3021AFF9C9FFFFE0DFFFFFFFFF1FE0D0F000051C0F24B", INIT_3A => X"F87270A67F203FFFFB5FFFFFFFFFFFFE7200000077C1B34DF5B9B217030E2D8F", INIT_3B => X"BB5FFFFFFFFFFFFE060000FF2E205ABE564EFA030FCBDBFFBFCE6727CD4736F0", INIT_3C => X"310C00102BF678BC708CE9D278BBD32E1FAE040DC69EF55864C80D6FFE037FFF", INIT_3D => X"FFB342EF13E704A25F804007FFB2AA5A8AEBFCA6FCC0FFFF0AFFFFFFFFFFFFFE", WRITE_MODE_B => "WRITE_FIRST", INIT_3E => X"37A94100C1AA6A7F1DC2E77BFB1EFFFA5DFFFFFFFFFFFFFE311C0000216AFF1F", SIM_COLLISION_CHECK => "NONE", INIT_A => X"0", INIT_B => X"0", WRITE_MODE_A => "WRITE_FIRST", INIT_3F => X"2111C1B6FD88FFEF79FFFFFFFFFFFFFE71000000F0B3E0FFFFFD08FA88117C5D" ) port map ( CLKA => clka, CLKB => BU2_doutb(0), ENA => BU2_U0_blk_mem_generator_valid_cstr_ram_ena0, ENB => BU2_doutb(0), SSRA => BU2_doutb(0), SSRB => BU2_doutb(0), WEA => BU2_doutb(0), WEB => BU2_doutb(0), ADDRA(13) => addra_6(13), ADDRA(12) => addra_6(12), ADDRA(11) => addra_6(11), ADDRA(10) => addra_6(10), ADDRA(9) => addra_6(9), ADDRA(8) => addra_6(8), ADDRA(7) => addra_6(7), ADDRA(6) => addra_6(6), ADDRA(5) => addra_6(5), ADDRA(4) => addra_6(4), ADDRA(3) => addra_6(3), ADDRA(2) => addra_6(2), ADDRA(1) => addra_6(1), ADDRA(0) => addra_6(0), ADDRB(13) => BU2_doutb(0), ADDRB(12) => BU2_doutb(0), ADDRB(11) => BU2_doutb(0), ADDRB(10) => BU2_doutb(0), ADDRB(9) => BU2_doutb(0), ADDRB(8) => BU2_doutb(0), ADDRB(7) => BU2_doutb(0), ADDRB(6) => BU2_doutb(0), ADDRB(5) => BU2_doutb(0), ADDRB(4) => BU2_doutb(0), ADDRB(3) => BU2_doutb(0), ADDRB(2) => BU2_doutb(0), ADDRB(1) => BU2_doutb(0), ADDRB(0) => BU2_doutb(0), DIA(0) => BU2_doutb(0), DIB(0) => BU2_doutb(0), DOA(0) => BU2_U0_blk_mem_generator_valid_cstr_ram_douta5, DOB(0) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_6_ram_r_v2_init_ram_dp1x1_ram_DOB_0_UNCONNECTED ); BU2_U0_blk_mem_generator_valid_cstr_ramloop_7_ram_r_v2_init_ram_dp1x1_ram : RAMB16_S1_S1 generic map( SRVAL_A => X"0", SRVAL_B => X"0", INIT_00 => X"AFFFFFFFFFFFFFFC00030000F351F6FFFFFF1DF9D72468DFA2B94681FC5CF74B", INIT_01 => X"44078002BD3FFFFFFFFEE9FFFE86448D0B160FC2B9332CF54107819852CDFFF8", INIT_02 => X"FFFF07FFFF57BE0E24C81FD7F2CCAB533883C0E1B46FFE973FFFFFFFFFFFFFE2", INIT_03 => X"0253001312032B7E31EB51CFD187FDEE9FFFFFFFFFFFFFF64F8780060657FFFF", INIT_04 => X"FB66A20668FFFA9C3FFFFFFFFFFFFFF40706008103FFFFFFFFFFFFFFFFF7EBA0", INIT_05 => X"FFFFFFFFFFFFFFFE000001835BEFFFFFFFFEFF9FFFFF3D0330F0009A93F07E13", INIT_06 => X"000000534F4FFFFFFFFFFF0FFFFE7D200001019F5055B85B3413E4635E17FBDF", INIT_07 => X"FFFFFF8FFFFFFD99830003C92025704217D7559B90CFF583FFFFFFFFFFFFFF2E", INIT_08 => X"19A00880C02C06FB6440B586558FF697FFFFFFFFFFFFFE5C10870003908FFFFF", INIT_09 => X"EFC6306FD90F6E1FFFFFFFFFFFFFFD34008701CE1937FFFFFFFFFFFFFFFFFF9C", INIT_0A => X"FFFFFCFFFFFF840C00C002D417CF7FC5C48FFFFFFFFFFFF82080180018D90F38", INIT_0B => X"00F024F1C8835810D6888FFFFFFFFFECF0C0080C18B8F9A2F00E5C572C6D1DFF", INIT_0C => X"09CFF1B83FFFFFD8C018C08073848B6D11615896DDCE43FFFFFFE0FFFFFF012A", INIT_0D => X"A5D880004674E39669ACE543CFF357FFFFFFF0FFFFFC92F006F75F92727C6D60", INIT_0E => X"7EE896627F813FFFFFFFFFFFFFFA36A402F32AEC64746036710F7166F9E7FFC7", INIT_0F => X"E3CBFFFFFFFB179A181C3C7DBAD551E1F807DC397D92FFFFE838011000587802", INIT_10 => X"1D1CBB4E5EDD60CFF8E06199792C3FFF99D801000122606D43C49F96FCC5FFFF", INIT_11 => X"FC2603B56D903FFF38C8010007CCE3A55A06537BB8F3FF0184FAFFFFFFFFDE82", INIT_12 => X"1B00001F47B6BE71F86057FDF03FF8C8786E7FFFFFFFFE420078ABA11377EEFF", INIT_13 => X"F0122B3F97BFFA7BAC53FFFFFF7FFC5C3C0445D17E6034FF0080C066F7FFFFFF", INIT_14 => X"89A3FFFFBF7FE89426CF74EAB90F6700E019675FFFFFFFFC2F81000607297E20", INIT_15 => X"7D6BD3E38187A10060C887FFFFFFFFFB9E7100000389069DB96A927EBBFFF7B5", INIT_16 => X"03DCFFFFFFDDFFF95FD9804A48E84F9B2E087ABA27F2C32111A7FFFFBFFFF258", INIT_17 => X"27E9002F00C3CC16EEE928A75FE522A9C147FFFFFFF13A5E31BA71C600E1DD86", INIT_18 => X"A139405B3FE3353EBA9FFFFFFFCCE6CE4ACA974000109C689087FFFFFFFFFFF2", INIT_19 => X"3D8FFFFFFFD4D56E35FE08CC001C7E6A9C7FFFFFFFFFFF798BB0000E209BBDAA", INIT_1A => X"1F8F4D6C019CFDBF95FFFFFFFFFFC767B60C000985EFC19C00884524FFFAE8A1", INIT_1B => X"CFFCFFFFFFFFE88F2FBC0C060DDC6C00709167E3FFD1E2CE6E7FFFFFFB4CD6AE", INIT_1C => X"B43D0C000C47F0DA15C3641FFCC6F71CC2FFFFFFE0E3B3A87FC00C8C19CC19DC", INIT_1D => X"C003F79FFFCB9A9FB4FFFFFF60C70FE67FE83F803DDC2D1FDFFEFFFFFFFE1659", INIT_1E => X"04FFFFFA52B7E3E67FC110003B9C5A4FFFFFFFDFFFFC445F1B1004E0888E95D3", INIT_1F => X"7F83688613C3A4BFFFFFFF57FE15F8DEFEE200FF089A42F08000D0FFFFC037F7", INIT_20 => X"FFFFFF67CF4F56BFFEFD6003721B0F8A6176AFFFFFFC99E95FFFFFC9B6ACFAC0", INIT_21 => X"FEE4A1335967AAE88F922BF7E7708235BFFFFE728E74FBD27FE4D4A33B91F57F", INIT_22 => X"490C9FF84DC4223527FFE341725215BC7F5A542F87F2E3BFFFFFFDA1CF6C3BFF", INIT_23 => X"8FFF48C9FCFE22FE7DD048A0E3807FFFFFFFBAF99C1387FFFD213B3B00885EAE", INIT_24 => X"71D863909EB9BE9FFFFE897385655BFFFBF9CC19D8D12E6821F3FFF479EDE5F2", INIT_25 => X"FBFF2D053BF9BEFFFBE2F90A5B8B69710FD7FFF41780D8DFFFE62BCBBF07DCFE", INIT_26 => X"D5EC20035B2153EE01F17FE8BD120E3FFF6B5B57FFE7FFFE0A1883100CFC225D", INIT_27 => X"87A9FFC6FD2FD83FFDA84F33FFF7FFFE0F14016223F3DFC6FBEED71931FBFEFF", INIT_28 => X"E6A58CFFFFFFFFFE7D3906C89FCC7900E762BD182E9CFFFF95FE8A5833540105", INIT_29 => X"21078718FEB1A1500C3BF39FB608FFFC43FF2EDC615A2CB587A97DC2D8DFEAFF", INIT_2A => X"87CA01917979FFE286FC44D5C3A8FB64066C33EEF7BFF3FF135923FFF7FFFFFE", INIT_2B => X"EFE5E75D1823EA090018CC07677F3FFDB436FFFFF7FFFFFE77F6873CFEE181F9", INIT_2C => X"072CC64645FFFFE9D8E67FFFFFFFFFFE5EEEF50C36821C2B679727E80593FFFA", INIT_2D => X"63D1FFFFFFFFFFFE6603350E232E5F06DE80F83A8C2F3FF33FFFD99183BFC7C2", INIT_2E => X"2711E6076F3E0FAE4101C3F5BA78FFDB7FC9EC106E919ED400569555EAFFFE5B", INIT_2F => X"0380030C93FFFFF7FFF1EB80BB54144C00A720E3C1FFFE90A307BFFFFFFFFFFE", INIT_30 => X"FF81DFC09BA542C4000DE016C7FFF07DE7AE9FFFFFFFFFFE030802146D309ECF", INIT_31 => X"009F59FFFFFFC123DE280FFFFFFFFFFE1DEA123D20F37F5C06C38E11B6FFFF23", INIT_32 => X"3F7503FFFFFFFFFE5FFEBAE220F2066B0C004E8262FFFC13FF97C800D60CE5A3", INIT_33 => X"0411B16D65072169C00221C002FFFB2DFFFFF7C9F7E7FECB0099BBFFFF807B54", INIT_34 => X"0DDB5E8643FFF8BFFF9FCA689A3FF23F80003FFFFF353C6FBF3CAFFFFFFFFFDE", INIT_35 => X"FFFFCC60518FC5DD00C787FF859B28BD7CAD1FFFFFFFFFFE5B9B5BD1108FD6F7", INIT_36 => X"1C046056AC2B9F407D5E47FFFF7FFFFE5FAA6163000B2C079910FFFB05FFFFFF", INIT_37 => X"3C70EFFFFF073FFE68C18C51000553838F137FFA9FFFC5FFFFFFC460000A76EC", INIT_38 => X"503098C0013CBC800F935FFCFFCFA97FFFFFDE21612E06E01C1147EE8AC23E57", INIT_39 => X"384BFFFFFFC28E7FFFFF4F236EF9640118311862E008FF6DD856B3DFFFC71FFE", INIT_3A => X"FFFE81C78F36AF8300051057C8297FB4BF7FABFFFFFFFFFE669B129C014BE58F", INIT_3B => X"007D457FEB827FA1C07977FF3FFFF7FE590E15180283C1878A07FFFFFFCCFDFF", INIT_3C => X"65C2F7FEEFFFCDFE36697C0303E27600897FFFFFFFFFBFFFFFFEF095A11FE3C3", INIT_3D => X"676EED800388DB1E6EFFFFFFFFFB6BDFFFFC5730BDDF9EC380C053FDFEB2FEB5", WRITE_MODE_B => "WRITE_FIRST", INIT_3E => X"51FDFFFFFFE71FDFFFFC450A222FFAC3AC0678FF775BFF07BEE19794EFFFF61A", SIM_COLLISION_CHECK => "NONE", INIT_A => X"0", INIT_B => X"0", WRITE_MODE_A => "WRITE_FIRST", INIT_3F => X"FFFBB99A5A9BD8018280E5FFE1C85F52993B18425FFFE5127F6303C12CEEFEE5" ) port map ( CLKA => clka, CLKB => BU2_doutb(0), ENA => BU2_U0_blk_mem_generator_valid_cstr_ram_ena1_2, ENB => BU2_doutb(0), SSRA => BU2_doutb(0), SSRB => BU2_doutb(0), WEA => BU2_doutb(0), WEB => BU2_doutb(0), ADDRA(13) => addra_6(13), ADDRA(12) => addra_6(12), ADDRA(11) => addra_6(11), ADDRA(10) => addra_6(10), ADDRA(9) => addra_6(9), ADDRA(8) => addra_6(8), ADDRA(7) => addra_6(7), ADDRA(6) => addra_6(6), ADDRA(5) => addra_6(5), ADDRA(4) => addra_6(4), ADDRA(3) => addra_6(3), ADDRA(2) => addra_6(2), ADDRA(1) => addra_6(1), ADDRA(0) => addra_6(0), ADDRB(13) => BU2_doutb(0), ADDRB(12) => BU2_doutb(0), ADDRB(11) => BU2_doutb(0), ADDRB(10) => BU2_doutb(0), ADDRB(9) => BU2_doutb(0), ADDRB(8) => BU2_doutb(0), ADDRB(7) => BU2_doutb(0), ADDRB(6) => BU2_doutb(0), ADDRB(5) => BU2_doutb(0), ADDRB(4) => BU2_doutb(0), ADDRB(3) => BU2_doutb(0), ADDRB(2) => BU2_doutb(0), ADDRB(1) => BU2_doutb(0), ADDRB(0) => BU2_doutb(0), DIA(0) => BU2_doutb(0), DIB(0) => BU2_doutb(0), DOA(0) => BU2_U0_blk_mem_generator_valid_cstr_ram_douta6, DOB(0) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_7_ram_r_v2_init_ram_dp1x1_ram_DOB_0_UNCONNECTED ); BU2_U0_blk_mem_generator_valid_cstr_ramloop_8_ram_r_v2_init_ram_dp1x1_ram : RAMB16_S1_S1 generic map( SRVAL_A => X"0", SRVAL_B => X"0", INIT_00 => X"9341307F53987F3800C3909C5FFF81EE479B010F6DCC5F272FFFFFFFFF99CFFF", INIT_01 => X"00C1F7284FFFDEF875A403E06B9E7FB31FFCFFFFFF97FFFFFFF8CE923C629CEC", INIT_02 => X"784900E3A3D6F9503FFD7FFFFD7D3FFFFFF1CF059D4356400741C9FC82F601DC", INIT_03 => X"FFEA9FFFC77FFFFFFFF6DEA57A45B4B71905152AB27FB1E60301FED7DFFF2FE2", INIT_04 => X"FFED4E0FB7741C3517FD84424724B2890104FFDF3FFC35DA65C700082542E25C", INIT_05 => X"0BBB682659BD10F60C21523F3FFE4BAA415A040A2173C5EC3FEC1FFFE7F97FFF", INIT_06 => X"000FC1C61F7F3D06445B040E91EE6DB53FA65FFF48B5F7FFFFFAAF3EA39A6C63", INIT_07 => X"5BC7000DF1230DDF3F013FFF0087FFFFFFF7DD88E4ECFB8EC7095117FC0AD26F", INIT_08 => X"B8219FFEBC1DFFFFFFE0BDFFB912950EE52A35443830E63C6012BD9FFFD6BE1A", INIT_09 => X"FFE2FE09048EE2B00695CC3C00820D2C81F2AF3FF4F6DF7E31EF7931A7378BFF", INIT_0A => X"44A17275C15E823478CBAEFFFC9524CE3BE700527E67CFFF226C9FFCEBABFFFF", INIT_0B => X"99429CFFEF37FFFE20F00C59D9E15FFF8B70FFFD50E7FFFFFFABFE40E3530FF6", INIT_0C => X"2F0859118DF43FFFD01E7FF9C0CFFFFFFF03FE05AA636BB11DFD978403D42DB7", INIT_0D => X"47E77FE080FFFFFFFEAAFF00B626D9FDFBFDC83B075CA57B491F45F8E6FF9FFC", INIT_0E => X"FE99FFE8D369FF7DA2D54B0078678CFC6B2A3AFFC3FF3FEC7E87C9EBDC96FFFC", INIT_0F => X"43766263F4BE06FEBB070FFF33FFFCCA7E26EAFCA36CFFFA554DFFA6831FFFFF", INIT_10 => X"9CB520FF6FFFFF8A7FAC7EFD6887C1F06B93FE1384BFFFFFFE69FF939E73EA79", INIT_11 => X"4D1FB7746FF83E530DA787E132FFFFFFFE7FFEEF29468A7D03FF4A7041B515F8", INIT_12 => X"1CBF27C0287FFFFFFEAFFBCAEAEA7C7F21808FC2AC91FAE2C41A8DFF7FFFFFC0", INIT_13 => X"7D8FFF568D6D83FE1B2BFA02633A622710DCAA67FE3F7FF2324028DD896F7CD5", INIT_14 => X"AB1FF1C20ABA7D47A15B30BFFF1F7FFA2F22DA027DF0D16843DA5D1015FFFFFC", INIT_15 => X"4EFBE7FFFF1FFFE8336BBBACDEC0F001C9EE9000CFFFFFFCBC17F84F706EEBFE", INIT_16 => X"3A5F533FFDF6C70D886241F17BFFFFFFF59FE58FCB66F1FF5A67F64360ECFC07", INIT_17 => X"1FF67E0593FFC77FFC07FDCA8581BDFF149FF9C371C328470629AC1FFB3FFDC0", INIT_18 => X"FBEFE2327230D9FF9743FF7C7384B5E18165FF1FF1DF5C6E296FFB7FFE4CF031", INIT_19 => X"D69DB8BD1C70F572EE9FDEEFF9C9DE7E0759397FFCCCF0FCD39C3C0457FF837F", INIT_1A => X"B949D6B0FCFB9FFE3BBFFF1FFA47E6FDACE1AB8C1FFFE07FF85FD97575DC83FE", INIT_1B => X"03B37FF3DA8ECE20A3EA5ABFDFFEC4B7FF5FCE7F3AFDEFFF329FFECF69BC8E2E", INIT_1C => X"07FF33B1FFFA717FBD2FCBC994AC0FFF61E8F5C3E3AA8DFB640F12DDBFFEEFFE", INIT_1D => X"FA6FE001AE598EFFB108F1D859FD8DFCC8F25907A7FF07FE2680FFFFF960CE7D", INIT_1E => X"841BF119758D8FFFE041F326500FE7FE2485FFFFF6391EC1AF643805FFF6A07F", INIT_1F => X"EB808046DF33FFFE0613FFFF7B05D77D7F1A18117FF36C7FEEFFFA346185C6FF", INIT_20 => X"073FFFFDADF8C2FDDFD800D77FCFD4FFEAFFF5B9D1F744FFFC1DFA972103CFFF", INIT_21 => X"6FC000947F8CAEFFE97FFCC07114817FD81AFA71E185A9DFE82133F818BDFFFE", INIT_22 => X"CCFFE289EA07A57FD6DCFB66A05E9C3FBBA3E461EF58FFFE3B5FFFFFA2DB0008", INIT_23 => X"EEF2FE872F5CCDDC6E070AEF7406FC0E7C4FFFF78BE55891ADB039B4FFE054FF", INIT_24 => X"90FF499F7E23FC661F87FFF27FA74B1CECE89B85FF1835FFAEFFEEC367C0C5FF", INIT_25 => X"23FFFFF0D9E443239C4DD9ADFF1877FFFBFF1725A2B897FFEA07BCE4C37942BB", INIT_26 => X"E7B8B8BFFEEC81EFE5FAC9A549BFBF3FED0518F40317AED167B65C6FFF0FFDF6", INIT_27 => X"BDFD856CA80F52BFF2E265D2B08D4138FF5F9F5C3FFFFECE3EFFFFF6D86825CB", INIT_28 => X"F7043F79A6570027FD4FB456BFFFFF3E7CFFC1329F22C3030DEC62FFFC91B5FF", INIT_29 => X"FE368F8D9FFFFFFE7CFFA55484C3807532F39BFFFDE1C0FF9DF9E003C31350BF", INIT_2A => X"7FFFB56E18CE3CD4781DED4FF7F6C2FE33F818057B872A4FF1A104BB64902277", INIT_2B => X"0108B62FF0622EFC1BF624A33B23294FE97BAA0C1DE9AD527FF3BD053FFFFFFE", INIT_2C => X"3FF066712C64772FFD370421C39AE575FFFFFC404FFFFFFE7FFFF165D1B6D574", INIT_2D => X"BD85C3D1F8C3D5D3BFFF7123CFFFFFFE7FE01ED78F07A8008D0125BFF25156BC", INIT_2E => X"3F8FC750DFFFFFFE7FE68E84BE1B7D38CF1BD9FF79D10FBEABF338E630CBFBDF", INIT_2F => X"7FFA5C63760CA644A74D95FFB091FFBC318C3EB6C1E83357DEB0C6F8357DEB2B", INIT_30 => X"D49841FBA2136FBF442DA557125A6F25FD4713E14690E7EC5FFFE44A97FFFFFE", INIT_31 => X"8DFD8BC01452F13E5CCDC35747F6A39EBFFFF72DDFFFFFFE7FFEF8EEE51B258D", INIT_32 => X"E82D6CF27F1C5FE9A5FFFCC05FFFFFFE7FFFF9C19271DC508A0153C7F8D15FB8", INIT_33 => X"64FFFF90FFFFFFFE7FFFF8FE589A30EDBF304BFFBA401FFEF25E1CBB104D7102", INIT_34 => X"7FFCFFFFE571583B7D195FFE8041DFF6F87E1C3E700F2039F9D8086B7F00A664", INIT_35 => X"7C2A77E2E4C14FF2F9773CC081A46A83CF3610E3FF25A66B4AFFFFF6DFFFFFFE", INIT_36 => X"9E38DDA9A1942B92657EDD7BFEA74CF828FFFFF703FFFFFE7FF8FFFFE263C54A", INIT_37 => X"BDE743EFFE5056FC79E3FFFF37DFFFFE7E1FFFFFFA0CDBF0300A8CFF68467FE8", INIT_38 => X"7025FFFFD5BFFFFE7FFFFFFFF8760BF476455C478847FFE536FA195360007B2E", INIT_39 => X"7FFFFFFFFB60BFFA1FDA32CA392A6FDF03F3170D71179A4830977EBFFD8CE63F", INIT_3A => X"AD4878B347857FFB67E73258C61D220DBD2A348FFC5819F36155FFFFA024F6FE", INIT_3B => X"B3FD77FA970DDA01475E8157C03939BBFDCF3FFFF626BAAE7FFFFFFFFC3E3FF8", INIT_3C => X"97BC0281B0FFABDC7F155FFFF8746ABA1FFFFFFFFEA37FFD6CF93D2C300F7FCB", INIT_3D => X"FFB21FFFFDAF65207FFFFFFFF906FFFDF36FBCEEBCBD7FD3D7DAA9F987031800", WRITE_MODE_B => "WRITE_FIRST", INIT_3E => X"7FFCFFFFF32FFFFC51AD3F079EF77FA2EE039CD9174F0200BFBFC2DBA5FD745F", SIM_COLLISION_CHECK => "NONE", INIT_A => X"0", INIT_B => X"0", WRITE_MODE_A => "WRITE_FIRST", INIT_3F => X"02B13F45CE2B7F5EEF1CA5E569890000BFDB82BCEFFE7FD97873DFFFFFC664CE" ) port map ( CLKA => clka, CLKB => BU2_doutb(0), ENA => BU2_U0_blk_mem_generator_valid_cstr_ram_ena12, ENB => BU2_doutb(0), SSRA => BU2_doutb(0), SSRB => BU2_doutb(0), WEA => BU2_doutb(0), WEB => BU2_doutb(0), ADDRA(13) => addra_6(13), ADDRA(12) => addra_6(12), ADDRA(11) => addra_6(11), ADDRA(10) => addra_6(10), ADDRA(9) => addra_6(9), ADDRA(8) => addra_6(8), ADDRA(7) => addra_6(7), ADDRA(6) => addra_6(6), ADDRA(5) => addra_6(5), ADDRA(4) => addra_6(4), ADDRA(3) => addra_6(3), ADDRA(2) => addra_6(2), ADDRA(1) => addra_6(1), ADDRA(0) => addra_6(0), ADDRB(13) => BU2_doutb(0), ADDRB(12) => BU2_doutb(0), ADDRB(11) => BU2_doutb(0), ADDRB(10) => BU2_doutb(0), ADDRB(9) => BU2_doutb(0), ADDRB(8) => BU2_doutb(0), ADDRB(7) => BU2_doutb(0), ADDRB(6) => BU2_doutb(0), ADDRB(5) => BU2_doutb(0), ADDRB(4) => BU2_doutb(0), ADDRB(3) => BU2_doutb(0), ADDRB(2) => BU2_doutb(0), ADDRB(1) => BU2_doutb(0), ADDRB(0) => BU2_doutb(0), DIA(0) => BU2_doutb(0), DIB(0) => BU2_doutb(0), DOA(0) => BU2_U0_blk_mem_generator_valid_cstr_ram_douta7, DOB(0) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_8_ram_r_v2_init_ram_dp1x1_ram_DOB_0_UNCONNECTED ); BU2_U0_blk_mem_generator_valid_cstr_ramloop_9_ram_r_v2_init_ram_dp1x1_ram : RAMB16_S1_S1 generic map( SRVAL_A => X"0", SRVAL_B => X"0", INIT_00 => X"FF7637B60E054B20FFC9025C9FFFB5C8FD3FC7FFFFDA52D07CFCFFFE00D78FFF", INIT_01 => X"AFEFD3CAE5EFD3B1FF1BD7FFFFFA0BC07CBFFE19AD6FFFFF5A5EFFE2C02D7F8F", INIT_02 => X"3F83E7FFFFFC3D6C7FFFFEFFBC7FFFFF4C96FDA400797FF53FE9FF72D607CF20", INIT_03 => X"7FFFF9C53F3FFBFF2270FB960131BEF5FF3CBF840E03E98047E3FBAAA5EFD6DF", INIT_04 => X"4E18FD6100699E45F28DAF902C40E0004FFFE1F5A9FFC2281FC7FFFFFFFC3858", INIT_05 => X"F7EC1FD7EBDBE000CFFF888849FFFF971FE3FFFFFFFE64EA67FFC04E701FE3FF", INIT_06 => X"0FFFEB1183FFFD5FFFE3E7FFFFFFB0587FFFFF33333FF7FFC199E5A100EDDFCF", INIT_07 => X"FFE3E7FFFFFFED007FFFFFF0807FFFFF01B7ECC6C1CC1F5FF235FBC79DDC0000", INIT_08 => X"7FFFFFF8A0FFFFFF8DFFFC87C9473C47F6547BE7B008002027FFCEF157FFFAD5", INIT_09 => X"CF27CB87CB0738C7E641FBD75E800000FBFFE44BF3FFF5DAFFFEF7FFFFFFFDD6", INIT_0A => X"99F0BFA7FC0900000BFFF47D23FFFCC2FFFC7EFDFFFFFAAA7F8FFFF9A17FFFEE", INIT_0B => X"2BFFF3BF6FFFFCD67FFEFFFEFFFF78F67FDFFFF9A83FFF9F0C0F0A8BDBD83A37", INIT_0C => X"BFFFFFFFFFFFFF987FFFF9F5D4FFFFBF8BAFDB009B96F27FC17A7F37FF03000C", INIT_0D => X"7FFFF6746DFFFFBE808E2E810267EEEFD00BFF29FD80001DB7FFF97187FFFE36", INIT_0E => X"867E5AE1214FF97F73503F01F8090CBF8BFFFFB2169FFA77DFFFFFFFFFFFFFDA", INIT_0F => X"8810BF69F980071B67FFFC9E2E7FFCF7B9FFFFFFFFFFFFFC7FFFEB02697FEFFF", INIT_10 => X"CBFFFEFCEF0FFB6F9FFFFFFFFFFFFFFA7FFDD5D5AF7FEFFF07FED0C4205FEAFF", INIT_11 => X"F6FFFFFFFFFFFFFE7FF05CDD5E3FEFFFC4BE0C00210FFEFF59097F0BFE4462FB", INIT_12 => X"7FE316A79E3FC7FEC77FDD0001EFC3FF1D887E7BFDF46AD24FFFFF6B2C6FFCFF", INIT_13 => X"417FBD0E814FE2FEDCAAFE27FF11630F71FFFE8D556FFFFFF8FFFFFFFFFFFFFE", INIT_14 => X"61A8FF2FFE2C05B6A5FE3F6F9D8FFFF8FBFFFF9FFFFFFFFE7FFFA60CBFFFCFFE", INIT_15 => X"483E3FB4FEBFFFFCFFFFFF1FFFFFFFFE7FFFAE477FFFDFFD46FF90E1C187F8FE", INIT_16 => X"FFFF7EBFFFFFFFFE7FFFAE2E7FFFFFE283FEF798C56FFEF383F4FEAFFF6107A3", INIT_17 => X"7FFFA1EDFFDFFFE3B1FFE618CDE7A67C47C9FF3FFFFFCADCA8BFBFF4C9FFFFFD", INIT_18 => X"D1246B520A37F17DCED3DE27FFE00D36E53FF7D3A45FFFFEFFFFFEBFFFFFFFFE", INIT_19 => X"2EF3DE7FFFF4131B15FFFFF5285FFFFFFFFFF93FFFFFFFFE7FFDA4BA1FFF7FFC", INIT_1A => X"7F7FFFF889FFFFFFFFFFF91FEFFFFFFE7FF8687D1FFF7FFC4124E4B04C6FD89E", INIT_1B => X"FFFFF90FFFFFFFFE7FFFD6C53FFFFFF9DE34E4E91C5F30FF76EFFF47FFF705B0", INIT_1C => X"7FFF2FBB7FFFFFFCEC8DF7F8FEAFAE24F06BFC93FFFEDD00B6FFFFF8FAFFFFFF", INIT_1D => X"8A75E8BC6D9F8799E00BFAB3FFF30F18DCFFFBF981FFFFFFFFFFFC7FFFFFFFFE", INIT_1E => X"0087F6D1FFF1951FE17FF0FEF83FFFFFFFFFFFFCFFFFFFFE7F7F4C83FFFFFFFC", INIT_1F => X"1B3FFFFF029FFFFFFFFFFFFEFFFFFFFE7F1C437BFFFFFFFF4305315927FE47ED", INIT_20 => X"CFFFFFFF3FFFFFFE7FC05D7BFFFFFFFEC8F42EAB33FF9193A0D3FD05FFF3A76D", INIT_21 => X"7FC06173FFFFFFFE3E1C07B4E6FFE1FEB05DFE0BFFF2E472C0DFFFFE9E6FFFFF", INIT_22 => X"BD246D93CA3FFDFFBA7BA5CDFFFCCD066343FFFF09DFFFFFFFF8FFFFC7FFFFFE", INIT_23 => X"A4C153F1FFF97DC79F93FFFFF6EFFFFFFFFEFFFFE7FFFFFE5FFB387FFFFFFFF8", INIT_24 => X"DD3FFFFFC7A7FFFFFFFFFFFFFFFFFFFE7FFDD5FFFFFFFFF87C075224E71FD5C0", INIT_25 => X"FFFFFFFFFFFFFFFE7FFD77FFFFFFFFFABF555C27F40063C7828CB15CFFFDB451", INIT_26 => X"7FFD1BCFFFFFFFFE7F404D536A3CE8D03156F4107FFFDD67353FFFFFD827FFFF", INIT_27 => X"7FF899B5E4103ED97A5E4E297BFF7D7D573FFFFFE627FFFFFFFFFE7FFFFFFFFE", INIT_28 => X"0262AEC27FFFEEE2825FFFFFF4B7FFFFFFFFFEFFFFFFFFFE7FF97B1FFFFFFFEF", INIT_29 => X"AF5FFFFFF9BFFFFFFFFFFFFFFFFFFFFE7FF7A69FFFFFFFE7FFFC64011A9B03B0", INIT_2A => X"00000000000000007FE1AEFFFFFFFFFFF8FD03313FDE7718357FA0CEFFFF937F", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", WRITE_MODE_B => "WRITE_FIRST", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", SIM_COLLISION_CHECK => "NONE", INIT_A => X"0", INIT_B => X"0", WRITE_MODE_A => "WRITE_FIRST", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( CLKA => clka, CLKB => BU2_doutb(0), ENA => BU2_U0_blk_mem_generator_valid_cstr_ram_ena3, ENB => BU2_doutb(0), SSRA => BU2_doutb(0), SSRB => BU2_doutb(0), WEA => BU2_doutb(0), WEB => BU2_doutb(0), ADDRA(13) => addra_6(13), ADDRA(12) => addra_6(12), ADDRA(11) => addra_6(11), ADDRA(10) => addra_6(10), ADDRA(9) => addra_6(9), ADDRA(8) => addra_6(8), ADDRA(7) => addra_6(7), ADDRA(6) => addra_6(6), ADDRA(5) => addra_6(5), ADDRA(4) => addra_6(4), ADDRA(3) => addra_6(3), ADDRA(2) => addra_6(2), ADDRA(1) => addra_6(1), ADDRA(0) => addra_6(0), ADDRB(13) => BU2_doutb(0), ADDRB(12) => BU2_doutb(0), ADDRB(11) => BU2_doutb(0), ADDRB(10) => BU2_doutb(0), ADDRB(9) => BU2_doutb(0), ADDRB(8) => BU2_doutb(0), ADDRB(7) => BU2_doutb(0), ADDRB(6) => BU2_doutb(0), ADDRB(5) => BU2_doutb(0), ADDRB(4) => BU2_doutb(0), ADDRB(3) => BU2_doutb(0), ADDRB(2) => BU2_doutb(0), ADDRB(1) => BU2_doutb(0), ADDRB(0) => BU2_doutb(0), DIA(0) => BU2_doutb(0), DIB(0) => BU2_doutb(0), DOA(0) => BU2_U0_blk_mem_generator_valid_cstr_ram_douta8, DOB(0) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_9_ram_r_v2_init_ram_dp1x1_ram_DOB_0_UNCONNECTED ); BU2_U0_blk_mem_generator_valid_cstr_ramloop_10_ram_r_v2_init_ram_dp1x1_ram : RAMB16_S1_S1 generic map( SRVAL_A => X"0", SRVAL_B => X"0", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"00F0006B3DB183C500CF2B001C4FFF1CBC0000000C0019CE0000000000000000", INIT_02 => X"A0988C00155FFF3F280000000183972E6FFF08BDFFFF082F6000A3C015C20000", INIT_03 => X"B00C000001C5A3EE53FD0FF88FFFC3C380009D700EE9000600E00004F88D6DDA", INIT_04 => X"2C02070D95FF13C007DF873E671FF861300000049FB9FBE970E0000014BFFF3D", INIT_05 => X"07C0C66E0C270C103003000153A135E6A3B5C00019BFFFFE3D6C00000086A5F2", INIT_06 => X"000300F1216150E56C75200007BF3FFFC4000000000698322786F8A92B9FCEC0", INIT_07 => X"F6AA5202093DDFFFF4040000011B13E22038C78A645BC6710700F0740438AF20", INIT_08 => X"F40C0000E02C29D81CE78033F1B3E78003010064031C00E900010001F8ED0A1B", INIT_09 => X"42FF3F76A4B0FBC8C000C60E098F1FF70000C00E3D9B4A26CB8991000E2C5FFF", INIT_0A => X"70008E0009543FFF494970000E676001812085000C72DFFFF51C0000D891732E", INIT_0B => X"8F838000149DC444E75C04000D1FBFFFED1C0000C4629E1C40BD8A3FD0B4F8D2", INIT_0C => X"3C30070004EB3EFFE01C00005733FFFE6C31D7D6CEAFFC2660008E00D58FAFFC", INIT_0D => X"F40C000049E7FFFE7C54C9AA874DFC46000004FF03CF3FFF4F4200E0087F0458", INIT_0E => X"784B265595ABFCB3000000033CC5917E1E820CC0174EF0478A60000001AE7CFF", INIT_0F => X"00000000298E49F9ACF00EC7CC4530506CE000000B8B3CFFFE0C00000C07FFFE", INIT_10 => X"C7AEC10369417829E8E3C00005C47FFFFE0C00002DC7FFFE7CF526244E97FF12", INIT_11 => X"5005B8C08ACEFEFFE20C00000879FFFE7C162364D507FF0E008000004245A467", INIT_12 => X"FC0400000238FFFE7F092CFC11FBFF4C00801F00082C0782BA1081006AEB0873", INIT_13 => X"7FF3A74044EB3FE840000E00BDC795E4F731A00003486044F085D4C108297DFF", INIT_14 => X"600000032E3EA69BFD97200133A5B8DDB10B9201C02A77FFF800C00001067FFE", INIT_15 => X"FA44B9023ADBA18FC40CF801C03C7AFFC217C0086238FFFE7FE294440FBF2FF5", INIT_16 => X"BEBD1621048271FFE26800040BD07FFE7F8694980F3207FFA0000001177F01E7", INIT_17 => X"FC2000007A0AFFFE7F431B600C06CBFF0000000067FFFFFBFDB64C7019C9D163", INIT_18 => X"7E8E3ED0012D1DFF838000007F85FFF5F81CE97818C1D3EBAE04657006F475FF", INIT_19 => X"DBC000002EBEFFF6FAF9F7B003D751653B94C270C0556FFFF3780060FBFFFFFE", INIT_1A => X"FB3FFF7002EBC0BA98B67161408DECFFF324006017FFFFFE7D6DBDE80072CEFF", INIT_1B => X"D821064F3E9C19FFF24C00603FFF7FFE7D4E70440000877FDC0000009EEE3FFB", INIT_1C => X"F1FC01C33FFEB6FE7E5719A000003FCFEC000000FE4748FBFA37FE10C1F2C13E", INIT_1D => X"7F56877800616349F30000003F22C13F744BFF38880D53C098DB8A9BBFDF2BFF", INIT_1E => X"F6B00007AE86AB42B61BFFD2C2D65311141DA48381565BFFFDFC01827FFC70FE", INIT_1F => X"7A8BFFE080ABF798103485B9F3D7DBFFFEED1F867FFCE2FE7FF9029AF8000CD8", INIT_20 => X"E1B43AF02ABE87FFF8D901057FF8C3FE7FFE61873C0002C03F00000F0D2BA45E", INIT_21 => X"CFFE00117FF1CDFE7FFF84742E0F0147E140001EBB69C440DDFB7FF894FD8CE6", INIT_22 => X"7FFF28710E8F009F583C00000FBE1B74F138BFFD2027F87691C5F9FECE3693FF", INIT_23 => X"ECFCC00041E90894329A5FFF80942538A00D7BF018B817FF97F0402EFFF5CAFE", INIT_24 => X"10ABB7FF908FE6FFE147E3EAF4D20FFFC60863F5FFEBC0FE7FFFBCC914C60341", INIT_25 => X"66BFD919E64A07FF0342C167FFFB16FE7FFFFE5B30000039AF7FE0C016E82748", INIT_26 => X"5277647FFFDEA47E7FFFD7A91E0000021A80FFC0F0F6BFF005E2727FB483CE5F", INIT_27 => X"7FFFF47FF3C0061BFC5803E0FCF8A1880D421B3FC8600D1CF59722BFEBD7AFFE", INIT_28 => X"3175E078DDB9C9C7014208CFFD7C0EDFF45F0782223A2FFDBA7B106FFF9A70BC", INIT_29 => X"39A1946FFEBC111FFD3D37C1B2A91FFF1179081FFFE2A35C7FFFFC5FC5F00188", INIT_2A => X"FF7D4E20F2DC4FFF25FAFCFFFF906AA47FFFFFFFE4CD980160F5F03C4290D143", INIT_2B => X"93FA0D3FDE01FDA67F7FFFFFFCEB7E334F843C1F43BA1E5878118B9FFEC01B7F", INIT_2C => X"7FE1AAFFFD04E4008FD7BE07C72FCF276608B07DFE6915BFEDBDC0E2AD7B5FFC", INIT_2D => X"5F784E038070DC3182540320CFF8C67FDBBA8A227D38FFFD63FA023FD050DD8C", INIT_2E => X"03B017C26FF0B9FFACAA7F02B5B27FFD3FF90A3FF011BE267F944B7FFFC4C002", INIT_2F => X"DAD7FC5E2DBCBFFAEFFCF5BFF8F0FFAA0387BB7FFFFB2C003F8B46010583ECB7", INIT_30 => X"F7FCF2FFFDF1FFC66C79C5905FFB53947FFE3400B2028A4747F40E79F0544FFF", INIT_31 => X"79DED323E7C1AF7FFFFFEA00C48F77A0E31F0838D928BFFFEB8CF9429948FFFB", INIT_32 => X"FFFBF360767FB8C2714665DFFECE3FFFF3FCF9FB0AD13FFFFFFCFA7FFE23FFDC", INIT_33 => X"184584CBFFEA3FFFF93D697DBFC0BFFFDFFEF37FFB34FFFE68BFE577F110DE43", INIT_34 => X"DBDE263F59607FFFDEB03F7FF803FFC244A78E7C62F3C50FFFF1FA98347FD311", INIT_35 => X"9F7F24FBD00FFFC268FDF904E2A3FCFFFFF1FE5C007FF6325C4E0C1FFFFB7FFF", INIT_36 => X"7BA4FE40C1B2F1DFFFFFFD40023FF41AA24A4805FFFF9FFF1F6771BF9BB17FFC", INIT_37 => X"FFFFFEB080BFFE9BBE4A09057FFF5FE7CBCFE9BFA990FFFDFF0355F3D007FFC4", INIT_38 => X"E54181C29FFF9FA03ECFE9BF8B607FFEBDF46BFBE123FFFC7D29FED17E917387", INIT_39 => X"D4A7FE7F88327FFEDE87ABFFE01BFF947142FF6721800D707FFFFFB1012F7CFD", INIT_3A => X"E063E3FF9C2FFFA878D09FA8C7809ECCBFFFFFCDB2FFFE85F11041C1D7FE7732", INIT_3B => X"63D0AFE794F04E1FDFFFFFF2807FFE01FB1801E1487C734BED730FFF94957FFF", INIT_3C => X"8FFD7FF71C9FFE49FC20D0F002DD69AFEE1B5FFFAAB0FFFFBCF71BFFC447FF60", INIT_3D => X"FB3190F0280F98DFF1BADFFFBB22FFFF7EFFFBFFA4AFFE70220017F3ABEA000C", WRITE_MODE_B => "WRITE_FIRST", INIT_3E => X"F85F4FFF9125FFFEC6AFC7FF045FFD205A8CBBF1CA003C0157FD3FF90E51FF4D", SIM_COLLISION_CHECK => "NONE", INIT_A => X"0", INIT_B => X"0", WRITE_MODE_A => "WRITE_FIRST", INIT_3F => X"BE6957FFA4FFFE027286041BE7BD7C002FFE4FFE5785FF0FFE3E7070090F0EFF" ) port map ( CLKA => clka, CLKB => BU2_doutb(0), ENA => BU2_U0_blk_mem_generator_valid_cstr_ram_ena, ENB => BU2_N1, SSRA => BU2_doutb(0), SSRB => BU2_doutb(0), WEA => BU2_doutb(0), WEB => BU2_doutb(0), ADDRA(13) => addra_6(13), ADDRA(12) => addra_6(12), ADDRA(11) => addra_6(11), ADDRA(10) => addra_6(10), ADDRA(9) => addra_6(9), ADDRA(8) => addra_6(8), ADDRA(7) => addra_6(7), ADDRA(6) => addra_6(6), ADDRA(5) => addra_6(5), ADDRA(4) => addra_6(4), ADDRA(3) => addra_6(3), ADDRA(2) => addra_6(2), ADDRA(1) => addra_6(1), ADDRA(0) => addra_6(0), ADDRB(13) => BU2_doutb(0), ADDRB(12) => BU2_doutb(0), ADDRB(11) => BU2_doutb(0), ADDRB(10) => BU2_doutb(0), ADDRB(9) => BU2_doutb(0), ADDRB(8) => BU2_doutb(0), ADDRB(7) => BU2_doutb(0), ADDRB(6) => BU2_doutb(0), ADDRB(5) => BU2_doutb(0), ADDRB(4) => BU2_doutb(0), ADDRB(3) => BU2_doutb(0), ADDRB(2) => BU2_doutb(0), ADDRB(1) => BU2_doutb(0), ADDRB(0) => BU2_doutb(0), DIA(0) => BU2_doutb(0), DIB(0) => BU2_doutb(0), DOA(0) => BU2_U0_blk_mem_generator_valid_cstr_ram_douta9, DOB(0) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_10_ram_r_v2_init_ram_dp1x1_ram_DOB_0_UNCONNECTED ); BU2_U0_blk_mem_generator_valid_cstr_ramloop_11_ram_r_v2_init_ram_dp1x1_ram : RAMB16_S1_S1 generic map( SRVAL_A => X"0", SRVAL_B => X"0", INIT_00 => X"7F67C42D5D64BC001DFF0FFFF1C5FFE5FEF470745BDF4BFFF682AFFFC7A4FFFE", INIT_01 => X"053FD3FFB566FFADFFF7700913D01FFFF5C97FFFFC27FFFE9E12AFFF813FFF02", INIT_02 => X"FFFA600585BF7FFFF7E33FFFF90FFFFED25DDFFF02FFF4C67FDC3047FFB74C00", INIT_03 => X"FBFE7FFFFE83FFFE1C5D3FFF41FFF45E7FFF9A679B1C8C0002CFA5FFCF33DFED", INIT_04 => X"B8187FFC05FFE4527FFFFF7FDED24C7FC077F7FFF9F93FEAFFF7200FB983FFFF", INIT_05 => X"7F14AFFFD90BDCFF6005FBFFFFE48FF9FFF0C0EDB8C5FFFFFBFC7FFFFD07FFFF", INIT_06 => X"E062FFFFFAAA97F9FFF28CD1D60FFFFFFB38FFFFF20BFFFFD7CBFFFD0AFFF4D0", INIT_07 => X"FFF075BE5A6FFFFFFF20FFFFE307FFFF48ABFFFE05FFE2DA7C03F78FD8A125A7", INIT_08 => X"F6F1FFFFA037FFFFE781FFFB4DFFD6247D172961E564A8A240045FFFFC90C7FC", INIT_09 => X"E44DFFFC4FFFBEBE2C09002CE2CD081BC008B7FFFF4B5FFCFFF2FC6D237BFFFF", INIT_0A => X"54D1014E754D879A318013FFFFB9AD7FFFF80E90A4711FFFFFFFFFFE2FFFFFFF", INIT_0B => X"42005BFFFFF3CE3FFFE5C3DA6700B7FFEDFFFFFCEFBFFFFE1695FFF81BFF632A", INIT_0C => X"FFF123FC07C7BDFFEDFFFFFD90EFFFFEDE23FFFC0FFF073E23F00030E04B21FF", INIT_0D => X"DBFFFFF020FFFFFE1CEFFFFE1FFF5ABE27000099E0486FFFEC80027FFFF3F29F", INIT_0E => X"186FFFE57FFFACFE30006680013463FFFFE083DFFFF96C4E7FF8FFF3D714A7FF", INIT_0F => X"0000640000000BFFFE44216FFFFD40237FFFFD81297615FFABFFFFF0A67FFFFE", INIT_10 => X"FFC61C37FFFE0D667FFFFD76F8100FFFF7FFFFF49BFFFFFF0C0FFFF41FFFAABE", INIT_11 => X"7FFFFD661A7464FFFFFFFFF8EABFFFFE3FAFFFE85FFE9ABE001CF800107007FF", INIT_12 => X"6FFFFFFFD6FFFFFE969FFFC83FFF907E0000F8001101CFFFFFAE8637FFFFBAED", INIT_13 => X"B0FFFFE0DFFCF1FE0100001001028BFFFFF97014FFFFE0B647FFFFC8463720FF", INIT_14 => X"2100003C00036FFFFFFF9A00BFFFF4DAC6F7FF4D854A667EEFFFFFFFCAFFFFFC", INIT_15 => X"FFFFF2262FFFFF2E470BFFC2185F77BE9FFFFFFF987FFFFC5FFFFFA0BFF8AFFE", INIT_16 => X"615BFFE4CFA7B3583FFFFFFFFDFFFFFEBFFFFFD0BFFFDFFE1000003E00001FFF", INIT_17 => X"7FFFFFFF54FFFFFBFFFFFFC0BFFC9FFE3000001FE000BDFFFFFFF87897FFFCC3", INIT_18 => X"FFFFFFF19FF21FFE37070000E002807FFFFFFFD2F2FFFF0C9327FFB58A57E12B", INIT_19 => X"3707000000005B5FFFFFFFE9DC7FFF87795FFF9500D7FFEB7FFFFFFF4FFFFFF8", INIT_1A => X"FFFFFFFC3B1FFFCDABAFFFA40067FF19FFFE31FFF7FFFFF1FFFFFF14DFE8FFFC", INIT_1B => X"DBCFFFDC095FFFCDFFFD31FF1BFFFFEDFFFFFE987FF5DFFA6406040000807117", INIT_1C => X"FFF903FFB7FFFFEBFFFFFD07BFD43FF604992000000013683FFFFFFFA37BFFEE", INIT_1D => X"FFFFFD89BFF9FFF23BCCC00000002C2FDFFFFFFFEEEF7FF82AFFFF3C9B27FFDD", INIT_1E => X"275EC801001B73FC7FFFFFFFFEF4BFFDAC7FF966E45BFFFBF0FD83FE77FFFFF7", INIT_1F => X"BFFFFFFFFF056FFF603FFB6211B3FFDBE72FCBFFEFFFFFFFFFFFFF113FFFFFEC", INIT_20 => X"ACBFF8005813FFD3F0636FFE1FFFFFFFFFFFFF0BBFCFFFC016970831001964C4", INIT_21 => X"FF449CFD2FFFFFFFFFFFFA4DFFDFFFA24830E820001024F049FFFFFFFFD77BFF", INIT_22 => X"FFFFFC447FFFFF404403900040061188BDDFFF9FFFF05D7FC3BFFA206D1FFFDB", INIT_23 => X"0463614000063FC1DAB1FC5FFFEC045FF39FF490BAEFFFDBFFC091FD2FFFFFFF", INIT_24 => X"080BFCF7FFFF6577F7DFF1F82A57FFDBCFC2E9FE4FFFFFFFFFFFF48FFFFFFE44", INIT_25 => X"FBCFF7558CEBFFD907A2F1F95FFFFFFFFFFFEECCFFFFF8700005E0C0E0011E61", INIT_26 => X"0706ABFBBFFFFFFFFFFFD177FFFFEDAE000520E0303E0AA3E019ABAFFFFFCD59", INIT_27 => X"FFFF8BACFFFF574E00020000120003682141B4EDFFFFFF08BD6BFB0BCC13FFDE", INIT_28 => X"0011A0005029544800000E8DFFFFF9229EBBFDAB038BFFDF7F647BF3FFFFFFFF", INIT_29 => X"00800E8AFFFFFE972783FF9FA507FFC0FEDDFFEDDFFFFFFFFFFFA2EBFFFC7C2E", INIT_2A => X"BBD37FFE89FFFFA7FE9EFFE3DFFFFFFFFFFF10E5FFFC0A7E001E020001501E00", INIT_2B => X"EF1C7FE21FFFFFFFFFFE9ED3FFF5DD7E00FF1C00029919B8F384BEAAE7FFFF0B", INIT_2C => X"FFFD5EDFFFEFD7FE00002000E0C07418E108B00593FFFFBB68AB3FFD8E7FFF79", INIT_2D => X"00008000F1E28EEEC0013001F5FFFFE5AA9D0CFBC12FF8F7DAD87F92FFFFFFFF", INIT_2E => X"000B66803CFFFFF24DBC81F7451F1F6327CEBF6BFFFFFFFFFFFD9997FFE82FFE", INIT_2F => X"63EF6CF3438E7F78C4DD7F55BFFFEFFFFFFE4B97FFF0FFFE00000020F0D3EEF1", INIT_30 => X"B75CDF2DBFFFC7FFFFFBE7AFFFFFFFFE0000000062B5A40DB806400086FFFFFE", INIT_31 => X"FFEB2FC7FFFFFFFE3EC000000110DE36C41840317A7FFFFFE79D55E1C2E67F32", INIT_32 => X"00C00000000F95F0583F6EE9E4D7FFFFFC01F76C00423CF0618C3EE0BFFFCFFF", INIT_33 => X"24135138006FFFFFFE44CB04E093FB32FEF07E70BFFC0FFFFFF8BFFFFFFFFFFE", INIT_34 => X"FE75218F8034F173332DB1BC3FFD9FFFFFF8FFFFFFFFFFFE40C0006300100000", INIT_35 => X"1F97B536FFFA7FFFFF613FFFFFFFFFFE200000F103D972004012481A00927FFF", INIT_36 => X"FED8FFFFFFFFFFFE2C000061C3ED208006E387F78010DFFFFFD87F5FE0FEF934", INIT_37 => X"3300008181C27600F81000068060EFFFF7F792C65010F87961E60723FFF87FFF", INIT_38 => X"9608D600018053FFFFE38768D03C2FC6CB83152D7FF2FFFFFE9AFFFFFFFFFFFE", INIT_39 => X"FFD0605FF8048AB2497B3C0D7FE03FFFF14BFFFFFFFFFFFE050F000010080089", INIT_3A => X"8D1FEB96FFA57FFFE0AFFFFFFFFFFFFE7B0000003109388399F9E208030005FF", INIT_3B => X"E57FFFFFFFFFFFFE780000FF23C99BD6D84E9A240FC78AFFDFD5601EC15B4D40", INIT_3C => X"31000010233C87EBFF0F092078859AF18FF80002C6B3962F0A3C3020FF5FFFFF", INIT_3D => X"FFFC0326F202F78E77F80000FFA08EAE1877B25FFE6BFFFFEC7FFFFFFFFFFFFE", WRITE_MODE_B => "WRITE_FIRST", INIT_3E => X"5FE50100C1A85971C2535319FEA3FFFCD6FFFFFFFFFFFFFE3100000023C7000F", SIM_COLLISION_CHECK => "NONE", INIT_A => X"0", INIT_B => X"0", WRITE_MODE_A => "WRITE_FIRST", INIT_3F => X"BA63279E3D45FFF593FFFFFFFFFFFFFE71000000F32FFFFFFFFFC40C6250CAD3" ) port map ( CLKA => clka, CLKB => BU2_doutb(0), ENA => BU2_U0_blk_mem_generator_valid_cstr_ram_ena0, ENB => BU2_doutb(0), SSRA => BU2_doutb(0), SSRB => BU2_doutb(0), WEA => BU2_doutb(0), WEB => BU2_doutb(0), ADDRA(13) => addra_6(13), ADDRA(12) => addra_6(12), ADDRA(11) => addra_6(11), ADDRA(10) => addra_6(10), ADDRA(9) => addra_6(9), ADDRA(8) => addra_6(8), ADDRA(7) => addra_6(7), ADDRA(6) => addra_6(6), ADDRA(5) => addra_6(5), ADDRA(4) => addra_6(4), ADDRA(3) => addra_6(3), ADDRA(2) => addra_6(2), ADDRA(1) => addra_6(1), ADDRA(0) => addra_6(0), ADDRB(13) => BU2_doutb(0), ADDRB(12) => BU2_doutb(0), ADDRB(11) => BU2_doutb(0), ADDRB(10) => BU2_doutb(0), ADDRB(9) => BU2_doutb(0), ADDRB(8) => BU2_doutb(0), ADDRB(7) => BU2_doutb(0), ADDRB(6) => BU2_doutb(0), ADDRB(5) => BU2_doutb(0), ADDRB(4) => BU2_doutb(0), ADDRB(3) => BU2_doutb(0), ADDRB(2) => BU2_doutb(0), ADDRB(1) => BU2_doutb(0), ADDRB(0) => BU2_doutb(0), DIA(0) => BU2_doutb(0), DIB(0) => BU2_doutb(0), DOA(0) => BU2_U0_blk_mem_generator_valid_cstr_ram_douta10, DOB(0) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_11_ram_r_v2_init_ram_dp1x1_ram_DOB_0_UNCONNECTED ); BU2_U0_blk_mem_generator_valid_cstr_ramloop_12_ram_r_v2_init_ram_dp1x1_ram : RAMB16_S1_S1 generic map( SRVAL_A => X"0", SRVAL_B => X"0", INIT_00 => X"B7FFFFFFFFFFFFFE00030000F1A7FFFFFFFEAAFACA681020E7E50680000C27B1", INIT_01 => X"00078000BC8FFFFFFFFF3DFFFF2D800144B00FC1C1B7D71A7E00EF73FFCBFFEA", INIT_02 => X"FFFFFFFFFFF3F50E16401FC5424EC609B100DE52BD5BFFC8AFFFFFFFFFFFFFFE", INIT_03 => X"01600001A2FD8C66F068A2BE180BFE52BFFFFFFFFFFFFFFA0007800006DFFFFF", INIT_04 => X"77E5EB4EED37FC26FFFFFFFFFFFFFFFC00060000E33FFFFFFFFFFFFFFFF84720", INIT_05 => X"FFFFFFFFFFFFFFF200000101F3DFFFFFFFFFFFFFFFFFC3C300F00001236C87CC", INIT_06 => X"00000043E35FFFFFFFFFFFFFFFFFFF4000010000602BE5D67802D95FBE5FFE35", INIT_07 => X"FFFFFFFFFFFFFE4003000000C0FEEDEF7F012934A2FFFC57FFFFFFFFFFFFFFFE", INIT_08 => X"01A0000000E9BB90D306892F3EBFEE4FFFFFFFFFFFFFFF8610800003B85FFFFF", INIT_09 => X"938642CFC46FACFFFFFFFFFFFFFFFE100080000C6A0FFFFFFFFFFFFFFFFFFF28", INIT_0A => X"FFFFFFFFFFFFF9C200C001936C70FFF9381FFFFFFFFFFF85200000001815FC37", INIT_0B => X"00F002B9A91C3FE13BF87FFFFFFFFFE5A000000C181F28900C868B2FE04CB4FF", INIT_0C => X"0E28E07FFFFFFFE09800C0007002EEE8DFE18C4FBBDCD7FFFFFFFFFFFFFFB80E", INIT_0D => X"F400800040718C66FF6E28DDFDFFCFFFFFFFFFFFFFFFDB2E06F0158893500E49", INIT_0E => X"316D0FD99E73FFFFFFFFFFFFFFFCDD0602F026F27D68BEC4010050E1F81FFFFF", INIT_0F => X"C007FFFFFFFC13D0001C6BCD40772E01F8003F070489FFFFEA0000100044D803", INIT_10 => X"001CA0940A7F600FF80060870BE4FFFFD7C00000010F200146421EBEFE77FFFF", INIT_11 => X"FC0601931B8FFFFF92C0000007F5B3937C0052B9FD17FFFEA30DFFFFFFFFFF8A", INIT_12 => X"9800001F0785C63E400051BEE19FFF0E3811FFFFFFFFFE520079E46DEA77EEFF", INIT_13 => X"E062057FD07FFC42CCC3FFFFFFFFF0023C0DFACE6C6004FF0080222E0FFFFFFF", INIT_14 => X"EF97FFFFFFFFEC3E262D6B12800007000007CD3FFFFFFFFF980000060713B135", INIT_15 => X"00C5E9D381804100002D59FFFFFFFFFC7070000003BC2598C972ADFF37FFFB31", INIT_16 => X"003BFFFFFFFFFFFF8FF8000408A8F5B6DE40557C9FFFF9A1FF2FFFFFFFFF80FA", INIT_17 => X"47F80006005B4EF9EE4142773FF4CBDEDEAFFFFFFFFA71C618DFB9C600E01D80", INIT_18 => X"819145EEFFE6DBBF1FAFFFFFFFE2FDCE2DA4D7C000101C088AEFFFFFFFFFFFFC", INIT_19 => X"F55FFFFFFF4DACC6080020C0001C7E14493FFFFFFFFFFFF203B00007217BB82B", INIT_1A => X"7FD90060001CFCBAB3FFFFFFFFFFF80FEA0000060603BB0D40103DB3FFD61D9E", INIT_1B => X"3FFFFFFFFFFFB38DD54000000FACA85780005EA7FFD6FD20F93FFFFFFD299A84", INIT_1C => X"4AE100000FF79BB70402F1F7FFC0145013FFFFFFF5BCC7F67FDA4300180C0223", INIT_1D => X"C0C2557FFFEC0E1FC1FFFFFFAAFD5FF07FEC00003C1C103FFFFFFFFFFFFF06F6", INIT_1E => X"13FFFFFC4377FFFC7FE20000381C473FFFFFFFFFFFFE9E97FFA000E08A6DF4A7", INIT_1F => X"7FC8608610001F7FFFFFFF7FFF17358FFF1100FF079C18FB00C16FFFFFF132E6", INIT_20 => X"FFFFFE339082417FFF4A80031007FEA3204129FFFFED9BA0D7FFFFE53091FCAA", INIT_21 => X"FF90C10333E0A0AF4023BFFFFFD8812897FFFF54A007FDA27FA0C88338749EFF", INIT_22 => X"00D00FFF93F42028EFFFFA9093E8F97E7F52480F80061FFFFFFFFC48AF8D04FF", INIT_23 => X"7FFF92F45F001CFE7F604080ED1FFFFFFFFFFAA7DF1C7DFFFE3EBB0325795A78", INIT_24 => X"79056380167F7FFFFFFFF94D866E27FFFD6F7C01EC0830A2A04D3FFAAF43A1CD", INIT_25 => X"FFFFA6DF73D57FFFF3037900592DC7F5008EFFF727AA273FFFF8B3C57FFF3FFE", INIT_26 => X"E4FFAE0047460F6C000CFFFA3F26F33FFF8C98CDFFFFFFFE634B031083FFC4C3", INIT_27 => X"8792FFFEFCBFB03FFECF850FFFFFFFFE299A01690FFD5963F7FFCA377F457FFF", INIT_28 => X"FB34F9FFFFFFFFFE001A00FC3FFEB9A8F8FD10306566FFFFD3FC56983DBFBEA5", INIT_29 => X"2A5C806FFFFF213CF280C08F65D7FFFF77F8109C619271A78796FF4AC57FF33F", INIT_2A => X"C76980002E63FFFEDFF5909C45F7EE52064FFE068C7FFFFFE78777FFFFFFFFFE", INIT_2B => X"5FF5D99C7657C31A00023E8366FFFFFEC7F62FFFFFFFFFFE6B340065FF39818B", INIT_2C => X"00422EAE63FFFFF287D93FFFFFFFFFFE5608B9587B721C29C5BA27D5D1A7FFF7", INIT_2D => X"683F7FFFFFFFFFFE1F107909064E1F18A080003A6BBFFFFB7FEDF810778BE778", INIT_2E => X"025598FD6A3E0FF981000302BC3FFFF5FFEBE9107A8BE4500006B7C4E7FFFF93", INIT_2F => X"0380030AB2FFFFEBFFDBEA00F333CD90009814B33FFFFCDF8808FFFFFFFFFFFE", INIT_30 => X"FFDBF6008A9EE9A000017DEFFFFFFA834F347FFFFFFFFFFE7A8398E7E1309EA6", INIT_31 => X"001F4FFFFFFFEA187F75BFFFFFFFFFFE5E3880C1C0F07DF406C380758FFFFFD7", INIT_32 => X"7E1A8FFFFFFFFFFE0872607420F0030B0C0020D917FFFE2FFFD3CE00FBFFF383", INIT_33 => X"5B2C4DC164071D51C001AEA567FFFEDFFF8FF9C1C7FFEE83009897FFFFFFACCC", INIT_34 => X"01C181F95DFFFA4FFFFFEE609BCFEC0380021FFFFF2DC3FA7E6ACFFFFFFFFFFE", INIT_35 => X"FFFFF0601E0BFEC100020FFFF948E7533EDD5FFFFFFFFFFE6FFDDA41100F4D07", INIT_36 => X"1C02CBCF3EA3BFC8DF03CFFFFFFFFFFE17F1C543000E0A07810DBFFE13FFFADF", INIT_37 => X"CF150FFFFFFFFFFE36283A91000165838FA7FFFC7FFFE13FFFFFC66017F19AE0", INIT_38 => X"58838C00012C88800FF6FFFFFFFF867FFFFFD1203CE828E01C002BC8C4727F9F", INIT_39 => X"007FFFFFFFFF27FFFFFF26A0974658011800B7B73E3EBFF6046527FFFFFFFFFE", INIT_3A => X"FFFF32804DBF90030004EDAFED1EBF39445D2BFFFFFFFFFE04A4451C01461180", INIT_3B => X"007CCE6FE2C6BFF0E9A633F0FFFFFFFE5D73CA1803931980A3FFFFFFFFFE28FF", INIT_3C => X"221AA7E9DFFFF3FE66DEC00003C7C60002EFFFFFFFF849FFFFFE06503D2FE003", INIT_3D => X"759281800367631E243FFFFFFFF1B7FFFFFF78F0AE1FD00380C027FFCF013FD5", WRITE_MODE_B => "WRITE_FIRST", INIT_3E => X"8FFFFFFFFFF24FFFFFFD030A96BFD003800093FF8B907FAF801F0FCDBFFFA9FE", SIM_COLLISION_CHECK => "NONE", INIT_A => X"0", INIT_B => X"0", WRITE_MODE_A => "WRITE_FIRST", INIT_3F => X"FFFDDF1AD1B7D001800051FF6CDBBFB2810667D12FFF98FE5CAB03C00CB2DEE4" ) port map ( CLKA => clka, CLKB => BU2_doutb(0), ENA => BU2_U0_blk_mem_generator_valid_cstr_ram_ena1_2, ENB => BU2_doutb(0), SSRA => BU2_doutb(0), SSRB => BU2_doutb(0), WEA => BU2_doutb(0), WEB => BU2_doutb(0), ADDRA(13) => addra_6(13), ADDRA(12) => addra_6(12), ADDRA(11) => addra_6(11), ADDRA(10) => addra_6(10), ADDRA(9) => addra_6(9), ADDRA(8) => addra_6(8), ADDRA(7) => addra_6(7), ADDRA(6) => addra_6(6), ADDRA(5) => addra_6(5), ADDRA(4) => addra_6(4), ADDRA(3) => addra_6(3), ADDRA(2) => addra_6(2), ADDRA(1) => addra_6(1), ADDRA(0) => addra_6(0), ADDRB(13) => BU2_doutb(0), ADDRB(12) => BU2_doutb(0), ADDRB(11) => BU2_doutb(0), ADDRB(10) => BU2_doutb(0), ADDRB(9) => BU2_doutb(0), ADDRB(8) => BU2_doutb(0), ADDRB(7) => BU2_doutb(0), ADDRB(6) => BU2_doutb(0), ADDRB(5) => BU2_doutb(0), ADDRB(4) => BU2_doutb(0), ADDRB(3) => BU2_doutb(0), ADDRB(2) => BU2_doutb(0), ADDRB(1) => BU2_doutb(0), ADDRB(0) => BU2_doutb(0), DIA(0) => BU2_doutb(0), DIB(0) => BU2_doutb(0), DOA(0) => BU2_U0_blk_mem_generator_valid_cstr_ram_douta11, DOB(0) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_12_ram_r_v2_init_ram_dp1x1_ram_DOB_0_UNCONNECTED ); BU2_U0_blk_mem_generator_valid_cstr_ramloop_13_ram_r_v2_init_ram_dp1x1_ram : RAMB16_S1_S1 generic map( SRVAL_A => X"0", SRVAL_B => X"0", INIT_00 => X"97808DFFE1D61FC800C69F4BAFFFF712683301000C361F20BFFFFFFFFFE26FFF", INIT_01 => X"00C7F80F5FFFE9FA6E3603E00E6F7F885FFFFFFFFF281FFFFFFA4FD2FA1984E0", INIT_02 => X"1A3400E0CEBAF86ADFFEFFFFFEA0FFFFFFFD4F403A3F56520B019FFF79FA5F10", INIT_03 => X"BFFC7FFFF8C33FFFFFF59EA0583924060C4523CC65FDD7000005016F3FFFCF2A", INIT_04 => X"FFF66EC390C640200BBDDB7473790A880003EA7FFFFFC6F25C72000A84F0E6E9", INIT_05 => X"0738F0D31D7EE0F00C211B7FFFFFEDA24894000B00FBD7C1FFF4BFFFE605FFFF", INIT_06 => X"00008B3FFFFFD17E4B5B0008503E3F7BFFF67FFFDC80FFFFFFE65E8CD6B96030", INIT_07 => X"4FA30006B03E43FFFFF7DFFFD893FFFFFFFC9E946A50F8060006F10298040D92", INIT_08 => X"7FC2BFFF2C33FFFFFFF53E15B272F47CA41643C6003025A9600BCA7FFFEFC1EE", INIT_09 => X"FFFC7FC8423FC15D86184C00008150D2000377FFF8F03FF655D87968A63D67FF", INIT_0A => X"840F020001C71DF850250FFFF772183E4D680043BD619FFFF5A67FFF484FFFFF", INIT_0B => X"E127EFFFF70FFFFE5EC0038319E2FFFFE0DC3FF9005FFFFFFFF2FF6366D4D277", INIT_0C => X"5E483BBB00C1FFFF67997FF1003FFFFFFFABFFCFF451B7F45C51277803C3627D", INIT_0D => X"DBE5FFFE803FFFFFFF11FE7A1E2C2673B945D0030755C0FCE1AA5BFFF9FFFFFE", INIT_0E => X"FFABFE6F98A950FDA3E28400002382FF43935DFFFFFFFFF07E276429388B7FFF", INIT_0F => X"8152A4000C56B7FF738DFCFFCFFFFFF27CC6FE48E867FFFC8FC8FFCB82FFFFFF", INIT_10 => X"808347FF9FFFFFF274726907230DFFFA938DFF9F843FFFFFFF5BFE82B59C8DFA", INIT_11 => X"67A271B495FDC2E6A193F8D133FFFFFFFF8FFF3F85B229FF83FE27004BBC96FF", INIT_12 => X"80B7C3E029FFFFFFFE0FFC7FD4999FFEC2EBFA40E08F38B92054AFFFFFFFFFF2", INIT_13 => X"FFF7FCB1AFE503FF2177F58062ED3DC6B06E8DFFFFFFFFFE52868DC15C70BFAE", INIT_14 => X"F3BFFF8009AE2CE5E0D51A7FFFFFFFFE43EAE1BCDE89EB914377E25014FFFFFF", INIT_15 => X"4E1712BFFFFFFFF24FCFC13F3FEF097DC9D7700009FFFFFFFE0FFEE303D63FFF", INIT_16 => X"481F381FFF10C211887FA000BDFFFFFFFDB7FBE248DAA3FF406FFE0000C6E828", INIT_17 => X"1B5FBE042FFFFFFFFEFFF226BC6467FF057FFE00012600A806189ABFFFFFFE02", INIT_18 => X"FE7FFA11D985C7FF06E7F8BC0095B62D002654BFFE3FFE12553E183FFE3CF031", INIT_19 => X"061FFFFD018DBC5F4E44085FFE3E3FFE7B3D70FFFB7CF0FCC23F7C045FFFFC3F", INIT_1A => X"B0CFF22FFFFCBFFE7C74BFFFF187E0FCA1FFA80C4FFF643FFBDFE88C4A81E3FF", INIT_1B => X"7C747FFFF7F1302077F6583DBFFFC57FFA7FE5A58D610BFFC247FC4F67E07E74", INIT_1C => X"6FF23033BFFD9CFFF27FE18615EAE37FA001FE1D031CAFFC90F147947FFF1FFE", INIT_1D => X"F53FF1EC07B2E57F801DFE7C9D94CFFFE50132936FFFFFFE5900FFFFF541A60C", INIT_1E => X"DC05FD78B44E87FFF98003A13BFFFFFE5B03FFFFFE3CC6005FFC38027FF98DFF", INIT_1F => X"FCC00079F4F7FFFE790FFFFFFC04B77E1FFA1817FFFDBEFFF57FFC3656F7637F", INIT_20 => X"781FFFFE9E4263FC3F20009EFFF8F1FFE67FF9357B78E07FEC07FC48A00FBFFF", INIT_21 => X"5EA00085FFC0EFFFC6FFF712C150A7FFE003FB98601A1BFFB641301FD877FFFE", INIT_22 => X"F1FFF959820964FFF801F8F9E20065FF82C3E3C1F5CDFFFE7C3FFFFA4DDC0009", INIT_23 => X"EC017D9B62C31CBFBC0706BFFAF5FFFE7FBFFFFF8B07200B7DF0018BFF884BFF", INIT_24 => X"F6FF474FFF57FF1E7FFFFFFF69C6830E9A608387FFC015FFEAFFCF19BA3DA2FF", INIT_25 => X"5FFFFFFEB24534880FC1C17FFF6851FF8CFFC434176306FFF00EFE1C8143CADC", INIT_26 => X"5DE4E1CFFFCC93FFF2FF2236FE5746FFF40400E600F99B14F536E0AEFFFFFE0E", INIT_27 => X"65FE347055F7867FF2E0646110550D78F8C195527FFFFF3E7FFFFFFC00042880", INIT_28 => X"FA61BA5946115033FE499278BFFFFFFE7FFFFE06D4C464CCA7E0617FFE5D87FF", INIT_29 => X"FF8293B93FFFFFFE7FFFC6375E45CE5BB2F0193FFF7DE7FF6DFC0003A00FE1FF", INIT_2A => X"7FFFD920EBBB7CF3001C0EFFFD3CFFFFC5FE5802B807A13FF920D987EEAA5F57", INIT_2B => X"0108079FFEE00FFECFFAC420382B41BFFDB1D525E11E6C46FFFB4FF0DFFFFFFE", INIT_2C => X"1BFEF6713B0D163FFC71B0C5EE063DCC7FFFEE8C9FFFFFFE7FFFD8D9B4F1FA70", INIT_2D => X"FEC013F9FC84E6933FFFE8B07FFFFFFE7FFFEE3AE3CA510081011D7FFE416FFE", INIT_2E => X"FFFFF66C8FFFFFFE7FF87179D0163C00D303C5FFF9415FFF1FECD0E034489F4F", INIT_2F => X"7FFC3F1C7A15E1300D4183FFFA013FFC1FE0B0B104999F2FBE80F7C7FEDBC681", INIT_30 => X"8A801FFFCC12DFF84BC14270815FDE7E7EE6CB4DFFD8C374CFFFF4F7EFFFFFFE", INIT_31 => X"D85E88E48419302F414C4F96FF7C9FA7E7FFF8AD6FFFFFFE7FFFFF100428ED9D", INIT_32 => X"102C33F5FFDD0FC24BFFFF84CFFFFFFE7FFFFE3E615B7D8EE80013FF1CD17FF8", INIT_33 => X"A9FFFFA0FFFFFFFE7FFFFFFFE20D0220D7003FFF9241FFF8583CBC8580533021", INIT_34 => X"7FFFFFFFF94B79D67F011FFEA0427FFAE4FF1C9CE00550139EE81BD0FFA13FE9", INIT_35 => X"861C2FFD0040FFF8D6BA3DF8818598A871460B41FFC877FB3BFFFFD81FFFFFFE", INIT_36 => X"A0707E7DA194F8B6544EC037FFAC13FFB9FFFFFA27FFFFFE7FFFFFFFFCBF8BF6", INIT_37 => X"0307CDC7FF012FFE3800FFFEB33FFFFE7FFFFFFFFCD067FA47E30F073841FFF0", INIT_38 => X"E3C3FFFF226FFFFE7FFFFFFFFDA71FF95AB9FCA338407FE9B1F278B520183808", INIT_39 => X"7FFFFFFFFEAFBFFCB112BE9F390B7FFD55F3725BC100F85CAF8707F7FEB0F27F", INIT_3A => X"80E43E520782FFE15FF334FEE008C000476200DFFFC7558FE2607FFFE8730FFE", INIT_3B => X"4FE334F680081800FE9E82C3FFFF90C7BA8A7FFFEC10CDDE7FFFFFFFFEAA7FFE", INIT_3C => X"7FAC016FD7FD60C3EEB73FFFFAB1642E7FFFFFFFFC33FFFDA524FD8A0004FFC8", INIT_3D => X"7A5DFFFFFE8E1ACC7FFFFFFFFD1FFFFE8D267FB880B7FFD87FF82DFEE80A1800", WRITE_MODE_B => "WRITE_FIRST", INIT_3E => X"7FFFFFFFE43DFFFF1BA2FE8F80F4FFAB7FEC13F4100600009FEC0143E7FE0A20", SIM_COLLISION_CHECK => "NONE", INIT_A => X"0", INIT_B => X"0", WRITE_MODE_A => "WRITE_FIRST", INIT_3F => X"47ADFFC9C023FFA0FFCA03F4A40600007FD801E0F1FF06D6FDE3FFFFFFAB25E4" ) port map ( CLKA => clka, CLKB => BU2_doutb(0), ENA => BU2_U0_blk_mem_generator_valid_cstr_ram_ena12, ENB => BU2_doutb(0), SSRA => BU2_doutb(0), SSRB => BU2_doutb(0), WEA => BU2_doutb(0), WEB => BU2_doutb(0), ADDRA(13) => addra_6(13), ADDRA(12) => addra_6(12), ADDRA(11) => addra_6(11), ADDRA(10) => addra_6(10), ADDRA(9) => addra_6(9), ADDRA(8) => addra_6(8), ADDRA(7) => addra_6(7), ADDRA(6) => addra_6(6), ADDRA(5) => addra_6(5), ADDRA(4) => addra_6(4), ADDRA(3) => addra_6(3), ADDRA(2) => addra_6(2), ADDRA(1) => addra_6(1), ADDRA(0) => addra_6(0), ADDRB(13) => BU2_doutb(0), ADDRB(12) => BU2_doutb(0), ADDRB(11) => BU2_doutb(0), ADDRB(10) => BU2_doutb(0), ADDRB(9) => BU2_doutb(0), ADDRB(8) => BU2_doutb(0), ADDRB(7) => BU2_doutb(0), ADDRB(6) => BU2_doutb(0), ADDRB(5) => BU2_doutb(0), ADDRB(4) => BU2_doutb(0), ADDRB(3) => BU2_doutb(0), ADDRB(2) => BU2_doutb(0), ADDRB(1) => BU2_doutb(0), ADDRB(0) => BU2_doutb(0), DIA(0) => BU2_doutb(0), DIB(0) => BU2_doutb(0), DOA(0) => BU2_U0_blk_mem_generator_valid_cstr_ram_douta12, DOB(0) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_13_ram_r_v2_init_ram_dp1x1_ram_DOB_0_UNCONNECTED ); BU2_U0_blk_mem_generator_valid_cstr_ramloop_14_ram_r_v2_init_ram_dp2x2_ram : RAMB16_S2_S2 generic map( SRVAL_A => X"0", SRVAL_B => X"0", INIT_00 => X"FFFE4C6FFFFFFFFFFFFFFE492AFBAAF03FFFFFFFFFFFFFFFF900036FFFFFFFFD", INIT_01 => X"FFFFE04005BFEE3D1B90000400CF000007FFFEB400019EACBB8BFFFFF77EC87F", INIT_02 => X"3FFFFFFFFFFFAAA950F001BFFFFFFFFED41554FAFFFF8740F0000C7EFFFFF816", INIT_03 => X"17FFFFB243007BFB678FFFFFFD34162FFFFFEABFFEFFFFFFFFFFFFE34150EBF0", INIT_04 => X"2A55140AFFFE693000003C8EFFFF9447FFFFD603CFFFD7D89590000000FF0000", INIT_05 => X"FFFFFFFFFFFFFFFFFFFFFFF9E5AFD1303FFFFFFFFFFA00000FF006FFFFFFFFFE", INIT_06 => X"FFFFB83CE5FFE6A8B550000000C3C0005BFFFFF623C023FA228FFFFFFE93AADF", INIT_07 => X"3FFFFFFFFFF900000FFF1BFFFFFFFFFE3CE5555EFFF9043C000301C8BFFF30AF", INIT_08 => X"5BFFFFFFB8001DFE1E9FFFFFFFFA502FFFFFFFFFFFFFFFFFFFFFFFFF496C0490", INIT_09 => X"F0E55423FFE7B0000000044CEFFE51AFFFFAB4F327FFFE6FBD00000500000000", INIT_0A => X"FFFFFFFFFFFFFFFFFFFFFFFF939BC5E03FFFFFFFFFFF95013F006FFFFFFFFFFD", INIT_0B => X"FFE2D0F0CFFFFE6FDB0003C0000000005BFFFFFFEA016DBF03DFFFFFFFFE69AF", INIT_0C => X"3FFFFFFFFFFFFA900F00BFFFFFFFFFFDF039558BFFE1E0000000CADF4BFCB43F", INIT_0D => X"43FFFFFFF541FCBFC01BFFFFFFFE596FFFFFFFFFFFFFFFFFFFFFFFFFF93AA0FC", INIT_0E => X"0039566FFF9B403CF003CF75DFFA7FBFFFD740301FFFFE6FF43003F000000000", INIT_0F => X"FFFFFFFFFFFFFFFFFFFFFFFFFF925BC43FFFFFFFFFFFFFE50006FFFFFFFFFFFD", INIT_10 => X"FF593031AFFFFA6FBA8000C00000000025FFFFFFFC12FC6FF05BFFFFFFFA031F", INIT_11 => X"3FFFFFFFFFFFFFF90C1BFFFFFFFFFFFE00E958BFF9DD003FF0030F90BFF45AFF", INIT_12 => X"CFBFFFFFFF36F01BF1ABFFFFFFE93EDBFFFFFFFFFFFFFFFFFFFFFFFFFFF895B0", INIT_13 => X"40E5B2FFE190003FF00F0FAAFFFE47FFFF303001AFFFE96FFC60C00000000000", INIT_14 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFA3A683FFFFFFFFFFFFFF90C6FFFFFFFFFFFFF", INIT_15 => X"FEF3FF02FFFFE16FFE180003000000000A7FFFFFFF99FFCB02FFFFFFFFE93F16", INIT_16 => X"3FFFFFFFFFFFFFF9016FFFFFFFFFFFFF90EACBFFD60C000FF00FC0BFFFE1BBFF", INIT_17 => X"053FFFFFFFCDBFC6F2FFFFFFFFE90056FFFFFFFFFFFFFFFFFFFFFFFFFFFF9394", INIT_18 => X"90FADBFFB10F0000C00FD5FFFFC91FFFFEF3FF033FFFDC6FFF81000F000000F0", INIT_19 => X"BFFFFFFFFFFFFFFFFFFFFFFFFFFFF8A83FFFFFFFFFFFFFE905AFFFFFFFFFFFFF", INIT_1A => X"FDA0C0033FFFC82FFFEF0000000003F0C42FFFFFFFEAAF15B2FFFFFFFFE555AB", INIT_1B => X"3FFFFFFFFFEBFFE906AFFFFFFFFFFFFF903FDBFFBDFCC003000F16FFFFA6BFFF", INIT_1C => X"DE7FFFFFFFF06C55B1FFFFFFFFE6AAFFAFFFFFFFFFFFFFFFFFFFFFFFFFFFFE0C", INIT_1D => X"903C1BFF580CFC0300031AFFFF467FFFF8633009BFFFD46FFFF640C300F00FFC", INIT_1E => X"FABFFFFFFFFFFFFFFFFFFFFFFFFFFF943FFFFFFFFFAAFFE506BFFFFFFFFFFFFF", INIT_1F => X"F6900013BFFF702FFFFB9000000003C063BFFFFFFFFBAC55B2FFABFFFFEAAAFF", INIT_20 => X"3FFFFFFFFE9AFE941BFFFFFFFFFFFFFF903C6FFF1800F00000002EFFFFF47FFF", INIT_21 => X"777FFFFFFFFD2855B2FE5BFFFFFAFFFFFEABFFFFFFFFFFFFFFFFFFFFFFFFFFF8", INIT_22 => X"4031BFFF6D000000000333FFFD56BFFFDA00003DFFFFE02FFFF91030001503C0", INIT_23 => X"FFEBFFFFFFFFFFFFFFFFFFFFFFFFFFFC3FFFFFFFFE5BFE405FFFFFFFFFFFFFFF", INIT_24 => X"C0F0C057FFFF8C2FFFFD6030002A5401B62FFFFFFFFEF850B2FE07FFFFFFFFFF", INIT_25 => X"3FFFFFFFFEABFA406FFFFFFFFFFFFFFF4031FFFFB1030000000333FFFB1BFFFF", INIT_26 => X"C12FFFFFFFFF5C54A1FE07FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC", INIT_27 => X"4006FFFF81030000C0032EFFEDDCFFFF6CF0CCBBFFFF4D6FFFFE18000070EA41", INIT_28 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC3FFFFFFFFBFFFA55BFFFFFFFFFFFFFFF", INIT_29 => X"B403CCCFFFFE0D6FFFFFBDF000753BD7C1CBFFFFFFFFF5556CBE1BFFFFFFFFFF", INIT_2A => X"3FFFFFFFFBFFEA95FFFFFFFFFFFFFFFE4007FFFF71000154F003D5FFD7A0FFF8", INIT_2B => X"F16DFFFFFFFFE25A5B6A1BFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC", INIT_2C => X"001BFFFF2D316AA4F0030CBFD7F5FFF5900FFC0FFFFECDBFFFFFC75700614C3B", INIT_2D => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC3FFFFFFFFBFFFA56FFFFFFFFFFFFFFFA", INIT_2E => X"803FF11FFFFDCDFFFFFFE238002D403FC132BFFFFFFFFB5A5B291BFFFFFFFFFF", INIT_2F => X"3FFFFFFFFBFEAA5AFFFFFFFFFFFFFFF90F1FFFFEDE47FFF4F0030CFFE94AFFF9", INIT_30 => X"F12DBFFFFFFFFDEBA6C51FFFFFFFFFFFFFFFFFFFFFFFBFFFFFFFFFFFFFFFFFFC", INIT_31 => X"031FFFE98E703EF9000C10FFE261FFF54000F2EBFFFC8E3FFFFFFF63AA5C503F", INIT_32 => X"FFFFFFFFFFFEFFFFFFFFFFFFFFFFFFFC3FFFFFFEBFEAA56BFFFFFFFFFFFFFFE9", INIT_33 => X"4C00F33FFFFF7DFFFFFFFFB4FEAB54000577BFFFFFFFFE7EFAB01FFFFFFFFFFF", INIT_34 => X"3FFFFFFFFFAA956FFFFFFFFFFFFFFFE9401AA5433A89FAFE40006AFFD2616FEB", INIT_35 => X"4699FFFFFFFFFF9EBE6F1FFFFFFFFFFFFFFFFFFFFFF9FFFFFFFFFFFFFFFFFFFC", INIT_36 => X"4000FAFE3AD9FFC3E40182FFDEB10BBC3F00F3AFFFF931AFFFFFFFB4039A155B", INIT_37 => X"FFFFFFFFFFF9FFFFFFFFFFFFFFFFFFFC3FFFFFFFFE965AAFFFFFFFFFFFFFFFF9", INIT_38 => X"FF00321FFFF4006FFFFFFF89025A1AAC96EEFFFFFFFFFFE2BE6B1FFFFFFFFFFF", INIT_39 => X"3FFFFFFFFA556ABFFFFFFFFFFFFFFFFE413901BE4E280015340737FF9FB15B34", INIT_3A => X"D5A2FFFFFFFFFFF7C3AACBFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC", INIT_3B => X"052E98C3E078155549122FFE4FF1AEA3FC00075FFFFA001FFFFFFFD8C35B6FAC", INIT_3C => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC3FFFFFFFEA5ABFFFFFFFFFFFFFFFFFFE", INIT_3D => X"0000C92FFFD104CFFFFFFF866FECB0EB21B6FFFFFFFFFFF9FFAAB2FFFFFFFFFF", WRITE_MODE_B => "WRITE_FIRST", INIT_3E => X"3FFFFFFFEAAAFFFFFFFFFFFFFFFFFFF90A7D091944B85AA40964BFFF7FF6EAA3", SIM_COLLISION_CHECK => "NONE", INIT_A => X"0", INIT_B => X"0", WRITE_MODE_A => "WRITE_FIRST", INIT_3F => X"3986FFFFFFFFFFFE3FE66DFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC" ) port map ( CLKA => clka, CLKB => BU2_doutb(0), ENA => BU2_U0_blk_mem_generator_valid_cstr_ram_ena13, ENB => BU2_doutb(0), SSRA => BU2_doutb(0), SSRB => BU2_doutb(0), WEA => BU2_doutb(0), WEB => BU2_doutb(0), ADDRA(12) => addra_6(12), ADDRA(11) => addra_6(11), ADDRA(10) => addra_6(10), ADDRA(9) => addra_6(9), ADDRA(8) => addra_6(8), ADDRA(7) => addra_6(7), ADDRA(6) => addra_6(6), ADDRA(5) => addra_6(5), ADDRA(4) => addra_6(4), ADDRA(3) => addra_6(3), ADDRA(2) => addra_6(2), ADDRA(1) => addra_6(1), ADDRA(0) => addra_6(0), ADDRB(12) => BU2_doutb(0), ADDRB(11) => BU2_doutb(0), ADDRB(10) => BU2_doutb(0), ADDRB(9) => BU2_doutb(0), ADDRB(8) => BU2_doutb(0), ADDRB(7) => BU2_doutb(0), ADDRB(6) => BU2_doutb(0), ADDRB(5) => BU2_doutb(0), ADDRB(4) => BU2_doutb(0), ADDRB(3) => BU2_doutb(0), ADDRB(2) => BU2_doutb(0), ADDRB(1) => BU2_doutb(0), ADDRB(0) => BU2_doutb(0), DIA(1) => BU2_doutb(0), DIA(0) => BU2_doutb(0), DIB(1) => BU2_doutb(0), DIB(0) => BU2_doutb(0), DOA(1) => BU2_U0_blk_mem_generator_valid_cstr_ram_douta13(1), DOA(0) => BU2_U0_blk_mem_generator_valid_cstr_ram_douta13(0), DOB(1) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_14_ram_r_v2_init_ram_dp2x2_ram_DOB_1_UNCONNECTED, DOB(0) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_14_ram_r_v2_init_ram_dp2x2_ram_DOB_0_UNCONNECTED ); BU2_U0_blk_mem_generator_valid_cstr_ramloop_15_ram_r_v2_init_ram_dp4x4_ram : RAMB16_S4_S4 generic map( SRVAL_A => X"0", SRVAL_B => X"0", INIT_00 => X"443444443358DFFFFFFFEB7555656AEFFFFFFFFFFFFFEC8545688789BBCDCBBB", INIT_01 => X"CDFFEDA74469DEFFEDA9AA999AABBB988865679CEFFFFFFFDCBBBCEFFFFEB743", INIT_02 => X"8FFFFFFFFFFFFFFFFEEDDDEEFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFED", INIT_03 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF8", INIT_04 => X"BBBBCDEEEEFFFFFFFFFFFFFFFFFFFFFFDCBBBA9AA9ADFFFFFFFFFFFFFFFFFFFF", INIT_05 => X"443344444347CEFFFFFFDA66667659DFFFFFFFFFFFFFFD975568989ABBCDDCBC", INIT_06 => X"DEFFFEB9778ADEFFFEBABA999AABCBA98877779CEFFFFFFFECBBBCEFFFFEA644", INIT_07 => X"8FFFFFFFFFFFFFFFFEDDDDEEFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFED", INIT_08 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF8", INIT_09 => X"BBCCCDDEEEFFFFFFFFFFFFFFFFFFFFFFFEDCBBA999BDFFFFFFFFFFFFFFFFFFFF", INIT_0A => X"443334444446BEDDEFFFC877778757CFFFFFFFFFFFFFFECA87898788AABDEDCC", INIT_0B => X"DEFFFFECA9ACCDFFFECBAAA99ABBCCA99A97789CEFFFFFFFECBBBBEFFFFDA754", INIT_0C => X"8FFFFFFFFFFFFFEEEEDCCDEFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFED", INIT_0D => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF8", INIT_0E => X"ABCCCCDEEFFFFFFFFFFFFFFFFFFFFFFFFEDCCBAA99ADFFFFFFFFFFFFFFFFFFFF", INIT_0F => X"443444554457BCBACDFEB878888767BEFFFFFFFFFFFFFFECCA99878889BDEDCB", INIT_10 => X"EEFFFFFECBCDDDFFFEDBBBAAABBCCCBAAA98878ADEFFFFFFECCCCCEFFFFC8776", INIT_11 => X"8FFFFFFFFFFFFFFEDDDCDDFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFED", INIT_12 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF8", INIT_13 => X"AACCCCDEFFFFFFFFFFFFFFFFFFFFFFFFFFEDCBBBAAADFFFFFFFFFFFFFFFFFFFF", INIT_14 => X"44444567557BDDB99CEDA889A99866AEFFFFFFFFFFFFFFFEEDBA98999ACDEEDB", INIT_15 => X"EFFFFFFFEDEEDDFFFEDBBBBBBBBCCCBAAA99989ABCDEFFFFECCDDDEFFFFC9996", INIT_16 => X"8FFFFFFFFFFFFFFEDCCCDEFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFEE", INIT_17 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF8", INIT_18 => X"BBBCDCDDFFFFFFFFFFFFFFFFFFFFFFFFFFFDCCCCBAADFFFFFFFFFFFFFFFFFFFF", INIT_19 => X"44334688659DFECAACEDA89BBAA9879DFFFFFFFFFFFFFFFFFEDBAABABCDEEEDC", INIT_1A => X"FFFFFFFFFEFFEEFFFEDCCCCBBBCCDDCBBAABBBAAAAABCDEEDCCDDEFFFEECCDB6", INIT_1B => X"8FFFFFFFFFFFFFFDCCCCDFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_1C => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF8", INIT_1D => X"CBCDEDDDEFFFFFFFFFFFFFFFFFFFFFFFFFFEDCCCBAADFFFFFFFFFFFFFFFFFFFF", INIT_1E => X"4333479A87BEEEEDDEFDA9ACBBAB978BFFFFFFFFFFFFFFFFFEDCBCDCCDEEEEED", INIT_1F => X"FFFFFFFFFFFFFFFFFFDCDEDCBCCDEEEDCBBDEDCA9888ABCDDCCDDDDDCBBCDEB6", INIT_20 => X"8FFFFFFFFFFFFFECCCCDEFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_21 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF8", INIT_22 => X"DDDEFFEEEEFFFFFFFFFFFFFFFFFFFFFFFFFEEDDCAAACFFFFFFFFFFFFFFFFFFFF", INIT_23 => X"444469BB99CFFFFFEFECAABCBBBBA76AFFFFFFFFFFFFFFFFFEDCBCDDCDEFFFEE", INIT_24 => X"FFFFFFFFFFFFFFFFFEDDEEEDCCDEFFFEDCDEFFDB876679ABCCDEEDB9778BED96", INIT_25 => X"8FFFFFFFFFFFFEDCCDDEFFFFEFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_26 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF8", INIT_27 => X"EEEFFFFEEEFFFFFFFFFFFFFFFFFFFFFFFFFFFFECAAACEFFFFFFFFFFFFFFFFFFF", INIT_28 => X"44458BDC9ADFFFFFFFDBABCCBBBBA76AEFFFFFFFFFFFFFFFFFECCDDDDDEFFFFF", INIT_29 => X"FFFFFFFFFFFFFFFFFFEEFFEEDDDEFFFEDDEFFFEB7555679BCCDEEC96457ADB75", INIT_2A => X"8FFFFFFFFFFFFEDCDEEFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_2B => X"8888888888888888888888888888888888888888888888888888888888888888", INIT_2C => X"8888888888888888888888888888888888888888888888888888888888888888", INIT_2D => X"8888888888888888888888888888888888888888888888888888888888888888", INIT_2E => X"8888888888888888888888888888888888888888888888888888888888888888", INIT_2F => X"8888888888888888888888888888888888888888888888888888888888888888", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", WRITE_MODE_B => "WRITE_FIRST", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", SIM_COLLISION_CHECK => "NONE", INIT_A => X"0", INIT_B => X"0", WRITE_MODE_A => "WRITE_FIRST", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( CLKA => clka, CLKB => BU2_doutb(0), ENA => BU2_U0_blk_mem_generator_valid_cstr_bindec_a_bindec_inst_a_enout_18_cmp_eq0000, ENB => BU2_doutb(0), SSRA => BU2_doutb(0), SSRB => BU2_doutb(0), WEA => BU2_doutb(0), WEB => BU2_doutb(0), ADDRA(11) => addra_6(11), ADDRA(10) => addra_6(10), ADDRA(9) => addra_6(9), ADDRA(8) => addra_6(8), ADDRA(7) => addra_6(7), ADDRA(6) => addra_6(6), ADDRA(5) => addra_6(5), ADDRA(4) => addra_6(4), ADDRA(3) => addra_6(3), ADDRA(2) => addra_6(2), ADDRA(1) => addra_6(1), ADDRA(0) => addra_6(0), ADDRB(11) => BU2_doutb(0), ADDRB(10) => BU2_doutb(0), ADDRB(9) => BU2_doutb(0), ADDRB(8) => BU2_doutb(0), ADDRB(7) => BU2_doutb(0), ADDRB(6) => BU2_doutb(0), ADDRB(5) => BU2_doutb(0), ADDRB(4) => BU2_doutb(0), ADDRB(3) => BU2_doutb(0), ADDRB(2) => BU2_doutb(0), ADDRB(1) => BU2_doutb(0), ADDRB(0) => BU2_doutb(0), DIA(3) => BU2_doutb(0), DIA(2) => BU2_doutb(0), DIA(1) => BU2_doutb(0), DIA(0) => BU2_doutb(0), DIB(3) => BU2_doutb(0), DIB(2) => BU2_doutb(0), DIB(1) => BU2_doutb(0), DIB(0) => BU2_doutb(0), DOA(3) => BU2_U0_blk_mem_generator_valid_cstr_ram_douta14(3), DOA(2) => BU2_U0_blk_mem_generator_valid_cstr_ram_douta14(2), DOA(1) => BU2_U0_blk_mem_generator_valid_cstr_ram_douta14(1), DOA(0) => BU2_U0_blk_mem_generator_valid_cstr_ram_douta14(0), DOB(3) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_15_ram_r_v2_init_ram_dp4x4_ram_DOB_3_UNCONNECTED, DOB(2) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_15_ram_r_v2_init_ram_dp4x4_ram_DOB_2_UNCONNECTED, DOB(1) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_15_ram_r_v2_init_ram_dp4x4_ram_DOB_1_UNCONNECTED, DOB(0) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_15_ram_r_v2_init_ram_dp4x4_ram_DOB_0_UNCONNECTED ); BU2_U0_blk_mem_generator_valid_cstr_ramloop_16_ram_r_v2_init_ram_dp1x1_ram : RAMB16_S1_S1 generic map( SRVAL_A => X"0", SRVAL_B => X"0", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"00F00035BD17F3F60026AA0005DFFFFD080000000C000A620000000000000000", INIT_02 => X"C04A50000C3FFFFDC000000000000CA27FFFFF537FFFA01F80006840108C0000", INIT_03 => X"280C00000003DCA26FFE00105FFFB3C0000084B001CF000600E000119D1B83F3", INIT_04 => X"43FC001653FF83C007DF803E6FEC006130000000622B1FEEA05220000D7FFFFE", INIT_05 => X"07C0C060039AC80030030000122BD0F7D1F5E000057FFFFFD96C0000000227AE", INIT_06 => X"000300F1326BF376E1FAC000037FFFFFE00000000002002E4078F8B2197FAAC0", INIT_07 => X"62CC12020A7E3FFFE004000001070C3E4000FFF362C7EC710700F06E05F4AB08", INIT_08 => X"E00C0000E01C19DC60E7FFC30089F2000301006E050B9C0500010001C2E7E9AC", INIT_09 => X"7CFFC0863475F308C000C60007CC6FF10000C00E02F5A8B638B190000C703FFF", INIT_0A => X"70008E0015ED4FF8494970000093C03F894082000DC3BFFFE11C0000D876CC1C", INIT_0B => X"0F8380001204844FED9C03000CB23FFFF11C0000C3687FFE7F3FF2068871FD92", INIT_0C => X"0230000004413FFFFC1C000042A7FFFE7FBFE7C6A465FE8660008E00D9128FFD", INIT_0D => X"F40C0000447FFFFE7F9BEE6D32DBFEA6000004FF1787EFFE0F0200E016848450", INIT_0E => X"7F8CC838C667FEE30000000302EC4FFFDEA20CC00B05B0487460000000AB3FFF", INIT_0F => X"000000002E5FE7FEB1C00EC7C003B04508E0000008B3FFFFF00C000004CFFFFE", INIT_10 => X"CD70C1036280781AF0E0000003C37CFFF00C0000050FFFFE7F06C818DE8FFE82", INIT_11 => X"E003C0C086C67AFFF00C0000072BFFFE7FE7CC18330FFF8E0080000005E97387", INIT_12 => X"EC040000000DFFFE7FF1CF000CF7FFB000801F007CF6EFFE765901006708083C", INIT_13 => X"7FFCC78042E7FFD240000E00FE3AF21DFFBCC00000D440046003F8C10799FDFF", INIT_14 => X"600000037FFCDE07FB9460013110082A7D07FA01C0A2FBFFE400C000010CFFFE", INIT_15 => X"FECC11023B75F03D381B4001C0BFF2FFE5E7C00801C57FFE7FFCE7840D801FFA", INIT_16 => X"C16AA1C1043DF0FFE6E0000405E2FFFE7FF8E7180D842FFC200000016FFFFFFF", INIT_17 => X"E5D800006DF1FFFE7F83E3800D4C2FFE400000000FFFFFFFFC97687018F9D0C2", INIT_18 => X"7F3000E000890FFF638000000FFBFFFBFDE0F27819FED23C312EBCA0064FFCFF", INIT_19 => X"B3C000007F3DFFF9FC6F053003FBD0BFD0B13E5F00B1F4FFE9C00060DFFFFFFE", INIT_1A => X"FCAFFDB0006851E5706FC04680DEE1FFE9E400605FFFFFFE7E4E75300002C1FF", INIT_1B => X"1014F879BEFFC3FFE8BC00615FFFFFFE7E6C0368000086FFF80000001F42FFFC", INIT_1C => X"EA8C01C17FFF79FE7F9A0C3400003ABFFA0000001F6037FCFC5FFFA0C02C5159", INIT_1D => X"7FE50D7400606047F80000001E3C40FCF96FFF9080B2502A200DFC153EFB13FF", INIT_1E => X"FDB00007FE82AF3C7A8DFFF4C23C5384A409D87F007C67FFEA8C0183FFFFFFFE", INIT_1F => X"DE04FFF500FE50824011F878007D07FFEB9C1F83FFFFF1FE7FFD41B300000D47", INIT_20 => X"5192FDFEF13A03FFEDB00101FFFFFCFE7FFFC058380001407E40000F0E2447C0", INIT_21 => X"EDA9000AFFFFE8FE7FFF642FD40F00C75E00001E07BF073FEC78FFFDD4BCC3F5", INIT_22 => X"7FFF902EF40F008085BC000013E453873CB9FFFE8005B7F8C1D37FF031BA0FFF", INIT_23 => X"A37CC0000FDB97581A1A7FFF608415893014FFFE070D17FFD3408015FFFBC2FE", INIT_24 => X"090B2FFF808426FF092BFFF70FA307FFB0874023FFF7F2FE7FFFE81EE0060340", INIT_25 => X"0C57E62007C30FFFB2537FCFFFE782FE7FFFD41B280000389C7FE0C017E3BFD0", INIT_26 => X"702A602FFFFB00FE7FFFE246C6000001C300FFC0F0F727E0020229FFE8800400", INIT_27 => X"7FFFFA2FCBC00618246003E0FFFD27900C8205FFE460059FF20FC3C20CCA9FFF", INIT_28 => X"5759E078DE7E98C80082019FF27C087FFDFE05007CFE2FFEE33E0C5FFFCF027E", INIT_29 => X"38418837F93C06BFFB3E05000C970FFDC0FDFCBFFFD7247E7FFFFE3FE1F00188", INIT_2A => X"FABE34C08DBE3FFDE3FCF8BFFF20714E7FFFFFFFF2CC18017FA9F03C414F71F4", INIT_2B => X"F7FC087FFFC01C067FFFFFFFFAF1BE335FD63C1F4069B37478619503FD80083F", INIT_2C => X"7F0191FFFE1544001F1A3E07C25A72B5667096CFFFC0ED7FF6FE3AC14C3C5FFE", INIT_2D => X"7F828E0385CA8E3382381F853F6103FFECFC7EC014701FFE7BFC057FFE10FE52", INIT_2E => X"039405EE6799B7FFCFF2FFFF6FEEFFFE4BFEF57FFD907F7A7FC7D1FFFFF4A001", INIT_2F => X"E3A6FC397FF8BFFC97FFFF7FFC71FFE67C6A01DFFFFC6C027FFD960103EF58C2", INIT_30 => X"2FFFFA7FFD717FF86FBD84503FFC400DFFFFD000B1AC464F87D604F037A13FFF", INIT_31 => X"017F23182FFE1396FFFFF800C1BF1202430E0A7FE635FFFFF38DFD3BA870BFFD", INIT_32 => X"FFFFF86070FFCAD1A14464FFFF0BFFFFFBFDFCFDC0D0FFFD5FFFFAFFF920FFF8", INIT_33 => X"10460467FFF0FFFFF03CF0FEF2B1FFFD7FFFFAFFF630FFF8106FA9701BEF112F", INIT_34 => X"EE01C6FF9BE17FFD7F7FC6FFE407FFE4386FEA7C6A0FF91FFFFFFE1830FFC988", INIT_35 => X"7E0025FFF801FFE4100BFF04E66FFF1FFFFFFC9C03FFED2A28498C35FFFDBFFF", INIT_36 => X"03B1FD80C069FECFFFFFFEC0007FFDF2344A880EFFFEBFFFD29FB17FE7117FFE", INIT_37 => X"FFFFFF60013FFE1DD4498901FFFF5FF8163FB97FCAD07FFEDE0073FFE00FFFE0", INIT_38 => X"F24001C0BFFF6FC0D23FB87FD720FFFF1EF357FFC123FFD801C5FFD17E8AFEAF", INIT_39 => X"EA7FB1FFD7B0FFFF8CF357FFF007FFC871807FE72182B427FFFFFFF100DFFF0F", INIT_3A => X"AD9757FFEC1FFFE007113FE0078034D47FFFFFF9B26FFE3FF81041C08FFF1031", INIT_3B => X"00103FE024F03008BFFFFFF0800FFE87FC1801E167FEE947FAFFAFFFDE12FFFF", INIT_3C => X"5FFEFFF800A7FF13FB2010F054BEE99FF8E72FFFFA33FFFFF11FAFFF840FFFD0", INIT_3D => X"FEB0D0F059BF483FF787AFFFE522FFFFB32FB7FFA43FFF203C003FF373E80003", WRITE_MODE_B => "WRITE_FIRST", INIT_3E => X"F3C0BFFFC020FFFF6B7F8FFFE4FFFE801CF09FFA7A003C00DFFE1FFC805BFF4F", SIM_COLLISION_CHECK => "NONE", INIT_A => X"0", INIT_B => X"0", WRITE_MODE_A => "WRITE_FIRST", INIT_3F => X"EBE78FFF04BFFC407CFB0FFD73197C000BFF5FFFD009FFE1FC41507046BFB9FF" ) port map ( CLKA => clka, CLKB => BU2_doutb(0), ENA => BU2_U0_blk_mem_generator_valid_cstr_ram_ena, ENB => BU2_N1, SSRA => BU2_doutb(0), SSRB => BU2_doutb(0), WEA => BU2_doutb(0), WEB => BU2_doutb(0), ADDRA(13) => addra_6(13), ADDRA(12) => addra_6(12), ADDRA(11) => addra_6(11), ADDRA(10) => addra_6(10), ADDRA(9) => addra_6(9), ADDRA(8) => addra_6(8), ADDRA(7) => addra_6(7), ADDRA(6) => addra_6(6), ADDRA(5) => addra_6(5), ADDRA(4) => addra_6(4), ADDRA(3) => addra_6(3), ADDRA(2) => addra_6(2), ADDRA(1) => addra_6(1), ADDRA(0) => addra_6(0), ADDRB(13) => BU2_doutb(0), ADDRB(12) => BU2_doutb(0), ADDRB(11) => BU2_doutb(0), ADDRB(10) => BU2_doutb(0), ADDRB(9) => BU2_doutb(0), ADDRB(8) => BU2_doutb(0), ADDRB(7) => BU2_doutb(0), ADDRB(6) => BU2_doutb(0), ADDRB(5) => BU2_doutb(0), ADDRB(4) => BU2_doutb(0), ADDRB(3) => BU2_doutb(0), ADDRB(2) => BU2_doutb(0), ADDRB(1) => BU2_doutb(0), ADDRB(0) => BU2_doutb(0), DIA(0) => BU2_doutb(0), DIB(0) => BU2_doutb(0), DOA(0) => BU2_U0_blk_mem_generator_valid_cstr_ram_douta15, DOB(0) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_16_ram_r_v2_init_ram_dp1x1_ram_DOB_0_UNCONNECTED ); BU2_U0_blk_mem_generator_valid_cstr_ramloop_17_ram_r_v2_init_ram_dp1x1_ram : RAMB16_S1_S1 generic map( SRVAL_A => X"0", SRVAL_B => X"0", INIT_00 => X"7F9A87DE39A23C0009FF9FFF6306FFE5FF87507038BF87FFFB80FFFFEA23FFFF", INIT_01 => X"017F8FFFEB837FD5FF03500608BFFFFFF9085FFFF6A7FFFFDB171FFFC0FFFA80", INIT_02 => X"FFF4400D0C80FFFFF800FFFFFD81FFFFFB1A3FFFC07FFD427FFF5CFFDFFA8C00", INIT_03 => X"FC01FFFFFD0FFFFF371AFFFE03FFF2447FFFEEFF77FE4C00019FDBFFE4C1BFD1", INIT_04 => X"0F1BFFFE80FFF24A7FFFFFFF34CFCC7FC027F8FFF6005FF1FFFDC0043880FFFF", INIT_05 => X"7FE31FFF2318DCFF601BFCFFF9181FFFFFF900073FC3FFFFFC03FFFFFD0FFFFF", INIT_06 => X"E06BFFFFFCDC5FFFFFF90C6DE007FFFFFCC7FFFFF90BFFFF1899FFFE05FFF2C8", INIT_07 => X"FFFA84A3E787FFFFF8FFFFFFF61BFFFF90B9FFFC05FFF0CC7EE0D770449C0427", INIT_08 => X"F9FFFFFFCA07FFFFB773FFFF43FFEC167BE01F1EEB2385DC40023FFFFFAF2BFF", INIT_09 => X"B723FFFB4FFFD46A4A09001FB85E417D0008AFFFFFD789FFFFFBF2D2C787FFFF", INIT_0A => X"58D101403ADC09FCA18027FFFFEFC6FFFFF30C4EBC70FFFFF1FFFFFFB43FFFFF", INIT_0B => X"94004DFFFFEBF37FFFF632FD00006FFFF3FFFFFF544FFFFFA64BFFF807FFB4A6", INIT_0C => X"FFF90EF887F86BFFF3FFFFF9FA7FFFFF5D1FFFFE1FFFA85E43F00030E2C02FFF", INIT_0D => X"E7FFFFFBEA1FFFFF5E1FFFF027FFB13E27000099E1326FFFF50004FFFFF9F93F", INIT_0E => X"5A9FFFF40FFFE2BE3000668000F863FFFDA081BFFFFC689FFFFFF0F8A71895FF", INIT_0F => X"00006400000017FFFFC4209FFFFE844CFFFFFE7B7F0409FFC7FFFFFB8CFFFFFF", INIT_10 => X"FF581C5FFFFFAE2EFFFFFE166F4601FF8FFFFFF8643FFFFF421FFFE05FFFE97E", INIT_11 => X"FFFFFE0E8F5D65FF8FFFFFFFE5FFFFFF4F9FFFE85FFF267E001CF80010704FFF", INIT_12 => X"9FFFFFFFF07FFFFFF07FFFF01FFEF1FE0000F80011009FFFFFCC061BFFFFD31B", INIT_13 => X"8FFFFFC03FFE2FFE01000010010137FFFFFEB809FFFFF5CD3FFFFE3AEF5AA7FF", INIT_14 => X"4100003C00018BFFFFFFD4057FFFFAE6410FFFBF5D9CC0FF1FFFFFFFCF7FFFFE", INIT_15 => X"FFFFFFC15FFFFD313FE7FF8D21EFDD7F7FFFFFFFFCFFFFFE3FFFFFF07FFD5FFE", INIT_16 => X"1E37FFB0064FDF3FFFFFFFFF9EFFFFFC7FFFFF807FFA7FFE2000003E00029BFF", INIT_17 => X"FFFFFFFFD1FFFFFCFFFFFFE07FFA3FFE2000001FE0027BFFFFFFFC505FFFFF9C", INIT_18 => X"FFFFFFD07FF8BFFE27070000E0018BFFFFFFFFF519FFFFAFB3EFFFE9841FFE1C", INIT_19 => X"270700000000303FFFFFFFF266FFFFD7D64FFFC9009FFF1CFFFFFFFFC5FFFFFF", INIT_1A => X"FFFFFFFF91BFFFE9F7DFFFE8003FFFFFFFFFFFFF4BFFFFFFFFFFFF103FF45FFE", INIT_1B => X"CFFFFFA80627FFF3FFFE1FFF4FFFFFF3FFFFFF54FFE8BFFE340604000080020F", INIT_1C => X"FFFDDFFFA7FFFFF7FFFFFF8CBFFAFFFE5461200000000067FFFFFFFFD4D7FFF4", INIT_1D => X"FFFFFC0ABFDBFFF050CF0000000014203FFFFFFFF415FFFD21FFFF840A07FFE3", INIT_1E => X"48C0F001001B2CFFDFFFFFFFFC4E7FFEA2FFFE44115FFFE7FFF65FFFFFFFFFFF", INIT_1F => X"AFFFFFFFFFABDFFFC17FFC5C711FFFE7F8CB9FFE4FFFFFFFFFFFFF023FC7FFF0", INIT_20 => X"EC3FFFF03883FFE7FFB4FFFE2FFFFFFFFFFFF82FFFFFFFF818701031001927C7", INIT_21 => X"FFA86FFF3FFFFFFFFFFFFA047FFFFF90700FF0200010180017FFFFFFFFECD7FF", INIT_22 => X"FFFFFE3DFFFFFF400400600040000E08943FFFFFFFFAB4FFF3DFFDF01C8FFFE7", INIT_23 => X"0460014000001101C96FFF4FFFFEBD3FEBAFF838299FFFE7FFB06EFF3FFFFFFF", INIT_24 => X"07E6FDE7FFFFAB2FFDDFFBEFAF4BFFE7FF907EFD1FFFFFFFFFFFF419FFFFFD84", INIT_25 => X"FED7FB628B1FFFE7FFA22FFC1FFFFFFFFFFFF2FAFFFFF574000300C0E0010941", INIT_26 => X"FFE027FE5FFFFFFFFFFFF8AAFFFFD9980003C0E0303E0633E0076113FFFFE6CB", INIT_27 => X"FFFFC1E1FFFFC77A0001F800120000F0214076EFFFFFF5FA7F6FFC7805F7FFE1", INIT_28 => X"001064001010D8300000008FFFFFFD3E3FB3FF27C687FFE0FF0387FA5FFFFFFF", INIT_29 => X"0080008DFFFFFFD8AF45FFFFC27FFFFFFFDBFFF67FFFFFFFFFFF9065FFFEDCDE", INIT_2A => X"6FA2FFFF4A7FFFDFFFD9FFF47FFFFFFFFFFFE3EFFFFC86BE001E240000C69400", INIT_2B => X"FED8FFF5BFFFFFFFFFFFA0FBFFFB34FE00FF0000016F9238F383C0A17FFFFFAC", INIT_2C => X"FFFE67FBFFF5DFFE00002000E2E8FCE0E104200397FFFFD39DD17FFF8C7FFF87", INIT_2D => X"00008000F22C8508C004200017FFFFF6C470BFFF417FFF01E41BFFE5BFFFFFFF", INIT_2E => X"00078680C7FFFFFC93085FFE449BFF80C18DFF8CBFFFFFFFFFFC73D7FFF01FFE", INIT_2F => X"B4AC23F1C15A3F80019C7F98FFFFFFFFFFF92FD7FFFFFFFE00000020F205E5FA", INIT_30 => X"711C1FB0FFFFFFFFFFF63FC7FFFFFFFE0000000061599DF600028000037FFFFF", INIT_31 => X"FFFBCFFFFFFFFFFE3EC0000000E0463838057FC179BFFFFFF24E33FDC1C2BFFB", INIT_32 => X"00C000000000BE003C1DA131E48FFFFFFAEE0DE00001FFFAD64C4F41FFFFFFFF", INIT_33 => X"18318FD0002BFFFFFC83EAE0008E7CF928403F31FFFFFFFFFFD0AFFFFFFFFFFE", INIT_34 => X"FFA32D64906DF8F817B1BEBD7FFE7FFFFFA05FFFFFFFFFFE00C0000300080400", INIT_35 => X"0419BEB57FFC3FFFFFC07FFFFFFFFFFE4000000103CB4200400E7812008AFFFF", INIT_36 => X"FF82FFFFFFFFFFFE30000001C3E7B88006E0000780127FFFFFEF5228D051F0FB", INIT_37 => X"4400008181C47C000010000680607FFFFFFC2146404E300483E73EA17FFC3FFF", INIT_38 => X"98082600018027FFFFFF00DF600357C0706CDF22FFFBBFFFFD09FFFFFFFFFFFE", INIT_39 => X"FFE3603F0003A3708E17ED02FFF77FFFFA27FFFFFFFFFFFE760F00001001F908", INIT_3A => X"0E0A1E8BFFCBFFFFF45FFFFFFFFFFFFE7C00000030FFC2FF61060200030017FF", INIT_3B => X"C83FFFFFFFFFFFFE000000FF21031B0E20B01A180FC003FFFFF66000C1486E3F", INIT_3C => X"3100001021CB00E7FFF188E47883E4FFFFC00000C698400F0C06FA1DFF92FFFF", INIT_3D => X"FFFE00EC0C01FA8E8FC00000FF8786F16C02843DFF75FFFFB2FFFFFFFFFFFFFE", WRITE_MODE_B => "WRITE_FIRST", INIT_3E => X"CFD90100C18F278054213B3BFCC5FFFF6DFFFFFFFFFFFFFE3100000021F7FFFF", SIM_COLLISION_CHECK => "NONE", INIT_A => X"0", INIT_B => X"0", WRITE_MODE_A => "WRITE_FIRST", INIT_3F => X"0800E7BDF987FFF9F7FFFFFFFFFFFFFE71000000F1B7FFFFFFFE00F41C9031DF" ) port map ( CLKA => clka, CLKB => BU2_doutb(0), ENA => BU2_U0_blk_mem_generator_valid_cstr_ram_ena0, ENB => BU2_doutb(0), SSRA => BU2_doutb(0), SSRB => BU2_doutb(0), WEA => BU2_doutb(0), WEB => BU2_doutb(0), ADDRA(13) => addra_6(13), ADDRA(12) => addra_6(12), ADDRA(11) => addra_6(11), ADDRA(10) => addra_6(10), ADDRA(9) => addra_6(9), ADDRA(8) => addra_6(8), ADDRA(7) => addra_6(7), ADDRA(6) => addra_6(6), ADDRA(5) => addra_6(5), ADDRA(4) => addra_6(4), ADDRA(3) => addra_6(3), ADDRA(2) => addra_6(2), ADDRA(1) => addra_6(1), ADDRA(0) => addra_6(0), ADDRB(13) => BU2_doutb(0), ADDRB(12) => BU2_doutb(0), ADDRB(11) => BU2_doutb(0), ADDRB(10) => BU2_doutb(0), ADDRB(9) => BU2_doutb(0), ADDRB(8) => BU2_doutb(0), ADDRB(7) => BU2_doutb(0), ADDRB(6) => BU2_doutb(0), ADDRB(5) => BU2_doutb(0), ADDRB(4) => BU2_doutb(0), ADDRB(3) => BU2_doutb(0), ADDRB(2) => BU2_doutb(0), ADDRB(1) => BU2_doutb(0), ADDRB(0) => BU2_doutb(0), DIA(0) => BU2_doutb(0), DIB(0) => BU2_doutb(0), DOA(0) => BU2_U0_blk_mem_generator_valid_cstr_ram_douta16, DOB(0) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_17_ram_r_v2_init_ram_dp1x1_ram_DOB_0_UNCONNECTED ); BU2_U0_blk_mem_generator_valid_cstr_ramloop_18_ram_r_v2_init_ram_dp1x1_ram : RAMB16_S1_S1 generic map( SRVAL_A => X"0", SRVAL_B => X"0", INIT_00 => X"6FFFFFFFFFFFFFFE00030000F00FFFFFFFFFBBFC3CB000004FD90680002B7800", INIT_01 => X"00078000BCBFFFFFFFFFBBFFFFD800012BC80FC001605801B0001F3F3B83FFF3", INIT_02 => X"FFFFFFFFFFE4CE0E0D901FC482615C07FE003E3BD98FFFEF9FFFFFFFFFFFFFFC", INIT_03 => X"00800000C25042037E687E746D17FF9E7FFFFFFFFFFFFFFE00078000063FFFFF", INIT_04 => X"FE6476CB3E1FFF3DFFFFFFFFFFFFFFFA00060000031FFFFFFFFFFFFFFFFF7D20", INIT_05 => X"FFFFFFFFFFFFFFF800000100E3DFFFFFFFFFFFFFFFFFFD8300F00000C3309650", INIT_06 => X"000000410B5FFFFFFFFFFFFFFFFFFEC0000100008032C86AFA81E4C2FECFFCF3", INIT_07 => X"FFFFFFFFFFFFFF2003000000003578603A83448E3EBFF9CFFFFFFFFFFFFFFFF4", INIT_08 => X"01A00000003C1A0D7A82C49FE2FFF63FFFFFFFFFFFFFFFE810800001745FFFFF", INIT_09 => X"F50289DFDCE7CDFFFFFFFFFFFFFFFFA20080000D287FFFFFFFFFFFFFFFFFFF90", INIT_0A => X"FFFFFFFFFFFFFE8400C00010DA0FFFFEFFFFFFFFFFFFFFD620000000183066CC", INIT_0B => X"00F00136642FFFFAFC17FFFFFFFFFFF6C000000C18063E54F9020F1F5F6B33FF", INIT_0C => X"F0185FFFFFFFFFFF3000C00070011C70EA650C3FED74CFFFFFFFFFFFFFFFC218", INIT_0D => X"D00080004073C0074A6B2C3EDEB73FFFFFFFFFFFFFFF1CE206F013014E4F4F72", INIT_0E => X"FA6A0A3DBF48FFFFFFFFFFFFFFFF1CF202F0299724607FF80100CFE007FFFFFF", INIT_0F => X"FFFFFFFFFFFFEFF4001C10A239770001F80000FF0387FFFFF800001000425801", INIT_10 => X"001C1738377F600FF8006080F8E3FFFFE1C00000011E800544400A7BBF73FFFF", INIT_11 => X"FC060070F87FFFFFD8C0000007D3E3898000467D7E0FFFFF3FFBFFFFFFFFFF22", INIT_12 => X"CC00001F079336108000447FFE7FFFF0B80BFFFFFFFFFCE20078DFF9A877EEFF", INIT_13 => X"400214FFEFFFFF830C37FFFFFFFFFA803C03BCF8286004FF00801EE1FFFFFFFF", INIT_14 => X"F08FFFFFFFFFFA02261BF432800007000000DCFFFFFFFFFFF000000607084897", INIT_15 => X"002BE4E381800100001B3FFFFFFFFFFF807000000384E0A0A90294FFCFFFFC31", INIT_16 => X"0057FFFFFFFFFFFDAFF8000008984FE6AE306CFF7FFFFD9E00DFFFFFFFFFD438", INIT_17 => X"87F800000034C99F4E3101F8FFFBF3BF3F1FFFFFFFFC5FC07FB7F1C600E01D80", INIT_18 => X"C1613BF1FFF61F7FFC9FFFFFFFF4FC306F9F47C000101C08865FFFFFFFFFFFFA", INIT_19 => X"F93FFFFFFF9663C87FFF00C0001C7E0FC4FFFFFFFFFFFFF903B0000020F4BFB4", INIT_1A => X"7FE6C060001CFC6B8FFFFFFFFFFFFFF51C00000005037C9180607BCFFFF6047F", INIT_1B => X"FFFFFFFFFFFFC38ED40000000D7790DA0060592FFFE8141FF0FFFFFFFE4E4976", INIT_1C => X"DEC100000D4363BA0462180FFFF803CFE1FFFFFFF93FAFFA7FE58000180C015F", INIT_1D => X"C062E4FFFFE8064003FFFFFFCCFC3FFA7FF300003C1C027FFFFFFFFFFFFFA630", INIT_1E => X"0FFFFFFF63F2FFF67FF60000381C43FFFFFFFFFFFFFFA24FFDE000E08957295A", INIT_1F => X"7FE46086100000FFFFFFFF8FFFE94CBFFF8000FF00C1AA2200602DFFFFE031A6", INIT_20 => X"FFFFFEA7E0FC42FFFFD7000303404A63A0E14BFFFFD9989FCFFFFFF6308BFF72", INIT_21 => X"FF4E01031EA0D59080A047FFFFB0809F8FFFFF989FF3FE7C7FE8C083380C0DFF", INIT_22 => X"80E37FFFE0A421601FFFFCE04C07FEFE7FC2400F8051FFFFFFFFFF93300CFDFF", INIT_23 => X"FFFFE3033FFFFFFE7EC04080E4BFFFFFFFFFFE2BE01D03FFFF523B030AB9B4F0", INIT_24 => X"7C82638005FFFFFFFFFFF3847862FFFFFE637C01DFB9EF3320827FFCCF8023BF", INIT_25 => X"FFFFC8E303CCFFFFFCFF79004799DFA600037FFDC799427FFFFF3C3CFFFFFFFE", INIT_26 => X"FBFC200040D03FB80006FFF83F515E7FFFF0E7C3FFFFFFFE7641031057FFFF3F", INIT_27 => X"878DFFE2FCDFDF7FFF0FFCFFFFFFFFFE45B501675FFE6621FFFF6E20FF3CFFFF", INIT_28 => X"FC3BFBFFFFFFFFFE28BE00D47FEFC665FFFEA3201CFDFFFFEFFE731801507F31", INIT_29 => X"71AC8037FFCE2109FC7D806F13EBFFFF8FFFB21C2E6DFEDD8783FF9AC3BFFC7F", INIT_2A => X"052D0000E797FFFCBFFBEC1C19AFF3A0064BFF3686FFFFFFFBFF6FFFFFFFFFFE", INIT_2B => X"3FFBE31C2FCFECC80029FF4095FFFFFF07F61FFFFFFFFFFE45D00033FF918182", INIT_2C => X"0021E0A597FFFFFCFFC0FFFFFFFFFFFE05A0813AFCA21C23867C27C3CE6FFFFB", INIT_2D => X"9800FFFFFFFFFFFE18A0010A748E1F08C0800019679FFFF5FFF3ED102F87D734", INIT_2E => X"6C920003FC3E0FA20100032FD5FFFFEAFFF7FA102687D7D8003973771FFFFFE3", INIT_2F => X"0380032719FFFFD5FFE7F800A80FE9E000800937FFFFFF1F67F07FFFFFFFFFFE", INIT_30 => X"FFE7EC00AF7FF2C000016FFFFFFFFCFFDFC7BFFFFFFFFFFE7C070107F1309E2C", INIT_31 => X"001F53FFFFFFF3F83FB9DFFFFFFFFFFE6018031600F07C5006C3803215FFFFAB", INIT_32 => X"FFA31FFFFFFFFFFE78D347A820F001E30C001FA685FFFF57FFEFE400DFFFFAC3", INIT_33 => X"481E585164070361C0009F8095FFFC4FFFFFD5C1DBFFF4030098A7FFFFFFCFC3", INIT_34 => X"01C1BFFD4BFFFE9FFFFFDA60879FF5038001AFFFFFA20007FFB37FFFFFFFFFFE", INIT_35 => X"FFFFC8600367EAC100015FFFFE781FE0FF16EFFFFFFFFFFE27FFFB61100F3E07", INIT_36 => X"1C01D83FC0D87FEE3E140FFFFFFFFFFE4FFFFB83000E8C0781027FFC0FFFF77F", INIT_37 => X"DEE637FFFFFFFFFE6F0BC1110003E9838F91FFFFFFFFFF7FFFFFC8600497CCE0", INIT_38 => X"36043D00012640800FA1FFFFFFFFDBFFFFFFBE20148790E01C0018270089FFC6", INIT_39 => X"00D7FFFFFFFF90FFFFFFFF2050AF20011800701FC0BA7F992E8607FFFFFFFFFE", INIT_3A => X"FFFF08009EEF60030004A1EFF1457FA0D19E0FFFFFFFFFFE11E38A1C0155C980", INIT_3B => X"007C9F9FF3837F60263FE7FFFFFFFFFE485BFC1803A7B1809CAFFFFFFFFF81FF", INIT_3C => X"1FE66FF03FFFFFFE6F340000038F26005C5FFFFFFFFD93FFFFFFCB90DE1F9003", INIT_3D => X"726A8180037FC31EB87FFFFFFFF807FFFFFE0DB0503FD00380C0AFFFEF427F45", WRITE_MODE_B => "WRITE_FIRST", INIT_3E => X"FFFFFFFFFFF00FFFFFFF688A7B9FD00380004FFFD8A1BFE780027FEB9FFFDFFE", SIM_COLLISION_CHECK => "NONE", INIT_A => X"0", INIT_B => X"0", WRITE_MODE_A => "WRITE_FIRST", INIT_3F => X"FFFCD79A3D8FD001800037FFBB6C3FCA8103FF9F1FFFFFFE1C3303C00C2C9EE4" ) port map ( CLKA => clka, CLKB => BU2_doutb(0), ENA => BU2_U0_blk_mem_generator_valid_cstr_ram_ena1_2, ENB => BU2_doutb(0), SSRA => BU2_doutb(0), SSRB => BU2_doutb(0), WEA => BU2_doutb(0), WEB => BU2_doutb(0), ADDRA(13) => addra_6(13), ADDRA(12) => addra_6(12), ADDRA(11) => addra_6(11), ADDRA(10) => addra_6(10), ADDRA(9) => addra_6(9), ADDRA(8) => addra_6(8), ADDRA(7) => addra_6(7), ADDRA(6) => addra_6(6), ADDRA(5) => addra_6(5), ADDRA(4) => addra_6(4), ADDRA(3) => addra_6(3), ADDRA(2) => addra_6(2), ADDRA(1) => addra_6(1), ADDRA(0) => addra_6(0), ADDRB(13) => BU2_doutb(0), ADDRB(12) => BU2_doutb(0), ADDRB(11) => BU2_doutb(0), ADDRB(10) => BU2_doutb(0), ADDRB(9) => BU2_doutb(0), ADDRB(8) => BU2_doutb(0), ADDRB(7) => BU2_doutb(0), ADDRB(6) => BU2_doutb(0), ADDRB(5) => BU2_doutb(0), ADDRB(4) => BU2_doutb(0), ADDRB(3) => BU2_doutb(0), ADDRB(2) => BU2_doutb(0), ADDRB(1) => BU2_doutb(0), ADDRB(0) => BU2_doutb(0), DIA(0) => BU2_doutb(0), DIB(0) => BU2_doutb(0), DOA(0) => BU2_U0_blk_mem_generator_valid_cstr_ram_douta17, DOB(0) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_18_ram_r_v2_init_ram_dp1x1_ram_DOB_0_UNCONNECTED ); BU2_U0_blk_mem_generator_valid_cstr_ramloop_19_ram_r_v2_init_ram_dp1x1_ram : RAMB16_S1_S1 generic map( SRVAL_A => X"0", SRVAL_B => X"0", INIT_00 => X"900079FFB7E47EE000C360781FFFF8FE702301000CAA9F20DFFFFFFFFFD47FFF", INIT_01 => X"00C2FFE7BFFFF006083803E00EA67F877FFFFFFFFF90FFFFFFFEFE921C07F4E0", INIT_02 => X"6C0600E00E38F839BFFFFFFFFF803FFFFFFBFE001C00C64C07809AFFB7FCFCE0", INIT_03 => X"7FFFFFFFFD817FFFFFFDAFA05C0184140484A2F050FEC400000300DFFFFFF026", INIT_04 => X"FFF33F03EBC7800A073C32878C010C880000193FFFFFF8F669C40009C444E1B4", INIT_05 => X"0038B2041EFF00F00C2136FFFFFFF1A66D5800094003CCB3FFF87FFFF301FFFF", INIT_06 => X"000058FFFFFFE1026DD3000A903E14FFFFFD3FFFF889FFFFFFFD5FC0947D6036", INIT_07 => X"6D8B0002303ED7FFFFFCBFFFB083FFFFFFE13FCF3C3AF87F00003CFB6000000C", INIT_08 => X"FFF43FFF0C17FFFFFFFA7FCD6C38F41B24088038003013DC600BA7FFFFFF0006", INIT_09 => X"FFC0FFE6AC7400FB06020C000080CBF9001BEFFFFF0F000E66107962263C5FFF", INIT_0A => X"0412C20001C29BFA60061FFFF80FFFFE71B0004E3C603FFFF836BFFE0837FFFF", INIT_0B => X"D1071FFFF8FFFFFE7FA0000F19E1BFFFF4627FFC800FFFFFFFF7FF728C27E1BD", INIT_0C => X"7F2807CC01C27FFF8C1AFFF8007FFFFFFFD1FF1EEF9FC27E9C35470003CAD5F9", INIT_0D => X"6BE1FFF0801FFFFFFFAFFFC70E7240FA38C2D0030747C9FF5191BFFFFFFFFFFE", INIT_0E => X"FF4BFFCB0874D7F8210058000007F7FFD3893FFFFFFFFFFE7F8723A40084FFFF", INIT_0F => X"8261800003DF60FFE3835FFFFFFFFFFC7E465E7D5469FFFF57C1FFFF82FFFFFF", INIT_10 => X"608F2FFFFFFFFFFC79412F05560FFFFCA38FFFCB85FFFFFFFF47FF5ACC5D81FD", INIT_11 => X"763EF889BB0FFDFBC183FF41307FFFFFFFDFFF063C478BFE4312FC00476E50FF", INIT_12 => X"009BEF4028FFFFFFFF47FFC41D2E43FFC377F640E23CBB7D40309BFFFFFFFFFE", INIT_13 => X"FE8FFE4D4C4B5FFF02FFFB00615CBDB330209BFFFFFFFFFE6502237E3E823F75", INIT_14 => X"017FFC00083C4CB1E03109FFFFFFFFFE741950DF3F2FF86A4327AF9013FFFFFF", INIT_15 => X"4E0F0E7FFFFFFFFE742750DFFFB004E9C96FE00001FFFFFFFEBFFD9E0059A3FF", INIT_16 => X"73CFAEBFFE50C1E1889F000023FFFFFFFE3FFF1EC85D23FFA1DFFD80008FB070", INIT_17 => X"187FFE0407FFFFFFFC0FF91E7C47CFFFE4B7FD800107B4700607867FFFFFFFFE", INIT_18 => X"FF7FF70FC8C7EFFFE657FDBC0087C3790018CC7FFFFFFFFE791FEEFFFDBCF031", INIT_19 => X"E64BFDBD0306792B8E38B83FFFFFFFFE7CFEFFFFFF7CF0FCC97E3C041FFFFFFF", INIT_1A => X"E030B39FFFFF7FFE7FF87FFFF807E0FC8BFC280C9FFF98FFFC3FFB03A807CDFF", INIT_1B => X"7FF8FFFFFE0000203FF9583C3FFF7BFFFAFFFFC3E96742FFE22DFE0F6242BFF8", INIT_1C => X"7FF030317FFEA0FFF83FF59200EE45FFA015FE0D01B03FFFC8003BB3FFFFFFFE", INIT_1D => X"F4FFFA0C12FE41FFC009FE0C1CD08FFFE600FC4F1FFFFFFE7FFFFFFFFD7E760C", INIT_1E => X"EC09FF70346ABFFFF3000360F7FFFFFE7FFFFFFFFA3E16009FE03803FFFAC6FF", INIT_1F => X"FC0000C00C0FFFFE7FFFFFFFFFF9277DBFC21810FFF231FFF97FFBC8A2FAC3FF", INIT_20 => X"7FFFFFFF7F7C83FE7F900097FFE4FFFFF1FFFACF5A7DC0FFFC09FD34202B07FF", INIT_21 => X"3F800087FFF8E1FFEAFFFCEA599280FFC00DFE46600C5FFFC28130BFE7F3FFFE", INIT_22 => X"C0FFF4E0114403FFF80FFE58E18707FFD303E09E063BFFFE7FFFFFFCDE60000A", INIT_23 => X"F00FFA3F6183867FD80701DFFCF3FFFE7FFFFFFAA54700075FB0019FFFC041FF", INIT_24 => X"ECFF409FFF8FFFFE7FFFFFF9B9464CFF1E6083BFFFB00BFFF9FFE6E6CD4B67FF", INIT_25 => X"7FFFFFF928C4B87218C1C12FFFE84FFFEBFFCAC42A5787FFFC017BBF008100BF", INIT_26 => X"5FE0E07FFFCC83FFA5FF913AA5FF81FFF405FD8FC0007A89FC360041FFFFFFFE", INIT_27 => X"C7FF6482A31F82FFFCE799F71039FD29FD80990EFFFFFFFE7FFFFFFAD6C20064", INIT_28 => X"FA60C393E6355E19FF0F643EFFFFFFFE7FFFFFFB318390182DE0609FFFDD9BFF", INIT_29 => X"FFC594703FFFFFFE7FFFF8086042FC607AF0183FFE3DC7FF83FE4001C0E7E27F", INIT_2A => X"7FFFE11F5706FAF0001C0DBFFFBCC7FF4FFD9801381BC17FFE23E135969C3845", INIT_2B => X"0108047FFC6037FF0BFE0420382B803FFD316157D5001D4BFFFCE7F8FFFFFFFE", INIT_2C => X"87F90671380396DFFFB0E197F601CE46FFFFF908FFFFFFFE7FFFE03EDCF04670", INIT_2D => X"FE80228FFC022E967FFFF0C49FFFFFFE7FFFF1FCF032B800810101FFFF410FFE", INIT_2E => X"5FFFF9771FFFFFFE7FFFFFFE1FDA7200CD03C8FFFE415FFC1FF5E0E033311F5F", INIT_2F => X"7FFFFFFF83C77CF8134183FFFA011FFD17F7E0B003491F7FFF400779FE9ADFC7", INIT_30 => X"AE8003FFFC12FFFC77FEE07300111E03FFC6638EFFDABFE39FFFFB7B7FFFFFFE", INIT_31 => X"963F68E00427D03F3E2C1FE4FF9D3FE84FFFFF29FFFFFFFE7FFFFFFFF9E7DB69", INIT_32 => X"40AC17FAFFFC9FE437FFFF071FFFFFFE7FFFFFFFFDB7B114BE000FFFA8D0BFFC", INIT_33 => X"0BFFFFC0DFFFFFFE7FFFFFFFFCFB9BCA91001FFF62417FFA75BF9C860058D00D", INIT_34 => X"7FFFFFFFFE7833E6010127FF8040FFFC3E3D5C616013101E09080FC1FFA04FFA", INIT_35 => X"78002FFE4043FFF03FFEBC9B0193988E53C60FDFFF903FF191FFFFE03FFFFFFE", INIT_36 => X"25F97DA2218398985AEECBEFFF0827FDD3FFFFFC1FFFFFFE7FFFFFFFFF3F17F9", INIT_37 => X"1807C42FFF7A15FFB3FFFFFF30FFFFFE7FFFFFFFFF1F2FFEF81C7FFAB843FFFC", INIT_38 => X"4800FFFFBF1FFFFE7FFFFFFFFE38BFFCE1FE9EE63843FFF145FC7A50A008981C", INIT_39 => X"7FFFFFFFFC30FFFDA31D3DFC3908FFED2FFD73E2810FD8405C07021FFFE50BFF", INIT_3A => X"A80A7D8C0783FFE90FFD30EF40060000FE220237FF3A617FB87CFFFFCFEFFFFE", INIT_3B => X"9FF130E920061800FF1E8137FFFD22BFD8F6FFFFF7F0FBFE7FFFFFFFFF30FFFD", INIT_3C => X"FFAC007BEFFEB17FF0CCFFFFFCCE62267FFFFFFFFF3EFFFF14CA7FEC0000FFF0", INIT_3D => X"FC8BFFFFFF307E1A7FFFFFFFFE1DFFFE0CCBFE1480B0FFD1BFE426E630041800", WRITE_MODE_B => "WRITE_FIRST", INIT_3E => X"7FFFFFFFF83BFFFE984CFF0380F0FFD0FFE805EF180000007FFC0059C3FF515F", SIM_COLLISION_CHECK => "NONE", INIT_A => X"0", INIT_B => X"0", WRITE_MODE_A => "WRITE_FIRST", INIT_3F => X"804EFF99C024FFA2FFF007C2680000001FE000FDDBFFA98FFE97FFFFFFCC5BE2" ) port map ( CLKA => clka, CLKB => BU2_doutb(0), ENA => BU2_U0_blk_mem_generator_valid_cstr_ram_ena12, ENB => BU2_doutb(0), SSRA => BU2_doutb(0), SSRB => BU2_doutb(0), WEA => BU2_doutb(0), WEB => BU2_doutb(0), ADDRA(13) => addra_6(13), ADDRA(12) => addra_6(12), ADDRA(11) => addra_6(11), ADDRA(10) => addra_6(10), ADDRA(9) => addra_6(9), ADDRA(8) => addra_6(8), ADDRA(7) => addra_6(7), ADDRA(6) => addra_6(6), ADDRA(5) => addra_6(5), ADDRA(4) => addra_6(4), ADDRA(3) => addra_6(3), ADDRA(2) => addra_6(2), ADDRA(1) => addra_6(1), ADDRA(0) => addra_6(0), ADDRB(13) => BU2_doutb(0), ADDRB(12) => BU2_doutb(0), ADDRB(11) => BU2_doutb(0), ADDRB(10) => BU2_doutb(0), ADDRB(9) => BU2_doutb(0), ADDRB(8) => BU2_doutb(0), ADDRB(7) => BU2_doutb(0), ADDRB(6) => BU2_doutb(0), ADDRB(5) => BU2_doutb(0), ADDRB(4) => BU2_doutb(0), ADDRB(3) => BU2_doutb(0), ADDRB(2) => BU2_doutb(0), ADDRB(1) => BU2_doutb(0), ADDRB(0) => BU2_doutb(0), DIA(0) => BU2_doutb(0), DIB(0) => BU2_doutb(0), DOA(0) => BU2_U0_blk_mem_generator_valid_cstr_ram_douta18, DOB(0) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_19_ram_r_v2_init_ram_dp1x1_ram_DOB_0_UNCONNECTED ); BU2_U0_blk_mem_generator_valid_cstr_ramloop_20_ram_r_v2_init_ram_dp1x1_ram : RAMB16_S1_S1 generic map( SRVAL_A => X"0", SRVAL_B => X"0", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"FF0FFFEE7E0FB7E7FFE04BFFFC3FFFFE0FFFFFFFF3FFFBDC0000000000000000", INIT_02 => X"FFC41FFFFCFFFFFE0FFFFFFFFFFFFF9C7FFFFFE0FFFFCFFFFFFFE77FECFFFFFF", INIT_03 => X"CFF3FFFFFFFFFF9C7FFFFFE03FFFDC3FFFFF7CCFFB79FFF9FF1FFFF1BE07BBE3", INIT_04 => X"7FFFFFE7CFFFDC3FF8207FC19BBAFF9ECFFFFFFFDC27A5F03FCC3FFFFCFFFFFF", INIT_05 => X"F83F3F9FFBD8CFFFCFFCFFFFCC27ADF81F89FFFFFCFFFFFFEE93FFFFFFFE279C", INIT_06 => X"FFFCFF0EEC67AEF80C03FFFFFEFFFFFFF7FFFFFFFFFE001C7FFF0743F8FFCD3F", INIT_07 => X"010FEDFDF6FFFFFFF7FBFFFFFEFF001C7FFF00039E3FF78EF8FF0F9FFFDC930F", INIT_08 => X"F7F3FFFF1FFC063E7F180003FF87FBFFFCFEFF9FFCEFBFF6FFFEFFFE2CE3B770", INIT_09 => X"7F0000063BF3FDF73FFF39FFFFB7DFFAFFFF3FF1ECF3B786073E6FFFF2FFFFFF", INIT_0A => X"8FFF71FFF1D9DFFDB6B68FFFECF07FFF867F7FFFF33C7FFFF6E3FFFF27F03FFE", INIT_0B => X"F07C7FFFFCFCFBBFE1E3FFFFF38C7FFFF6E3FFFF3F67FFFE7FC002066FF3FEED", INIT_0C => X"03CFFFFFFBDD7FFFF7E3FFFFBE2FFFFE7FC007C677E3FF399FFF71FF360C9FFE", INIT_0D => X"FFF3FFFFBC6FFFFE7FE00FEF7BC7FF39FFFFFB00F0001FFF70FDFF1FF0FCFBA8", INIT_0E => X"7FF00FFFB81FFF3CFFFFFFFCFE0C3FFF61BDF33FF87CCFB8079FFFFFFF937FFF", INIT_0F => X"FFFFFFFFEEEFDFFF3F1FF1383B7FCFB10F1FFFFFF7837FFFFBF3FFFFFCDFFFFE", INIT_10 => X"37DF3EFC98FF87FBFF1FFFFFFFC0FFFFFBF3FFFFFDDFFFFE7FF80FFFC17FFFDD", INIT_11 => X"FFFFFF3F7EC1FDFFFBF3FFFFFFE7FFFE7FF80FFFF0FFFFD1FF7FFFFFD8F1EFF8", INIT_12 => X"F7FBFFFFFFFBFFFE7FFE0FFFFC0FFFDFFF7FE0FFDFF8E001FAF9FEFF9FF7F7FF", INIT_13 => X"7FFF07FFBE1FFFE3BFFFF1FF5FFCF003FB5AFFFFFFDC7FC7FFFFFF3EFF86F8FF", INIT_14 => X"9FFFFFFCDFFF01FFFD93BFFECF63D7E3FEFFFDFE3F9DFAFFF7FF3FFFFEFDFFFE", INIT_15 => X"FCC3DEFDC6E3DF81FFF87FFE3F80FBFFF7F83FF7FFFCFFFE7FFF07FBF37FFFFD", INIT_16 => X"FFE73FFEFB80F9FFF60FFFFBFC01FFFE7FFF07E7F3781FFEDFFFFFFEDFFFFFFF", INIT_17 => X"F4EFFFFF9BFFFFFE7FFC03FFF337E7FF7FFFFFFFDFFFFFFFFE888F8FE5EBFFBC", INIT_18 => X"7FC000FFFF8EFBFFBC7FFFFFDFFFFFFFFE1F0387E5EDFD703F1F3C3FF9C0F5FF", INIT_19 => X"DC3FFFFFDFC3FFFFFF9FF9CFFEEEFF771F8E019FFF8EF5FFF0EFFF9F37FFFFFE", INIT_1A => X"FFDFFECFFEFEFF771FE03F80FFBFF3FFF0CBFF9FCFFFFFFE7F8FF23FFFFD3BFF", INIT_1B => X"1FF3FF86C1BFE7FFF1D3FF9F3FFFFFFE7F8FF78FFFFF7DFFEFFFFFFFBF81FFFF", INIT_1C => X"F1D3FE3FFFFFFFFE7FE3FBC7FFFFC67FF3FFFFFFBF80FFFFFF8FFF3F3F76FFEF", INIT_1D => X"7FF9FC87FF9F9F3FFDFFFFFFBFC03FFFFE67FFDF7FFAFECE3FFBFFEEC1BCD7FF", INIT_1E => X"FECFFFF85F7C90FFFCFBFFE73DB8FEDC3BFBFFFDFF3877FFF1D3FE7EFFFFF9FE", INIT_1F => X"3CFDFFF9FF5CFDD99FF3FFFDFF39F7FFF1D3E07EFFFFF9FE7FFE7F83FFFFF33F", INIT_20 => X"9E71FFFBFF7CF7FFF3DFFEFCFFFFF5FE7FFF7FC03FFFFF3FFF7FFFF0DFDED83F", INIT_21 => X"F3CFFFF9FFFFFDFE7FFFBBE007F0FFC73F7FFFE1EFC6D800DE86FFFEEB6E6DB3", INIT_22 => X"7FFFDFE007F0FF7FBEC3FFFFF7C34C07EEC77FFF3FEE5DB71E30FFFBFF7CF7FF", INIT_23 => X"9D833FFFFBE80F9FF4E53FFFBF73DFC63FF3FFFBFF71FFFFEF80FFF3FFFFEFFE", INIT_24 => X"F9F49FFFDF7C3D000EE7FFFBFF83EFFFCF007FEFFFFFDFFE7FFFCFC007F9FCBF", INIT_25 => X"F7CFFFC007C3EFFFCC4C7FDFFFFFDFFE7FFFE7C4CFFFFFC781801F3FEDF02F9F", INIT_26 => X"8C1C601FFFE7BDFE7FFFFBDFE9FFFFFFC3FF003F0DF82FBFFFFDE7FFCF7FFC3F", INIT_27 => X"7FFFFC1FEC3FF9E7E47FFC1F02FE2FDFF3FDFCFFF79FFDBFFBBFFCFEF0C36FFF", INIT_28 => X"C89E1F8722FF07EFFFFDFFBFFB83F83FF9BFF9FEFF03FFFF1CFC003FFFE87EFE", INIT_29 => X"C7FE7FEFFDC3F07FFD7FF9FF7F7ADFFE3FFE007FFF9FE73E7FFFFFFFF60FFE77", INIT_2A => X"FC7FF8FF807BDFFE1FFF007FFFBFDDDE7FFFFFFFFB33E7FEDFCE0FC3BF3F8EE7", INIT_2B => X"0FFFF0FFFF7FBEEE7FFFFFFFFCFFC1CCFFE7C3E0BFE7CCE787FE71F7FEFFF8FF", INIT_2C => X"7FFE7FFFFFE47BFFBFE3C1F83E798C4699FF7F7BFF7FFCFFF87FFCFF0CFBFFFF", INIT_2D => X"3FFCF1FC7C7900327DFFF7C3FFBF01FFF07FFCFEE4FBBFFF87FFF8FFFF6FBF76", INIT_2E => X"FC77F3F19FDF4FFFF07DFDFEF775BFFF87FFF8FFFEEF7FB87FE7C0FFFFFB3FFF", INIT_2F => X"FC79FE00F76FFFFF0FFFF8FFFE8F7FCE7FF3FF3FFFFFB3FEFFFE19FEFFE078F3", INIT_30 => X"1FFFFDFFFF8FFFEE107EFBCFFFFF9FFCFFFFE7FF4F9F7E7FF837FDFFCFC7FFFF", INIT_31 => X"013FBCF81FFFDFF1FFFFF3FF3C7FAE3E7CFFF6FFFFC77FFFFC73FEFC70EFFFFE", INIT_32 => X"FFFFFD9F8DFFD61F3EBC9BBFFFF37FFFFC03FFFE31FF7FFE3FFFFDFFFDDEFFEE", INIT_33 => X"1FBCFBDFFFFC7FFFFFC3FFFF01DF7FFE3FFFFDFFFBCDFFEE001FCE8FF7FFE01F", INIT_34 => X"F1FFF9FFE7BFFFFE3FFFF9FFF7FDFFF6001FF38399FFFEFFFFFFFCE7CDFFEF6F", INIT_35 => X"3FFFDBFFEFFBFFF60007FDFB1E1FFFFFFFFFFFE3FEFFF7720FB4F3F3FFFE7FFF", INIT_36 => X"03B3FEFF3FE7FF3FFFFFFF7FFEFFF9BDC7B6F7FDFFFF7FFFE1FFCEFFFFAFFFFF", INIT_37 => X"FFFFFFBFFF7FFCBFE7B7F6FCFFFFBFFFE1FFC6FFF76EFFFF3FFF8FFFEFFBFFF6", INIT_38 => X"FBBFFE3E7FFF9FFFE1FFC7FFE05EFFFFFF0F8FFFEED7FFEE01FCFF6E8179FC9F", INIT_39 => X"F1FFCFFFE0CEFFFFFF0F8FFFDFF7FFEE71FEFFB8DE7E79EFFFFFFFDEFFBFFEDF", INIT_3A => X"DE0F8FFFD3F7FFCE7FEF7FCFF87F8733FFFFFFEE4DDFFFEFFDEFBE3FBFFF8FCF", INIT_3B => X"7FEF9FF73B0FFFF87FFFFFFB7FDFFFEFFDE7FE1EDFFF66BFF1FFDFFFE0EEFFFF", INIT_3C => X"3FFFFFFDFF6FFF77FDDFEF0FCF7F667FF1FF9FFFC4CEFFFFCE0FC7FFDBDFFF9E", INIT_3D => X"FCCFEF0FC67F87FFF87F1FFFC1DFFFFFCC1FCFFFFB9FFFBE3FFFEFFBDC17FFFF", WRITE_MODE_B => "WRITE_FIRST", INIT_3E => X"FC3F1FFFE1DDFFFF9C1FFFFFBBBFFF3E60FF77FCEDFFC3FFCFFFFFFEFFB7FFFB", SIM_COLLISION_CHECK => "NONE", INIT_A => X"0", INIT_B => X"0", WRITE_MODE_A => "WRITE_FIRST", INIT_3F => X"1C1FFFFFBBFFFF7E7F03FBFEFBFE83FFE7FFBFFF6FFBFFBBFF7F6F8FC07FC7FF" ) port map ( CLKA => clka, CLKB => BU2_doutb(0), ENA => BU2_U0_blk_mem_generator_valid_cstr_ram_ena, ENB => BU2_N1, SSRA => BU2_doutb(0), SSRB => BU2_doutb(0), WEA => BU2_doutb(0), WEB => BU2_doutb(0), ADDRA(13) => addra_6(13), ADDRA(12) => addra_6(12), ADDRA(11) => addra_6(11), ADDRA(10) => addra_6(10), ADDRA(9) => addra_6(9), ADDRA(8) => addra_6(8), ADDRA(7) => addra_6(7), ADDRA(6) => addra_6(6), ADDRA(5) => addra_6(5), ADDRA(4) => addra_6(4), ADDRA(3) => addra_6(3), ADDRA(2) => addra_6(2), ADDRA(1) => addra_6(1), ADDRA(0) => addra_6(0), ADDRB(13) => BU2_doutb(0), ADDRB(12) => BU2_doutb(0), ADDRB(11) => BU2_doutb(0), ADDRB(10) => BU2_doutb(0), ADDRB(9) => BU2_doutb(0), ADDRB(8) => BU2_doutb(0), ADDRB(7) => BU2_doutb(0), ADDRB(6) => BU2_doutb(0), ADDRB(5) => BU2_doutb(0), ADDRB(4) => BU2_doutb(0), ADDRB(3) => BU2_doutb(0), ADDRB(2) => BU2_doutb(0), ADDRB(1) => BU2_doutb(0), ADDRB(0) => BU2_doutb(0), DIA(0) => BU2_doutb(0), DIB(0) => BU2_doutb(0), DOA(0) => BU2_U0_blk_mem_generator_valid_cstr_ram_douta19, DOB(0) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_20_ram_r_v2_init_ram_dp1x1_ram_DOB_0_UNCONNECTED ); BU2_U0_blk_mem_generator_valid_cstr_ramloop_21_ram_r_v2_init_ram_dp1x1_ram : RAMB16_S1_S1 generic map( SRVAL_A => X"0", SRVAL_B => X"0", INIT_00 => X"7FFCFDFFFE1BC3FFFBFFFFFFBFFDFFBFFF076F8FF87FFFFFFC7F1FFFF3DDFFFF", INIT_01 => X"FCFFFFFFCFFEFFDFFFFB6FFFF87FFFFFFEF7BFFFF8DDFFFF3CEFFFFF7F7FFCFE", INIT_02 => X"FFF87FFDFC7FFFFFFFFFFFFFFEFBFFFF3CE7FFFF7EFFF9BE7FFF9DFF3FFCF3FF", INIT_03 => X"FFFFFFFFFEFBFFFFF8E7FFFF7EFFFBBC7FFFF1FF8FFF73FFFFBFFFFFF7FF7FE3", INIT_04 => X"F0E7FFFFFDFFFBB87FFFFFFFB33F73803FEFFFFFFBFF3FFFFFF9FFFC387FFFFF", INIT_05 => X"7FFFFFFFB80763009FF7FFFFFDFFBFFFFFFDFFFCC03FFFFFFFFFFFFFFFFBFFFF", INIT_06 => X"1F99FFFFFFFFCFFFFFFDF3FCFFFFFFFFFFFFFFFFFDFFFFFFE067FFFEFDFFFB3A", INIT_07 => X"FFFCFBBEFFFFFFFFFFFFFFFFFBF7FFFFE047FFFEFFFFFB3A7F00C8FF9C83BBD8", INIT_08 => X"FFFFFFFFF3EFFFFFC70FFFFDBBFFF7F27DFFFF000F1F3CFFBFFEFFFFFF3FE7FF", INIT_09 => X"C71FFFFDBBFFE7E673F6FFFF8E3F7B81FFF79FFFFF9FFBFFFFFCFE1EFFFFFFFF", INIT_0A => X"1F2EFEBFFE3EFBFF3E7FEFFFFFCFFDFFFFFC0DEE438FFFFFFFFFFFFFC7EFFFFF", INIT_0B => X"E7FFBBFFFFF3FEFFFFF801EF00001FFFFFFFFFFF87DFFFFFC63FFFFDF7FFC79E", INIT_0C => X"FFFEF1EF87FFE7FFFFFFFFFE03DFFFFF9CFFFFFBF7FFCF3E7C0FFFCF1E3DDBFF", INIT_0D => X"FFFFFFFC13BFFFFF9DFFFFFBEFFFDF7E58FFFF661F039BFFF9FFFDFFFFFDFF7F", INIT_0E => X"99FFFFFFDFFFCE7E4FFF997FFFFF97FFFEDF7F7FFFFE6FBFFFFFFFEF871F73FF", INIT_0F => X"7FFF9BFFFFFFF7FFFF7BDFBFFFFF07DFFFFFFFEF0F07FBFFFFFFFFFC77BFFFFF", INIT_10 => X"FF9FE3CFFFFFCFE1FFFFFFE60F3BFBFFFFFFFFFFF77FFFFF81FFFFF7FFFFC8FE", INIT_11 => X"FFFFFFF66F399FFFFFFFFFFFF77FFFFF807FFFFFFFFFC1FE7FE307FFEF8FDFFF", INIT_12 => X"FFFFFFFFE6FFFFFF0FFFFFEFBFFF0FFE7FFF07FFEEFFBFFFFFF0F9F7FFFFE3F8", INIT_13 => X"7FFFFFEFBFFF1FFE7EFFFFEFFEFF7FFFFFFF3FFBFFFFF9FCFFFFFFF20F3CDDFF", INIT_14 => X"7EFFFFC3FFFF77FFFFFFE7FCFFFFFCFE3FFFFFF71DBF7DFFFFFFFFFFEEFFFFFF", INIT_15 => X"FFFFFBFF3FFFFE3F001FFFF739DF9CFFFFFFFFFFDDFFFFFFFFFFFFDFBFFE3FFE", INIT_16 => X"000FFFC7FFDFE0FFFFFFFFFFDDFFFFFFFFFFFFDFBFFC3FFE3FFFFFC1FFFE67FF", INIT_17 => X"FFFFFFFF93FFFFFFFFFFFFBFBFFC7FFE3FFFFFE01FFE07FFFFFFFF9FCFFFFF1F", INIT_18 => X"FFFFFFAFBFFC7FFE38F8FFFF1FFF87FFFFFFFFE7F7FFFFCF8C1FFFCE7FCFFFFF", INIT_19 => X"38F8FFFFFFFFF7FFFFFFFFFC7DFFFFE7CFBFFFEEFF4FFFFFFFFFFFFF83FFFFFF", INIT_1A => X"FFFFFFFFDF7FFFF1EFFFFFCFFFEFFFFFFFFFFFFF87FFFFFFFFFFFFAFBFF83FFE", INIT_1B => X"C7FFFFCFFFEFFFFFFFFFFFFF87FFFFFFFFFFFF6FBFF07FFC3BF9FBFFFF7FF7FF", INIT_1C => X"FFFE3FFF2FFFFFFFFFFFFEFFFFE1FFFA1BFEDFFFFFFFF79FFFFFFFFFE7CFFFF8", INIT_1D => X"FFFFFEFBFFE7FFFA1FCFFFFFFFFFF3DFFFFFFFFFF8F3FFFE27FFFFC7FBEFFFFF", INIT_1E => X"0FC0FFFEFFE4E0003FFFFFFFFF7DFFFF21FFFF87F1B7FFFFFFF83FFF6FFFFFFF", INIT_1F => X"9FFFFFFFFFCF3FFF80FFFF9FF1F7FFFFFFF3BFFF5FFFFFFFFFFFFDF37FFFFFF6", INIT_20 => X"CC7FFFDFF8F7FFFFFFC7DFFF1FFFFFFFFFFFFDE37FFFFFEE1FF01FCEFFE6E7C7", INIT_21 => X"FFCFDFFE1FFFFFFFFFFFFFD8FFFFFFDE7FFFFFDFFFEFFFFFCFFFFFFFFFF7CFFF", INIT_22 => X"FFFFFBD8FFFFFFFE7BFFFFFFBFFFFFF773FFFFFFFFFCF3FFE3BFFFDFFCFBFFFF", INIT_23 => X"7B9FFEBFFFFFF1FE381FFFBFFFFF3CFFF39FFFDFD8FBFFFFFFDFDFFE1FFFFFFF", INIT_24 => X"FFE1FEEFFFFFCF1FF9CFFC0FD847FFFFFFDFDFFE3FFFFFFFFFFFFFB0FFFFFEFA", INIT_25 => X"FCCFFC80F807FFFFFFFE1FFE3FFFFFFFFFFFF5B1FFFFF98C7FFFFF3F1FFEF87E", INIT_26 => X"FFBDDFFC3FFFFFFFFFFFEF71FFFFEE7A7FFFFF1FCFC1FE3C1FFF1DF7FFFFF7C7", INIT_27 => X"FFFFEF73FFFF98C67FFFFFFFEDFFFFFFDEBFF71BFFFFF9F9FE67FF877C0FFFFF", INIT_28 => X"7FEFE7FFEFFFDFFFFFFFFF7BFFFFFE3E7F37FFDF7E7FFFFFFFBDFFFC3FFFFFFF", INIT_29 => X"FF7FFF7BFFFFFF1F9F83FFFF7EFFFFFFFF65FFF83FFFFFFFFFFFDEF3FFFF63BE", INIT_2A => X"E7C1FFFF76FFFFFFFF65FFF83FFFFFFFFFFFBEF3FFFEFE7E7FE1E7FFFFC667FF", INIT_2B => X"FF65FFF87FFFFFFFFFFF3DE7FFFDF3FE7F00FFFFFF0F63C70C7FFF58FFFFFFCF", INIT_2C => X"FFFF7DE7FFF9CFFE7FFFDFFF1E0FFCFF1EFC3FFF6FFFFFE3FBE0FFFEF2FFFFFF", INIT_2D => X"7FFF7FFF0ECF7C0F3FFC3FFF13FFFFF8FDE07FFDBFFFFFFFFF66FFF87FFFFFFF", INIT_2E => X"FFFFF97FFDFFFFFF1F703FFBBB67FFFFFEF37FF07FFFFFFFFFFEF7EFFFFFFFFE", INIT_2F => X"C7901FFA3F19FFFFFEE33FE07FFFFFFFFFFDE7EFFFFFFFFE7FFFFFDF0EE61C03", INIT_30 => X"0E63BFC07FFFFFFFFFFBEFFFFFFFFFFE7FFFFFFF9F1E7C07FFFEFFFFFEFFFFFF", INIT_31 => X"FFF3DFFFFFFFFFFE413FFFFFFFFFC63FFFFC7FFE877FFFFFFBC00FF63FFE7FFC", INIT_32 => X"7F3FFFFFFFFF87FFFFFC203E1BBFFFFFFCE003F7FFFF7FFCCF73DF807FFFFFFF", INIT_33 => X"FFF0001FFFE7FFFFFF0009F7FF7EFFFDE77FEFB07FFFFFFFFFE09FFFFFFFFFFE", INIT_34 => X"FFC0CCF79FE3FFFDF03E6F3CFFFFFFFFFFC03FFFFFFFFFFE7F3FFFFCFFF807FF", INIT_35 => X"FC1E6F34FFFFFFFFFF80FFFFFFFFFFFE7FFFFFFEFC387DFFBFFE781DFF79FFFF", INIT_36 => X"FF01FFFFFFFFFFFE3FFFFFFE3C1C3F7FF91FFFF87FEE3FFFFFF09EF01FCFFFFD", INIT_37 => X"07FFFF7E7E3D87FFFFEFFFF97F9FDFFFFFF83F399FC1FFFEFFE7EF20FFFFFFFF", INIT_38 => X"9FF7F9FFFE7FEFFFFFFDFFC07FFF383F7FE0CE21FFFC7FFFFE07FFFFFFFFFFFE", INIT_39 => X"FFFD9FFFFFFF9C0F0FF01E01FFF8FFFFFC1FFFFFFFFFFFFE07F0FFFFEFFBFDF7", INIT_3A => X"0FF9FC81FFF0FFFFF83FFFFFFFFFFFFE7FFFFFFFCFFBFCFF01FFFDFFFCFFF3FF", INIT_3B => X"F0FFFFFFFFFFFFFE7FFFFF00DF07E401FF00E5FFF03FF9FFFFE79FFF3EB86000", INIT_3C => X"4EFFFFEFDF07FF1FFFFEF7E7877FFDFFFFEFFFFF3977700F0FFDFC03FFE1FFFF", INIT_3D => X"FFFF7E17FFFFFE71FFEFFFFF006F3EFF0FFE7803FF83FFFFC1FFFFFFFFFFFFFE", WRITE_MODE_B => "WRITE_FIRST", INIT_3E => X"3FEEFEFF3E67BFFF27FF0307FF03FFFF83FFFFFFFFFFFFFE4EFFFFFFDF0FFFFF", SIM_COLLISION_CHECK => "NONE", INIT_A => X"0", INIT_B => X"0", WRITE_MODE_A => "WRITE_FIRST", INIT_3F => X"73FFE783FE03FFFE0FFFFFFFFFFFFFFE0EFFFFFF0F4FFFFFFFFF7DF800EFFFDF" ) port map ( CLKA => clka, CLKB => BU2_doutb(0), ENA => BU2_U0_blk_mem_generator_valid_cstr_ram_ena0, ENB => BU2_doutb(0), SSRA => BU2_doutb(0), SSRB => BU2_doutb(0), WEA => BU2_doutb(0), WEB => BU2_doutb(0), ADDRA(13) => addra_6(13), ADDRA(12) => addra_6(12), ADDRA(11) => addra_6(11), ADDRA(10) => addra_6(10), ADDRA(9) => addra_6(9), ADDRA(8) => addra_6(8), ADDRA(7) => addra_6(7), ADDRA(6) => addra_6(6), ADDRA(5) => addra_6(5), ADDRA(4) => addra_6(4), ADDRA(3) => addra_6(3), ADDRA(2) => addra_6(2), ADDRA(1) => addra_6(1), ADDRA(0) => addra_6(0), ADDRB(13) => BU2_doutb(0), ADDRB(12) => BU2_doutb(0), ADDRB(11) => BU2_doutb(0), ADDRB(10) => BU2_doutb(0), ADDRB(9) => BU2_doutb(0), ADDRB(8) => BU2_doutb(0), ADDRB(7) => BU2_doutb(0), ADDRB(6) => BU2_doutb(0), ADDRB(5) => BU2_doutb(0), ADDRB(4) => BU2_doutb(0), ADDRB(3) => BU2_doutb(0), ADDRB(2) => BU2_doutb(0), ADDRB(1) => BU2_doutb(0), ADDRB(0) => BU2_doutb(0), DIA(0) => BU2_doutb(0), DIB(0) => BU2_doutb(0), DOA(0) => BU2_U0_blk_mem_generator_valid_cstr_ram_douta20, DOB(0) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_21_ram_r_v2_init_ram_dp1x1_ram_DOB_0_UNCONNECTED ); BU2_U0_blk_mem_generator_valid_cstr_ramloop_22_ram_r_v2_init_ram_dp1x1_ram : RAMB16_S1_S1 generic map( SRVAL_A => X"0", SRVAL_B => X"0", INIT_00 => X"1FFFFFFFFFFFFFFE7FFCFFFF0F7FFFFFFFFF39FFFF3FFFFFDFEEF97FFFE7BFFF", INIT_01 => X"7FF87FFF43FFFFFFFFFFC7FFFFEFFFFEE7EFF03FFEEF9FFF7BFFFF00FC07FFFC", INIT_02 => X"FFFFFFFFFFF8FFF1FC1FE03BFDDF9FFF7BFFFE03BE07FFF07FFFFFFFFFFFFFFE", INIT_03 => X"FFFFFFFFFDCF83FEFB97FE07DE0FFFE1FFFFFFFFFFFFFFFC7FF87FFFF9BFFFFF", INIT_04 => X"FB9BFE33E00FFFC3FFFFFFFFFFFFFFFC7FF9FFFFFCBFFFFFFFFFFFFFFFFF81DF", INIT_05 => X"FFFFFFFFFFFFFFFC7FFFFEFFFC7FFFFFFFFFFFFFFFFFFEFCFF0FFFFFFCEF0BDD", INIT_06 => X"7FFFFFBF0CFFFFFFFFFFFFFFFFFFFF7FFFFEFFFFFFECDDCFFCFFFC3CFE3FFF0F", INIT_07 => X"FFFFFFFFFFFFFFBFFCFFFFFFFFE9DDD6FCFF7C7F3E7FFE3FFFFFFFFFFFFFFFF8", INIT_08 => X"FE5FFFFFFFE1BFB73CFEFC7FBE7FF9FFFFFFFFFFFFFFFFF06F7FFFFF07FFFFFF", INIT_09 => X"B9FEF83F9C1FF3FFFFFFFFFFFFFFFFC07F7FFFF313DFFFFFFFFFFFFFFFFFFFDF", INIT_0A => X"FFFFFFFFFFFFFF027F3FFFEFC3DFFFFFFFFFFFFFFFFFFFE7DFFFFFFFE7F1DBB7", INIT_0B => X"7F0FFFCFE1E0FFFC000FFFFFFFFFFFF8FFFFFFF3E7FFE3A7B1FEF8FF8067CFFF", INIT_0C => X"FFF83FFFFFFFFFFFDFFF3FFF8FFFFFFFB39DFBFF1E733FFFFFFFFFFFFFFFFC06", INIT_0D => X"E7FF7FFFBF8FFFF93397DBFF3F30FFFFFFFFFFFFFFFFE01C790FEFF9C3BF3083", INIT_0E => X"0397F9FE7F87FFFFFFFFFFFFFFFFE3FA7D0FD8F0199FFFFFFEFFC01FFFFFFFFF", INIT_0F => X"FFFFFFFFFFFFFFE67FE3F71FFD88FFFE07FFFFFF007FFFFFF3FFFFEFFFBE67FF", INIT_10 => X"7FE38FFFFB809FF007FF9F7FF81FFFFFFA3FFFFFFEFE3FFD47BFF9FC7F8FFFFF", INIT_11 => X"03F9FFF007FFFFFFE33FFFFFF8303C79FFFFB1FEFFFFFFFFC007FFFFFFFFFFCC", INIT_12 => X"EFFFFFE0F870D9F0FFFFB3FFFFFFFFFF3807FFFFFFFFFF7C7F87BFF193881100", INIT_13 => X"7FFDF3FFFFFFFFFC0C0FFFFFFFFFFCFE43FF7FEFEF9FFB00FF7FFE1FFFFFFFFF", INIT_14 => X"007FFFFFFFFFF3FE59F8F8DD7FFFF8FFFFFFC3FFFFFFFFFFDFFFFFF9F8F8EF88", INIT_15 => X"7FE7F7FC7E7FFEFFFFF8FFFFFFFFFFFFDF8FFFFFFC7C77FF36FD73FFFFFFFFCE", INIT_16 => X"FFCFFFFFFFFFFFFE3007FFFFF778377931FFA3FFFFFFFE7FFFFFFFFFFFFFE7F8", INIT_17 => X"F807FFFFFFF0306071FEDFFFFFFFFC7FFFFFFFFFFFFF9FC07F8FDE39FF1FE27F", INIT_18 => X"FEFEDFFFFFF9E0FFFF7FFFFFFFF8FC00107F983FFFEFE3F77E3FFFFFFFFFFFFC", INIT_19 => X"FEFFFFFFFFE7E0307FFFBF3FFFE381FFC3FFFFFFFFFFFFFDFC4FFFFFDFF04040", INIT_1A => X"7FFF7F9FFFE303E47FFFFFFFFFFFFFF9FFFFFFFFFB030061FFFFDFFFFFE603FF", INIT_1B => X"FFFFFFFFFFFFFC70C9FFFFFFF3078023FFFFFFDFFFEFF3FFFFFFFFFFFF8FC7F8", INIT_1C => X"3CFEFFFFF33B0383FBFDBFFFFFEFF03FFFFFFFFFFE3F9FFC7FFEFFFFE7F3FF3F", INIT_1D => X"3FFDBBFFFFFFFE3FFFFFFFFFF0FCFFFC7FFDFFFFC3E3FEFFFFFFFFFFFFFFC60F", INIT_1E => X"FFFFFFFF83F1FFF87FFBFFFFC7E3BEFFFFFFFFFFFFFF3E3FFE3FFF1F7738C1C3", INIT_1F => X"7FF79F79EFFFFDFFFFFFFFFFFFFE7C7FFFDFFF00FFBFC4E3FFFF73FFFFF7CF99", INIT_20 => X"FFFFFF2FFF0041FFFF9FFFFCF33F84E3DFFFF7FFFFEE67803FFFFFF83087FFFC", INIT_21 => X"FF80FEFCF39F0E80FFBEFFFFFFDF7F807FFFFFE0800FFFFE7FCF3F7CC7FC03FF", INIT_22 => X"FFFEFFFFFF3BDF1FFFFFFF003FFFFFFE7F9DBFF07FCFFFFFFFFFFEF7C00C03FF", INIT_23 => X"FFFFFC00FFFFFFFE7F7FBF7F1C7FFFFFFFFFFCD8001CFFFFFF8CC4FCE3860F00", INIT_24 => X"7EFF9C7FF3FFFFFFFFFFFDFC0061FFFFFF9D83FE3F861FC3DFFEFFFF0FFFDF7F", INIT_25 => X"FFFFF0FF03C3FFFFFFFD86FFBF863FC7FFFEFFF9F8787EFFFFFFC003FFFFFFFE", INIT_26 => X"FFFEDFFFBFCFFFCFFFFDFFF3C0CF9EFFFFFF003FFFFFFFFE7BB9FCEFCFFFFFFF", INIT_27 => X"787BFFF503BFE0FFFFF003FFFFFFFFFE7671FE9F3FFF801FFFFF8E3FFF03FFFF", INIT_28 => X"FFC007FFFFFFFFFE4F83FF33FFF0FFE3FFFF3F3FFC03FFFFFFFF73E7FECFFFC6", INIT_29 => X"3F777FEFFFEFDEFBFFFEFFF0F007FFFFFFFFCCE3EFDFFF067877FFED3F7FFFFF", INIT_2A => X"F911FFFFE00FFFFF7FFFFEE3C19FFC1BF9A7FFB97DFFFFFFFC009FFFFFFFFFFE", INIT_2B => X"FFFFFDE3E03FF033FFE7FF7FF3FFFFFFF809FFFFFFFFFFFE7CE7FFEFFFDE7E79", INIT_2C => X"FFE01FDC0FFFFFFF003FFFFFFFFFFFFE73CF7EF9FF3DE3D807FFD83FC01FFFFC", INIT_2D => X"07FFFFFFFFFFFFFE773FFEF6F8F1E0F8FF7FFFF8607FFFF8FFFFF1EFE07FE707", INIT_2E => X"60EFFFFF0FC1F063FEFFFCE0F3FFFFF1FFFFF3EFE17FE7DFFFFFF073FFFFFFFC", INIT_2F => X"FC7FFCE0F7FFFFE3FFFFF3FF67FFF1FFFF7FFBCFFFFFFFE01FFFFFFFFFFFFFFE", INIT_30 => X"FFFFF7FF67FFFCFFFFFE9BFFFFFFFF003FF87FFFFFFFFFFE7FFFFF07FECF6197", INIT_31 => X"FFE0B7FFFFFFFC07FFC1BFFFFFFFFFFE7FF8FEE7FF0F8327F93C7FF0F3FFFFC7", INIT_32 => X"FFC3BFFFFFFFFFFE78CC7DCFDF0FFF0CF3FFFF80F3FFFF8FFFFFF7FF37FFFCFC", INIT_33 => X"47FF9B9E9BF8FF7E3FFF807EF3FFFF5FFFFFE63E37FFF8FCFF676FFFFFFFF03F", INIT_34 => X"FE3F7FFE47FFFCBFFFFFED9F77FFF9FC7FFF9FFFFFDFFFFFFFC3DFFFFFFFFFFE", INIT_35 => X"FFFFEF9FF79FF33EFFFF3FFFFF87FFFFFFE7DFFFFFFFFFFE1FFFE47EEFF0FFF8", INIT_36 => X"E3FFC7FFFF07FFF1FFE7DFFFFFFFFFFE3FFFFDFCFFF18FF87EFEFFFFFFFFFB3F", INIT_37 => X"3F07EFFFFFFFFFFE1FF7FDEEFFFF0E7C7070FFFFFFFFF7FFFFFFEF9FF30FEF1F", INIT_38 => X"0F03C1FFFEDDEF7FF06FFFFFFFFFEEFFFFFFDFDFF31FDF1FE3FFF81FFF07FFE6", INIT_39 => X"FFCFFFFFFFFFDDFFFFFFBFDFCF1FBFFEE7FFF00FFF39FFDF1F07EFFFFFFFFFFE", INIT_3A => X"FFFFB8FFBF1FBFFCFFFB9E1FFE7CFFFFCE1FE7FFFFFFFFFE0EE003E3FEB3EE7F", INIT_3B => X"FF83BFFFFCFEFFBFE03FEFFFFFFFFFFE4643FFE7FC6FDE7F7F9FFFFFFFFF3BFF", INIT_3C => X"FFFE1FFFFFFFFFFE6007FFFFFC5FB9FFC03FFFFFFFFEF7FFFFFF78EFBFFFDFFC", INIT_3D => X"700D7E7FFCDF7CE19FFFFFFFFFFDEFFFFFFF7ACFBFFFFFFC7F3F9FFFF07EFF9A", WRITE_MODE_B => "WRITE_FIRST", INIT_3E => X"BFFFFFFFFFFBDFFFFFFE66F5BC7FFFFC7FFFC7FFE73F7FC87FFEFFF07FFFFFFE", SIM_COLLISION_CHECK => "NONE", INIT_A => X"0", INIT_B => X"0", WRITE_MODE_A => "WRITE_FIRST", INIT_3F => X"FFFECEE5BE7FFFFE7FFFF3FFC78F7FED7EFEFFE0FFFFFFFE1C3CFC3FF39FE11B" ) port map ( CLKA => clka, CLKB => BU2_doutb(0), ENA => BU2_U0_blk_mem_generator_valid_cstr_ram_ena1_2, ENB => BU2_doutb(0), SSRA => BU2_doutb(0), SSRB => BU2_doutb(0), WEA => BU2_doutb(0), WEB => BU2_doutb(0), ADDRA(13) => addra_6(13), ADDRA(12) => addra_6(12), ADDRA(11) => addra_6(11), ADDRA(10) => addra_6(10), ADDRA(9) => addra_6(9), ADDRA(8) => addra_6(8), ADDRA(7) => addra_6(7), ADDRA(6) => addra_6(6), ADDRA(5) => addra_6(5), ADDRA(4) => addra_6(4), ADDRA(3) => addra_6(3), ADDRA(2) => addra_6(2), ADDRA(1) => addra_6(1), ADDRA(0) => addra_6(0), ADDRB(13) => BU2_doutb(0), ADDRB(12) => BU2_doutb(0), ADDRB(11) => BU2_doutb(0), ADDRB(10) => BU2_doutb(0), ADDRB(9) => BU2_doutb(0), ADDRB(8) => BU2_doutb(0), ADDRB(7) => BU2_doutb(0), ADDRB(6) => BU2_doutb(0), ADDRB(5) => BU2_doutb(0), ADDRB(4) => BU2_doutb(0), ADDRB(3) => BU2_doutb(0), ADDRB(2) => BU2_doutb(0), ADDRB(1) => BU2_doutb(0), ADDRB(0) => BU2_doutb(0), DIA(0) => BU2_doutb(0), DIB(0) => BU2_doutb(0), DOA(0) => BU2_U0_blk_mem_generator_valid_cstr_ram_douta21, DOB(0) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_22_ram_r_v2_init_ram_dp1x1_ram_DOB_0_UNCONNECTED ); BU2_U0_blk_mem_generator_valid_cstr_ramloop_23_ram_r_v2_init_ram_dp1x1_ram : RAMB16_S1_S1 generic map( INIT_3B => X"BFFACDF03FFFE7FFBFA17F0FFFFE3E7FE701FFFFF80F07FE7FFFFFFFFFC1FFFE", WRITE_MODE_B => "WRITE_FIRST", INIT_A => X"0", INIT_B => X"0", WRITE_MODE_A => "WRITE_FIRST", INIT_3A => X"900EFEFFF87EFFFEDFFACDF07FFFFFFFBF5DFE0FFFFC7CFFC783FFFFF01FFFFE", INIT_3E => X"7FFFFFFFFFC7FFFF180DFFF47F0DFFDEFFFFF3F71FFFFFFFBFD3FF27FFFF9F3F", SIM_COLLISION_CHECK => "NONE", INIT_3C => X"BFF3FF07FFFF3F3FFF03FFFFFF0061DE7FFFFFFFFFC1FFFE040EFEEFFFFDFFEF", INIT_3D => X"FF07FFFFFFC07E067FFFFFFFFFE3FFFF0C0EFF077F4DFFFF7FF7DDF63FFFE7FF", SRVAL_A => X"0", SRVAL_B => X"0", INIT_00 => X"6FFFFBFFCFF83F0FFF3EFF87FFFFFFFE003CFEFFF39CE0DFBFFFFFFFFFE7DFFF", INIT_01 => X"FF3E001FFFFFFFFE703FFC1FF19B807F3FFFFFFFFFDFBFFFFFFCDFEDBFFFDB1F", INIT_02 => X"7007FF1FF18307F87FFFFFFFFF3F7FFFFFFDDF7FBFFF99BFFFFF99FFCFFF3EFF", INIT_03 => X"FFFFFFFFFEFFFFFFFFF99FDFFFFE3BF7FCFB41FF8FFF39FFFFFF003FFFFFFFDE", INIT_04 => X"FFFB1F7C5C38FFE3FFC361F800FE0F77FFFFF8FFFFFFFF0E71C7FFF7FBC71F83", INIT_05 => X"FFC781F81FFFFF0FF3DEF1FFFFFFFE5E71DFFFF77FFC3C7FFFFFFFFFFBFCFFFF", INIT_06 => X"FFFFC7FFFFFFFEFE71DCFFF6EFC1F3FFFFF8FFFFEF7BFFFFFFF73F7F48019FEB", INIT_07 => X"718CFFFECFC1CFFFFFF87FFFDF77FFFFFFF77F7FE00307DBFFFFFC03FFFFFFFF", INIT_08 => X"FFF87FFFB3FFFFFFFFEEFF7DE0030BBDDBF8FFFFFFCFF0019FFB9FFFFFFFFFFE", INIT_09 => X"FFEDFF60E007FFBDF9F773FFFF7FC7FDFFF41FFFFFFFFFFE781F8698D9C33FFF", INIT_0A => X"FBF77DFFFE3E67FC7FEFFFFFFFFFFFFE7E3FFFB8C39F7FFFFFC67FFF77EFFFFF", INIT_0B => X"1EEFFFFFFFFFFFFE7F3FFFF9E61F7FFFF87EFFFEFFDFFFFFFFDDFFECE007FF79", INIT_0C => X"7FB7FFF9FE3EFFFFF7E6FFFDFFDFFFFFFFDBFF80E01FFEF8E3F278FFFC39E3FE", INIT_0D => X"8C1CFFFB7FBFFFFFFFFBFF8001BE7DFCC7C0DFFCF8B3EBFF9E77FFFFFFFFFFFE", INIT_0E => X"FFBFFF8807BC6DFEDF002FFFFFEFDDFF9C78FFFFFFFFFFFE7F38E021FF7DFFFF", INIT_0F => X"FE8077FFFFCFBDFFBC7F3FFFFFFFFFFE7F79C181C79BFFFF983BFFF47DBFFFFF", INIT_10 => X"BF7F1FFFFFFFFFFE7E7F10F93BFBFFFF3C7BFFEC7B7FFFFFFFF7FF99039C3BFE", INIT_11 => X"783E007E7DFBFFFCFE77FF9ECEFFFFFFFF77FFBDC3863FFF7EE1F7FFBF1F6DFF", INIT_12 => X"FF77F07FD5FFFFFFFF6FFF7DE3CE77FF7EFFFBBF1E7EC6FE7FF087FFFFFFFFFE", INIT_13 => X"FFEFFF7CF38F77FFBEFFFDFF9F3EC37BCFE087FFFFFFFFFE7801CF3FFF01C0F9", INIT_14 => X"BFFFFEFFF79F73861FF107FFFFFFFFFE78079FBFFFCFF8F3BCEFCFEFEDFFFFFF", INIT_15 => X"B1FF01FFFFFFFFFE781F9FBFFF3FFC0E36DFBFFFFBFFFFFFFFEFFEFEFF9FD7FF", INIT_16 => X"7C3FCE7FFF6F3FFE77BFBFFFD7FFFFFFFEEFFDFE379FD7FFBF3FFEFFFF5F3FFF", INIT_17 => X"E73F41FBEFFFFFFFFEDFFDFE0387FBFFBB8FFEFFFEEFC7FFF9FF81FFFFFFFFFE", INIT_18 => X"FDDFFBFFC707FBFFB9CFFEC3FF73FB0EFFFFC3FFFFFFFFFE7EFFF1FFFEC30FCE", INIT_19 => X"B9C7FEC2FFFDFEF3F1FF87FFFFFFFFFE7FFFFFFFFD830F0338FF43FBCFFFFFFF", INIT_1A => X"3FFF8C7FFFFFFFFE7FFFFFFFFDF81F0367FED7F3BFFFFFFFFDBFF3FFE707FBFF", INIT_1B => X"7FFFFFFFFBFFFFDFEFFDA7C37FFF80FFFFBFF7FFE66779FFBDE3FF709E7E7FFF", INIT_1C => X"DFFBCFCFFFFF3DFFFB7FF99E0EEE7CFFFFF3FF72FF5F9FFFEFFF038FFFFFFFFE", INIT_1D => X"FF7FFC0C0CFE7CFFDFFBFF73E3A75FFFF7FFFFC0FFFFFFFE7FFFFFFFF97FF9F3", INIT_1E => X"D3FBFE7FCBD36FFFFDFFFCE00FFFFFFE7FFFFFFFFDC019FFBFF7C7FEFFFCFDFF", INIT_1F => X"FEFFFFC003FFFFFE7FFFFFFFFFFE38837FEDE7EDFFFBCBFFF7FFFC00ECFE7EFF", INIT_20 => X"7FFFFFFFFF80FC02FFDFFF6DFFF70BFFF6FFFC01B47C7DFFD3FBFE07DFE5EFFF", INIT_21 => X"FF3FFF73FFEF1BFFFFFFF804B6113DFFEFFBFC3B9FFB77FFFCFECF80000FFFFE", INIT_22 => X"EDFFF806F783BDFFEFFBFC3D1FFDB3FFE3FC1FBFF807FFFE7FFFFFFF3F7FFFF6", INIT_23 => X"F7FBFC7D9FFEDDFFEFF8FFBFFF0FFFFE7FFFFFFC9E78FFFF3ECFFE77FFEFBBFF", INIT_24 => X"F700BFBFFFFFFFFE7FFFFFFDE679CFFFBC9F7C6FFFDFFBFFD5FFF600FB87BDFF", INIT_25 => X"7FFFFFFDE77B8003BD3E3EFFFFB7BBFFD5FFEE04398FDDFFF7FBFC7EFFFFEE7F", INIT_26 => X"FA1F1FDFFF7377FFFFFFDF3E3C0FDDFFFFFFFE7F7FFF6DBFF9C9FFDFFFFFFFFE", INIT_27 => X"BFFFBBFE3F0FDFFFFB1DFE0FAFFEB6E7FEFF9EC1FFFFFFFE7FFFFFFCCF7E1FF7", INIT_28 => X"FF9DFC17B9F6FBF7FF8F07FE7FFFFFFE7FFFFFFC0EFE0FEF961F9FBFFF6277FF", INIT_29 => X"FFF867FF7FFFFFFE7FFFFFFF80BE007FFD0FE77FFF422FFFBBFF7FFFFFEFBEFF", INIT_2A => X"7FFFFEFF9FFE030FFFE3F37FFEC32FFFFBFEE7FFC7F7BFFFFDDEFE33D97FDDB3", INIT_2B => X"FEF7FAFFFE9FEFFF7FFCFBDFC7DFBF7FFFCFFE73E6FFDFB9FFFFF7FFBFFFFFFE", INIT_2C => X"F7FDF98EC7FBA9BFFECF7EF3FBFFDEBDFFFFF00FBFFFFFFE7FFFFFFF1CF03B8F", INIT_2D => X"FFFF7CFBFEFE1FEEFFFFFF07BFFFFFFE7FFFFFFF00027DFF7EFEFCFFFDBEDFFF", INIT_2E => X"3FFFFF87BFFFFFFE7FFFFFFFE022FBFF3EFC3BFFFDBEFFFEF7F9FF1FCFFBA0FF", INIT_2F => X"7FFFFFFFFC38F7FFF2BE77FFFFFEBFFFFFF83F4FFFCBA0DFFF7FB87BFFE63F7F", INIT_30 => X"637FF7FFF7EDBFFDAFFFBF8FFFCFA1DFFF79DC0DFF667FBFBFFFFF83DFFFFFFE", INIT_31 => X"4FFFB71CFBEFAFE0FFB3C005FFA37FCFDFFFFFD1DFFFFFFE7FFFFFFFFE1FEF0E", INIT_32 => X"3FF3F001FFA3BFF7EFFFFFF83FFFFFFE7FFFFFFFFE0FDCE763FFF7FFCF2F7FFD", INIT_33 => X"E7FFFFFF3FFFFFFE7FFFFFFFFF07D9F34AFFF7FFBDBFFFFFCE7F237CFFB7AFE1", INIT_34 => X"7FFFFFFFFF87B7FBDAFEEFFF3FBEFFFB807E63FA9FF0AFF3B0D7F83FFFFFDFF3", INIT_35 => X"DBFFFFFF7FBEFFFB9F7CC39EFE702763FB19F83FFFDFEFFDF7FFFFFFFFFFFFFE", INIT_36 => X"BCFD8346DE702773FBF1381FFFCFEFFEF7FFFFFFFFFFFFFE7FFFFFFFFFC00FFD", INIT_37 => X"BDF83C1FFF83F3FF37FFFFFFCFFFFFFE7FFFFFFFFFE01FFCDBFFDFFCC7BEFFF7", INIT_38 => X"87FFFFFFC0FFFFFE7FFFFFFFFFC07FFEDBFFBF1BC7BEFFF6F3FB86EEDFF827FF", INIT_39 => X"7FFFFFFFFFC07FFE9B1F7EFFC6F6FFFAEFFA8EFCFEFFE7BFBEF8FE0FFF19F9FF", INIT_3F => X"000DFFEE3FDDFFFFFFEFEFFBEFFFFFFFBFEFFF83E7FFCFBFFF0FFFFFFFF07FE0" ) port map ( CLKA => clka, CLKB => BU2_doutb(0), ENA => BU2_U0_blk_mem_generator_valid_cstr_ram_ena12, ENB => BU2_doutb(0), SSRA => BU2_doutb(0), SSRB => BU2_doutb(0), WEA => BU2_doutb(0), WEB => BU2_doutb(0), ADDRA(13) => addra_6(13), ADDRA(12) => addra_6(12), ADDRA(11) => addra_6(11), ADDRA(10) => addra_6(10), ADDRA(9) => addra_6(9), ADDRA(8) => addra_6(8), ADDRA(7) => addra_6(7), ADDRA(6) => addra_6(6), ADDRA(5) => addra_6(5), ADDRA(4) => addra_6(4), ADDRA(3) => addra_6(3), ADDRA(2) => addra_6(2), ADDRA(1) => addra_6(1), ADDRA(0) => addra_6(0), ADDRB(13) => BU2_doutb(0), ADDRB(12) => BU2_doutb(0), ADDRB(11) => BU2_doutb(0), ADDRB(10) => BU2_doutb(0), ADDRB(9) => BU2_doutb(0), ADDRB(8) => BU2_doutb(0), ADDRB(7) => BU2_doutb(0), ADDRB(6) => BU2_doutb(0), ADDRB(5) => BU2_doutb(0), ADDRB(4) => BU2_doutb(0), ADDRB(3) => BU2_doutb(0), ADDRB(2) => BU2_doutb(0), ADDRB(1) => BU2_doutb(0), ADDRB(0) => BU2_doutb(0), DIA(0) => BU2_doutb(0), DIB(0) => BU2_doutb(0), DOA(0) => BU2_U0_blk_mem_generator_valid_cstr_ram_douta22, DOB(0) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_23_ram_r_v2_init_ram_dp1x1_ram_DOB_0_UNCONNECTED ); BU2_U0_blk_mem_generator_valid_cstr_ramloop_24_ram_r_v2_init_ram_dp2x2_ram : RAMB16_S2_S2 generic map( WRITE_MODE_B => "WRITE_FIRST", WRITE_MODE_A => "WRITE_FIRST", INIT_B => X"0", INIT_A => X"0", SIM_COLLISION_CHECK => "NONE", INIT_3E => X"BFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE556FFFAAAAAAAA55BFFFFEAAFFF94", SRVAL_A => X"0", INIT_3D => X"555516FFFFF9557FFFFFFFF95556AFAAEAAFFFFFFFFFFFFFAAAAAFFFFFFFFFFF", INIT_3C => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFEBFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_3B => X"FFE556BEAAAAAAAAA55AFFFFFAAFFF94015555BFFFF955BFFFFFFFFA6955AAAB", INIT_3A => X"AAAFFFFFFFFFFFFEBEAABFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE", INIT_39 => X"BFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFEAAAAAA5AAAAAA9555AFFFFAAFFFD5", INIT_38 => X"005545BFFFFE55BFFFFFFFFAA955AAABAAABFFFFFFFFFFFEAAAAFFFFFFFFFFFF", INIT_37 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFEBFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_36 => X"FFFFAAAA956A556955556FFFFAAFFFE5405505BFFFFE45BFFFFFFFEAA955AAAA", INIT_35 => X"AAABFFFFFFFFFFFAAAAAFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE", INIT_34 => X"BFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE956A555555555BFFFEAFFFF9", INIT_33 => X"515505FFFFFE41BFFFFFFFEA5555AAAAAA9AFFFFFFFFFFEAAAAFFFFFFFFFFFFF", INIT_32 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFEBFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_31 => X"FEFFFFFFA55A955555515BFFFEAFFFFE555505BFFFFF51FFFFFFFFE95556AA95", INIT_30 => X"5A96FFFFFFFFFFAAAABFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE", INIT_2F => X"BFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFAFFFFFFA5555555055457FFFAABFFFE", INIT_2E => X"554005BFFFFF51BFFFFFFE955556AA956A9AFFFFFFFFFEAAAAFFFFFFFFFFFFFF", INIT_2D => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFEBFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_2C => X"FFFFFFFFE5455555055457FFF95BFFFE555001BFFFFF51BFFFFFF954555AA695", INIT_2B => X"5AABFFFFFFFFFEAAAAFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE", INIT_2A => X"BFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE955555505541BFFF95BFFFF", INIT_29 => X"9554117FFFFF91BFFFFFE505555A95556ABFFFFFFFFFEAAAABFFFFFFFFFFFFFF", INIT_28 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFEBFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_27 => X"FFFFFFFFF954555515545BFFFA57FFFFE505116FFFFF91BFFFFFE555555A5555", INIT_26 => X"6AFFFFFFFFFFE6AAAFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE", INIT_25 => X"BFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFEFFFFFE954555555545FFFFE96FFFF", INIT_24 => X"F905156FFFFF91BFFFFF9545555555555AFFFFFFFFFF96AAAFFFFFFFFFFFFFFF", INIT_23 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFEBFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_22 => X"FFEFFFFFE555555555545FFFFF96FFFFF955555BFFFF95BFFFFF954555555415", INIT_21 => X"5AFFFFFFFFFF96AAAFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE", INIT_20 => X"BFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFEBFFFFE555055555555BFFFF96FFFF", INIT_1F => X"FE55555AFFFFD5BFFFFE5555555554155AFFFFFFFFFE56AAAFFFFFFFFFFFFFFF", INIT_1E => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFEBFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_1D => X"FFEBFFFFE551015455545BFFFFE5FFFFFF944556FFFFE5BFFFFE551455055001", INIT_1C => X"16FFFFFFFFFE56AAAFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFA", INIT_1B => X"BFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFEABFFFE501155455505BFFFFE5BFFF", INIT_1A => X"FF951555FFFFF5BFFFF955555555540516FFFFFFFFF955AAAFFFFFFFFFFFFFFF", INIT_19 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFAABFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_18 => X"FFAABFFFE950555515501BFFFFF5BFFFFF940055FFFFF5BFFFF9555055555505", INIT_17 => X"56FFFFFFFFF5556AAFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFEAA", INIT_16 => X"BFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFAABFFFF951555005501BFFFFF96FFF", INIT_15 => X"FF940055BFFFF9BFFFE555545555555556FFFFFFFFE5556AFFFFFFFFFFFFEAFF", INIT_14 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFEAAABFFFFFFFFFFFFFFFFBFFFFFFFFFFFFFF", INIT_13 => X"FFAAAFFFFE555540055056FFFFF96FFFFFD54555BFFFF9BFFF95155555555555", INIT_12 => X"16FFFFFFFFD55AAAAFFFFFFFFFFFEABFFFFFFFFFFFFFFFFFFFFFFFFFFFFFAAAE", INIT_11 => X"BFFFFFFFFFFFFFFFFBFFFFFFFFFFFFFFFFAAABFFFFA55540055456FFFFFE5BFF", INIT_10 => X"FFE54545BFFFF9BFFE555515555555555BFFFFFFFF9556AAAFFFFFFFFFFFFEFF", INIT_0F => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFEAABEBFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_0E => X"FFEAAAFFFFF95541055416EABFFE46FFFFF95545BFFFF9BFFE45540555555555", INIT_0D => X"6FFFFFFFFE5556AABFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFEAAFAA", INIT_0C => X"BFFFFFFFFFFFFFFFFAFFFFFFFFFFFFFFAFEAAABFFFFE5555555516A5BFFF46FF", INIT_0B => X"FFFE55057FFFF9BFF9555415555555556FFFFFFFF95556AAFEBFFFFFFFFFFFFF", INIT_0A => X"FFFFFFFFFFFFFFFFFFFFFFFFFEAABFAABFFFFFFFFFFFFFFFEAFFFFFFFFFFFFFF", INIT_09 => X"AFAAAAAFFFFE9555555556A6BFFF95BFFFFF95046FFFF9BFE555555555555555", INIT_08 => X"6FFFFFFFE55556AAFABFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFAABFFAA", INIT_07 => X"BFFFFFFFFFFFFFFFFAAAFFFFFFFFFFFFEBAAAAABFFFFE5415554556BFFFFD56F", INIT_06 => X"FFFFE5411BFFF9BF95555555551415556FFFFFFE94155AAAFEBFFFFFFFFEAABF", INIT_05 => X"FFFFFFFFFFFFFFFFFFFFFFFFAAAAAA9ABFFFFFFFFFFFFFFFFAAFFFFFFFFFFFFF", INIT_04 => X"EAAAAAABFFFFE5455555416BFFFFE56FFFFFF95416FFF9BA5555555555005555", INIT_03 => X"6FFFFFE954555AAAFEBFFFFFFFEAAAFFFFFFFFFFFFFFFFFFFFFFFFFEAAAA555A", INIT_02 => X"BFFFFFFFFFFFFFFFFFAFFFFFFFFFFFFFAAAAAA5BFFFFF9550555515BFFFFE55B", INIT_01 => X"FFFFFE5556FFFAE555555555551055556FFFFF9555556AABFEBFFFFFFE956BFF", INIT_00 => X"FFFFFBFFFFFFFFFFFFFFFFFA9555555ABFFFFFFFFFFFFFFFFFFFFEFFFFFFFFFF", SRVAL_B => X"0", INIT_3F => X"EABFFFFFFFFFFFFFEAAAABFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE" ) port map ( CLKA => clka, CLKB => BU2_doutb(0), ENA => BU2_U0_blk_mem_generator_valid_cstr_ram_ena13, ENB => BU2_doutb(0), SSRA => BU2_doutb(0), SSRB => BU2_doutb(0), WEA => BU2_doutb(0), WEB => BU2_doutb(0), ADDRA(12) => addra_6(12), ADDRA(11) => addra_6(11), ADDRA(10) => addra_6(10), ADDRA(9) => addra_6(9), ADDRA(8) => addra_6(8), ADDRA(7) => addra_6(7), ADDRA(6) => addra_6(6), ADDRA(5) => addra_6(5), ADDRA(4) => addra_6(4), ADDRA(3) => addra_6(3), ADDRA(2) => addra_6(2), ADDRA(1) => addra_6(1), ADDRA(0) => addra_6(0), ADDRB(12) => BU2_doutb(0), ADDRB(11) => BU2_doutb(0), ADDRB(10) => BU2_doutb(0), ADDRB(9) => BU2_doutb(0), ADDRB(8) => BU2_doutb(0), ADDRB(7) => BU2_doutb(0), ADDRB(6) => BU2_doutb(0), ADDRB(5) => BU2_doutb(0), ADDRB(4) => BU2_doutb(0), ADDRB(3) => BU2_doutb(0), ADDRB(2) => BU2_doutb(0), ADDRB(1) => BU2_doutb(0), ADDRB(0) => BU2_doutb(0), DIA(1) => BU2_doutb(0), DIA(0) => BU2_doutb(0), DIB(1) => BU2_doutb(0), DIB(0) => BU2_doutb(0), DOA(1) => BU2_U0_blk_mem_generator_valid_cstr_ram_douta23(1), DOA(0) => BU2_U0_blk_mem_generator_valid_cstr_ram_douta23(0), DOB(1) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_24_ram_r_v2_init_ram_dp2x2_ram_DOB_1_UNCONNECTED, DOB(0) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_24_ram_r_v2_init_ram_dp2x2_ram_DOB_0_UNCONNECTED ); BU2_U0_blk_mem_generator_valid_cstr_ramloop_25_ram_r_v2_init_ram_dp1x1_ram : RAMB16_S1_S1 generic map( INIT_A => X"0", INIT_3C => X"FFFFFFFE001FFF8FFE0000003FFF9FFFFFFFFFFFFF01FFFFFFFFFFFFE03FFFE1", INIT_32 => X"FFFFFE0003FFE1E0C003007FFFFCFFFFFFFFFFFFFFE0FFFFFFFFFFFFFE01FFF1", INIT_2E => X"00080FFFFFE0FFFFFFFFFE01F8F87FFFFFFFFFFFFF00FFC7FFF83FFFFFFFC000", INIT_38 => X"FC000001FFFFFFFFFFFFFFFFFF81FFFFFFFFFFFFF00FFFF1FE03FF800007FF7F", INIT_0F => X"0000000011F03FFFC0E000000780000EF0000000007CFFFFFC000000033FFFFF", INIT_13 => X"FFFFF80001FFFFFC000000003FFF0FFFFCE700000023803800000000007FFFFF", INIT_35 => X"FFFFFFFFF007FFF9FFFFFE0001FFFFFFFFFFFF0001FFF8FDF003000FFFFFFFFF", INIT_10 => X"F8E000000700000400000000003FFFFFFC000000023FFFFFFFFFF0003FFFFFE0", INIT_1C => X"FFE00000FFFFFFFFFFFC07F8000001FFFC0000007FFFFFFFFFFFFFC000F9E0F0", INIT_37 => X"FFFFFFC000FFFF7FF8000003FFFFFFFFFFFFFFFFFF81FFFFFFFFFFFFF007FFF9", INIT_27 => X"FFFFFFFFF00000001B80000001FFDFE0000003FFF800027FFC7FFF01FF3C1FFF", INIT_28 => X"3FE0000001FFFFF00000007FFC0007FFFE7FFE01FFFC1FFFFFFFFFFFFFF781FF", INIT_1F => X"FF03FFFE003FE3E7E00FFFFE00FE0FFFFFE00001FFFFFFFFFFFF807C000000FF", INIT_20 => X"E00FFFFC00FF0FFFFFE00003FFFFFBFFFFFF803FC00000FFFF8000003FFF3FFF", INIT_B => X"0", INIT_0D => X"F8000000039FFFFFFFFFF010FC3FFFC0000000000FFFFFFF800000000F030007", INIT_1E => X"FF0000003FFF7FFFFF07FFF8007FE1E3C007FFFE00FF8FFFFFE00001FFFFFFFF", INIT_1B => X"E00FFFFF007FFFFFFFE00000FFFFFFFFFFF00FF0000003FFF00000007FFFFFFF", INIT_23 => X"7E00000007F7FFE00F00FFFFC00FE3FFC00FFFFC00FE0FFFFFFF000FFFFFF1FF", INIT_30 => X"FFFFFFFFFE00FFF1FFFF003FFFFFE003FFFFF800007F8180000803FFFFF8FFFF", INIT_2B => X"FFFFFFFFFF807F1FFFFFFFFFFF0000003FF80000001FFFF800000E0FFF0007FF", INIT_1D => X"FFFE03F8000000FFFE0000007FFFFFFFFF9FFFE0007DE1F1C007FFFF007FEFFF", INIT_0A => X"000000000E3E3FFE000000001F0F80007F80000000FFFFFFF8000000000FFFFF", INIT_29 => X"0000001FFE000FFFFEFFFE00FFFC3FFFFFFFFFFFFFE018FFFFFFFFFFF8000000", INIT_26 => X"FFFF9FFFFFFFC3FFFFFFFC3FF00000003C00000003FFDFC000001FFFF00003FF", INIT_04 => X"FFFFFFF83FFFE0000000000007C70000000000003FDFC3FFC03FC00003FFFFFF", INIT_14 => X"000000003FFFFFFFFE6FC00000FFE01C00000000007FFDFFF80000000003FFFF", INIT_3A => X"FFFFFFFFE00FFFF18000FFF00000780FFFFFFFF0003FFF1FFE0000007FFFFFFF", INIT_2F => X"FFFFFFFFF8F07FFFFFFFFFFFFF00FFF1FFFC00FFFFFFC001FFFFE000001F870C", INIT_0C => X"FC000000003EFFFFF800000001DFFFFFFFFFF839F81FFFC0000000000FFF7FFF", INIT_06 => X"000000001F9FC1FFF3FC000001FFFFFFF80000000001FFFFFFFFFFFC07FFF000", INIT_2A => X"FFFFFF007FFC3FFFFFFFFFFFFFC03E3FFFFFFFFFFC0000003FF0000000FFFFF8", INIT_03 => X"F00000000000007FFFFFFFFFFFFFE00000000300078600000000000E7FFFC7FC", INIT_39 => X"FFFFFFFFFF01FFFFFFFFFFFFE00FFFF18E01FFC00001FE1FFFFFFFE0007FFF3F", INIT_31 => X"FEFFC007FFFFE00FFFFFFC0003FFC1C1800001FFFFF8FFFFFFFFFFFFFFF07FFF", INIT_09 => X"FFFFFFF9C00FFE000000000000783FFC000000001F0FC079FFC0000001FFFFFF", WRITE_MODE_A => "WRITE_FIRST", INIT_33 => X"E003003FFFFFFFFFFFFFFFFFFFE0FFFFFFFFFFFFFC03FFF1FFFFF0000FFFFFFF", INIT_25 => X"F83FFFFFF83C1FFFFFBF803FFFFFE1FFFFFFF83FF00000007E00000003FFDFE0", INIT_36 => X"FC4FFF00001FFFFFFFFFFF8001FFFE7FF8010003FFFFFFFFFFFFFFFFFFC0FFFF", INIT_07 => X"FFF0000001FFFFFFF80000000000FFFFFFFFFFFC01FFF8000000000003E37CF0", INIT_12 => X"F80000000007FFFFFFFFF00003FFFFE0000000003FFF1FFFFDE6000000000000", SIM_COLLISION_CHECK => "NONE", INIT_18 => X"FFFFFF00007007FFC00000003FFFFFFFFFFFFC0003F3E0FFC0FFC3C0003FFBFF", INIT_11 => X"00000000013FFFFFFC000000001FFFFFFFFFF0000FFFFFE0000000003FFE1FFF", INIT_0B => X"000000000F0300001E000000007FFFFFF8000000009FFFFFFFFFFDF9F00FFF00", SRVAL_A => X"0", INIT_3B => X"80007FF8C0000007FFFFFFFC003FFF1FFE0000003FFF9FFFFFFFFFFFFF01FFFF", INIT_1A => X"FFFFFF0001F1E0F8E01FFFFF007FFFFFFFF000003FFFFFFFFFF00FC0000007FF", INIT_16 => X"001FC000007FFFFFF9F0000003FFFFFFFFFFF80000FFFFFF000000003FFFFFFF", INIT_05 => X"0000000007E73000000000003FDFC3FFE07E000003FFFFFFF00000000001D87F", INIT_15 => X"FF3FE00001FFE07E00078000007FFDFFF80000000003FFFFFFFFF80000FFFFFE", INIT_19 => X"E00000003FFFFFFFFFFFFE0001F1E0F8E07FFFE0007FFBFFFFF000000FFFFFFF", INIT_0E => X"FFFFF0007FFFFFC00000000001F3FFFF8040000007830007F8000000007CFFFF", INIT_3E => X"FFFFFFFFFE03FFFFFFFFFFFFC07FFFC1FF000FFFF00000003FFFFFFF000FFF87", INIT_24 => X"06007FFFE003C3FFF01FFFFC007C1FFFFFFF801FFFFFE1FFFFFFF03FF8000000", INIT_22 => X"FFFFE01FF80000007F0000000FFFBFF81F00FFFFC01FE3CFE00FFFFC00FF0FFF", INIT_08 => X"F80000000003FFFFFFFFFFFC007FFC000000000003F07FF8000000001F1FC0FF", INIT_02 => X"003FE00003FFFFFFF00000000000007FFFFFFFFFFFFFF00000001F8003000000", INIT_3D => X"FF0000003FFFFFFFFFFFFFFFFE01FFFFFFFFFFFFC07FFFC1C0001FFCE0000000", INIT_01 => X"0000001FFFFFCFF8001FF40003FFFFFFF00000000000043FFFFFFFFFFFFFFFFF", INIT_34 => X"FFFFFFFFFFC0FFFFFFFFFFFFF803FFF9FFFFFC0007FFFFFFFFFFFF0003FFF0F0", INIT_2D => X"FFFF00000387FFCC00000FFFFFC0FFFFFFFFFF01FBFC7FFFFFFFFFFFFF807F8F", INIT_2C => X"FFFFFFFFFFFB80007FFC00000187FFF800000F87FF8003FFFFFFFF00F3FC3FFF", INIT_17 => X"FBF0000007FFFFFFFFFFFC0000F81FFF800000003FFFFFFFFF7FF00003F7E07F", INIT_00 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", WRITE_MODE_B => "WRITE_FIRST", INIT_21 => X"FFF00007FFFFF3FFFFFFC01FF8000038FF8000001FFF3FFF3F01FFFF001FF3CF", SRVAL_B => X"0", INIT_3F => X"FFFFFFFFC07FFF81FFFC07FFFC0000001FFFFFFF8007FFC7FF8080003FFFFFFF" ) port map ( CLKA => clka, CLKB => BU2_doutb(0), ENA => BU2_U0_blk_mem_generator_valid_cstr_ram_ena, ENB => BU2_N1, SSRA => BU2_doutb(0), SSRB => BU2_doutb(0), WEA => BU2_doutb(0), WEB => BU2_doutb(0), ADDRA(13) => addra_6(13), ADDRA(12) => addra_6(12), ADDRA(11) => addra_6(11), ADDRA(10) => addra_6(10), ADDRA(9) => addra_6(9), ADDRA(8) => addra_6(8), ADDRA(7) => addra_6(7), ADDRA(6) => addra_6(6), ADDRA(5) => addra_6(5), ADDRA(4) => addra_6(4), ADDRA(3) => addra_6(3), ADDRA(2) => addra_6(2), ADDRA(1) => addra_6(1), ADDRA(0) => addra_6(0), ADDRB(13) => BU2_doutb(0), ADDRB(12) => BU2_doutb(0), ADDRB(11) => BU2_doutb(0), ADDRB(10) => BU2_doutb(0), ADDRB(9) => BU2_doutb(0), ADDRB(8) => BU2_doutb(0), ADDRB(7) => BU2_doutb(0), ADDRB(6) => BU2_doutb(0), ADDRB(5) => BU2_doutb(0), ADDRB(4) => BU2_doutb(0), ADDRB(3) => BU2_doutb(0), ADDRB(2) => BU2_doutb(0), ADDRB(1) => BU2_doutb(0), ADDRB(0) => BU2_doutb(0), DIA(0) => BU2_doutb(0), DIB(0) => BU2_doutb(0), DOA(0) => BU2_U0_blk_mem_generator_valid_cstr_ram_douta24, DOB(0) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_25_ram_r_v2_init_ram_dp1x1_ram_DOB_0_UNCONNECTED ); BU2_U0_blk_mem_generator_valid_cstr_ramloop_26_ram_r_v2_init_ram_dp1x1_ram : RAMB16_S1_S1 generic map( INIT_03 => X"FFFFFFFFFF07FFFFFFFFFFFF81FFFC03FFFFFFFFFFFF8000007FFFFFF800FFFF", INIT_04 => X"FFFFFFFF03FFFC07FFFFFFFFCFFF8000001FFFFFFC00FFFFFFFE0003C7FFFFFF", INIT_B => X"0", INIT_A => X"0", SIM_COLLISION_CHECK => "NONE", INIT_3E => X"FFF00000001FC000F800FCFFFFFFFFFFFFFFFFFFFFFFFFFF8000000000FFFFFF", INIT_01 => X"03FFFFFFF001FFE3FFFC800007FFFFFFFFFFFFFFFF03FFFFFFFFFFFF80FFFF01", INIT_3D => X"FFFF81F8000001FFFFF00000001FC100F001FFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_3C => X"8000000000FFFFFFFFFF0018000003FFFFF00000000F8FF0F003FFFFFFFFFFFF", INIT_3B => X"FFFFFFFFFFFFFFFF8000000000FFFFFFFFFF0000000007FFFFF8000000079FFF", INIT_3A => X"F007FF7FFFFFFFFFFFFFFFFFFFFFFFFF800000000007FF00FE00000000000FFF", INIT_39 => X"FFFE000000007FFFF00FFFFFFFFFFFFFFFFFFFFFFFFFFFFFF80000000007FE00", INIT_38 => X"6000000000001FFFFFFE003F8000FFFF801F3FDFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_37 => X"F80000000003F8000000000000003FFFFFFFC0FFE03FFFFF00181FDFFFFFFFFF", INIT_36 => X"FFFFFFFFFFFFFFFFC00000000003C000000000000001FFFFFFFFE1FFE03FFFFE", INIT_35 => X"03E01FCBFFFFFFFFFFFFFFFFFFFFFFFF8000000000078000000187E00007FFFF", INIT_34 => X"FFFFF3F8601FFFFE0FC01FC3FFFFFFFFFFFFFFFFFFFFFFFF800000000007F800", INIT_33 => X"000FFFE0001FFFFFFFFFF7F80001FFFE1F801FCFFFFFFFFFFFFF7FFFFFFFFFFF", INIT_32 => X"80000000000078000003DFC0007FFFFFFF1FFFF80000FFFF3F803FFFFFFFFFFF", INIT_31 => X"FFFC3FFFFFFFFFFF80000000000039C00003800000FFFFFFFC3FFFF80001FFFF", INIT_30 => X"FF807FFFFFFFFFFFFFFC1FFFFFFFFFFF8000000000E003F80001000001FFFFFF", INIT_2F => X"F87FFFFC00E7FFFFFF00FFFFFFFFFFFFFFFE1FFFFFFFFFFF8000000001F803FC", INIT_2E => X"0000000003FFFFFFE0FFFFFC00FFFFFFFF00FFFFFFFFFFFFFFFF0FFFFFFFFFFF", INIT_2D => X"8000000001F003F00003C000EFFFFFFF03FFFFFE00FFFFFFFF81FFFFFFFFFFFF", INIT_2C => X"FFFF83FFFFFE3FFF8000000001F003000003C000FFFFFFFC07FFFFFF01FFFFFF", INIT_2B => X"FF83FFFFFFFFFFFFFFFFC3FFFFFE0FFF8000000000F0FC0000000007FFFFFFF0", INIT_2A => X"1FFFFFFF81FFFFFFFF83FFFFFFFFFFFFFFFFC1FFFFFF01FF800018000039F800", INIT_29 => X"00000007FFFFFFE07FFFFFFF81FFFFFFFF83FFFFFFFFFFFFFFFFE1FFFFFF807F", INIT_28 => X"800018000000200000000007FFFFFFC1FFCFFFFF81FFFFFFFFC3FFFFFFFFFFFF", INIT_27 => X"FFFFF0FFFFFFE03F800000000000000000000807FFFFFE07FF9FFFFF83FFFFFF", INIT_26 => X"FFC3FFFFFFFFFFFFFFFFF0FFFFFFF00780000000000001C00000FE0FFFFFF83F", INIT_25 => X"FF3FFFFF07FFFFFFFFC1FFFFFFFFFFFFFFFFF87FFFFFFE038000000000000780", INIT_24 => X"001FFF1FFFFFF0FFFE3FFFF007BFFFFFFFE03FFFFFFFFFFFFFFFF87FFFFFFF01", INIT_23 => X"8000000000000E0007FFFFFFFFFFC3FFFC7FFFE00707FFFFFFE03FFFFFFFFFFF", INIT_22 => X"FFFFFC3FFFFFFF8180000000000000000FFFFFFFFFFF0FFFFC7FFFE00307FFFF", INIT_21 => X"FFF03FFFFFFFFFFFFFFFFC3FFFFFFFE180000000000000003FFFFFFFFFF83FFF", INIT_20 => X"F3FFFFE0070FFFFFFFF83FFFFFFFFFFFFFFFFE1CFFFFFFF1E00FE00000001838", INIT_1F => X"7FFFFFFFFFF0FFFFFFFFFFE00E0FFFFFFFFC7FFFBFFFFFFFFFFFFE0CFFFFFFF9", INIT_1E => X"F03F000000001FFFFFFFFFFFFF83FFFFDFFFFFF80E0FFFFFFFFFFFFF9FFFFFFF", INIT_1D => X"FFFFFF047FFFFFFDE030000000000FFFFFFFFFFFFF0FFFFFDFFFFFF8041FFFFF", INIT_1C => X"FFFFFFFFDFFFFFFFFFFFFF007FFFFFFDE000000000000FFFFFFFFFFFF83FFFFF", INIT_1B => X"3FFFFFF0001FFFFFFFFFFFFFFFFFFFFFFFFFFF807FFFFFFFC000000000000FFF", INIT_1A => X"FFFFFFFFE0FFFFFE1FFFFFF0001FFFFFFFFFFFFFFFFFFFFFFFFFFFC07FFFFFFF", INIT_19 => X"C000000000000FFFFFFFFFFF83FFFFF83FFFFFF0003FFFFFFFFFFFFFFFFFFFFF", INIT_18 => X"FFFFFFC07FFFFFFFC000000000007FFFFFFFFFF80FFFFFF07FFFFFF0003FFFFF", INIT_17 => X"FFFFFFFFEFFFFFFFFFFFFFC07FFFFFFFC00000000001FFFFFFFFFFE03FFFFFE0", INIT_16 => X"FFFFFFF8003FFFFFFFFFFFFFE3FFFFFFFFFFFFE07FFFFFFFC00000000001FFFF", INIT_15 => X"FFFFFC00FFFFFFC0FFFFFFF8C63FE3FFFFFFFFFFE3FFFFFFFFFFFFE07FFFFFFF", INIT_14 => X"800000000000FFFFFFFFF803FFFFFF01FFFFFFF8E27F83FFFFFFFFFFF1FFFFFF", INIT_13 => X"FFFFFFF07FFFFFFF800000000000FFFFFFFFC007FFFFFE03FFFFFFFDF0FF03FF", INIT_12 => X"FFFFFFFFF9FFFFFFFFFFFFF07FFFFFFF8000000000007FFFFFFF000FFFFFFC07", INIT_11 => X"FFFFFFF9F0FE03FFFFFFFFFFF8FFFFFFFFFFFFF03FFFFFFF8000000000003FFF", INIT_10 => X"FFE0003FFFFFF01FFFFFFFF9F0FC07FFFFFFFFFFF8FFFFFFFFFFFFF83FFFF7FF", INIT_0F => X"8000000000000FFFFF80007FFFFFF83FFFFFFFF0F0F807FFFFFFFFFFF87FFFFF", INIT_0E => X"E7FFFFF83FFFF1FF8000000000000FFFFF0000FFFFFF907FFFFFFFF078E00FFF", INIT_0D => X"FFFFFFFFFC7FFFFFE3FFFFFC1FFFE0FF8000000000FC07FFFE0003FFFFFE00FF", INIT_0C => X"FFFFFFF078001FFFFFFFFFFFFC3FFFFFE3FFFFFC0FFFF0FF8000000001FE07FF", INIT_0B => X"F80007FFFFFC01FFFFFFFFF0FFFFFFFFFFFFFFFFF83FFFFFF9FFFFFE0FFFF87F", INIT_0A => X"E000000001FF07FFC0001FFFFFF003FFFFFFF3F1FFFFFFFFFFFFFFFFF81FFFFF", INIT_09 => X"F8FFFFFE07FFF81FFC00000071FF87FE00007FFFFFE007FFFFFF01E1FFFFFFFF", INIT_08 => X"FFFFFFFFFC1FFFFFF8FFFFFE07FFF80FFE0000FFF0FFC3000001FFFFFFC01FFF", INIT_07 => X"FFFF0041FFFFFFFFFFFFFFFFFC0FFFFFFFFFFFFF03FFFC07FFFF3FFFE37FC000", INIT_06 => X"0007FFFFFF003FFFFFFE0003FFFFFFFFFFFFFFFFFE07FFFFFFFFFFFF03FFFC07", WRITE_MODE_A => "WRITE_FIRST", WRITE_MODE_B => "WRITE_FIRST", SRVAL_B => X"0", INIT_02 => X"FFFF800203FFFFFFFFFFFFFFFF07FFFFFFFFFFFF81FFFE01FFFFE3FFFFFF0000", SRVAL_A => X"0", INIT_05 => X"FFFFFFFFC7FF8000000FFFFFFE007FFFFFFE0003FFFFFFFFFFFFFFFFFE07FFFF", INIT_00 => X"FFFF03FFFFFC000007FFFFFFC003FFC3FFF8800007FFFFFFFFFFFFFFFC03FFFF", INIT_3F => X"FC00187FFFFFFFFFFFFFFFFFFFFFFFFF8000000000FFFFFFFFFF83FFFF000020" ) port map ( CLKA => clka, CLKB => BU2_doutb(0), ENA => BU2_U0_blk_mem_generator_valid_cstr_ram_ena0, ENB => BU2_doutb(0), SSRA => BU2_doutb(0), SSRB => BU2_doutb(0), WEA => BU2_doutb(0), WEB => BU2_doutb(0), ADDRA(13) => addra_6(13), ADDRA(12) => addra_6(12), ADDRA(11) => addra_6(11), ADDRA(10) => addra_6(10), ADDRA(9) => addra_6(9), ADDRA(8) => addra_6(8), ADDRA(7) => addra_6(7), ADDRA(6) => addra_6(6), ADDRA(5) => addra_6(5), ADDRA(4) => addra_6(4), ADDRA(3) => addra_6(3), ADDRA(2) => addra_6(2), ADDRA(1) => addra_6(1), ADDRA(0) => addra_6(0), ADDRB(13) => BU2_doutb(0), ADDRB(12) => BU2_doutb(0), ADDRB(11) => BU2_doutb(0), ADDRB(10) => BU2_doutb(0), ADDRB(9) => BU2_doutb(0), ADDRB(8) => BU2_doutb(0), ADDRB(7) => BU2_doutb(0), ADDRB(6) => BU2_doutb(0), ADDRB(5) => BU2_doutb(0), ADDRB(4) => BU2_doutb(0), ADDRB(3) => BU2_doutb(0), ADDRB(2) => BU2_doutb(0), ADDRB(1) => BU2_doutb(0), ADDRB(0) => BU2_doutb(0), DIA(0) => BU2_doutb(0), DIB(0) => BU2_doutb(0), DOA(0) => BU2_U0_blk_mem_generator_valid_cstr_ram_douta25, DOB(0) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_26_ram_r_v2_init_ram_dp1x1_ram_DOB_0_UNCONNECTED ); BU2_U0_blk_mem_generator_valid_cstr_ramloop_27_ram_r_v2_init_ram_dp1x1_ram : RAMB16_S1_S1 generic map( INIT_21 => X"FFFF00000C7FFF7F0041FFFFFFE0007FFFFFFFFF7FFFFFFFFFF000000003FFFF", INIT_06 => X"80000000F03FFFFFFFFFFFFFFFFFFF8000000000001F3E31FF0003FF01FFFFFF", INIT_0E => X"FC0007FFFFFFFFFFFFFFFFFFFFFFFFFD8000070FFE00000000003FFFFFFFFFFF", SRVAL_A => X"0", INIT_1A => X"FFFF80000000001FFFFFFFFFFFFFFFFE0000000000FCFFFE00003FFFFFF9FFFF", INIT_1F => X"FFF80000000003FFFFFFFFFFFFFF83FFFFE00000007FFF1C0000FFFFFFF8007F", INIT_12 => X"F0000000000FE00F00000FFFFFFFFFFFC7FFFFFFFFFFFF8180007FFE7C000000", INIT_2C => X"001FFF03FFFFFFFFFFFFFFFFFFFFFFFF8FF00007FFC00007F80000003FFFFFFF", INIT_38 => X"FFFFFE000003F000001FFFFFFFFFF1FFFFFFE0000FFFE000000007FFFFFFFFF9", INIT_04 => X"FC0001FC1FFFFFFFFFFFFFFFFFFFFFFF80000000007FFFFFFFFFFFFFFFFFFE00", INIT_07 => X"FFFFFFFFFFFFFFC000000000001E3E39FF0083FFC1FFFFFFFFFFFFFFFFFFFFFF", INIT_1C => X"FF00000000FCFC7C00007FFFFFF00FFFFFFFFFFFFFC07FFFFFFF0000000000FF", INIT_37 => X"FFF81FFFFFFFFFFFFFFFFE000000F000000FFFFFFFFFF8FFFFFFF0000FFFF000", INIT_22 => X"0001FFFFFFC000FFFFFFFFFFFFFFFFFFFFE00000003FFFFFFFFFFF0FFFF3FFFF", INIT_30 => X"FFFFF8001FFFFF00000007FFFFFFFFFFFFFFFFFFFFFFFFFF800000F800000078", INIT_2A => X"FEFE00001FFFFFFFFFFFFF003E7FFFFC001FFFC003FFFFFFFFFFFFFFFFFFFFFF", INIT_2D => X"FFFFFFFFFFFFFFFF8FC00001FF000007000000079FFFFFFFFFFFFE001FFFF8F8", INIT_0C => X"0007FFFFFFFFFFFFE0000000000000007C0207FFFF8FFFFFFFFFFFFFFFFFFFFF", INIT_09 => X"7E0107FFE3FFFFFFFFFFFFFFFFFFFFFF80000000FC3FFFFFFFFFFFFFFFFFFFE0", INIT_2B => X"FFFFFE001FFFFFFC001FFF800FFFFFFFFFFFFFFFFFFFFFFF83F8001FFFE00007", INIT_32 => X"FFFC7FFFFFFFFFFF873F83F0000000F00000007F0FFFFFFFFFFFF8000FFFFF00", INIT_24 => X"FF0000000FFFFFFFFFFFFE03FF9FFFFFFFFE0000007FFFFC0001FFFFF00000FF", INIT_16 => X"003FFFFFFFFFFFFFC00000000007F8FFC0001FFFFFFFFFFFFFFFFFFFFFFFF807", INIT_13 => X"80000FFFFFFFFFFFF3FFFFFFFFFFFF018000FFF010000000000001FFFFFFFFFF", INIT_0D => X"F800000000000000FC0007FFFFCFFFFFFFFFFFFFFFFFFFFF800000063C00FFFC", INIT_2F => X"0000001F0FFFFFFFFFFFFC001FFFFE00000007FFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_2E => X"9F000000F000001C0000001F0FFFFFFFFFFFFC001FFFF82000000F8FFFFFFFFF", INIT_1B => X"FFFFFFFFFFFFFFFF3E00000000F87FFC00003FFFFFF00FFFFFFFFFFFFFF03FFF", INIT_35 => X"FFFFF0000FFFFC000000FFFFFFFFFFFFFFF83FFFFFFFFFFFFFFFFF8000000000", INIT_34 => X"0000FFFFBFFFFF7FFFFFF0000FFFFE0000007FFFFFFFFFFFFFFC3FFFFFFFFFFF", SRVAL_B => X"0", INIT_18 => X"00003FFFFFFFFFFFFFFFFFFFFFFF03FFFFFFE0000000000001FFFFFFFFFFFFFF", INIT_10 => X"80007FFFFC0000000000000007FFFFFFFC0000000001C002B80007FFFFFFFFFF", INIT_20 => X"FFFFFFDFFFFFBFFFFFE000000CFFFF1C0000FFFFFFF0007FFFFFFFFFCF7FFFFF", INIT_39 => X"003FFFFFFFFFE3FFFFFFC0003FFFC00000000FFFFFC7FFE0FFF81FFFFFFFFFFF", INIT_02 => X"FFFFFFFFFFFF000003E00000003FE000FC0001FC7FFFFFFFFFFFFFFFFFFFFFFF", INIT_19 => X"FFFFFFFFFFF81FFFFFFFC000000000003FFFFFFFFFFFFFFE00000000000FFFFF", INIT_11 => X"0000000FFFFFFFFFFC000000000FC00600000FFFFFFFFFFFFFFFFFFFFFFFFFF1", INIT_1D => X"00007FFFFFF001FFFFFFFFFFFF03FFFFFFFE0000000001FFFFFFFFFFFFFFF9FF", INIT_23 => X"FFFFFFFFFFFFFFFFFF80000003FFFFFFFFFFFF07FFE3FFFFFFFF00001C7FFFFF", INIT_01 => X"80000000007FFFFFFFFFFFFFFFF000001FF00000001FE000FC0000FFFFFFFFFF", INIT_17 => X"00000000000FFFFF80003FFFFFFFFFFFFFFFFFFFFFFFE03F807FE00000000000", INIT_0F => X"FFFFFFFFFFFFFFF980000FFFFE00000000000000FFFFFFFFFC00000000018000", INIT_08 => X"00000000001E7C78FF0103FFC1FFFFFFFFFFFFFFFFFFFFFF80000000F83FFFFF", INIT_36 => X"00003FFFFFFFFFFFFFF83FFFFFFFFFFFFFFFFE00000070000001FFFFFFFFFCFF", INIT_26 => X"FFFF0000003FFFF00003FFFC003FE1FFFFFFFFFFFFFFFFFFFC0600003FFFFFFF", INIT_14 => X"FFFFFFFFFFFFFC018007FFE00000000000003FFFFFFFFFFFE00000000007F07F", INIT_33 => X"BFFFE7E00000008000007FFF0FFFFFBFFFFFF8000FFFFF0000001FFFFFFFFFFF", INIT_05 => X"FFFFFFFFFFFFFFFF80000000003FFFFFFFFFFFFFFFFFFF0000000000001FFC23", INIT_28 => X"FFFFFFFFFFFFFFFFF07C000FFFFF001FFFFFC0C003FFFFFFFFFF8C00003FFFF8", INIT_29 => X"C0F8001FFFF00007FFFF00000FFFFFFFFFFFFF00103FFFF8000FFFF000FFFFFF", INIT_31 => X"00000FFFFFFFFFFFFFFE7FFFFFFFFFFF800701F8000000F80000000F0FFFFFFF", INIT_03 => X"00000000003FFC01FC0001F83FFFFFFFFFFFFFFFFFFFFFFF80000000007FFFFF", INIT_0B => X"800000001E1FFFFFFFFFFFFFFFFFFFFF0000000000001C787E0107FFFF9FFFFF", INIT_0A => X"FFFFFFFFFFFFFFFF800000003C3FFFFFFFFFFFFFFFFFFFF800000000000E3C78", INIT_27 => X"0007FFF8007FFFFFFFFFFFFFFFFFFFFFF80E0000FFFFFFFFFFFFF1C000FFFFFF", INIT_00 => X"FFFFFFFFFFFFFFFF8000000000FFFFFFFFFFC7FFFFC000003FF00000001FC000", INIT_1E => X"FFFFFFFFFC0FFFFFFFFC0000000001FFFFFFFFFFFFFFC1FFFFC0000000FFFE3C", INIT_25 => X"FFFFFF00FC3FFFFFFFFE0000007FFFF80001FFFE000781FFFFFFFFFFFFFFFFFF", INIT_15 => X"801FF800000000000007FFFFFFFFFFFFE00000000003F87FC0000FFFFFFFFFFF", INIT_3A => X"FFFFC7007FFFC00000007FFFFF83FFC03FE01FFFFFFFFFFFFF1FFC00000FF000", INIT_3B => X"00007FFFFF01FFC01FC01FFFFFFFFFFFBFBC0000001FE000007FFFFFFFFFC7FF", INIT_3C => X"0001FFFFFFFFFFFF9FF80000003FC0003FFFFFFFFFFF0FFFFFFF87007FFFE000", INIT_3D => X"8FF00000003F80007FFFFFFFFFFE1FFFFFFF87007FFFE00000007FFFFF81FFE0", WRITE_MODE_B => "WRITE_FIRST", INIT_3E => X"7FFFFFFFFFFC3FFFFFFF9F007FFFE00000003FFFFFC0FFF00001FFFFFFFFFFFF", SIM_COLLISION_CHECK => "NONE", INIT_A => X"0", INIT_B => X"0", WRITE_MODE_A => "WRITE_FIRST", INIT_3F => X"FFFF3F007FFFE00000000FFFFFF0FFF00001FFFFFFFFFFFFE3C00000007F0000" ) port map ( CLKA => clka, CLKB => BU2_doutb(0), ENA => BU2_U0_blk_mem_generator_valid_cstr_ram_ena1_2, ENB => BU2_doutb(0), SSRA => BU2_doutb(0), SSRB => BU2_doutb(0), WEA => BU2_doutb(0), WEB => BU2_doutb(0), ADDRA(13) => addra_6(13), ADDRA(12) => addra_6(12), ADDRA(11) => addra_6(11), ADDRA(10) => addra_6(10), ADDRA(9) => addra_6(9), ADDRA(8) => addra_6(8), ADDRA(7) => addra_6(7), ADDRA(6) => addra_6(6), ADDRA(5) => addra_6(5), ADDRA(4) => addra_6(4), ADDRA(3) => addra_6(3), ADDRA(2) => addra_6(2), ADDRA(1) => addra_6(1), ADDRA(0) => addra_6(0), ADDRB(13) => BU2_doutb(0), ADDRB(12) => BU2_doutb(0), ADDRB(11) => BU2_doutb(0), ADDRB(10) => BU2_doutb(0), ADDRB(9) => BU2_doutb(0), ADDRB(8) => BU2_doutb(0), ADDRB(7) => BU2_doutb(0), ADDRB(6) => BU2_doutb(0), ADDRB(5) => BU2_doutb(0), ADDRB(4) => BU2_doutb(0), ADDRB(3) => BU2_doutb(0), ADDRB(2) => BU2_doutb(0), ADDRB(1) => BU2_doutb(0), ADDRB(0) => BU2_doutb(0), DIA(0) => BU2_doutb(0), DIB(0) => BU2_doutb(0), DOA(0) => BU2_U0_blk_mem_generator_valid_cstr_ram_douta26, DOB(0) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_27_ram_r_v2_init_ram_dp1x1_ram_DOB_0_UNCONNECTED ); BU2_U0_blk_mem_generator_valid_cstr_ramloop_28_ram_r_v2_init_ram_dp1x1_ram : RAMB16_S1_S1 generic map( SRVAL_A => X"0", SRVAL_B => X"0", INIT_00 => X"000007FFFFFFFFF00001FFFFFFFFFFFFFFC00000007F00007FFFFFFFFFF83FFF", INIT_01 => X"0001FFFFFFFFFFFFFFC00000007C0000FFFFFFFFFFE07FFFFFFF3F007FFFE000", INIT_02 => X"FFF80000007C0007FFFFFFFFFFC0FFFFFFFE3F807FFFE000000067FFFFFFFF00", INIT_03 => X"FFFFFFFFFF00FFFFFFFE7F003FFFC0080300FFFFFFFFFE000000FFFFFFFFFFFF", INIT_04 => X"FFFCFF803FFF001C0000FFFFFFFFF000000007FFFFFFFFFFFE3800000038007F", INIT_05 => X"00007FFFE000000000000FFFFFFFFFFFFE200000800003FFFFFFFFFFFC03FFFF", INIT_06 => X"00003FFFFFFFFFFFFE20000100000FFFFFFFFFFFF007FFFFFFF8FF803FFE001C", INIT_07 => X"FE70000100003FFFFFFFFFFFE00FFFFFFFF8FF801FFC003C000003FC00000000", INIT_08 => X"FFFFFFFFC00FFFFFFFF1FF821FFC007E0007000000000FFE00047FFFFFFFFFFF", INIT_09 => X"FFF3FF9F1FF8007E000F800000003FFE000FFFFFFFFFFFFFFFE000070000FFFF", INIT_0A => X"000F80000001FFFF801FFFFFFFFFFFFFFFC000070000FFFFFFF9FFFF801FFFFF", INIT_0B => X"E01FFFFFFFFFFFFFFFC000060000FFFFFF81FFFF003FFFFFFFE3FF9F1FF800FE", INIT_0C => X"FFC000060001FFFFF801FFFE003FFFFFFFE7FFFF1FE001FF000F80000007FFFF", INIT_0D => X"F003FFFC007FFFFFFFC7FFFFFFC183FF003F2000000FF7FFE00FFFFFFFFFFFFF", INIT_0E => X"FFC7FFF7FFC383FF00FFF000001FE3FFE007FFFFFFFFFFFFFFC01FDE0003FFFF", INIT_0F => X"01FFF800003FC3FFC000FFFFFFFFFFFFFF803FFE3807FFFFE007FFF8007FFFFF", INIT_10 => X"C000FFFFFFFFFFFFFF80FFFEFC07FFFFC007FFF000FFFFFFFF8FFFE7FFE3C7FF", INIT_11 => X"FFC1FFFFFE07FFFF000FFFE001FFFFFFFF8FFFC3FFF9C7FF81FFF80000FF83FF", INIT_12 => X"000FFF8003FFFFFFFF9FFF83FFF18FFF81FFFC0001FF01FF800F7FFFFFFFFFFF", INIT_13 => X"FF1FFF83FFF08FFFC1FFFE0000FF00FC001F7FFFFFFFFFFFFFFFF0FFFFFFFFFE", INIT_14 => X"C0FFFF00007F8078000EFFFFFFFFFFFFFFFFE07FFFF007FC001FF00003FFFFFF", INIT_15 => X"0000FFFFFFFFFFFFFFFFE07FFFC003F0003FC00007FFFFFFFF1FFF01FFE00FFF", INIT_16 => X"FFFFF1FFFF800000007FC0000FFFFFFFFF1FFE01FFE00FFFC0FFFF00003FC000", INIT_17 => X"00FF80001FFFFFFFFF3FFE01FFF807FFC07FFF00001FF80000007FFFFFFFFFFF", INIT_18 => X"FE3FFC003FF807FFC03FFF00000FFCF000003FFFFFFFFFFFFFFFFFFFFF000000", INIT_19 => X"C03FFF000003FFFC00007FFFFFFFFFFFFFFFFFFFFE00000007FF80003FFFFFFF", INIT_1A => X"C0007FFFFFFFFFFFFFFFFFFFFE0000001FFF00007FFFFFFFFE7FFC001FF807FF", INIT_1B => X"FFFFFFFFFC0000001FFE0000FFFFFFFFFC7FF8001F9887FFC01FFF800181FFFF", INIT_1C => X"3FFC0000FFFFC3FFFCFFFE61FF1183FFC00FFF8000E07FFFF000FC7FFFFFFFFF", INIT_1D => X"F8FFFFF3FF0183FFE007FF8000783FFFF800003FFFFFFFFFFFFFFFFFFE800000", INIT_1E => X"E007FF80003C1FFFFE00001FFFFFFFFFFFFFFFFFFFFFE0007FF80001FFFF03FF", INIT_1F => X"FF00003FFFFFFFFFFFFFFFFFFFFFC000FFF00003FFFC07FFF8FFFFFF1F0181FF", INIT_20 => X"FFFFFFFFFFFF0001FFE00003FFF807FFF9FFFFFE0F8383FFE007FFF8001E1FFF", INIT_21 => X"FFC0000FFFF007FFF1FFFFFF0FEFC3FFF007FFFC00078FFFFF00007FFFFFFFFF", INIT_22 => X"F3FFFFFF0FFFC3FFF007FFFE0003CFFFFC00007FFFFFFFFFFFFFFFFFFF800001", INIT_23 => X"F807FFFE0001E3FFF000007FFFFFFFFFFFFFFFFF7F800000FF00000FFFF007FF", INIT_24 => X"F800007FFFFFFFFFFFFFFFFE1F8030007F00001FFFE007FFE3FFF9FF07FFC3FF", INIT_25 => X"FFFFFFFE1F807FFC7E00001FFFC007FFE3FFF1FBC7FFE3FFF807FFFF0000F1FF", INIT_26 => X"3C00003FFF800FFFC3FFE0C1C3FFE3FFF803FFFF8000F07FFE00003FFFFFFFFF", INIT_27 => X"C3FFC001C0FFE1FFFC03FFFFC000781FFF00603FFFFFFFFFFFFFFFFF3F81FFF8", INIT_28 => X"FC03FFEFC0083C0FFFF0F801FFFFFFFFFFFFFFFFFF01FFF07800007FFF800FFF", INIT_29 => X"FFFFF800FFFFFFFFFFFFFFFFFF01FF80000000FFFF801FFFC7FF8000001FC1FF", INIT_2A => X"FFFFFFFFE001FC00000000FFFF001FFF87FF0000000FC0FFFE01FFCFE0003E0F", INIT_2B => X"000001FFFF001FFF87FF00000007C0FFFE00FF8FF8003E07FFFFF8007FFFFFFF", INIT_2C => X"0FFE00000007C07FFF00FF0FFC003F03FFFFFFF07FFFFFFFFFFFFFFFE30FFC00", INIT_2D => X"FF00FF07FF01FF01FFFFFFF87FFFFFFFFFFFFFFFFFFDFE00000003FFFE003FFF", INIT_2E => X"FFFFFFF87FFFFFFFFFFFFFFFFFFDFC00000007FFFE003FFF0FFE00000007C03F", INIT_2F => X"FFFFFFFFFFFFF8000C000FFFFC007FFE0FFFC0000037C03FFF807F87FF01FF80", INIT_30 => X"1C000FFFF8007FFE1FFFC000003FC03FFF803FF3FF81FFC07FFFFFFC3FFFFFFF", INIT_31 => X"3FFFC003001FC01FFFC03FFBFFC0FFF03FFFFFFE3FFFFFFFFFFFFFFFFFFFF0F0", INIT_32 => X"FFC00FFFFFC07FF81FFFFFFFFFFFFFFFFFFFFFFFFFFFE3F81C000FFFF000FFFE", INIT_33 => X"1FFFFFFFFFFFFFFFFFFFFFFFFFFFE7FC3C000FFFC000FFFC3FFFC003000FC01E", INIT_34 => X"FFFFFFFFFFFFCFFC3C001FFFC001FFFC7FFF8007000FC00C7FE007FFFFC03FFC", INIT_35 => X"3C001FFF8001FFFC60FF0067000FC01C3CE007FFFFE01FFE0FFFFFFFFFFFFFFF", INIT_36 => X"43FE00FF000FC00C3C0007FFFFF01FFF0FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE", INIT_37 => X"7E0003FFFFFC0FFFCFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF3C003FFF0001FFF8", INIT_38 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF3C007FFC0001FFF80FFC01FF0007C000", INIT_39 => X"FFFFFFFFFFFFFFFF7CE0FF000001FFF01FFC01FF000000007F0001FFFFFE07FF", INIT_3A => X"7FF1FF000001FFF03FFC03FF800000007F8001FFFFFF83FFFFFFFFFFFFFFFFFF", INIT_3B => X"7FFC03FFC00000007FC000FFFFFFC1FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_3C => X"7FC000FFFFFFC0FFFFFFFFFFFFFF9FFFFFFFFFFFFFFFFFFFFBF1FF100003FFF0", INIT_3D => X"FFFFFFFFFFFF81FFFFFFFFFFFFFFFFFFF3F1FFF80003FFE0FFF803F9C0000000", WRITE_MODE_B => "WRITE_FIRST", INIT_3E => X"FFFFFFFFFFFFFFFFE7F3FFF80003FFE1FFF00FF8E00000007FE000FFFFFFE0FF", SIM_COLLISION_CHECK => "NONE", INIT_A => X"0", INIT_B => X"0", WRITE_MODE_A => "WRITE_FIRST", INIT_3F => X"FFF3FFF00003FFC1FFF01FFC100000007FF0007FFFFFF07FFFFFFFFFFFFF801F" ) port map ( CLKA => clka, CLKB => BU2_doutb(0), ENA => BU2_U0_blk_mem_generator_valid_cstr_ram_ena12, ENB => BU2_doutb(0), SSRA => BU2_doutb(0), SSRB => BU2_doutb(0), WEA => BU2_doutb(0), WEB => BU2_doutb(0), ADDRA(13) => addra_6(13), ADDRA(12) => addra_6(12), ADDRA(11) => addra_6(11), ADDRA(10) => addra_6(10), ADDRA(9) => addra_6(9), ADDRA(8) => addra_6(8), ADDRA(7) => addra_6(7), ADDRA(6) => addra_6(6), ADDRA(5) => addra_6(5), ADDRA(4) => addra_6(4), ADDRA(3) => addra_6(3), ADDRA(2) => addra_6(2), ADDRA(1) => addra_6(1), ADDRA(0) => addra_6(0), ADDRB(13) => BU2_doutb(0), ADDRB(12) => BU2_doutb(0), ADDRB(11) => BU2_doutb(0), ADDRB(10) => BU2_doutb(0), ADDRB(9) => BU2_doutb(0), ADDRB(8) => BU2_doutb(0), ADDRB(7) => BU2_doutb(0), ADDRB(6) => BU2_doutb(0), ADDRB(5) => BU2_doutb(0), ADDRB(4) => BU2_doutb(0), ADDRB(3) => BU2_doutb(0), ADDRB(2) => BU2_doutb(0), ADDRB(1) => BU2_doutb(0), ADDRB(0) => BU2_doutb(0), DIA(0) => BU2_doutb(0), DIB(0) => BU2_doutb(0), DOA(0) => BU2_U0_blk_mem_generator_valid_cstr_ram_douta27, DOB(0) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_28_ram_r_v2_init_ram_dp1x1_ram_DOB_0_UNCONNECTED ); BU2_U0_blk_mem_generator_valid_cstr_ram_ena131 : LUT4 generic map( INIT => X"0010" ) port map ( I0 => addra_6(13), I1 => addra_6(14), I2 => addra_6(16), I3 => addra_6(15), O => BU2_U0_blk_mem_generator_valid_cstr_ram_ena13 ); BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe_4_2 : LUT4 generic map( INIT => X"222F" ) port map ( I0 => BU2_U0_blk_mem_generator_valid_cstr_N13, I1 => BU2_N18, I2 => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe(4), I3 => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_Mmux_dout_mux_5_f52, O => douta_7(2) ); BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe_4_2_SW0 : LUT4 generic map( INIT => X"AF27" ) port map ( I0 => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe(1), I1 => BU2_U0_blk_mem_generator_valid_cstr_ram_douta14(0), I2 => BU2_U0_blk_mem_generator_valid_cstr_ram_douta13(0), I3 => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe(0), O => BU2_N18 ); BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe_4_3 : LUT4 generic map( INIT => X"222F" ) port map ( I0 => BU2_U0_blk_mem_generator_valid_cstr_N13, I1 => BU2_N16, I2 => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe(4), I3 => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_Mmux_dout_mux_5_f53, O => douta_7(3) ); BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe_4_3_SW0 : LUT4 generic map( INIT => X"AF27" ) port map ( I0 => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe(1), I1 => BU2_U0_blk_mem_generator_valid_cstr_ram_douta14(1), I2 => BU2_U0_blk_mem_generator_valid_cstr_ram_douta13(1), I3 => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe(0), O => BU2_N16 ); BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe_4_4 : LUT4 generic map( INIT => X"222F" ) port map ( I0 => BU2_U0_blk_mem_generator_valid_cstr_N13, I1 => BU2_N14, I2 => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe(4), I3 => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_Mmux_dout_mux_5_f54, O => douta_7(4) ); BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe_4_4_SW0 : LUT4 generic map( INIT => X"AF27" ) port map ( I0 => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe(1), I1 => BU2_U0_blk_mem_generator_valid_cstr_ram_douta14(2), I2 => BU2_U0_blk_mem_generator_valid_cstr_ram_douta23(0), I3 => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe(0), O => BU2_N14 ); BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe_4_5 : LUT4 generic map( INIT => X"222F" ) port map ( I0 => BU2_U0_blk_mem_generator_valid_cstr_N13, I1 => BU2_N12, I2 => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe(4), I3 => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_Mmux_dout_mux_5_f55, O => douta_7(5) ); BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe_4_5_SW0 : LUT4 generic map( INIT => X"AF27" ) port map ( I0 => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe(1), I1 => BU2_U0_blk_mem_generator_valid_cstr_ram_douta14(3), I2 => BU2_U0_blk_mem_generator_valid_cstr_ram_douta23(1), I3 => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe(0), O => BU2_N12 ); BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe_4_1 : LUT4 generic map( INIT => X"888F" ) port map ( I0 => BU2_U0_blk_mem_generator_valid_cstr_ram_douta3, I1 => BU2_U0_blk_mem_generator_valid_cstr_N13, I2 => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_Mmux_dout_mux_5_f5_5, I3 => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe(4), O => douta_7(0) ); BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe_4_11 : LUT4 generic map( INIT => X"888F" ) port map ( I0 => BU2_U0_blk_mem_generator_valid_cstr_ram_douta8, I1 => BU2_U0_blk_mem_generator_valid_cstr_N13, I2 => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_Mmux_dout_mux_5_f51, I3 => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe(4), O => douta_7(1) ); BU2_U0_blk_mem_generator_valid_cstr_bindec_a_bindec_inst_a_enout_18_cmp_eq00001 : LUT3 generic map( INIT => X"40" ) port map ( I0 => addra_6(12), I1 => addra_6(13), I2 => BU2_U0_blk_mem_generator_valid_cstr_ram_ena3, O => BU2_U0_blk_mem_generator_valid_cstr_bindec_a_bindec_inst_a_enout_18_cmp_eq0000 ); BU2_U0_blk_mem_generator_valid_cstr_bindec_a_bindec_inst_a_enout_18_cmp_eq000011 : LUT3 generic map( INIT => X"04" ) port map ( I0 => addra_6(14), I1 => addra_6(16), I2 => addra_6(15), O => BU2_U0_blk_mem_generator_valid_cstr_ram_ena3 ); BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe_4_221 : LUT3 generic map( INIT => X"04" ) port map ( I0 => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe(3), I1 => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe(4), I2 => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe(2), O => BU2_U0_blk_mem_generator_valid_cstr_N13 ); BU2_U0_blk_mem_generator_valid_cstr_ram_ena1 : LUT3 generic map( INIT => X"01" ) port map ( I0 => addra_6(16), I1 => addra_6(15), I2 => addra_6(14), O => BU2_U0_blk_mem_generator_valid_cstr_ram_ena ); BU2_U0_blk_mem_generator_valid_cstr_ram_ena01 : LUT3 generic map( INIT => X"04" ) port map ( I0 => addra_6(16), I1 => addra_6(14), I2 => addra_6(15), O => BU2_U0_blk_mem_generator_valid_cstr_ram_ena0 ); BU2_U0_blk_mem_generator_valid_cstr_ram_ena11 : LUT3 generic map( INIT => X"04" ) port map ( I0 => addra_6(16), I1 => addra_6(15), I2 => addra_6(14), O => BU2_U0_blk_mem_generator_valid_cstr_ram_ena1_2 ); BU2_U0_blk_mem_generator_valid_cstr_ram_ena121 : LUT3 generic map( INIT => X"40" ) port map ( I0 => addra_6(16), I1 => addra_6(15), I2 => addra_6(14), O => BU2_U0_blk_mem_generator_valid_cstr_ram_ena12 ); BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe_4 : FDE generic map( INIT => '0' ) port map ( C => clka, CE => BU2_N1, D => addra_6(16), Q => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe(4) ); BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe_3 : FDE generic map( INIT => '0' ) port map ( C => clka, CE => BU2_N1, D => addra_6(15), Q => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe(3) ); BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe_2 : FDE generic map( INIT => '0' ) port map ( C => clka, CE => BU2_N1, D => addra_6(14), Q => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe(2) ); BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe_1 : FDE generic map( INIT => '0' ) port map ( C => clka, CE => BU2_N1, D => addra_6(13), Q => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe(1) ); BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe_0 : FDE generic map( INIT => '0' ) port map ( C => clka, CE => BU2_N1, D => addra_6(12), Q => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe(0) ); BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_Mmux_dout_mux_5_f5_4 : MUXF5 port map ( I0 => BU2_U0_blk_mem_generator_valid_cstr_N12, I1 => BU2_U0_blk_mem_generator_valid_cstr_N11, S => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe(3), O => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_Mmux_dout_mux_5_f55 ); BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_Mmux_dout_mux_75 : LUT3 generic map( INIT => X"1B" ) port map ( I0 => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe(2), I1 => BU2_U0_blk_mem_generator_valid_cstr_ram_douta24, I2 => BU2_U0_blk_mem_generator_valid_cstr_ram_douta25, O => BU2_U0_blk_mem_generator_valid_cstr_N12 ); BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_Mmux_dout_mux_65 : LUT3 generic map( INIT => X"1B" ) port map ( I0 => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe(2), I1 => BU2_U0_blk_mem_generator_valid_cstr_ram_douta26, I2 => BU2_U0_blk_mem_generator_valid_cstr_ram_douta27, O => BU2_U0_blk_mem_generator_valid_cstr_N11 ); BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_Mmux_dout_mux_5_f5_3 : MUXF5 port map ( I0 => BU2_U0_blk_mem_generator_valid_cstr_N10, I1 => BU2_U0_blk_mem_generator_valid_cstr_N9, S => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe(3), O => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_Mmux_dout_mux_5_f54 ); BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_Mmux_dout_mux_74 : LUT3 generic map( INIT => X"1B" ) port map ( I0 => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe(2), I1 => BU2_U0_blk_mem_generator_valid_cstr_ram_douta19, I2 => BU2_U0_blk_mem_generator_valid_cstr_ram_douta20, O => BU2_U0_blk_mem_generator_valid_cstr_N10 ); BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_Mmux_dout_mux_64 : LUT3 generic map( INIT => X"1B" ) port map ( I0 => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe(2), I1 => BU2_U0_blk_mem_generator_valid_cstr_ram_douta21, I2 => BU2_U0_blk_mem_generator_valid_cstr_ram_douta22, O => BU2_U0_blk_mem_generator_valid_cstr_N9 ); BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_Mmux_dout_mux_5_f5_2 : MUXF5 port map ( I0 => BU2_U0_blk_mem_generator_valid_cstr_N8, I1 => BU2_U0_blk_mem_generator_valid_cstr_N7, S => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe(3), O => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_Mmux_dout_mux_5_f53 ); BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_Mmux_dout_mux_73 : LUT3 generic map( INIT => X"1B" ) port map ( I0 => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe(2), I1 => BU2_U0_blk_mem_generator_valid_cstr_ram_douta15, I2 => BU2_U0_blk_mem_generator_valid_cstr_ram_douta16, O => BU2_U0_blk_mem_generator_valid_cstr_N8 ); BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_Mmux_dout_mux_63 : LUT3 generic map( INIT => X"1B" ) port map ( I0 => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe(2), I1 => BU2_U0_blk_mem_generator_valid_cstr_ram_douta17, I2 => BU2_U0_blk_mem_generator_valid_cstr_ram_douta18, O => BU2_U0_blk_mem_generator_valid_cstr_N7 ); BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_Mmux_dout_mux_5_f5_1 : MUXF5 port map ( I0 => BU2_U0_blk_mem_generator_valid_cstr_N6, I1 => BU2_U0_blk_mem_generator_valid_cstr_N5, S => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe(3), O => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_Mmux_dout_mux_5_f52 ); BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_Mmux_dout_mux_72 : LUT3 generic map( INIT => X"1B" ) port map ( I0 => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe(2), I1 => BU2_U0_blk_mem_generator_valid_cstr_ram_douta9, I2 => BU2_U0_blk_mem_generator_valid_cstr_ram_douta10, O => BU2_U0_blk_mem_generator_valid_cstr_N6 ); BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_Mmux_dout_mux_62 : LUT3 generic map( INIT => X"1B" ) port map ( I0 => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe(2), I1 => BU2_U0_blk_mem_generator_valid_cstr_ram_douta11, I2 => BU2_U0_blk_mem_generator_valid_cstr_ram_douta12, O => BU2_U0_blk_mem_generator_valid_cstr_N5 ); BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_Mmux_dout_mux_5_f5_0 : MUXF5 port map ( I0 => BU2_U0_blk_mem_generator_valid_cstr_N4, I1 => BU2_U0_blk_mem_generator_valid_cstr_N3, S => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe(3), O => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_Mmux_dout_mux_5_f51 ); BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_Mmux_dout_mux_71 : LUT3 generic map( INIT => X"1B" ) port map ( I0 => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe(2), I1 => BU2_U0_blk_mem_generator_valid_cstr_ram_douta4, I2 => BU2_U0_blk_mem_generator_valid_cstr_ram_douta5, O => BU2_U0_blk_mem_generator_valid_cstr_N4 ); BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_Mmux_dout_mux_61 : LUT3 generic map( INIT => X"1B" ) port map ( I0 => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe(2), I1 => BU2_U0_blk_mem_generator_valid_cstr_ram_douta6, I2 => BU2_U0_blk_mem_generator_valid_cstr_ram_douta7, O => BU2_U0_blk_mem_generator_valid_cstr_N3 ); BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_Mmux_dout_mux_5_f5 : MUXF5 port map ( I0 => BU2_U0_blk_mem_generator_valid_cstr_N2, I1 => BU2_U0_blk_mem_generator_valid_cstr_N1, S => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe(3), O => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_Mmux_dout_mux_5_f5_5 ); BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_Mmux_dout_mux_7 : LUT3 generic map( INIT => X"1B" ) port map ( I0 => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe(2), I1 => BU2_U0_blk_mem_generator_valid_cstr_ram_douta, I2 => BU2_U0_blk_mem_generator_valid_cstr_ram_douta0, O => BU2_U0_blk_mem_generator_valid_cstr_N2 ); BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_Mmux_dout_mux_6 : LUT3 generic map( INIT => X"1B" ) port map ( I0 => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe(2), I1 => BU2_U0_blk_mem_generator_valid_cstr_ram_douta1, I2 => BU2_U0_blk_mem_generator_valid_cstr_ram_douta2, O => BU2_U0_blk_mem_generator_valid_cstr_N1 ); BU2_XST_VCC : VCC port map ( P => BU2_N1 ); BU2_XST_GND : GND port map ( G => BU2_doutb(0) ); end STRUCTURE; -- synopsys translate_on
gpl-2.0
c0700e5be224fc401c54d572bcd3eb42
0.73688
2.59341
false
false
false
false
benjmarshall/hls_scratchpad
hls_cmd_line_testing/hls_gui_proj/hls_sin_proj/solution1/.autopilot/db/ip_tmp/prjsrcs/sources_1/ip/sin_taylor_series_ap_sitodp_4_no_dsp_32/hdl/axi_utils_v2_0_vh_rfs.vhd
20
292,080
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2015" `protect key_keyowner = "Cadence Design Systems.", key_keyname = "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block dC9ujZ2E0hXv0iNBa7f7rOGh0hi0qDX7cT6h/vnR4HQcBW/vYYsWcfuNK4sQjQ8CdlxA/mvNnwVd UYM/mrbzJw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname = "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block OvkGEBO2JMmXVTGGIMMEv4Y5AjQB5vQIONVj7UfT1nlQg8G6bw9MXD0txkmQUyK5CCN+L8lMErld ESWAd+vN0i7AO0xQam94i/OigTSQSTfR3PU+Cz1Lxs6nLrF2VfWT9+ROufUlJ8OIxdnPkZ9d+hsr KLu8wV5bowXP37myh8s= `protect key_keyowner = "Synopsys", key_keyname = "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block l3euNltsg/hIjEeAr/5X+HsMVWqkQrvmDw2JmSJEkhgkne+rEXQcAPIlnuBGKOE+JbSU51egyF0M cxxlY99Z1/eSt37braURJm9w2/PM4u7p4qR0AaJ97pnJSdcQ+gf4wlM6AjoXB5Asrlz7E/6A5spy N+PiiiSCvyBszZJTbpI= `protect key_keyowner = "Aldec", key_keyname = "ALDEC15_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block BDyqPyDgPQYGhocyas7N5t/CdFBv1150aQw5k3NPvEvyJSwIaXHy1ifKK6ux4FA6Qe9DYBoEwc12 eH4YLK1h+oiuxMtRFIxf+Lox3dUP1YxpO9j1ozgUMXNK3gDha6VtNudzU5MYypm9wXggDlg3HVbo ZhCpBHbcIYEFYl6Cl+2nb0exNweF9+TuSm9mkacN/4K7u7lY7gAGqqoxMkwNB+uI/9vdYkCEr/vX YxVn4XpuuXMsqA9LMYCTFYL4IyuLcCo/R6EB7HbBxJ4BJx/CqzyIlGRGJ9THVO0Iuat5Jo2g4yk8 QBR/qqUO+X6lgX4Ul3YTlPxXgNGni5ZUNFK+iA== `protect key_keyowner = "ATRENTA", key_keyname = "ATR-SG-2015-RSA-3", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block fjDAeDatmJx23uW8yBlA202w/Vvvv95pZYRnEREEJGOP/5IBOO37M0MLS2Ck3cBWPPHU2Z+SdBa9 j8mZ2Vd0heOudl5pTTljUzVw29QoUEJHzeihTtuG5+Hd1PaJcSFEpcenZziZG+DkkuENmF1kd98l 0p8p8Bl4n4wL53n8Oinpeg8nXvtMpXkMtrMeGkkmxSTf9DlxbRi4M9FMkgEhZngkUwblg0wTUE6P cQfX9U7GB6Sna9qiahQlU8bkhptu7gt1AUuP7Mh/0Tf1rm6LVTdPQo0jv6XCPuyZMNC0zLVihjL8 RAC852kJDpTQ9rDgo2TZojv9U/vVOtlYeNcKhw== `protect key_keyowner = "Xilinx", key_keyname = "xilinx_2016_05", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Y7/YZKbn+/cgRhGnQA8jkGeaCv9w3i658O9sUpHaypF/4uYCybvKI0rQTwY+mCWn6F5E7+HrYsEu 07T5PT7hagPT6U0EGMRceX2+4oenaD8NtjFoTvgGj+fxHJ4DAi21cXYlKlrHBYrkhol6TXs5k5fM qqqAFjXvCbT3feJ2G9UCIOVIa5+z5rgNv1s7YosqFuD75XgyionP0G9wccEgPLnBsrAI9zusMNGf Zl/39PJDc0d3za2D/0iUMRaIe/pFwTx6NX6FG4Yfw9g9r3LcASe18a3tYX7YLF5BYVs1p8ixlox6 gL7ER/vuAsMF+h7cxA4CDgXaAVdR+3Y3H/hSEg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 214080) `protect data_block k0SDwkcqpu/5Px7cdpn4jwhmBywG88lywZj5IAvgFO2GF9jtLHmg1ziRteFZ9stLb1qACFZoqoE+ DyFnJoS1+Rxt7or8QyoAzCd3OBlT5N946nmlRjZcRourxvetoDCpC2RERANccur6/84iHMVfkuAn Oxl27irvt6dGDSGwc0e/Vgm0B8DknS5LYUrl+4ssqyfoTlUQLikX9lLVn04v8lLYI3pFWLbj4uQ7 mDcBnKfhbwN9dAlVi3kcU0wuw63FkoNmo9JLfaszDVBiZFVjxdVdRiO0nDHw6/y2EYpltTcqfCwn ryq+Uw0IFb1W5nXPq6s8RSOXBHa5NTQ68jvO6pFnGidZcOztwxHUcJJD6Z5wdCgAlpGKp5hYg2PL EbW0ObgQ6qYe0OdeTU52zS7oIpbQaoQuuCCRRwB5Wz6ZdY0HKYTDMEEOqJ6eQtpjzidH/vPvTYDS Ex7tpJAVi1CplFXVEcZ2PXcd3CQWWyoyTS+jz4D0kx8qTSh8anHVUoE+TTDgEtrRgCG/e9vFMJsN uwvZ0Gst30/D8I6ic7Y7hlk86BwNIe6eXUyhf4Ag/nHPz1PpdDLQyQm2ZfyAvIxoxuvF5bfQiOg3 Hry2wbXcLPd5aWCtdEDf5kLKTMgBU/S6C9uDEzdmJs3MqVZtj7TFf34phkK8D5xcpaaQmNUlzf4/ p2JQsiGPOEXBc2jON6DDxzdtO9iNWpSirX8+UlzdH2UcO0XL3aVjvSIffrh6NjI7P09hHsin6DQZ 2rNg1t2aC2M+MpEqLyk0jjBJwMmvJ14sCcbW4ZLNQXFtcNpv+sclEpIBgppLNI+JFznQ4b2mkdq+ hC3gxaKUeU+FRk24MUjGmDyrsSlli6+9B6tRFVTH0/jkHr8KPz+yVaJhuwDcAuZ09VdQtVqqGDxy gsfhEGudYu+peZnLqOnxrZRUfIGWqglbsDt7eMQn56HTWPlQkRjxhesvA7wfhgNwV1yMwhAwLVWc oQ7BqSg3JA4zQo2T2PZZLX3G7GCR+tJG7unZ8GEAbjLx6lpBHdm+zczhZ+Br/3m7KTU/hB+2Bekw kk2R8zSVOqXszEgQ4O+kRwiuV15NjhjBAyTPnhSGXh9c6rZhPxnp7BEsGnc1Mim8ucwj2djscWos 7tUINfISFF8rXmaOU8itAy28+HFFkWHI0q6POhhIAyZNTEZXlX0LfGfAlWwlUqNxf19F3ALjhXXt 8fGTxb8VWrNPBJGHgN7Rz5TZekTp1LXR03+d7Qeg5GS8+vZHDQ3GkDhPHaeM9RPiuShG7vSgnnKK HPJtcVDs2wE7fXzho/Q3iVTiOMLQN4D7FdHkAlL8/MzspV0oy373aCh47lWF8FsdPfAEI/JF+eYA ydCgv5d4Heuv0hLZ3W1gMPjPlHCY0U8YuJESwfbLufPvxSHGqw47pP7muTx9uuA8UJkkFzqQ06I9 bA3AgpzytxG6FLBETkXN4zoy66wrOIHE5HNBRpRUFwILg8lEeGZ9mWfvuyLUsSCa+TviCqGiujl+ NHN4mq7VxT0qyRZzF9f562JIma0uNYCWSAuTGs9l5q/+PQObJ3uQ3QV10rqTuEL2gbWeWicXzrov dZWoUyZv0uKQiFZSeD7tHEW1gQgug6z9kNn+Y7YQav/Fb3BzoZr58Kiuk520D4OFZehc5JJnUKWZ 1FfzRf1F5sBaPYJ5A5Y96yDjNh83oCEX4dpe6RNqYsJ5WYzBqaVc7wC4qm1wAnyZ9aTmVuIjA7rw 3UoOia6/TqL2X5k+Q/pGsyPgaSjXxZN3NRdP0XasH7R1diO/HmNMlVKHqhAM9lfdiIKUc/zombZx 6IshJdcmrPqxDglXl2Ip+SXp55OnHkTXb26Xb7vrfdc/rT5HJdbGhNcr1EjJMWaDwDGmiV2xiyio ya1D+/0GaSfXLJoSZq9nF5hMNqhJQvYizPvxaBDDtTqcq3hYHo07BPL7To98uN2B9/pRgR+GTPdd nhS4A373jU+IrhaxeM99sV96eMepuDE7auuE5XOtfNKPyl7faA5eK5Is2UkJYZVdQ0k67TJX5QOe RKe6o4vdw+mLB+gSWtVtZ7Vg93HV4EDKa1MQNZ+a1seg0oX4gctXI6z+VcfgpvzN24fh+hFI52wK IzFXvWx3Jyilp8P2epmRpVoRv1oXT9lgWuziuvSahZRpWoemrSgYYW+hN70Z4nodN9plnE71Flyq MmN1kY+Q3z00gvzBY1TD9gZm15d1NsAxu7me+b+bDm9EH0ImEKXOW84plvnpoiF4IpaymtY+7Mpr kYGWMjIUbuIRHeN1ykjFNqpH85FSEBwrfBVEmdyZzPuwgCmR1wh597fE+H7+c/+5lhRMkZ9DcuZ2 eSxRPX9AWYwgETivw9OTVKVYemBp3JNAilIHMkILq1d1Wff3FEeY4sHbJrSKYSWKh8v9yaGMCuwT S3lvmXYNrMgM7sSCeZ3vtYKF4gBjXyOJzbvjQUAbiiWzrr454+M0OtPEpMpZtPL4dPjkMAyrEZfI sERZJLn7YdyAU6USX3DZvwEEtUUWyv9pJiVnBqMGsPVmvXPunfBB8JF75B62Id7DMbguofbmIx/F 9h8YUwUZtfaTqKZMS1xXeXPyELiyzgsEX2D9jqxEddcCBNMXQdCS4c73KOuFKXEddw1OC41zVnNc ALnXr/qVpOubFCqCumAO4pKg0FGovytkCgXVbgc2TocgNHKFpwwBNxc780s0uK9C8N39PimS4kxE Y7lrQA3ReQqBM2oMVRGE/YpwtnaZtW6GRbLmvw5CTB3N9AHfTyYAKXd4ZiMTZjcPuEySMI+NyAGA WktReeCmqqgUyWBHpJkNh9PqEki4kmKoVfFVca17qUH1MCl0xKY+ylH5SjnqVCbp11C4DeTtSL9A 31EPaHS6EIZ1JUVjFpzMdyPMI8IYVu4wyc0USxOvFYG6YX73wHy7riaXL95MoHEo07FXbFy+2ZVc bO+wlqwUROlX/1/tb7y0xHpy96cSX2dvOWEDFT74oip1YiOBUFnFtKdMo1G8CRUEyznfO7reYhuv A2/AX78Q0nq+gUlnYxv9dnY2wtX+39hu8ib8C7/MO04RjNAVk0VPQmqv0xp/Q5a4eBlHBNFMVwHN deiybXVY8cPJXW84UnP+jwRWRWzj8q5ROFeLMKVzCdqGFeZD7K8czkPV8PV68+O8N+pXTh04UcnT Gei2sduKkLffnn8WzUA1kjlJZZaGU9c01iDnYc7Es/JXBk+VbGiXTiNXfGiZZAIsdq9G6VNeOjII wjyvuXVhJgmlm3NXwlqO/u1N2fKTdjubnHTOX0BvEi/bK0V1EoyH2t//nla6dj1qXSXVZ3ApSSAc OhcAcQdni+n2Wvxwt65+/W8STTNL+Eth7PCz1eS5QnUDwLSd9elHZT/29YNPWp5cZ/Y8WhyXYyPg 9gmmG8C27ieRNjUF6ozeKQdjldib/zJXoonmNg4yYVkBzqGYZF9Io2NLJtKclfAo1AEKHpS5GVBf eCFmKeQjuh/ibkn9DGHLApCtxn4gOTgapLPIgJuWIx0r4GcDnZYx4nP76eP9DrTRGnq7MhSHcIdH hhg6sytyCq2VADr7k8CiwrtGPBiS+SmxrsUEuFJhu0BqzC+R7p2ls4ZYnr1RU1yfnonmH89G8zK1 v6dSp5QCutUK+S6kaRaWrQVWFC2mPWVC6uh2sty0UdCrMLWsXL8UW6tLYOxRNQ2nBpls4gA+9v1h XpXXaFU9txzDm5GU8xzSQ7fKKbEK1SUYeQ313F2MOiOd+TNFT8bZeOw0QXZO8SPWjV+x1DmCix3t HqEu5Hj0V8+oqc82g/1ZYG5S5zo++5MevppTf31WRmUDxoyhK7lS+MyKVBZbQhx2xIaBRPLRD70M u1aryfT9STTZ1GNfEZueDnbD8kd+gj+3w0OkRsP68i0pZd08ehccaq7TCtpieXHv44GKqd5qrxMd 3b+4KwU0eYMAETrTT0RsZFNBCTygVRPcSQGQ6fx/IjBfca2h4NymxA9eJWzjpeKiG/iw5j07Uwut iWmdHmlptpHMbtc1ZtGAbCTDcSNqdHAhg1YE5A4+tUQQ9voxdtUASAPgzLOe+8uPnvN2LxhO6Vqk ctEsHjI6BaceqRR/f3NpPByrgSU9XzLKF93cMyJbpZIyuOPpbDv8D20wOAG0J25fO1E7J3KtyCRn oooBKpjeMc0Q4HKMBUTmcWOrt6JF4dD+gY/hcb1yc9joZ/W7tNy/TsB714ttWN54PmgzjLtOwkct YDrB7rciJyc1cjTgu2huzLb120wUH9Lp0t5HuGj6xiA8zusXHnLG5rIswpQh96SW7AwyjQy/hD+g SbDwM5Gt5rNBE0tEVgCT9/1clfGzFljz6FKG+6gngHLxTw0aYFb8UfNWms+pJtK9a+fiNtEJ2fsU uQKoj3HWuyGSHyg2hnhI2k6AUULpKKffbW42BpRVNQxl43fENW/62wDtomJF2wkPAny+l6paBhOx aucUhdJPO2+DJbS06g5+seJVLdbXuts9MZ/AnXMCN3mcFHC8Eoe2OPpb3sEr+wbE/niwUrd6uW9F XgWzrBWs2fWzaeLHBAebWhVMOIq0xKPJNBaQc2dZy54sZA2Ix74nUQDVxTkV3ydm1f7SL2k6kgOG U+SzrgfaGO1DU4PkWpJ1Sh85dFWTnh+PU/+vPgUMYjctdsVxAqeaKTCDmS929bOfUX15ObUCk1mB JiHjo3wMmG8fHrydXc+ja/+cSuJwRrt+8BfTEHiBZUe+fTFE5b9CC0b5UgMSYsEVSq5YUSOK0T8B JRKopx1evs5Oc1zZXGAlK2XWGV5jRpJisMC7EL1MKV44vYqbtcUBWA6TofGs8Dw57xsvOIuIQL3d hiV2nAcMYKLdB207sC5Yxz7XrOCPlLrkc+AAglnNUtzRkxzc0+L8/AWgR6X2IGy09n5s0IZnKqVu wFWrr+VcFY4uHXbN3T1m6iu+BEMhbr6OCe+EQC1gzs9hQnB9ilqQb3cBV8NQ5Kh5JXPCT9Z54vu7 /yvOLf6+aj07tVJyFp/viObj2CdL28ejkK+Y4fb4dlUSx/wb67FAwYNb354FLSAExpMCssgRwP9L zHnCgIY66Q53yJEsd7qTIemaLShZ3fK+rt6M68FlIotGbzfp1f9AFp18jIF7XQ40cxDGIOoTBk71 SXvpo6fg+aPHvFyhhxX9YBUcKD2LIe4tjAf7O+Fkz0ZQCByKo5d6qHK48sNIHcz+wbg28pb+e0xG /YoSZhVZDkPI7FFEC6hq1LlN9PsGlgITaWGO+jqmpz+flNAZJGHYHUf+ajZ7vJlIrqIQwGCvKz3v D0OMNMewBDOqCymh4JGAV1QsVxfLIJhKX1GRfmVPlPVZ4uZIDA6Dz1LhQaKfWPgMeVlTDSnWL0EW lTzwa6EyujY10TZle8z3hIqtgS/zIaNEMHA76Y0I0/Y5d02h/m4VrBpI+Ob4WrM9vICaVOSZrOOy 3zZtEgbqFkXBS5IJV4Fah3MB1EBoIli05Dx+rHsKDu2DluNHsJ7abaSoAg90USuWIYcoOz63hIPh TL/EzbPF2rjRqDRr4bShevoOVIrHmF9iPX0y7Z+VxhkNBQFveTtrMTy6t1UDwjIynvTCB6RBjoRL IRjHDCsUCky+kP7FtvukAVNz9+bwTeVU5UZLhGhJHgsqggXJNcl2dx7vU4xfbatgVsRkl16xhuML bjqU+M+L6RpJaE25JRT3vSaSPilh4r6IZHA4gP4z/nhuZo6ygeAuy1sEPDmLZPI1oO/8woB2qXQg FMgVWjqWbaA8QBqoE37u979IR9n4YkMy2T1AYHs50OI5l27oituXsgdcge8f228DV1aAWAAxYi3P q51ullyCASId1+Fv+Ob8PUuODfhilqPI5u1K2tx0ZIsA6A8j69n1ZYiRHHrCp901JFmtw/59/tFX S+S7LwqL0NRuFG0pX4vo4LdBdy7RtAuqbIPrH4dF7xckC99OMPaTKyU+NkN99Q74ffPHnQ6OJQGT V51cOljtQm7HLNCzJtg5Mw6zIwsJ4/dhz6ZSHtWFtm/n/eP0++hjwwZYCLl8CbsQMp64H674DXF1 fWqMYqflGCet42GK1Q/kpSRhMN/udOurIAUpPgZWbgEURGHoBue5VR6DnX/Ou8DTPcaLAOorySGV 6Z/gJXASqzaNtUK9nUnK7gGtzD2Oqir4nvSJ1Cxgw1qibHgqsFAAK4YIKPfOG5dogBkPlQ2MSal8 nOt2JFWWK4+X/OnTYvUdsfOXNIYYN1GR1OL5MADFBV4LDuBV0jQK1LvORMRe8sZI53bsb0hZOZbn 2KCh86Dg5m4WrFMrqrf8fJGe6S2HjaV9sB99FihotQZiYyr6qClDnRoh0woLx8zf51caNKLF9/IK N540gj74cZUvsbkmNlhnjvP/PnXGsLcP7+CceGf6Uq2kNnVGiMcCHCAje/SWCcrSTqD2DYh2ZhID 1jzquQ0o16PbtVDKNZPc4UQ0s6w/XqGpJ12PoYghCV8k074nifcZvDvAQYxZeuMxNFvegMB1jalV nGUM5nR4RTS6/zxsZ774PCGUgfflJvSRUbXaHPeyYJXHVnAoVOPJZmt4FEq+cTGu58xDV4vpGuv5 rNS3YPCiQD1fr49G2gqKMO4WELda4ppXiYObr9zmXHnxHFFmAqY2MHD7OZ9nRT7Osdb2jf8+1pUQ qVKxuVVSRacKXe7uFOcF6qZifpejG2qdnkt1TTaFKG8Udov7qzw4C/TwQWU4/PJxXiRWeE/ZID+g OpbbbaaT0j9j4OeTeawzkSiIdb2LARYEe974ZlGhV1P3TT1uMdp3+n9VyNnENdnAAlUUTa12L89f 3Ek16y6ZFO8C0bWJ3gSJcHH1b/Aj62XH13Nowl3ZzkbqWnw9zEn1z1RmgZU6J5tr+0FY7gmiya2T OXJ349NvzL61bHNlhg2yr5avTSCjR/ugyOC9l3AAzpil+hYpevHLOdVGVyIKyBC7nY1vmo53qygO YPe2/ShYgavN/QmtLCxwYac+Twn6xNENuHR7IL3qxkM7J4k1tEfoyVlQmDOx04HkmECIzomLgdbY wLepDE3w9PCjj4E1zx07RZUeRcNH3bTZlEO3X2hv3cGDHzuRG+lBay/MPoDapz7DdSU3bKR1KEqU P0lS8SRJHWcejRwu3TgiQf85e3vmpgLUFahUj0XWO4lIxVyLb43uNEqps7Z/1Bb2pdnUL1PrSddC ArQsGE2U4fVcxgoRA/MvuWkkTizIaOKwMVQiUWm76nasgIVSOPyE7xvx7B6GNCywwi/pH6kmEiZ4 xmPGpH2XkVMoQVihPPRIcO3HBotkqpJuJlvQOXpqXE0B09jQAe7FEBgC3KqerB7HL6c2LPYOgrgU maG+ATeHPoXnmVMjLbbh0m+vEPJz21mSKOJml4aljE91ACpuLMS7SswPZU5Q4lCn5pifaQmmA5fC YXLznwXiTpX8B+clY4ky8pQXz0HNHadFk6RKLXGHiImhgAjLWPNfN/BlpMTefhtW3OV9Yk/q0HAp PhR+h6zRkfFhvrV/4DBqIU2tqCQetp3PpENtnKs+obyxAiYWcyapJHQb/IyViwkx1iZnxeh09jp7 X+aKndc3EbjN9/MzzvttWKyyNEJqSCpJQYo0MPaQ4KyLFQh4GaCbO0NIA2WcUiwRruloXzVMIJnf zRnqu0tyDhizgnaPaVpdLnbo63Xahm0GExXt7w3zrTC/LNKtD0dDEFjvMQTLrb7w0BcvtsBlC7kA dd6/W+hDIFimE6tgCtbwUEYnGpM5p3+HZKCB6Dp/SsyYxqqgoqEfp3bEPFx0ps8rCLZU1gHcrshd u3ZsNuk8DX+Mr+LQG91V/oCxmNf2spKVaQYmq8D78flOoDK3AUsjloYdTHqozYlXLH0m/VQSARo5 ce5tz9RWM5uxy038cTDMpCsCk4lDH48TlD3qdjnyXc667QvoABf4nzqCbGza/YdITNLH5oA4Rp/k 3e0/dtFNpx8BNOT0vXOw6vxX4DoRzp89dO49AmOBecjWcJm/wfzy1T4/fy4MxfoOY0Y87yR21IHX vURvFaBTtapZPTNeVcs0prbGJDf2odwNb88YCdoAhNB0UApvo0QpbzFmzhkEUcvEJ3zY6Q8aFBiM 6YHIXKJ2afnYzWfzGkiDJ+QvMgys/UpkJqOn58rYdevN0Oaj346sOUiURjCdLcw5WifHcmGqxbW8 3tyZZYHzxeha1pyUjOTlN+oiBqXoE8qxHD7cP++he7y6a1uyaUps/89/dhUAZvMj1tA8vB0q3vP/ fkSJ18U+8P4PMetDOIgHefR0/d9+mrLfkJyS50Px1SSHl20K60dTk9bspRdmVRAPpiPF49UKyZLV et7WKzIBjIrX7NFfaxV8/chYxceSRzBB/reE1qaux56UFTghlLfS6KgGLcYghRFG91uwf4l0Gctv 4UqH1SYWKXOFKTgRm8DFeUP1s4oOL3BKZGYQ8VCn0/Qpkbq01t/vwIl/7vmGRUbo1d/9Qrx5XAQE h5G/pNKFQvm0KN4y1Z2rGR85Cu72SWQAEdGya3eZwQ5GI6iG2ZS0tqkLzwPIxo/EeVqPAdrXsUdl wAhoxOmK8aUjxk+8Kj+iB9PPz0BIDrRTNyZ1At9n3z5Q2FzsTzEQ4ZEdPxDS3AdRiMk0vIOq3id9 2zPzA/wX+HhwTCEshdtdg1g6CR3QEywA222bEFgxcCYPEvc5r3C9aNiOs3MXgBEYPDcsKZpN9I9q 7h6lvY1ni0uFk5FpCs9/7RkmUQ1InCDh2lgtj+S1YLdibADz9yakn5ewQxqUfgQP5d5UvsojxC5C rc/0J7vjGoeikmBZABDH+jCVjAz4lXAdrmikBmLVCwdtIXZNNY/IuWLd6z/QnSlrauUydZ+Ifn+O OzgYyiZcxi4wphcduGfEHoRtlwEPROWd34jxT5exjysWByKbf0wIaKISH2viuwf9bdLWm7YM4+tL iaXM86MdY1ZANmVR6365rJqsni3lJtJ225t6pqrVencv77Bu2FNNKIBoxP1Scbom3oDRACoLoP0/ QGSVtptogXOY+qyPqv4HOFS8igm8S1I2txNm5x7shG7PiDjpv3Fc0gsOnSog3v7vEtcKASYuIn53 gN9SZbZlyUqcfySVB6sMt+5OONWbOwfSa2JZDT3pkpar2mD8ImZmVc/+xbviUmPQ78MeicPGS2TA YP5776v4qVeUQ2dJkhy+EnlpasxwOFWT2idMohhJYXdr0kRI9BYnWnspaKhJqBobk24Ddre+ZqP1 WbAf34sGkL41pNCs5+vYlq8o+EUT+AijXKqjRu5tp52RkCjFWKGOTF2NkrWDLVQcWCbwH7hHDBdL eo3b+fOmTd6pD7IGKYrNlNzpo8TdlcDeS3GXF9Evz3UOowmHwKOqPTyDm1R4StF7TN+JhSixYhHR 7TFCHKnowUxFBUtYNOcuHTne3O4BZEim4FMBLAyjHM5FHKcuQ5eHLZxdxLKGlQ+lBFqG7VDbrQjF opzuj9eG2t0a4J3260tkvCBiXeDVMUbvFBkiqjLAILMORaeLShygsp05uLGql0MDpl13tu4SpgmA TtQjvX0ZxWVdBe1AtVJjjxTHATmjYIMv6ZHi6klqqO9+qjd0mIMZxfVZJgKKmhMPeijc/FHvYJ9c MIDt8j/8PmYp7rYuXuNp7ffFHO6ildvT0JADxVidrh/Z8m01uMyqFPSVaXnOiSxOjfepPCUpMmVK 6ClB7li0zYqHArSnndVVMOB+EbBhIf0/RQVvL6ym0F+41q1pA7dTqH3BTgx81wy2/DFGJlgII8lN WXTsPL00FRyObWPqsTQQUlXJPfEiKiS3wk6RCofh+0hCRbPoDMXsmjOv8Jv/G61FJQbrcCvae00v ZeXY3ADn7n0Xmiz/xoC7C4EsJRetChBjMOyNY80EwDdFcR7eBTOLom71W5B2CCdqo6RU6u/BZ+Fv lJJWUvToTnIqNCFDuweJ8+K3Eyu1JGepvN7+njGqhuobV0OY5+eXPtBVglO4PP/QF5JUsq+xO+4r 3PABRA9eiCffmbKAXVCLBRWdcS1KAqaFJ3Yq4TNc3Bo8lGcxY5zQg2oV+vs3TfYWg7gBDRsvzmq8 1uT+kXi1it7FQLBmeAYOXO7YS2B7ZkDDOZAwElB+ZSapOPdWj2crmLdLSbpK6EdegOb9JES8uXS7 qKoIVEcim7CVAZsKRUJfPjWb8XZ3PO1NFf9bNc5pufwJEkZZ8R7KM9zev0dKpppZd2IgB0J4Q3xI Tw1XpxcD8W5CYxjnwLeD6DYdPiOWirqxfBbzMbI3NriMzSRzzT9G/Efeoj/n1orKVZ0+5525s0pv LvBBViEfdWtamrOBqMSTarXFBv+WSErBci/CmQx0LoY/Xjz/V+vvQ8zJMYlYJmv7pSlUeDQpO6VR TDPe3P3KlpECpmsZuENnH0ua331IgBccmAsjHv9+n4dJ80BHco5pze2vSTfpnrJq37lQq/frpV0m a9ua8PNoM9DO1zeS9XKHUCLymLma8XBFOt73MYIDQjgfaocah5b+ujFzM73ZvRy0MvvZ/g0Pw9Fg 1c3FLrffyoqiCMkbseZ8g9t+kAkjLXPkvN82A8nSsuC0GdXmME2zoVYTz3VuSMUW1bLyo7aEkC62 kn9ABwUn7t+YxB58vfGH2byrMf/PM2LingKQBSHXcRlTq/j0809xc2QjeV3nduR8UAM8BuODNi1X o9N0aPU8aEE0D1V0wNZU6+umMxwjm7zNit2bcK9vmUYNmX1vxJG5UIyHD3uPpFmHU+SvHKj3zege zReqmy8yy475Nk0f4kFwcnjJn0Jy36OXnyXr7buK5rvpG8xqsIOMGt9O7+nGNB2K8ku295ICsym9 rX43LKrSuQhanSs709Q1qCc9ToeT7OxLWVO+fWbrZlMKQQAEERFuyW/NFnECzvcVCNGt0BcNICkU riEvBD2JXgD9LHiTEDVvqdDfmU4jfnpFvKZX0uXWdmH8Uw4zXXJC329AtTA3BooIS3CcYmbzjHgf CgZ4WCR8+wAgqdmYx880K28rbWmwvtpFvZyPra+8RhzgRb5CqdNu6FoGIS3vq6ryMBS/IEuCVjP1 CuYT2iYVI1BfUTnPsTCpiaAeSmszCkgDQFntdNek7u1dgO4P+vsnDJd/DMsRYLmEEHQR4c+9XiEr W1PFTLZXVuvf08170KzYsfwAIQLxuX5EDvoBLMtEofw1ePuzcbUhYagqFgqpUQQvOadfx96Uo3Rs 5o5swv+xVrCbCCQ/C6julriuKfV5FCpqgr72F/g6Ie+Nm7oAy/TPR3MsMmKy9WqLoEaKaO1CDn6B yacxkAOBo7TslSOmtxeyu096nX5sP7bP9xXdhrJpQBqA30hhiEpJVaBUJvJBgc9b2pq2MTpoxRbF 7xZYI2TvXnYlMhn2LTcgYYrJmGnVzEl91Wm8iEfiaKukcGSRP6mmPV19SmTH9va7VcDz4DF4hE6R prmiININSbM+68UrO+vxGY8Qjx/oUEdaUYomoMa39UJ/QDIP/n00KRfx4/tifCNpmhWVBBmfrCdl Gz6DAFnNcoLHtthN439gVySvrQ5+E8rltcEzNnWk+BB/WnkhIhF+Zl/EWT18R+4VwawgLYo43dhP Um0kClPrh1vbWOagkCYYEeOEwDYfrIoYMaD6s+PuKxlRiFkuJEFky7vmPHaU7N7p3bmh19L55xv8 sMCy8vi1ef9fGmKskY5hdgah2XNhDoJ0tG+LpGKwzrSGsDVvF7irh1pWTY0RKKfHeNePEhgMdBb/ ppQcAkRr2l692fzH1uN9EgCrLyQq+tZM6VyPXx5cm1GgshZ/mx1S8iYlaXC+PUlZGhN78fGU55lL BWLN5QB07wtrRIjrc+ITqkd5vP78r0JFGttn2D6ZPRB8eOubE9hD5cB/J+HioZhDL0fyJI5Ieava Wmjmv5M7whNTZznDmZ2dmTz+yxBTXdtl4fXBIPK1DGGCMhkzGLlWHmfyOa84tdirC0M1KH9t6hnD ULx/tKyCNkzuiWPsKzFEdxzs5ms9plyq9q2bEbey0FpcLJweGdx/QuQSU1cQuHQldtZXKruXI4k7 YUdYbk9+5sjp4WNwDAUw2Dx3iUlDACFT8E1uhqgJiI+MjtdO4LSgvV2UhCn7iek2eHQ3GtOoQS1R AEl0N8m3yOpVde3SnY1cmp4tkyV0O134vomnUhDydHJ/k++k0Z0kLMnziHvwR1Oad2bWakAhyG3w 7PfRRnPNVgk2orAP9MCFRSfPYoxi9mOFgPGtq495YOm8E0ab2jgyqZs6odNMMoMuTTCJ57RzSDJm I3az43zgIUPBThuSrhW37nwyN3kY5Sa2h1AcYduFjbFtGIy/U7+QtWjf0RShaBzt9ektpEor+DhN aruMhoGuHf02OhvxaXVHeDVic6VQmLNE507O7ShipRwFQZm/1MqTrXM1JylJxV43+iPttrYW98nq idJ/hbdgij2vFpPhKljrPPNSjD0ucI6wqQSfWj26IWX+NhRUaAe0u4EiThB8g3Sn8NR0/+yxkWX1 owp5bqP5vbnp1Z9f7ELndenWzc2G2vq222FUKfW/KLxT4apztWlK7aDRZT/mfjsA5pWheWmNRavS JWwifinr5BKc/b1t2BBXl2klYlrxOAkHDmBHOHy3NRrA9rCAa/0ysmPdSaPXjZrbDOUtgzlatrIG +ugb3gWB4sAeQX1qzzpnqL3m3UkfdVWzpmKC4W17fG23S6LeE+R3ADUsZ4Bn9QTHd45lpobMYImH XoWoaZ98UXmzxiiKyaYCUdbJATbXZH2CxNTwvJaxBPlRjTOYMmhQqSAMqI4zp3B0IldXr1gee3em huTLsIpRmeS0pB/ZUAQBLQWortT3K3/dyjWIFr8epMP4UN6i+NURn9GyqlZ6OSUBNdpKbZNZN/XJ WDGVtUwjOHRGIf1NvCGnkuLU/ZtcmeZ5SyX3lIA3sym9VI1mVU96+HQLT3zTgaP6Y0kVk1Zzsn+F AFxmH81h7ylqgxAptitbklbWg5p/bFoCBPFvuWrO1zNzBIdem4oLz+jbCG5FHAIWE1rBQakZQq+U l0SzjnhycTxtZQyXlTn2dJmpr+u59Py5G712CiyLdra3RaUsoV6MxpAAi6XWfnIBv0HtljGfu3sE mpRVqqpEiC/g8FRyCamkT8D7uGDkDuiJjG8H7qnI0d+Xtf6zM5IO1r9B9q7LWwPYhB38V/MM0swx chq4apLnGyplO98Gt/X9LzEe56ciZI5IPCe/OhXNlD8R0e5vKmjYOnIuJFoBR7xSFFo8KzBiyVu0 plmgb6nNGJSE9I4rmjlcQALddXzXg/h+me+7HZZG0gVDIz8Z/NtZWeE5wWzuLIIv/K5SgMYRTB+c lE48k9IC9Ry7vJgjhdVrqbPMb7x08bDhBsayLLR/Tbnv/VEsc2WSsn7Al5oJY+24DVl4SzjlK2pl PWc92DJ9xtOA8n6ZYys04X+smWwQuJxhzesP169YpZ7ZjpmEoGgzODlGcizppB7HgMlOl5ynShZG OZkAvR0h21zvcG46AZPzvlWvDbwhfRdXXn2TfQLvuHb9GZR1XbGIlHg9TV9c9JKm/z4XZi2sWS84 ppXiEz41M+ddDt4sRyOGVK+9pnnxy+qrJCfr091rxPWj63HbtOIylaL4+BJomaW19+UeCBQpwsJD DqiqZma9nyJFhVfn3s29zo+1zDnVFts9jxrqewAKqDuoo+Eqy46ui12vafsyGpOj2EPwCu5IEtzT LbTcRXuFBtfbJfCCiV8yVLj8as7oR72Jl4IhW2gfzg7o/JBNwMzkU2HJptl6Rw5cv4baJMTG6Uvc pw4bljsOW8VVOnRDJPAF3O57EBCWN1f+aLbQzDTkx0iXuwwLldWvTRR0Cb0jR/tc/RGwyUeYS5Fn W0Gg3NdKDam3Q4Gi4ficpu5MnIgWhyB0wGWm3eKGMCKP6YmwovqYB5ciDZ2xgKS9jenFV4m8Yxgo SsVljubBv79bMPfZ0P2+5dkTJ2nZyrayBdCFbFI6Sw2sHsJJVzEdrpiWah5ZiAGv/EQIPKkO6NyX 2/dRf2q0+HzezWfiOUx5r8ucSc431zzGKbYSQ4/G2xqf+CMU7AwDkkLH5B1QvoGPaf8rcikt1AC/ er4U/xVhDgUFN3leG9VdftmoWYvUzHMoBOEVEQo9tmXkD/Bn6JNFjcXoDOUSZqamZCPI3nwjJHMF PJ5rifGqFFgp6Srka87kmcFb61z8d4uEYZUdv3S1MAdl94hI6YEmhT0k2Uu6ujA08PRQAxYJWt8t cMi3aAR7p8avTHsALd4ri6XfMQIOhiXkovPSWLM4JtYDc4tqadsJIkagDzcB2bguTc+geWp50Fou DhBtugZWml6jASq68ThY9SI+EXZsyIWRxMcsbGe6YIZ+kGYWCsEukNzT9Hk4CjbI9CWoUd+JYzs+ BHSBoOWMWeyZYp92na8KiIiC0b2Z5cFIBbVQcKkcvi+gA+tLugHYpwCzR1kLnzLhxLJaqqVSgGkq dEpjFLo4n2+ZSbNUDDi+/BiHORTUpyvJs1M0OaoQ1na2PDEUo2X3aAhifZsRAGWYWAZBaCsyPDkD rT6lOHI5u15z1zYXFcOslXwBef4mjorbdllJABn2DsvfPZO5T/7Jqg0gAJPbZoBaNChdG5/yjr9Q pL68IN7QzEavzxwGfAEMIafIaGwNJANF7QhkhWgxshWwWz6h2W1Elfgr1disPTuTGaiubBnaiim5 ENVwf+HfasJyo/X44jKnvM7Ubwf2Iq0+PBTHxhPWsE/0RVqJgji7LDRDY9HfappcGb08XGUc0qpC wqqyrg8mSwcqstkqKICjtjuZGTozodEIShdCwJaEYw2PTem1mFroMqPOwpT5wUloR5Nvl/Wew4Vz tGyubqMkE7ZCLpF1rNMCM2JfEs4CZECidPnf2uFQEnQN6YIsz8FOmSkhscTC2xqSfsnmWQchDxHL zzn7xNzAfRk+MOXm1ACI+Jnt39cVjZXsjoEx/X1yIOD1ts6C4Qua/i2eplVho+acfVksOBwZuQmb /QRHNQuhX6/p7oZ5HFl2Tky2zYDSL6zlVyF2ZpEGctQ9UxnDrpIaeYIvTAoaY7f2U4f+EaiW0dSt /NV1fq+Durukb7x7nRdeLY/cvZm47Qa5O4WFIrz9PN8fsAwhDke6Wr83U2t5VbwA/j5c3jzhdruv tYvadiQTOC6FgAEmjwWleFI7HmbMxlhg+7ToY72BvLfBmkFp6AaZSoPeQxfwP5VZLlugXyJqVbUQ R5xD6aBIEes1pyCLG3hVSYFp46g1ZI4HeJPJpuHi9Qo125WGS5qzUQhPUdZAXPOPiWQfmnY2LKG1 Eplwl3TnmIn4waEpmpTfg5ICmq+o6StDwomJLFP7Kj07pP1eF1LBmrDglcTp8beHyOAmLnreVgfr 1NZYi0hrbcPMDKU6p3xrpvRdMnmVQpcj0YOcQqrPzFfwkR69XQ9udrF3kPCKjgs/KyKGHCc1Jy+l 7DbtJNJ4IYtDUeDpjK1+XHCLq1dMjLJQc0KOIE4t61BG+mCIeYU6cCbynPt8HeuN38leIr+qhFUi 0IkWrZK7rCJuEl6IEaOTP493sDwM4rNMatCPmSq1Nr6zDOYFLwZjnoH/IDeF5xxutyme5qG0twKZ zC51C/zXmNutCXtqYIW8Q8cCquNVjLZO+K2OE3Y9866vSO5RmDW8vbhVbvOTEyqnPgbbWrg2WKtl OxkcbtRsv6URdE7uSk/lrJ3hyEuWK1ugy0DavhDNnQ7lvn+Igf00CFmTcm0LkURtaeBeJGIwp6/d MpZ/oBxhXaEfM3Ays25xqI9Wc4cupMfyDlXyuTntq1NA1DIEywHJ9gbaIvoPwTVKdG+6FVd1ZUac iG7by8QPSUTGmZy9WmYzL5lFiGTPzSY88jHvqibY7dzlhADRDHNf1SXEWsR7M8ZZlq/mON8+qLSB 4s0tsu+TZeeSjN0W99Pmk43YSPhhgJ6d8ecQ2pPQCgcqHRtzqwmyH+cBs5o1relfkObsdfPYYjQ2 YbF5QnBAOww5cDv8ae6NGmOl1PoI7qAFz+xpzotHg2dlPr6ht+b3eWiYakUjbit4KmSIzLC4UKvo a7KeVjLYLGXI4CzLO7VmJkBe65/nOvXPVATqe+FRPXG9dlN2iND21UUNri5M6h5N3mQCYNec+C0v gihb26DZYSbVGUhWNvDb3u1pm24YW6ZMrxEMOud+gEaofdD0cABTi3K9UaXYyd94aCy8UFOI9K2I qxUde+I5Apc3Y9nsSbnxcsHKR/DapVyvYzMiS42xBKN9I8UN4sdVljqNuyigtkpI6z36Bn1LwlS4 fbgqJ+bEzTxEkPrgIzXYFRa1cHt3OriG2elPa3GtRM2rf5+SkFPvhVFZL5n21npc9sX3K13di4ba cKCEoHnGo1FSn+PVxBv56j51TyyuW990pjTxwAdeSJ47h/zOMdKmM9L1KTfMtQYol7rd0cWCLz7g A3blB+X4jIfXagLyDPv+N03t65O3vnH5uBwNuFSygLrnCKKwqFY1Xb5/q3WJXUKVEFAKkxbBinUD FRzrqPb4li0MhCetqKbQyesxkZlSfB8IoZR1Y9qR+PVhy4tVl8Bo1HUt4y2tlXEiyf1AwmYFqtAm ixmiKJdtjuYMKsbOqmMSryoACnqYkBGiUeHBD3lepqKOpKOMhfEUu7BszcIPv9Gp34gASNRUydod IUbMkf0+GGxNozxdJGMZ6JmvWZ+7QKZNoA+DrEfnJ91KeIZvgEFsopSs0fIex6T5zaIuiQPzRLX/ JqD3LmQ1P93X/qg3T6p4aUACFmIZYPFrsodnliqGKTOQjmpNpaGEqMNf2nRATG+5oJhUgQ5Vd7lz b4MOjTIheueuWuZnXLrAwACQWDCFk9kN2AXtijnZtZ3tkWo7hw2cFbj879rWEk/gCdMipEPYVKh0 CrNEiEHL043dZbRhTaufHysxwFPhGB09xJkzkxINn1QihETgFY2Wlv+QJYZHqsZ74pVK/xsfM/hM hy0h3s5Gz4LpsAo4FWdnCbQbB3YXtQEjA7XIOQHRg95UF//qhnpGtOJ17KN8K/7nz7hjAwuczD3X DkNv4k6uj6QtE6huINw6HRUiLfX1PpLa1j3h3TrN1p+RyFURAVBIaxy+s0Iwmtzeg1t34uvRU27P 4AUXEFT124wbIsB+kLsrlzeBbx9ywV3zzWGJcqFn/JASX5r0cpuJc4Dvdx8jJE2MsigXlDBmQv8E nxPSKw/kIoBbIhlCOiuS7TTJgvzFBkkR5mwlmOj8h7nDStBeKcxS6qhOXGQOuS5Ld/ZcFfAdKVvK qqWVEpnvKvC1ITH9UqtBRx9xCW4qIZp0kGziV7D+y1YLLyXrP7f7GzsGxw2g5PqD3c6p+sGpMchh tBwYqLWgk1yykbjkzjzzkgoD7fWN+y7YXpGnnjIzJ6LocQA0SV+l0OKuLGegKx87qg5YPYTgfz8C AxZ4JNKxHnihh+2+kGrNKg41n8/Sa/EuwvrGjv6ZRVgh8RpuW5k38AfQ10mXicZHvyxceqSXE9hu haB5OzEbhcO6v9L7l0P4jf9KHkc2sudLpEj9uyVT+nJn8rQmEOOpHLm2YzEgr+A0ilJKcIHOL4LE Kp15Om1bZ+DYI64kaQGxze1cEaixt9ndQK5yLlBUH27SxyqII2OcwUXWuM6mAkEwqQ43rNJS01l3 nF7vrfQl2PP8HQJvw1CNuyi4rkBkFZEyefGa3yKYy5x9hZFjkt5+bxLsaiNd/TEppjIjQD2NPR31 QPsxi173h4cacV1yhEQrSWNEP387m3fIU2hvWuT2UJP7lXlJpC/4new17XBk1tNcWR2GDGSXANr5 TSQnvbnlVmRECYkovniN7KHXPp39jZOMEcjdaYTTaTAHEF2ordLHH05CaGZmy92+VwJZ+sNPBjp2 +SNIg1R3mXevwPAGwviC2dV2KbbQEF6RrLcl8WgN1p6vuI4wS+dm9ntn+hZ85cDTsA+hjm8pDdk6 LQNE9gJwHLqGKkp8WksOSGjRmbC2T5luJGIcy8+Ku+JhNCnKySNNyadhMEcYmXAJt2G30gn6FJe9 xOYyEQzsuT7S8+ousFATfuunOKfEx4QwEXOhVl25qOae41VGCTryFeryF3S/Dp7EfmbRrqJO6d2V 8J5rhbHLFDEJiiK+xtZ8ZuFHf1M+O5PUwpmweA1ROCBd8lsFPyWkfl2J2JNYxXH6mtNl8REn0rGR G5hcM5inUfZ/vCkDgZ5B+a04BjbwJS49CIimcNRtBEA/ncHyZm9Z1iVW4rn2sBi9QaoWIXMZVx0I uI6Bwhozb/YybgdAcG7PeQB0fl4/3H/61T0VDmkA3JUMb3z2CG7uTGqg1X6t7rxQi0wUtZ3q/3Ps 775sd8fELuaTstxgx1YY4zbu5KgVhSqH99ddI6ULzZck4RXysb3FKK07jBPDvRdG8c258FS40Hyt WlyzgLJkjTFBdvMjhfngSawjRJ932/+hfpqHk6kYxiX28X8MXFmRmNEkV5sjHA+h+QXQk3dEtt14 LYWuDBNaVrYl/Fa6AiKAPvTBhQv/kOyCxaMWtyE6uPUokTvA5hdA75sVmVZ/3b9Bgsvsw4SVxUXO pJzrEpiCK3SgCN3TXp9ko0BRu5Q6VOOWVB/fEq2jplEBQdcHZHs3w234FTjcIyo8LZDl3RAejlzu wT62dwEyHG3fFbERZJRG5wU8VfQjN/6jPF/wFdspUMRebSotrzJOHDfqDNKEizY3q5nzUSmexXiS UFBw1YrTxc6gRu9Z8vyUdDONAAAcv+JNwOxjceZNo/bcyTjnI9U9G5LY+XzNF5eqFwQeFHMOiEsP wW7BMwxTqh+Y3b3EB8g35EZvedyLhNuUYkQOy71jSTZSsW+bivYERxsH7MPhuxYaUiQvh0XuQroM fTl1N6h7eTDBRB2nEEegypS9FaMVV2BU08jvmiH80zfLt8rZXAjLtIYns16ZlltWcfiRi+ufC3qX x8r0PLETAILXMRynDlJb1wCc41gvNm9K7UDSbLcKAqJC+qgoqPQ4EedYYTRpi3xrFuV6wjkhZEvM A3BHGpr+QRwMO1YTZTFHbHKa1QUgyS2Lrjug+EbB5I1oDeUKzBEDV+DyJbLSaQY/Pq1UlNHqf3oP 0LIR2cIBr+Solm96aM8rih5BFlRJB0yH2OPuSlTvuqrzjyihBvXJ+KbstbNjHOL0LyH+aEQX/4c8 gickNcsZvxoeypRNXkGxWz0TBAcOaEal6H/L/A7Bejhv5imdhqQL6xOC7Z1QLBaLS57YDE7fAyBk 5n1Dx8myC+05Bb8VicQV+JBVXbSPqkGdkdWx+lvBdVj++ZIbxi2/UIxChXzqonArr5VBlG2KECVX NnX0v+4wEu/dbZHrN7fE/bdXMu60BQUhNekwYxL6piJG6196AJhjdwu31S5iqUHAUJoKzPP7uxyf LMsnvGCPLqqIjhtDvYSvP8LZSuZ46LPyFUwv97Wp69Q13++Puhw2nU6nLAIgci/JgiF28vHtcMEk NClrGADrqWKBogBwI86HrkxDJeFyrixeRdkCGtma8jgBq7YdZ2XvBz5PFHb0YJoJU7yEnmNz5H7D cRhMP6phPKw1HL93n1TtaypVtRRJ3bONSkBjAcKdzrbFIJI+HmfVnArMRrZ0Zd6oDKrvpJNRxxln P+wz/TWLYipfAk6k/3nRssGuzh+A/8wWOVuKbJ+x4a2fTMxwmWOdPnmh4mT3KNkQdbDL3OJ3ndMp eOL77+DDceIUlYUAOkd/YIGeETP7zJPyn1EUdPP11ZQ0V1xdR+zNDSARtOGB32rWSdU+Q6/TXYc6 T6o33/LPjUsP32exbbOKkcn3RKv9AAaDhWSHgIATulKZIhx5kMYxRtx3qUd1j0SI4LAqAh37gALu 8zV9nVIdtO2cQUB3ghWTV3D3UHqUOBYG3mP63YeTQI/bmDbuNQHHt0/Q9onOt+Kfk+6xykzjrXzq lvKXtDLgpDm/jXUXesHACcbtcm9Gv9bcqdbK+x9JJCGtZlWhVDcO9kRYMuyHfxOCPJqOHx9j8MPL JK85YmJkNET7cYV2hBagiJwKd7CKSOPRns8KwRiT0ZmmLC/QLzR8GtJ64mFmWoAvj863ChCaCgMY ktOMqj1aTITLsNKq1u5Sct79SQUACImS+igpItkIuCVmtKNsg4l0NsLg86PujQOYDOykuqf29yKl 9G0oCWIEnjDTBCUONCl0TzzznF4YpMwzcACJtpw0YUUbHyGF3x4ddVhIBDttZBddYyg2fxbG5tpm Rrjdj8QkPrWvHKaBGQyp3xm7QG0T8O/buV4jcjJxGUNb3j+jsALeqd2q8O9g8RTFG2mUO6yrcTIz Ruwe82RIhFASTEjG8kvJJdKwiwLFEApe8LUJ7TP6YmgG13N/1PBA0FnNz2+OOLw1R1BGIzWT3iI4 vSAdO89LxnwekFjBaHo8dzX+NQVwVjbnW9FhUeiT3dPSWkYVlauC4mWurd/rWxfpCVgAw3gATQZO dhMU//tDhnolHN05Qzl3BKCAO2FqYL4vCavOzLkg8PloemtC4GrD2TU7Ze7xwqttejEesDWjYdDb nYxGJ6yiccOBCjZg02o9iS/yjXVggy/1oZ0SFkWkw9R5kGocDvOL3/f3RU7KERKNUp3z0RZ4zcbs sR8sxI4XxNxbrTaabDf6G+bOXaOKbBCc8NLuU7Hlf4zSrFu6E8QxNfeFn2sXjlW6+6tK8wXVilim 7zIQZKs6xAoGxXFJ9u+FnzLNCS+oShEwFD5qd+Y9evX722wkU8swTCmnRpWHdkskidrACS5C5p+f rArReRCM2ltPN42QeIs1WAjBvMYZHqCI9IwqCxDJwnlFOqxzZ5yloEXdLP3pZHrGntNF2Gx1XQyh 02FPcX0CxKhiYdJIzR+OL62XUbCccJhGe6WZ5mef3qMDwbsQzHGkTjzBVrY0B5XGtW0MdoZA/wEl fOkdRv3wpigo3MCMt6Qh2aaoUgMDw5G3/jCrJfz04yUDFUQkRRF3ox8H+eIQTPIexzR7EHEqLa8J 0tMLEpdXSszjsQl83yaPPT179f0Qr4rpfuSQlgLdche/z1zz1PqPJjBFBM2Xcz+wUVzNNgtN16sK /BZFt6Sp5uI3nTC0DkWTrQnh50wcovPiztpcK7DsOHWecseqmI3+jQpbn4vgjcYZvDHshqvtE9u2 CRMPSVzboSexg5YJxWQzrI8NJygm64GHoi8tA/1BEjUoGdbvtv5AvA2OIiXXw1RKPsERtTT92qQg keqiJ5EL9/r6HPQb9/UR5DEVvKN0pZMua/QiFldvw9Tckp3MMcvhsaBIbXjbX4FhFN3k2AewfMSH xhd2nZNyTpszEpZNQHw5Pwo2YQpjIe8B48MUA5WeIzNhRUIaxGkXijceR+NQJGZZ9CH3/Oe6nKzF wjFUzZYEREssPEIrhJfi3LtPOdGEuwd+aSqmLDnVkyGs8B3ppWJ3SYzuaCFYWCQ6xGuMtUP+Q4pc Oe7y1zFoTdPWw9LBCmM4pObPr+13nV6HYaUi66/WhSqmZl1Kgpo+l2cPZsx/szdEUqtV5Pw3dHu0 AlIaiY1Brq11FM9RwtlLaRvHi/RRyAZ7w/9YX4EC6PKghtiBJrbfQ80/w1pi5+K4aF+YKM5tkRKT roAB9VkDe90qfZRkWmjK1D1tBWy80tlPbbhBBMhuOWnFQC7Jz8/6Kircn2BVyiP20JuSg70fxVkH AlUxA9XrUiv2lQxFYyKGPGoYDv0FL0un/vQ0d95/hY/BgOVtTXxGJ4W3vA6dz74xEXcOtSJIhrB9 SBnqSrS/623uvBKDdkzkgowpqgg35Yd6s5zuwlG4wrW2w6+MDTMSMax+uOcIakm3z/AvW2AGYHQH VzG5GbUboC55NEo4MDVH31VpeUQkkSV67Y+pjA+hxfkQJrFSfmcy2rkhx2IocF5XkltJ7UNxXOlQ GBJBjgtEszBpwiSGW83Oax2RCTy2dV8KJyszwtgMhnhCZtiHm3ml6HV16ByCOWYF+ivlkFRGKSXm 9j6hLWMI0z2aEJOlLj1QTfiNxA4Ec9Ujyb0UHrMyzABI5MrSF1Yz+ktyv1Xp0l9hitEXFvs2apWb 9UJqDD9n6RBo1GDDYT3nb7DR8B1qNX8qOq3HH8ROWtu7NoNvza+KeezbtDOrOQ0N679b5G+zjgKw SwURVd2xUT5bLTapuIQl/FsP4YdlPhHhmJAKTQ9/xhdxWT1og6/sVPVn/Pc2oSf2O9fGH8NCrkOb 1NaN9NiYtzk4NJQxmHXVTU4Yh7wQLEwynOnp+b7d1EDwX+mCki9uo3Mk3ru7trqJSUr0ETTHokn6 6mqDrywraIlUZU53MyzJrPvGIC3ar4XH8KeF7w1folfF0/pvJ92OeRUOzJaXdSX1PxkRa2hm17Dd vAf8KjabvqAd3rrmCj7eiOAlJU+xFYEOlpsRMOd+dkCwySzIUBjIx/HTzC3EoZKIJxSV4ti2HW8a EDY3f86gEkcM9l6C/C0jLcNyROj3HVCW/mNr0Z3iSf5IQYIDlwFrg5uMaHcb+pgrR0VpH/QVUrgp T4WaW3eae47tqDIRODqvqUgfNlFG4rbKydvfKbaMrLjIXcyqd+q2ZS0kwDODDPOrdhYw0rC+XF5K TKs1CYNOqVKXU9VftAxP8YvWpcBVg8BLUNsywmB5AdIJGZ7wuCSe/NKHoVXMhw2YDhJT35kk8dlY FIrcwQy46iEKxyA7ga/g/Cid1J8DM6F+Mnwx1J1DVu575ePmuX4GgBRSb04JmtiPi42ITfejbx0X uYeu4gESmB6bXe269R/PW1WYawi+kuJhj7+ZElvJrwM8eaE0vcH9KB2le0DPn0KbQFowdklNPkyx lreBJLqQoPv8FiWp/sPth15tmYxJEE9JKgdQleu2il3JWiYfKI0jbvl4MkaKSjwMPFTGq7igyvDm WSmLzpnaQ8Qxiv4UAEhW7e2m4r89Sv5mlq05db9tgB2ZeR5SNmG98mfmAHlabArCZFvDYwjY8yCk cr8HUsY4to4c8yZuWfMfNrlKSCVsBSsqAud4zs5qYofesjskjGwzRWG/Q3vWQw04tD/h9Q25S1NQ k+7LGPNA9GsGz6toEM+2gGBx87QQOeGKnJ+bDxCVfXRt1BuiD1hoDAjoEM/4fbkSyKFxkSG6TtfZ jRa4impbohhDOM44szBmx1FSTLpo3Uba6yrTbbNZFXF75At0uAIB6NeK0kVz6znyDXgQ+7hL0Wdt I00mMWIVUAYnVE3oMg411ayIoBfio5Ar/GG9xx4BYIrPtZJN4jVXXeuxKV9dVNLZYDkrGo7i2NOl vtJowtFfph6T5WoCWTTfXJi/koZzCop4/7iS/4wgluRQkGNBhviFpeEMmT0fooRtwwTDRv8cE8wf xTzyeV2vQ20K83nr1QFFir3tZYu7LGRMk1t/TuAy3hFbCqXJYOAtQ/xr+lgr+3m8dgvPwnuuR9h4 OUecIJ7JsvN5IbCIQtrQJdHGIRTZGx2WpRLOjalV8IyhlrTSd/fSk4fdF/erxyiVp8h+OQY2tBiv f9gu9UdlErDqYBu8pY5GpXquKWXk9fm/k+2Wy/qJBQeTAfNmrSeY0eBdUdAN5MP/LCFaAvW80Xz7 Ysndr9o4KvQ6fmSZZrj0WQyQnfpQhwZ7V28iKQeTR+ChQw96vkOMQZiVfxanMgokdtmvW81Tkbrq nWKnk2z5gk/FJ3m3X7w+qR0cGXG2tMb8aeQuhkoXrMr2mdZpxPhfzWqOiqcmheJ6QgODj4OArH4U X2J+1uZxnrMgdscE2EOXE3DVk6f8+utJ4fY51TAML7NH7XVcKy4pf18md5YoUS5JRf2Qb66m1Pju TbSIOl0Xcjl7i5PhGqemTKd7G5ihqE1XQ5WwZZ+6NkHmAfpJyTE6a3/oF8aM0SZOEooIt0w/JrKT t1v1G6AedZyz9PtcNvP7h73QODFmxj3+mksiQEX+VhokdkG3UKEY+h+M0fkXg14LSBhHjWS+iac9 4dlaVQuq4aNLy05uHWmbUfvmUSKL0BfMKzgATmQ66ArnxRZ6WjU0qDcMtecL7h8hc4x7/NzEErao JMVBga5VqdMnTGcvFlPK6VBQ1Bw0wUfwtYJZsE55oEn8WhEL9nkIMQD5lIpRrySHpdeFv1Z1Inyd AYz6zEGnYda1l5DgR/lPvXMBEjXgM9nzJHR5I2Ar1K69ejiu3o1AuKNALNUTvI7q+VHrrGUVTY/5 AbLConSugQqqTakbBXjDzls5tdmY636bif0B4/bEcfV+X+0qDxAfgubjZ9Ni9QAxfzBbuq8qjKBO 0muNit6pUHFsCM1Ums0ZiseFjZnXpNrUH1RJr1pZ9MRLPKZ4iDEvmkYLbcRyt3ovpQXEFabDCQm7 wVCZvBFb66F8dtwNl8oplTtyq8eB8nOCsHhDvZB1GXA2ALZrxwA80urgWuqvN+m12A5UKwAZHVOh cYU+XFMumXF/9zraIjld+peODYgR57usd8axlXEh7pielCTWRj1+XWysdH7jPocF+GHjvOUJ3gDx 7UmGs5iloDYShbR01vuv1SribD0cl5IpUuHKFOWsjzCXPiGAEp1SycgwKqsYBrTdN4xiEVa8EFIV GiypP5EF0lkF0TyxGSffXxp9HZIk5xBWp778UsBAj/QEkluPCd2PIZ6oqU2oCloxZ2UIPadkIdVD /rJa7YT6Qrd4QZHij1MJMqnfAnK8qibxjsqZmzT9UuIb5yQLLAuh/m8EDs4yJUHkGdGDd5vNTeso /Qgnppl7WwpKyHda99x1FRilJrMW6BwBIJoB+rsadYmvHcLbCbRgfs3QQsz96o+oq/VzKaThJSCt /LNHuQFpS3DiGxeFpkpGYIZtqw+H3zh6gCdiUB2Xjb2SCvc0WxCKsk/2GztOAZmWbfjYmGRLHLqG pOJBRFzDOjO++NwbtMtqxGaJyI6/QqTYbS1wiexXGHSBZX8XiS0b7sRAweMZKxYwHBKG38DOXi3O lpEr9dCqNZDwR0k6myFkWeeZthTiyyMM65KSAuYBqSv6rr8ypXclXfFzdNlrCyD5X5djklzpC3nI k3YbzZmXKU+3Dcob5O63u7wk6XIV9+ZtcSbhd7BE62lbmKqos8t0Ny3EEzUWsn/cks2RdONvllNW nf/Jg2k+gr5I27FhgsKCgYqG3+dD+X8+OJ6y0rGoP5CfB6yU+s8wSqk4XI1emDLduEhMjAOo17kG UxB4+i/vC7OYuGbO1Zwkvpf7q5Glp/ZMfe4i9AscS8Qq3xbF8aME/C1JNYQOnS4C8OWZLogl0FwT 4Rt65sqmxHWrmam/aPg6jH+5XGITttRftdPV6D3DTM9OUTGLeTxG1BETisSltcTXmsRvHApRM9HL iqOegCytLZmZQQrIc0mngLaPHCG68RbzXmTvoVXDQ8Zb5IWLE4DbGAljdDdwES/gUvgv/498Tssi oaFjBA9eDrWb/9xxzKa+LPVdG6c7iSRYlkoeyyMWQPVYSl9d3FBfK/qpABDoafEsrUX5ipBErekm UQwyeJ0SkgrCi9G3Id0I6QAPwmJs9qOM0P52G6zMxDxP9nzk9fOgiEJWMyMrxnBq+bNMAuoyzfLE o6XesIxee7rqpUPaV/kLZCY/raSCz8v+q6mW9LLNvryjtC+huDv8NMoA5Ah6xhaukteJoe7/+p3a uujt5sC+smtglcQQyeCCc4JNfyRfI1p5CRMI2aEnP1Ym9AiijXgH5/qgjB+fc4NEA7AdNP62RTm9 r7o1jA6mJ9B2cWu8+THhRRB9KeID3KSK4gvuRCSuQBZ/Zyhdl8moKc6jQxzqoEHoOqZ+JzwSpxp6 pLTbWnGnrvWwZ8RXkfPUDDjyRVRrvIGGtt2BYJvWS+PBXJmVkWvQtosWCMqly6C9NoZeG0sHgU1Y eHjA8I2DCVu0Khvt2EVlzFv69AAI8VeGZn4+JF6oWLihC4jZ9Q3U/n/Qn/AdasenEhcrqe6yIWpY EiombFxvMrF52q7Qb/qytwHnbAluld06SK7kBNbmwKNglnsYnY0YEHls8Hi2fO+OwbVhWJDXRiYe 6TyyKdJnUyHZSYmE89xeNyWGAGEauxV9DgftwiqVREbwiPVcP2Np1dwy8Q0S9gbkNa0PJuXty5y3 fWp5/mxF/4DbTD1AMdum7//j32UE7exdxWZM25DDf60myjrPqUbHyYuF0t32oMmeIYBEZdzMfZ06 gcwkPDUn1dS1cdpDK8MD1ZhtCS/TCswCG8IyaXEWOTDoqxHIXzF/oiHy+xq5OtcBJIjOdwOSEodZ 09aTfbFE5ToFeHnyenYmlnh9iKkGNQk//j/uSzkwRQ4AHPWD3MF7kRR5UpP0lHOS8FdOXnCA6nBd Sd/PH1+aIBxLgqjSWh4QIJ/FJZs+p7zGOwRXR/rTAGcFwCeRmxHZGqka6ZjYVmwqtWe3ahLkuVjO A1+bPcirqN8WPniUaPjoxo/1ZRM70IK0RQ8Vr0gzcwEMY/RnYyyy/owuXUf/6WdYgS4DmN+fUTsl oZojRkztdQ4iAMzQV2fIYINXZnNcc4mJZ3LapoKIOyWHYr/CkWDeMN3RUgyppbbBkuD+Q3DCDLa8 mEdUHogBKH6OqX94rJKb3DDKkoR+lcuI06ybme0IoSIxZRIWWb7BKYHOePUZE+z++4uVcaYgdwdQ Laow7hHbN7X+vCJfwRaAdh5/YvwRRtnwpsVgRui2PnjdR9vddnKVFGru4hTGr/NarFS2fgjv7Doc 3m6J1s+xvJAT/rPYG7K6guxKf6fNjAAO0m4PjNz2dYuiVJFGFfVC9HI+PqPsdq8PnHKGYiums10+ wMo9hXx1U4ObSmjDdIP78VR0o+YhU3CPMBst2Og3vb98SeiM4ksR9DKCl5EMGuGV7Ihq+HWxJETH fcvihuNJBadbLTIiLWJ2TZ7cZkHcoeSL27ASKUlc0YwWpxi3RC3T0GHw2PakwFTKmHMWi3/4dbN5 jk4j7eM3rEzCPkMZ9brlr6D6FhZrmjOlYEkT9vdz3GQ5b6+7QR3mM/ZcjM6UyywZIcxo75dIpiK1 IsRaht9bSyrfSUtoZAUxjPgJJ8adeplGc4ObIWkT5XyRL0ibLAP4wsfok6Qgvu2EVuGm1TXVA96y b3EWKroQM9j2s7ZWzcgMvwLpdgA0rGRr5+R7CTf61/wqKLTHDexxzbe1x8q+AkVhM+CCRNq3tZAi tmGfvWnHceilnoKOFCIpeS+frrA84soT/OD5PtxPrIesbxaYNBHqGf3/ZwP3sMcuTZCRHH63rQAO Nexx7nTRuzsQjPQqLFLFWZ/4T9fuQsX9ZuGWlGIaxcR962WjhoazVTH9WCRVLFrzmG74v/i2RZe9 anxMAtY+LVGv2UxNf/dkGXmMKgB9xNFUXl4XOpmJF3MFXuCroNqScEngZ0fGLE2MLtAd3K9wWz0N ZDOCpgo6EcZ3+rY/syphBojuIahSfIPVD4scWPhOFcq4eCG01z0+VwIXx2a+JZaxbXv09J111pzw ykZuAZ4ZvSljhW8+KAwtB/h7V4v20+xCLauY6XWdzO+ZFw/evhJd154DoVKYWtSX7BRtAC9g6gLt xRvDx1Puh6OjgU5Q6bnWAEQv6++j3ul0RBkb66/okTku+pBNeHNliO/o991aAhhu3ZfPYBR3BGfb 08beJv0txE88/zU4Ctj0ACBCeCsJ7FqtAftrf6O5oMOeh2yhW4gMghzgzvG5kp8YOWaDpH/cFcpo 3OwfMLa9pkH5hsuoPgWlB4GzkLOie9wjiQDFspbUAv5gG5XhADI1Vj0BxMS3fLjSyugyL7rBJoeg FKVaYLMiVrAEQ3z806VfNfHzirI6vv8AguzADfodr3NHyBCQMeBjUWkWkItZ/P16o2/ig6xE+aS6 mnQptYfLumtIx2RYy5gt76Boc+Q9a2G3N/8XcBkpaH3Wgf/sreGS2z062un9seyqPrAGOQv28H31 +G1tItJz7QJ6eBLsuouieZ9qGSsP0fg/F+0ymE7+/C5IpoxC1KvUSBLQJWQcs2IYiVGVNiN9Mmg3 lqlgVHgIZvMxoFZyItxoHaY4+ExgzqJowpnXR9J09ioTFvOXh/HViL7hnZNco7Fdm0O0GvCrSrmq yRNizVtnc7QV3RUEnR6k0pYEFPg5K4mJ28Qw3k1PVD+/TZHIQMdDp2jUL4a0epiTDWxaO9SqOcRR gAdfVe9hHzfYxHMtytUUVLJXXjQK7B47PJVlj4RRs8QDwBh5mVX94DW0knYE9GRuXz+Jl8kZXQKe jY+L2/ZmDnpZRr8kKJxINFaba+l5prWnXPUMtZ1VRMeDp8X5lj3f7wcIcW0cSDwWogXNLK9DkBCR GJx51beXgeGalU6mlneV9P41Gb7OU8OixVq+S+AzqgsZVbDgElT5zoGA5DxcLbhWIHQSR0DYTx36 iNGHf1dGjAn4xH9yQHC1nb4oLaNtFViBxkiUCz5iZmhbOicaggeteM6Mx5ntFLI58QxTc4hjWuiw 8G+PrQgMfeCG6ut8DjLJt3/EWcVh6GTf5ULs7bCaM5aEZ5o4Z5BR3EMGvYMmpUkuDE53BncUSUqJ b9xBDTuEgGEOwFKaXHoKFqncP3C+nSvRRohswQr5O2Vf4M7FjRkxuTVX5hcJ4o2mS5fvnRQFId44 fZSY0u3J0+sCgS+cLyyY/5HbJPWSWk/vY5uCSwnCzxX3YjBUtnR1ox8mMyv6orbJXDr3IM1RN5LI m7pm3oRNByaS4VNG8XA2VksQCVNNKGQcRyLH2wO7iTWrhe1560T9yOFoVYAAi0rlkYzmjUdyFBxi Kl264CXRQOrHxFvCK3uDfTTwPnVmMOpnpMec7AD5xSz6YE/UZb5c8aSoa9QfvDhf3HPyzLsTyXzP 8BgTeiJY2JS8zwP4tTHi+0QorgoIhKuvjbX1dZUtMorNUU3Lgu9TR9J9H6WzyI4pz4wphcM7GKLH au35ojOUJvAzIpKXyPTIVMuofKJuh3EA17tsWc2kep6mWttpX5DxkPxuC7+RkbKAep7IDJjgFvSq 1MxwyIk1qlzZCelW3AcUWBkb9yYQJ5dXOL/6H2cIKKReLqrLGApiXv+hFIpP9OJXTl7a5+bWSYrP YyH8Q74iFbkCcI2l6KZiIe31NGEKUaG/CRk9HcDGfdugGzNtRZuI0YI1KdtmotzYkWI/Q0q5atUK 6E8obAQuaC/iz//vETxEPulfmtnSvfKbnf3OBUjcfKl+EpezcczrFwmgeK0LvXjB1KWYzfcQjXPe sl/7s9BO4biLyf+CMEZKV8SdyBF5RwbKBnCAW75q1PKcJD4hVeQqUWLle/o9lnoP8k6L2W9Vb9k6 257pqzZ5dyNNt+832fSSzS84677HIsEbfFkzIuETIqt41nbtD1mk6DD30xXrThz4lUfHb0xY9ziz wwuvGKI55ZW7zmUZLk4L0jVOfACexnc+Ja/NSXnEgArDLQAMKEQHNYDryT3cdOd6lZbNH9ATMhBa /Vl9ogrkUvItaTYkb2v3Bj92b6AatA2KK5qdygAHmvhkBxdpnuyMpfLYULMYZaWag7R6qzpG5Bl+ nO+lX3r4UYGVF6chXPjzGY8GUObVen52bPbHIKbTnDUF/GLFsj/4kD9OTxLwhbintxNMVo1SpxMs s3d2RW0K6DTZWwPcf8LVYf6mBHZ1ddmKOtHqwP6ypMqSDgZKcntvIDnAFQSiohpjyESCLwjQr3PW eFvm8Xw1eVZRwQRbhsFpeUe0I/Ea1rpQmHJartq8a8ltelLM3vXZOvmEwEfF3v6TxaeCX7SpYvcg PEzkGVFf0YbENFxKqd+qZvujU++x6sRvD0TGsN+qPC/ZmW0Jm+SxQIdMuGq2vHa2rAthVYLw+e+0 RosH+mbXplRUK13DrE3tW7ITQsgDWDW/JPR+Kyg5F3qa8FT1MiSMYzDIwCiHEBqwgokzuLsjc2zn tF7s2bBnLbZIc0MurM4qzYmJMqHBxSv+QvJzOtr2nvmrCvSNevevy/d9G4cxgfqkOVDz6VK6oYAP O7SjZmQSs2hQqBn+/zpTKUlwG4xMrm949/TFIkULKldipUYU9tLaw6zKvZ92VKLEFhYuSK7txhQt GHcVRe7hS10rC0OQbD4LLGOHg6HOUa0gIt4dokG5YXwZNUfs8/2hyFBLt2debTLnwaXdQLSwj8kb KHdwrjOAKMnuGB2c84rC+ngW+Ct9YsUrDGc4If+zo/L5zbAG66HwKU4nA0KxoiL6gonH+cv+HPtr x1/JCu8unFyW92xCO7zQVlfSorOLeRHB2adxq3Mal3RNhlnaas30CxBk4+3HQeSP9fwfkdzh/JFM Vae13LNTTF1ZksvmT4wAQ/ZM+u+4ABLbNooPoT0J6kJ7tyegcOLVX3oCJ9tkyGdotZkn49U6TCyT QdDhhLwhspWy9zqf9oLh2uN1OjA4iTTjyzGO0PA18mWEeRxanNbop3rYwKcbUufoGjZ4ssv4ALMV D0iwS9gWr6IReeIQWBWLwo9AF4A76ykcy+jeR7P0NaY0xZnIg67GCIeStE0Gi2ATz4+zqNPY4x6g HZ49vivMS2DNmVrd5FoofgjkPmf+27tlh2PvkDqFJO1QcERw93qePB71gMV086usTDpeTjsSQpw7 oKUR2Ews+R3hYE20/RoJDwKNjia4chURdeibA0FeLrAV4e2kiY7Xy9i3y+OjgdIVfDwtmNbJjIF/ oEYI9nwiNpCiOCYrOtMwII8UDjM+qyurOvDAVEhliA3i3UvxpBxZ5dcEwusGGKceAnZ+i4ckYqWO 25rC8jLEhgNfasL2+OZJv989Dy7Jh4TcLfk3kSFm6ij9pcpB5g3TBYKo/7Gt7S8CCpqJQc1bS7yl LQbNDsOWGkeg/iLsLyucJkeGdzB97h9DFRzlwmBPrxjDLendHR0kBleaZnXlVDqVzRATGixh0AbU CCYFclQsx/kSxwklIdtyfAd6ax+g5S5Gz8iUufb77uFSILjfcRbzkLwd7fB0Bon2NCH0ylB2LDHk RiUdvSPz0lNj6Df+EVRFa4uoiRzrp0l/BogXJuii6LoErcS6OZVRDg+yw8KnXvxDZGEMZ5QaYezl jcmMUFzw9cO1IX0UEorwNCMDAARIk82XWUzo7P65E7iCiRmsdKpc22ngFB2Ujw7oTkwOuWYU9Pmy cQy4r/eNZEA1Ei2AG/c5Dsz5cTiP3ExAmIO9lyi3Tf8X2kp8Bh3t9fifkUT5C7QKw2T9iCA+OYgl GbN/6wtxkXhTEIIbM67H7hetz8oMQxw3PWIU6/1sKCPtlfB+4qfw+wD1wADYlocE0lYbDkXbdz5s gfSQeoWiGioq1E8EOxsHNBjSi2CmcpIG2NoJ/RDl2ZIBz4k+CPKpPzqYnNe1nDcq6HAiR00K6OAf A7EGCS8Nz0G08eOc22pD6Samo9gLAdIdn/xtelFUjOHfd9bB5RewXhVemkrTVXq0w3yI28oqtJzQ xFo4gEIWPoVwrK9DgKEYIC1E+D0EaYcuRNOjfCDIKZsegEWC2M1oR/9L+TU7OpXy57FGUmFMcF0w ijOuILu6cad5t8ld40ztJL/uHBlkruZxITMv2y5VbewHgxjzwMWYv4de94vlLeQlE2iOzn5Omo22 W6eyqovvHVOxeFd4kAhxEjqvwedJgBXLCJasu01bAKqEw2tYqfY+J+vDOC/8LkFmWB7RGQAa4Zof 3iMKx0ByOljS8IH7Vl3b8qH6cu9C6yWR81Kkixih2O0KLGrwfdgW+JmD1IDvDJfYkDCLNRfxl9ZR 236AAgyVj6XqkiP5/XaFOZ4ei5rkrBFYK6B5AXky7oJKumiQqTZDJ7bh74B52PxuuAopdNVS/UiS yKL73CwxXDw45eDvwIPTMZNMQ2987UGc/78AqDEC/YjD/W57LqIqZgTeZwr8Ixa5mc6GIcXW7fur CRadcsQk/33BR2ntpgq1E/BDWx5MBmmYQIqtHI7XYyvaigG75YJBJ1BVV3iOLOtwvyrW9PkyyvnG EPSvwpLCAWiuQ2udymt7fAi+VPvTkyhQRn4Rk5B8ol7/pS5HnT/S1umRqDHs4t3SjdUB8cHu3nuo xJfB8scysFp6P2K4A8tYaTqubPJeJgVe42aqgn/ZxQhTwAx/ACCZXZ0V8lnCZQOIRlj4WqY8TvSF 0Ne+rTOiYvXLDoUMi2xmDiskMQaPPeloOOJ7tNCa0uLgcv3XuNA1Zgn+2lq7GC7yfPHJJa0VKDYM MnHtwq1fBK4iF8afuLaFFOp1uHs3PA3NDfgLL2jrMLS1tdpACbORtR66YS0VEldv7uN12J8XWAhv YgY+EgT1TJzunDdFMqhLWQI7szBFpcnZerQyO0pgjgQCbCmA7RQEoYo8mnJ4xTAKe5UvJF2fl8Ps PYjdfkn+5AlAOCwvvF/aQmi8guE44w1k3wciGZFFMBcN9+q0X8S8DrBffnCJeDhvi2C2rTKHTN1t 2+5FAF1u9oEQGx2dlO2U/FXvsXsACoJIZpM0TdCMheU0FoPl+5r1kHlrVav7LnQ5lqSH3bhVXJZZ d96n0OCP2OTpCo3iynDqt18CFFw5PcAwUvH2DPh4IsYJD0ftifsL7NxcJO6QNZ2Qx5Ev/XaHz+qt /PCPvlYRKuymNWBxeyuPHFnT8PWEeTpz206sE1D9vB7NpKpWz7mJpNWqsFOETKy/OZ+/Ad1bXY6D OI1J4sph0r3OHKqhvynk+zFew+xMlHvW2HBatzSXsqx/+MjLxSft5/jvIWMjCIghAKOEZEoBqBI/ 11o7c9LlpCxBFlFxnOCuEk19qkxaLqmvO4PFWzTPWar84h2b9Y5SqGdI4eKqh/OF8xnYp8xzvr+T hVXgBfHx1aNZ8bAB5sGnNjYSvdW/ac0MYN1a3a5MQOMezo1maKGv+dtHIvQsFWvSaC29f6ZxuEA8 iGhwvBwXMM1uKEGOWg4XzA3nUTR0nKm4bpHgcFfkqd2tcUEBTJPlDU5ZU5E6yUgINC1mFxxra2/U C7Uoi1chZJhRLURiIeIrlx5d2cZk0m927oi44I290/TJ3g2Hb3Rhw14vdhRMS6Hov/003O+YhxCM kPli6YY771sGzqVRyXgq4E8fqu90KP+y3a89Qf5gYE3b9TU8chFsDgb5e8PUyD5xl8JVxjYfmJlJ bLODmoTehAuVKS7GDahdmzsVHTw1DTzzH9zUFSCOuJT9Ug/PpUyem79kcB5NMSgiVFsz9O5UGpqV sCpCb7GVtT77z/KBbYcqzNZaYOPF6WGO1zd6EuGDsZw6AdV1lPaVINhwNVP3dRfQil1a1fEY1iUR Q4sgJSEfPmkQhvl1RzLayFKChV6VxWQheCNIuBFmdoXpdcxBEBLAq81MyBpkNVIXsNClpsOvHoEP Ex7+Eox9pY3QJ42ysxC95djYeR6YJnOMw7KE798eKH1lD9m676ZUDJp+XFFjfxSyLHr1svlr7RQW nfZsqspKgCJD9xKFaLRNZi3JO6DUtBQvZmpfJPTeQsTsj+vb5dW4K108HR4n3Cp+NoEz9EstTIUO ec7ijn/8llweF8EOxnZB8jX/PCMVZyOy2WedLfNZvi8MHxQOdoZ+HsXoxfVFjPL7me+hticfd/Xa gjPKs1elQpo1YGe4rEu7bP4YRzi2N0zOLegLxF6O1/Q5GD9RXMQfeUIErwUkyOOugDX+BbNzegdb PI18Z3BWlKfE8xwKdMfuRtnk19fmJ7Mrwr8eBotX10b0RVC8KGGBs8ubvTYaHDSJ9q0knzap4M7S 3e62AlAcqRLP0FgC5hY9EcfeMGwT/XNz6vnNN6mkizVojprGtX/MCuBa5Zdm7/OKdQx9rclikm98 KkW63+WW/9Z09yauS1zXa0nxLOtOqfwhyD54zcktFbss5vU5aFft82CFKv21FLK3xpaWodyVCPPK wrl1Ih0bW5DrQ5KKYiUUu2aytUx5yox2a1fVF2ihP3nbVnHcVHjLnr/M2FxChn/5XJUwPQ8AR7D8 D3TJEG+6X4ChWMOVgk63aaMnRwzonCSjjTQnmc3aHoINFIsAoc9GxjF6GwCIYN/hix+AaJqJd1KK oAWHyW5UI4AabMMC8RmfXEJdd2wtHWvjT03TkF+02lKhhz+FW/bgVQdAeUaaJr9eNqaFwWnL8HyT 5ds2mm/rl7edcN+ZOVftgKsI1khuFBdo6G+3skT/u5nSl0uk/J/lJVisKgNwtW0IqiJ0wVkHIfVo Ox7Ep1RdZPpada1Y/Jea6MpBgaOUXRDOmOniScToyvMMreLEB+z8qkzUleMQuwBw6JdPxrKSnaUA aUqBl8YPXCsFJ5OOX/7NjLQmMrlsCVWp6Ea62uR8sp9Ius0wUyYXcdU3lC7mHd/HOL2XzVvMh1Jf aTg6NYw6WscoGhiviRLM/kfg7EJJq05nwk+Ofqb+uEmNakhuQklacUMJ3eZsi77KzDrT0aYYJrZP c5+voCo1sCY+BnaNRf4ijA5aDQwMZt9mKevRIEFAUSgKHQ0cXfxJPKMXxfwVwUjDmwIrRW8yIuqD q7ZBavxcmQlIaolIZZc+4UNTy3l5Kw5ZQsJHgklf6zT9Dylmx041vFwFWK3Vp3JUkm74NopLTkL5 01+Sw1SMspKUkEjd2H/84ZwG+JW86CwP2ahql/AX0God6RiehoxTD+Cjbsh5LuMpp4vOqqPiDagg qhpU+GaR+NlMN4HOWO0LJRi2Dy52aemRtsgOtn1774JdxwMlkGb2rmralFWbr2u0UnMi1iuOkbTX y1ey8nPWdOtFrKPpw/Amp5lFIZk3soGHMAJRhffRJvo5W1Hlrj9ZBM6q6RtZy9scp+G7nvvf2TsH hpPuSrMgIiEn5DU6DzaWw4mOfCdCHZw8GFGwIBmEqBwTSDhM0gPdWAUdT53F6mWm/gZ59Dhq5/BC 9CmT9NVoSLcZA87Ix8ipk4BnPwHGhbocgfPAal0yRIOO/TTP8mBJ9xWzr4EAc9wPKHJUoWu8dJbf aCwxbATY/aMlxKCSaUb0fRwQmRcL5uvF4Jt584ix813gXBRjNak7K7h0nDnV13PivqOKSnddk6EA 8J9kjuhGSJTwNRsu8nMAFm2eZz5bJxYyWDkzAPmWMD4704V8uoMer8lXamg+GdIpSCFeDlxTwQX9 8O6LFnvQAGzq4cm+xbHBl29ect470AbJoD2lPPrchf9ngBz5HqYFPi+j+9UcPUUlyk6SR7SSUhDK So5Sej5svxJdawupGeuHVaBwn5ISLAe+Aef4F3ZAePx1Fijg4x/wXQqaafJvcKfEvCgZdH1xZ2gA f6tQoHa/ZjN4yUZ37UMDn0oikkxg7QFyEBOFY9xG5mzs2E7Zy1SNPd9tkeZm2hNsU5qqxTJ/GLMQ 8FtdyC6aFiHRE4ZNsf80bHm2BI6B6dXlP9Ydt/1PW5dgVp7uydRYMrJvpBqdGTGBotWEqEnuV6PT wF/W7KBSEcUCNhyPbQ7tyjUrhdk3OGDe5rItwGw6NfUZUJS8jPJykcvP0mPVjUTDXQbzr+nfmyTU AEndqcjbSwm/83bTn6p8X4oAlct+9F89JFhUpkpOPO6J7u4ib57iMf8J8GO0cTGsManRHCX9in9X MvtCrq3EzBBB8VAcvKHJcv3h4ps6msqAH8nqB196X207BVg1H+A9V3+CKjBK3WcGiQKPP1xsOa+K KXIm2/kkY26GstoLZTTm+Xnc2xiJ2B5IYEkE2yUWRsq5M5RgiDcYoRHrvxhgweVIeRHYy48OCtdE uKcJ7MFnMpjlRImzva4TxYyktMCL2z5YGDQBd64wBpXb8Mp1jRob92Iazzf1Wwz/35UeG8fyA8p0 9IMe0bAGW1+bKrIiEHAttyQoTG06UW9Ct/fuzV1YagPzxWsZG+VhzlgW2Gb8nM7+dGApTMdWv9ww Ov5a8XIDSVvcLmn3fX37wNjA0qvssKwGU6MFHsK8gMxDcCStJawIgo0NlqIuoE9KiFhsFAYe13GQ KgeHK3tSyRormJEI/hzno7AhujcgvNqJDOdFBrNdfuBk6URwbf6CK3bAR1IanobquAuWf508oYyA YXjgKLQjilrnlcqq6MQg4rgiS8fl2ib9Peh4dM+65JbjtAktTrkC3BWqTDmR1JFkBig2p4bMSzo8 020+RV71MEYJLbrgnCF9A13C6xzQFtTy4+PUaxcK6qamqLOfBySRB/5TM9rOoG36BDP86lPRsBvC Ha3WMsWbvpgAk4oq9TtjYCFTbw9moMwSCxH13ZgJdNiG8PxSHmQi7IEZIhS4nPOjc8PxbpISenNQ AadQu18EvQi/TkDpxrpOYegKYq0HPFBeFZfXpRjGyMr1+M6HAaUXCbZKkgFVhpFtfrdXJf2Cg+v9 qHrPOHfK/xzYjphYRzWebi2ZctTCdOTLHEVfB5VkPEyNbAExRL8dvIC2mYiV2Cr2BKtigSGQDsfl ZiZ4MEL2ve+JylNUDBnylzzd2Pi5hmw/cX9Fj3RtAsl8MpS0ijewVvFk9V+5ehF6FafUQ/egXVzZ tif6iMKR5qDYIj9ZpGV5YI0j62ZwF/M9SzjilrQmXjqfFfKqnxfOhDi1oOu3fShWFIGtimNmWNTy 2o6PcJZ71sudbN9CvzVNV0IZlJOGc/4+yzByyOV2ddAz580LAC6FAHqOTC9ycxUKTJb8EA2DdfMf 49lUwk7GrKhqZ1+NX3fhg/1L0sUDFtkY94fNY11x1QLrV8uLZjajnNOxKz8x39q7KG0El+fLuvSZ J+G4CdNVZnR8cip1Js/xdjtTrQRM3kggNFgbfRTRUf2MFSwoK+bi9exHI05KHWCkkgkC7xExLwAm EPxYXYxK25So63+ScFN+z+yWFwNyyLlI5riI4qBSFzGYlGn23K3pmwVTNVFAZqSQ90hHZUsCE/B4 2BZgKnEIwsAk+lMCor6JotiNWOcrVK4SBa2TomjjCw5vR5inj2VxUGJ7CpIuM1Ncx8X7v2GA3hYM w5x5VPT5Lu8I3NFoG7PuM1Nbg3l+nl0H3wgt+s6VFrpmJX3L/53FtGsHzjBbkcaXliVPkbDm+MYs 3j4wo8aNjjy5Z++YD8yu6BlErSNoBbnofDNDukOn+0//Y0PiHNyEntQaH1NcKIEy5+BYclEau9j5 5E/M1vKW7cVUA+nPMhdO7lkfaZaJEa0508URsOuNITaqRb2UYBJjbNk2WECQ1ya7totVbVHgMQ6H wYpVPHAVyiXHYHrKLc6pCatT3+b4oQxJi1f+blBTjNY6qDcJ8MZiT8O2brgr7xXy84KXLccuoVd1 gPCXcd9FhO2iF42wmNm78xpGBdL8MwO5sJ9spXujVxsYwFRgBV8qke3UTZDd0SoX6q+D2dmX0VDs e/FViHXLrqSkgTtZkbHVBRDLtEzqoWqwsCuHuSTYtBdy3wLKlqudmAxfvJGNaF3UrIuOXR7J7GOg P9varSfEZOQbuTAuuNU0RRStGuxxZaf1VFmcUX6ERoZ7ybW4rOo+4xRnKuTEr8IbyovXbFSYm7aj cIbZky4y8WW9/iiGccqaSNeEjCKKybl3mCQAyoSnhb3y3lJONzy6b9AacVEvMUzUACBj5RJqtGyY 27osh4Vg6B05FRt3vT+myZfuokrBHelcAuZTlXnRQFfxIrjOcs/0VNAE+OpFIc6+HSto0P9Hno9r V2APSc6m8O/Qs45M7VcrOkJuuEBQgN1Iq+b8RvmWbm3iiOzOEqrKfS7mYn5lmNmDeUZcLRRpENA+ v/jOaSFSIynM1vkJc95opy/khwciDNvprrHWAYurc0Bkv3IGIeY5wBGtQjuI+2LOC85iUHOWXVuZ 1AdZPBslQF49EJkuWtALyd3bXYvkYz18v6aV7YuFR28DaNhcf6US+ZsulZ2F65E/Pr9eNfG8wwP1 NpgvDHDBDXmMAQca/Xbn/9VzRtqlsNtW9JpQn+pqxoemGaw0nPOBeL4xTbPuTRWOPOMc7DU2ojn1 /R8d20oQHKDQoXFZ9iVkTCChNZ9udsOwlIYulDBX9TihJiRwvR6eV5vyR8XKPi1XTGLyxNe+5hnl afjubl9c2Ycrup0eRWK/tzKg2jtQFBkIdRFKHyAg7Ylrog4XOCAPIZEgMZFK4kyECSU8zFxP/KMO lAM7nkgmWkEuadgwnPFcUZ42FeVd0nblUKBUQly6tyOl2S5vNjzVe3J3o6YSJIrTUqT2sbxahVDf 5GaVbuJmrpo0P1qweQGDzCx0McAZD4iXA5I4HITL+fdkbzhcq512QJxMgCCwtlQVu/SjqeVwa/Ub zew+J+v1v3lUyc+8mLoVFx7VoQDvfSDTuUN6glEDrt0oXF7DyDUMlK2lFgPBA919Yl71oIl6XgNA tobCS/kuKyXYy6DpD6wBb4feA+YdSYgfxjR0JJ43qZLa3OVtvlFvhggBqSqsT22QDUNJJ15oil82 zhgXtXE/U+mQVWQCMCzm7IgYRuU/0Td1qOmKLFFhBud+Dvb6cDrWvTHNupyM+HnkHEBMffau3CD3 qNJoFzWlgv+2eHAXSPp7v+qLOrqBulW7qgK4EJkjSGNehAiDlbLjw3yJs814GQL82SaCt+CmrBfX qAkMbCX7XnZqmljYnyLwqbYREVRoG2OxaaEOJ2jdDKvvATSkRc2GYNYgr/zitkWIDblfPmasMoHb a9SzCO25XPz0lYqm6OpEUecv4D9JS2gUdMe9NEeQGFK3MZ2+qeuRHAOsRz06BU4Q0MbHaXlGYFeO h5hUu3shJElE6qDTfk2fpFSl6PtxhbZHLKTuE6DFOS8DGZpz8JCTrGTBwjuZVzbnv41JxMaRvyp6 eRhNP4IKOXtrXTmugsRF8gFjIoEGPQLY1m4vFiFfuVTN4Uw8ThKmIJXh+Lh8Cphi72b46NOVtmFc kd6Hf+JlyBvBNK7+60AMPiEi5+iNw0swQlZrecYTSHOUXHYCT+wBPoJw33ASOzYHezf5jdqpQb+1 rnqyI4xlZLpRXyMemtqFA2FNtEAof9aAwZBBEB55czJ+1xByxot5BZLshXPD+iPooGUtA85hESIN oNu3NlD0zwVHuAoZCQKcpFfd079QDL95SOAOj6YcB9TvaQWnrK3GYNDEeFJRzB+J8YEEHbx0KJqL EgL6sWe9o6WJSk6rWy15SyLLtjoixKsyoGWz34AW590Mpcwr/0wiCkW3MpitCpXpmk3P2vxRHMjz Pko1/ngw/cjEEb2ApDukDf75OPWBryQs59m3K+Z/2aaxtV/yyMoy6fVuFqtr6TrWxXXGK5kn24C9 RQrbodm/VU6cCqPkTgjTgzeyv22OF8QvuQnPX+4BzThpTNkuaNbP75WGaMWTwaNZGVbUIE151p0H PddTG7wQ7lW8g0GeivokyEPkndRA8Uv5H9SN+okihBqDl3qz+UyJ7I72IiUCKQv96FElXWwUe2vK hKVVy6v9eP49zfUIwzYowmQIt8dYq+aEaHb8IHmeCim3L6LFZUirGhejg6gQ47ySDXmi1g4VNfGK GAsZ68KIoEAWOzW8YgdNJ9801n1xnQQZzzU7sEtOzWVsu961xI/HlHhyp6wi6+pvrwcaOeryxNvy OdJJ8LUo+5StMDymAWDunFmlhhrJcYb959Nr5OzBebCEEDcmfwLbOzFwnQ8K0aYhoDl12tf0O0DU ewPf70ee8/R5OEDFrirw/Sv534kra2DBDVd5c6lC0HktMxzRueZ7Xlk7xzCQa0NTvWDuD0F8YeWO KL3ID4gE+tDGTqEWs0oC7OyZaobZmpHg85A1LCHJkq79QFK2iUpeszfywpNAWiaKxbvCFeMXbwkb EfssB5iuB2lJsh2L7315BBTbRUX7BdpWQ2hDyjZmb1MeTyWkhOZB5ljnnmulS3tjhH//C1TNNBjF 8skK1y6Qv/oEBd37WbtansyU7CoPebN89q5Rh9hSPR53CXfQm1PWYhidrCJSkauQ5yN0szoq4Xf/ z9msaa47E0KVgOyuwRbupecl5tKONDxrpz4E7BUHi6VgxiT3HXY7fkHYr3hW0JNI67Jl8wbn1mVU aTrtECNVy1yI6npk5QOSSpk3NwYmbgYGzBXVZPZUfD0Rrhxk67SYLTyiQ9cMyXp+CclUtl00hgOo sMhLCekazlDMkDMHChF+9qPoCN3cxpfsYF+1XAUd+nSv5g6hRXuETZSrFWyhq3gASDD6mG0hZSVm cUUHBQoTtq+f7zJI4DARiR9IaEOdHl2WyfjeDhpMdzXqk9R4aT5g62xPlHYQK+n+Eo8irsGU4LCo 4ht1qTY6ZSV5I6syKjJrt3HD+WWQ7KLir0jWT17NsUhVzWtC/Z5R6FKT2DRtezwBO0LfKpxcoEc8 q4xNA8xbZQyOq50rXEcABIhStuLLW9SafOzbedJlSg8kbYbTx86L9O4D+q5yA3QfLV0/XQ1DJRdH 18mleqSz2jjgGteyf75mj7a3liZxbwbG+ac75nSc+4oKkM4kESs414wbZ+ZBTaUQ42ZH70UGAJVe R+m3oHJHVIOMwrLQSXMb8hJ0oLknKHK0e/Is5eBJ9L6RytfnVuwg/kRdZuNGst2+L5uTk8t29Q8c npiiupa017w2Nm3y7jvcON7IwLHYFAgABw/o0zc1u7CDZTMmJ07vjcdvnS+pRlLrPy41bkepxdBk OPXIW1GjOIQ+KKhELbhDN4YOlOca3Xx55o32y6LlUEv+kC2xqbxWiiR07hpWsGka3gjZjxKAXs9K gA6NT/LLosNK/H0yuimNLuj8IRfO7ClzpDNN5Dc37w8CZa4c4sy+qKklKldOQMtkOmUSY3Pjttsl ULUI2EBWkU/bAbp3sl9scuRPFEArinvgZ377Kw8ERl9zjcO0tv4C1GVLsBVcI0JMspHnsjkZCyx3 HD2/00ZOWerHx/olnVAjt5J0A33NTSFTyTnNJLMQYljfipOdZ4HDJYca1EnYeCj8kzZ69tcYjRGP Ka2CcrcTWN5Va2aOUWEM7nbNNhZhh0RZod0/XcBwDGzPqnNinRSqCaouKY2Bd4d7Mh64GTF6+XTf LNP1c3lmRVUMAVNDUOME4WqRrFxtyKimAoJqnTIsPBgnpN3gdHNIFuAp11hM+GfSSJtJuAppXkvY VMXFBVAHrB0Qpk0+tj1qA/iJI3gQFdQ9VPQKOg69cuCo0+vTDnBfMLOq8zjq2rHKcmY5mfym/+6D 8+nQHk0ds8KiRAQp/VrtZ2EabPGcxGsaEbbI3GziN9ZJhelONEKXUuJ3djzlajzspQ1aj2pwxC4U gC2VaHUTl3/GIPEMqb7kA3y2BFcfWNw0YqizczqFSR2kHnuuSQFJ0q7HHmGXSbz057O16v8jnIIa 2FGqqLS+nW6EBlESSpTezujhW1GVY2LzEE3htrAFmFYyAHWSL79zo2XRfhloBZ5EkmhtErlsQ6f9 viE5BRLPkyHkN9fWsAl265wpK+N1tzHwzWpqL/W+FCaezfqKAxRm6VZz/SRpVibs8DJunX229hMY t+BfdjLP3roDn4b/xvEyKJikfYuUkTy8CJfl3TmD1W6VFIY4CYlLt1euBCz3NCTeDiK4UPCXzkwn OrqoTZLscOW7KgbFR6ROiRCQvWOLHJIXKfS8WZr1M9SbRiCVBy1FQXyFz86mFMSxc4WXdq2As4T4 PJ2h1XjMWam8AppLo+ROW+L+pokb55VJ2iA8umJbnTZ99C97qE9u6NQZ+JI5rvG/4JEmtK1i1fiv OT9hUhN8vYhnaof6PIpt9BKRiAV5brwFZt2vjvt/1yIuVlXOxNKL1NI/yT7odgUp1odFLaULLD2J N4msX0MRNK5sVrr2etmpXPXuKoA1VRV1a4G3yGKxSpht2+o4/QaGBet+fzTX241DbAwexeFRg6Hm fQseYHQ0es0yCwpmJwAuqxi811JzvlmLu5ZSGMSD3oskkpnZuB2viIpl9c2j0doNYIIJzWdhzTx+ ksHwpUgwCua0xO0h37v3GLcFfqNfKCUk4QXIxPu4JZypsInUBxH0FiteOJGEM8+Gx7emUC4lSuNH 8nS6B4JfjK4L54a7zqhxVQ8Fwh8vFlVplgtiv5C4SjHubWknTc3qHbRGhykymETkigtuNRQkFdY5 rYOQWSA751aRbXmgWjqDbiUM9/WW4wHUe4rsNeJNcdcYndQk7KvpCJqBzodx5TGApbySHGZC1XZ7 QYdzRd+zJv3yhaLiDxXT7zpy3O2xEGJcYDrjTSIQyzGy+PnsDCKolz/ZRi3NOSMXvdBI9Nx7W54N SAMJmj5+gEYxANvMwZg8jxktfzm6XpSYKMi2a61vAmKm0o8sMZgf8IILmO1SDldMakaUeEX3n+08 EUB/Sd4sG6emzw+2te8h8NytcOeovYVMBTkmNIa7gv+Pnib7e+OKu5FELXvNtzxGzXKHxLknmXjW oyd3NkUHgiH8lO9WQnI8mkJQJrhURJbd+jejxDKmAZUbe6Mf/FxQxjfW0e7k4KDKMyGx2zOOayw+ LKd9aEtuT39M+IbopaTc36pmugESSQwTuKTc9yI335t8L2jJqJ/GU3VkRyptk9bKPowqmtPoQ8aL L7jKSPEvSjfoGITPk/DAnif7mKxBGvZpzZxgL6lKFI8/cOo+1pS3vZD6l8H7jtHPRFeWkRGI8KOw l5wrVC4ekK/fVDJTVzcf5nHDLGzaVQJHslktqsiD0oWw8iQPaoUC510v8cAXZGufOs1JnpM+1Olm LtaEJLOhOo6AJ2sHcW1cSUDesqS2aFeQuhMmnEhHAbkrGes7RQsH0r8BtKSG/A0Dczq5I/DkCnhC aG0O3T0niy0nAtlMRMJCekx2pCc5eqHFsziaEZQKGNTCfd04qZ5F5fVIrgPTOhJnYW/Ppm/RF28S ofyZp8ozso9KM0NwSSyw8Ep4Mn0q2YF5fI1/j0shOQL5Tzf0yPkhQHQWEX60kVKOE+m89J/9qo/4 /6Ve0VHfzeNYBe4FTtGc0aY8G/XIEBpPTZDMe3aMGeco+cUy9Xap9uYCJ29D9mH8oX3SdgOqq55y vgC9mhop8/h8avtVtEv/0XoAt2pVWWQHE3l1drfynPekg7P8rrBCswkBuvaDPw7gtggg50jrntgT 69u7Mn4dYJNIZrhk0Gd4LgOuje48c/0e37PO8k+EkW+C6GcnbLfhGgb6QV20ehZhPChutPff8ohn FA0zQKV6s0jYsI0qrLY70CHUO2KMBySWZcjegmOFcMxW3pMfiAPCnGVUzcE/p5KvCWj3MPfhPtyh dWQX1xZhObZmvuYmGNFSE8nfkomD3N5iDNAnc0x1QjPZWaaGuOcV7TQYA2NyBMuPmhglV1aCDpDF gbd2S+BPsXmDxQroGC0yCeFW4rsXmk0vK5j3I0ju3uxqD51Ulz/4ISMGE1mfq52H0h0nPRnbUf/d fnVRxg9XlRiAU9l7uDuW2VhRp5cDhaF8mbXi++rr05OBejhSt4+cV0Qgi7g/jCMMsNYo+zC148n3 8rhTwG1tObpgTN619glthyFYs8bkXxXYPFkb3BKP2g3eq0goBDtcCDPFGAnBlCvCjd7sRsl4mnLl y9YQdaNxOKbFbIEmN4shb7GwWosFA23Kz78rwk6KRrqvMxCN1N/gBGbL+/3AS87pGtBs02xYQCWd eKhaEY4oH7uIrmfS1PqHzTty0yiHYaAZFWSxTno9iJjHm/g0D3hvCIF3e+un3Bj3r4TA2rKDow0K 4Zk1IU71fyeZzjXQpQV05mL0WitpmuATR2cizXQUgcFy9VSaXzbc97rtIZvFXB2u8bGDLc715Lxh wCNCTTBgRCTuiS4CuKjCqiHgEY1R17zI1eV5aVPhJhus3HmCzFfCu/Ptzei3fP6Ri/aZK1E7eTVQ oYWOXYoyNAZdAqE3VEFEXW7mjoOvNMrxcZNoJ+lmVolfQXaEMts3ToSvApc2WlA5rNGFFUdKiXyZ k0jDpcLpv+myYep5r5Fjn7F2wbmdyvTdY2Jz6XdtQTtN/7wnNbLO79aLyzUcdRmRG8NU0Zgr9KGU RTRNcChoknGL9SEW0VC7S24pWcRFeKgyaksiT9h7JGMw5CzrbKwXY46xVisOgAAgp4zXx0lapXXV 2KK7ncvZ6G/UzLOGgc1yBTT0KAtzgcRBiUUU1WpI7g55W6Vy5AR21Ww0Ab4PwErEHskYGd2dCJbo +5mjXkZgNVn/nzRWiu3EyrkUaw8tWQrI+x6pI4LE7Xd6LjL91I7BWtROfWRrK1Q+KczpJpUOzpzs i4IWYoAku4zskfAYn4biLkWXMo9ttrnZcTaVrKZvwlVQtIs2x9sCa5MNt8IYjz+GDTRgDDFi+506 jwpo8XyTV5MdeVdeYNSI7GarvTiktlBkkVW6V7uzXi/nCf98f6IXjjB3tY5eOx9ZKJqpvdsNZgW1 dCzMffZ0/lweLYbyMf/Y5b0LvALYKXv4N9ZMp06NEtbEx8GaYZXJ0CeQw7SapExelr5eUIRt/rhZ J+CH/GB0QLum2w5zm6UouY3AF6t5JmGQYhAkb0Si3+MQig4DQq9oWzUgZq6/WuXtxlI9eKOI6e23 +dNUHUrLX1JeTjgDdBi7hJJVqz9RHglERmXR0i1lAJOi5Y3wXYJ5t89qeXwOSqsXSGb0/2qlvMUx fpNRnPzXM7V2AJo5ItXaCi2oRqndHd2PMLgFuM86is5pVM0aSdOj3auPRJhuYuGonTkPQOeOtBeg 6QCwb2ssXyfFg7en/zCjlqF0DH5uEI+RZrEO/hziZ6gCUhH4Gzzi6nLChimNPz1OgRgtqa9Fpr+0 0LoGdqR96cctEfgOMW6ib6NfI31hu5BMisq38YSQUwdqlHAlpBxKksubxpc02cQSljQy7bVqwxkV 7hlEGYZdzdO0u1Kg9SSiDyrYSyomdBWI5D7njt3PWGH9L239v9NFZUxuWxKqfRkWHdYuVcDAl+ef lpkFKnO3hl0G4zQtHfVzLneOgTGXDbc8sFx3kScAxHQ0fB5unx4m19LZdE+YfM+TFBJ0ZvyHzJwU IgwyhIpik2n0qwTsitSwxlCMtc63rFRotTyAvhF0GZXF4JvyTOPbzb1ttJcgeAmhsTi0X2i/UCkd bmIiVaCv4ZLw61qEju9aBEKWjiKt1pQMTb9Gd9nKbfXUFONjQXTc8daOzvZF5Sk/fUYmE+ocI3ge uw9J/krTTpHB0c2cFCpl19sLE2UB8jS2b6WPiamnTcQ18sanrDnZDZWaqV0S8LowfpEPHgURwtNM tW6jkbAfuQbXy9BxYnTmi9cTGageCKgsgX/hR3Kwa24Z8QTe0LPMW/NQMG1wzwKa/V8uwshc25nH ZoI+1RrVhw+3C0xqwloRNtWN5WEJmKcM79BLGwdOwFRooK9CofbNNSH+0/S5zBUh2DHXvppGNRez YCv2cnW53bDRCT1lpo5wvDeAWb8zhUpR9Dmr5Ezfjte4yUdLDHU6Aprsgw8yvlHHbLkBAi/JuT/0 eFrlt/G0J095X1YXwCH3LOImtxs8otl+zvlQzIli7vhb1ohx2Fy0YcxxcT8y2wxm8BZD6+AFG8xQ zRgixOxKRpFFn75YS1+Pc83W9A74Dubnyvl11N9BGUPjXkinr5k13I9OyEV85tyGrzzE2vustfsJ M0pjsMP/cQp9xtEaczaFcsjJJBtPNVq207NG/VrynZG1gkXkSv3XVufHwqBTb89GRKTiI3b5qxYt 3ZPoYotz2cr5ldamEqzhVPulPFMKFIqBs6GnLh1JgOuRpOOX+t4xo8bg+qkXzLNikZkGj6GB6Dam bRzPTTxFTYRGj72u6ToXPn+wsPdd6EZGazUaQPju4pPe19p3qaZRM9O//eDbASIm6ekCpPy2Efzr MzO6YY91uZRc5FV3JqHqJwRu7SIJt520CfCikt1f+aBITXoQWs7rz2KzS+KaJduObDE3D3PZbY9i ybljdx1m9qjj2EsNf5PPBwMRpngPRLXWC4BdYjxXyE4HXDoh8M+EcqIJsUhE3UPDfAskF2YOrIdc 3fbCpUAUqFwngwD+rLV2u73OlHysxXSVGStB0rT4Tucn+C+2wvDmfpOrPECX3vOrpsJaQC4YeCSd UUDbxUs5QOHWz9W4V5NzcW+RB+UcrcfzFrwW5ULoxNJ4N0aoWwt1dzDbbJ8e1EDT/9DqhUtP1Nu9 Jdf4q+xxuXdbJaGDrlyTRUk5ayLJVz/V1+z89gGPO5ar8Ts2orfS/YvWmX19/ls1SVhPfhU1v7i8 HS5oegej6caIFr7Z/W1Om1SvjdIsvnZeJHpU6TA6D9ENkxBxuftxGxosFp1uJPArkCWvqmWJFGD5 BExPGkllWEdNp0EQQTpGe8ceeeSVF1eC6Vu9WwSvvUh9WAbLa3IKaFuvjpWERI66HzPPYddU89xI 3J7cmB7p8IV6FvXurl5q0VS5XPF4EWJ//8+PzN9DnNhj/ClxiKFvhRNzW2OA4j48opLvKLea7IDs mnb+7m+E0VhyyYNIQZtsnW2xlu1ujM3pPgfyvJVeQNTlzu4rzNQGL7GD/dhPQQxrV98/dWhNvkzH 5Cwg/Xn6Q+KFnfoQxC2loupNV+qc16KKP0xaCyY7hMtBMbGlUdGB6FyQHJffqQ5BpQPr630FoygU RM+Ru1Nr6fdR2KumVrVQUmk6qkKvf9PAvoPyGULGisTytwhhHwzV/B9vfMoYE9ybaLxEZRiAavII 9seBPfJTcqWSASU04fyz85hJPXK3E40BZdJlZwkbkekqHLO1jBbpwWGEsnsyUUnVcZceJUAgf9ku BdPytze+OeZEyEBsiOtQQzoazyd9GgvIAcjFIwEMM45ptPhagDntFmj3Ek4JI7DdrjIF5vP5yOsI 2BF2K+X47GkRk3rycqQtmcznQbEGkeCGokcecb1yGsPOPeSQ9dHN5XJociysHf9IJGwmc5MHRnDd u1Y5QImo/fLo0vyvR0fTBDDzvBEUYyh0rP9RXdkCh6x/qTPrlg+ztwJIXrWOioPB2xgLN5jTq754 tUOCF8a5gXHQ8lUJxDl8dMTDq9ojLRq6dKY3Auz7oIoFeiTVfMYuaD+IWxOLM/hfCqA+6aPdfESg W3SxXj27Sv9NozJ6kVWIrPyVBudpIZRvA8HLXxHv5qxUE/B0+VQ/RONDluVng5NbhlIuhc2MRAf6 MPDcbNO7Za3gV0Ea4cbXe6fCnfkZdU0kPb6DQfPUgDTQCiEsFsLtiD0kDhxUNLJW209SFDIk1hDZ 8Bc97yfktWZRd0ecym/ekv2EOJfT1UA+7K6Q85xxxu8TTJ7zYX/36wqi/9fUDti/H947z9FuYwWF mq/iUmu9J7AoFIga9MWESa0ZIXJ2uINEOrydxQ1zLwUgsNYYKW/0yhMtZ9O4Xb+sJSwAhSOVDpJB hCnHdCKpI+V6JYAeb/CgYm5X6vLTb++fCqbG10aEgxr7dPb08XYPuohmg9Luq1CsN0gsNVeFohnD D6wB2KGjTE0S5hxwL53HH655rPe+t506XcEL/LJuJVHcwMpjykGhx/GIQRKymj+dnPBvHqTA6dud toZQ0auHFJ/s/EI+xUWjwSBioHrewRD71tSU86ZN7NdfmAfN8wsofRS5qlSq88E4a8/70RDpAZjO VBwbVU7h8tAbdv25tYuLya7C/mD9X8Qr4iLG/3nek2wZ4pi1swQWsbht6aGC3ptKZ53m5QvSh3jJ q/r0F19OmwLE8gw3+DwWYQCSjpeQYogDqYoz6iaA9XiGsq2zN3MeAGmvWWTUjtDidjuVg2yN4/uC gspekFv9wWIX9bl/+aA1xep95Xzo+Kfxw16gFZ8QBXlN4Z6tvDdDMO1/VJ1G7udJ3U9xavp3dqtr FyxbfhOcqeChLnI4InOKuIwpCqF7vSNEt8J/2sIeCQmrDW6mYBy2Vr5vehZJOrVzVuHr+GM0SWxa EfAu1YoQB48Cg5L1dEHMXqaf/7+3mz6DUdLbutTYC4xeVrcNNVaUMAozm2B+Dox3b4DU6ZfSALA4 vIbcuiybApnFiN6aBjejV1Scrl1nY9lEIXkN6axj8eIHLv7Q5nra7FjbWhqnq0a9hndInrW4vEAW A50IZQauSYhk/7ciz8LM5uUCk234Q6IgCjdTNm9AecdHtFSC1x41zaZguy43imMnnvFVq3Uh6uF3 u1TVKGzBZZ+nfSbAX6pY+4zf9FqswaHUIqmXA75yDLwk5XaHEFdU36HhE0Xm7rw17+uTzKk+hcq+ +b5GaiH8s74A8vLb+GK9gcpdHDqxfkcTdrXiTZ3sWXh30YSG9cPJJsTH20ksDXarFczXrQE0/NCN DzUsimCVlxysYNBXQSZjiuig8oyu1ImkxYUxg/YvR9TbnT1ai8ctMQ3farTJfGXKrXZY/F4xvHPp RrTK2bYInU9kZ6m1jcOU6xOwaR9tiTjF0sW32cFhw3fLjBcZ216q5qXMtS1ZCkz2hxpSrO7D+ycd LHHa6B7lTu5xIpYb+k44QJ/ALx3raBVVZHdCdFepvlpKbWRzVit8z63/8D4EUPMy+dCKatVTw4aB U9O0TFEIaN7XDt4LIH2dD9aYdja1pelw2BzTDDsk8TZnVk1lCP8a/bTN36TRXcihj8H4Lyvrn7vz N0mg1DPUQdWmV5IXYA6JJaaQSofbaxHJQgAVi4ZQ54oOozNynyvXvoOgUsicWAAP9wqGQOb+duNZ DxlDXI2NA/Yo4ZF4OHfRpHLaSqd7FwcRc1cUAhx0ZZk2sULrY592dT1K9qAQPftqHkIjS1vJ1tEU xxXnXMz2BxOqX5lk5hXBtriqbkZaM8nwpca+cHnAIFD3MCE1WClKQfXHIb2PgGEKO8/ki5hXgbl9 qfXTOj9r91dxUqwLxwE4oSx0NujvYAHXoi04dlMXUtdDU1Pjm4exj0tXUWzX3WIsuLyoK5WYEEA4 tYnQFdGqsiXPiWoHxQuoQHdPxZ1/xqv9OC9jN/Cko3Kkxu7B/8RSYcNJiqjMwACzo1SbUOUXnyod QHP54k1VSKFXAxl13nxOPrxwOaMix6KxuhWqnqbJ2DAY+FGeQ27yDsyWi4n8VWbLHqs2awb7Bdmd V4P/bqqQgiB5r9Zmb81JIeK1j6uO7UZJteWQSg8ft6WyV1YKovrlV1M6yZJTHbRb33pXUnxZ/Ebx 5tTDF3JrKHSp1wWGRYFxlIjlvpuy9XiaLWDjc1BRywPkr4zLLsOc6qLxRASp09VZrF7vkI9ckWTp 16T4uFlDz15uLoQ0JVIo6DvDCHocBxQgGgdm2HcASA5+iw0FGMPTc9ZS7r2NxjzRJ6P0PGyl5oOB D/c7sqw9La1jOaNkwzMOo4XTWo6cVqY8clqcF2uODZDvAYmOSJm/Nv12O7K3+cct/VPpl0eQ75zQ ohfrnyEiHA1PaOtOKr0PB4pd0Vfcb2FdHVx4nNSXVfwIgrYBVQZ67qKI+zAX5Hpt/60xL4hvTWfd 6WHXJuUz3FvSx8WU6va8HgObhzlRUlteIVFYm0QZ03FRPCRT/eHn49p1U1HNRxoNBw931GOMSZDl odZZhheC+QKjMoTfQB/M+AZzUVl8zeduyjImZeG+twrgVbBPnf0t3z1ZIfm2xE0OkE3O9cT2KoM7 Dqo203wgs21tVIkIYMV5j1jb1RdOVKKJSVpQt+b6E/pm7MlPYpJR22rS7N+mk4bMOH1m5rl5qmCW OAibaBxRdmhdblKxXO1Dj9jJdDDzmrfKMClt+3EIDJJeUbCt+T88l3hQc5D31alSkYR6HoDfW/7b DCEp/KhOVrqdtyUlVBLwoUhuj78US5A6qfz3Pv4MndiZxmWF8C9T1qIajlY9zXxBUFmsyBbffjI7 /dmK+pOiPjPzXMY6rxilQa0jgZ+0DNcZ/eCkTQnbZWSRtEb5wN+spo0Awz88znaH02hh/ipGCkaU wGJrBqN3H/8/ajMhXQAfY8gyrv1oJLJk0rzm0+9EAxB82IWxGKq569CIRK8mdAHiTQKO4bowRTtS 14Fi8jZEXNzauxQsB7OisNayxe5uNelYxrEjVqMhMaU0p/ReEUdcY1L8yjssT3KhTHmrQu8K/tde RR36t507TxrM9+3xXERUR7Nv2LkcmnuT7Aa0oklNUsE6BR//7XF+qDlv8dREerhoxbZtLoZQgz9t cWsk+7HaXFrvhDtO3zu8lwxwcWniAsJRF4m0YWr6s6buty/LN8z3bpjiRXEkik380diN1QJFNu5U 3zA4LQe3JzJg8yDqRmJbmm6mY0alpr7gxv5Y8X2dM4VOtpBa+O/i7ku8gbWLyWKdJujLswUGnRmz WMfI92ThrVV12U2uISUpc/VSJ+QxZJpxQvwbQsxQ4XCcxsNcibXNrVJd4j7lv3ssxqcRNafrfQbP fv4DJkUZ7MAdfmeiofgJi1Eq+5fkRZZTMnmC4Tv8Cp7WDjxXS0qPdX7qc5DMm1ymaHV/nO/iYLQS Z2xHITq9x0BKt/JAww4mBMvp7ALUfv2SKfNhsYjU7UkPuAoZ7JOJbQ14N1FhzKrBuOAveFObS/BO GlO0B5I7p3zDZT1yOylwOn7vHQwtu6jDkVh41cy+UddPso/tQyyTKTYJagMfWsgmo/JJPeQVOGMm gYdT0W3rlECF8TnR9Vf3rbQ3YLqcW3Q2CTNjozSvew94XETbw7XtECIaAqKh0R1LsBP5izuaejkj 9PDLwfps0i/5Dach7LB/fskTYs+5gVaOAmOBPSdR4UfuArrmv9+x53kakUTC9yL2t/pUqm6NMcEQ 22i1rx92yiAUwm3W0wNVvkNITaNpEGs2kiBN3W6az2sHNO3oxOoW2QOPpnsyiJQLOrQ3U0aEfeqA lWsJ9M7GOuR3K2qz32OeeCQeOE61WMU4lV9ZFIcjzI6kameT8FDx7OUyu9BI7wKFSRAnsIjD89gi hUuRWcFiS070c45QuJi6DEeDP9m/hg4pK2JY2brUaFrKRJhVtLxI5jk9U+ZdzPYTmpyjiGjSROa6 gF4zepnXHDvzMrzjnnadT4Zxd/C3HucVZkFiocg1e6MAH8QvMn017Tli7vHqm6dwue1H5tNpCm5s 9o047CGomP+7ksKuYDoW2mE74ryjza9kuHZePQjOVcCctKhS29UoeMpi7RY8MKhLT19zo88Xrh/i QGDWqO6xFrqj9Dxjrx1XwWGuRnHoZCXQw0MzvIEf3m6H0Dz5NIj5MP7+iH46FD9T4bASIXJZxRRx XxI6GiyQnlH63Vn0P+oAsi+Y6fLda1j1xxH9VaIeqDuwZc2KxQVkqkAiqv2V9fy8dMD5PBcZBU+j AUEPKc5py6V+/Ldkf37PaZnMh7NLvyWrvL170avhNfNkruOfMK2Z5cpr0syhmWx3ewnZzRJInkvs m8pv9qsjCB2S8ZBjNP3NWIQgGyIJkPiBcjaZiCdYT9ugBYujfl5aLAMuP/YSYrJalHwceTwSA//U LRorki4LPhNkhOt3i6t26Aslcv3H/yVFpqCI5TylDERMnUH9HjE4OP8JFQNqjcEDnAIm/nBPC/+l TcBQhexosc9NgRmO3MgqUuBx67iCIDLR8X1DLr55RjOIqtm1tEenv8pHLO/QFXeHe7tih5ZstoaP eTg+/SbdR0yQ59kiPe+X16auT25LUMHB4dn/5p57wnkS+5xBYZ0XJjAM64M6yKNxdAtd8xoKDcL7 1o/l0ruHGccOo4XYCpADS2Ara3fA8T8f/M+2TO9MW92alI4j2WD3BkTHZrPUBbRDIL5DDVY5dgb9 w26zyLUh7jn8Hi79UJFi7FeSh3bG7XBHTlx7NwCUB+945x2293+K01yFXvMUm3nyx1z1uFCQjYqP AOhoxePt6WNmpA01UNWy6bE2hC92v/kvV2E4PAPijeFiFSLTHbDX6WHs1HyjtF/KeLwrXv6whhaV LyfMaL3Tu2PbeWAW76j+tLEFXFZVfO5aAhX6eRKwrFHQKr3JhIvQKkZZ52tIDbSDTYHKtAZ/Vg0v A/vDEKPYMvcq01afzLviU66917Lp9VlMsCAfHsWwgBE0AiUN9PCmcyboDOYXejZb6HFrem7pPPhG chJk5J92d0blO4yIkNJ6Ncay5s8GWjD27cS2A9zkPcGeE3+WUhFepaF2+G0QLCnVS4k31Y558huF JlOalYoOfUMtNioUU+jthdHajaKcJQNK3SbZLKRe9FRFMiqE32ZorMuTfqhN+Ud+MFJHOoAIl7HW hsMxAh1VXfq/l8PcH1oLMsjnC1VzLfET/uAD8FBNWwxCF96LztZKj0ZLpapipYBsZDHJknraQHBE 1Y8bWmfNnXYFmGeCi5MYxAbQZbN2UDObHB2VWyyveewktT4Q9HTaLCvR1I0aelpZ/u+zUtv1/e4s ET2tVVw3L/Z770STiEBcsGt2lE0O9SbziwNqnj1nNwepkhhmSrvQB4JwFzMhujJhj23W99uYwH6g H1sKu1qIP/nBkpZZVDArW4P/2DKx6Y713BCBUhOwnrs2sPF76IK0kOT2UArVKIdwhw1O2XhjkKqQ KhQ181VKgyYCxriL+j9oK9cDbl+NknzCgpgum4+78/tfjsfXkxq3Egt5pCcJnjG0NakxinKFl8lm D8U6xNd/8e9y8yWuTzSt7u5geZmSN/AD7lBNh0t0wwaV4L5ci733ezY5pn+GDOWJMkemHDiJkXKX gpZ3c9axjHrJuID2QBP8p/ZXDh6ox94sW9XKuzq313Y5ABOpDuhx1OMte/OFQbFyg0PiQKIVar6i 8yS4YhnuTG15jEyoPgswV5RrlQfIW8NZP+j2xHpPJsl1Wged/FTP+wk5u/MSTOZ82/7CUoIEegS6 L/14dXuA9eUctH6HW8AkMMeTDYb+9gAz7c+b/PssEeIut1Z2VFfOND+lQeR1M2zKf6DLupgSQtXw mfv3lnoj9pIO8BqWtWUAjR+wfmIfJgSW+BTwlZ9mlIsqXHkl6yTy0Atd9mW6BzK7yCaPhmfVMvpv r/av7SPhx37kixtKhmwQEjUp9ShzTrjw009tyteDF9dC2lp6Yj07R0JVSU8KBw633F026dSKSgfD w1+FEv0I0WwaB/A8RAAU6tc3IofLM76qi3FOw5xoUkOOFsIBo6BxWy9UK8iXO4Kc0LrlzRYudq0Y ZmYmKC/vMr10jgOrepWtTBzQPr/lbPzsHR/+shvAL7+DfSHdXJ1xtZlHmvFUER3zL/j5omAnTYs4 AEQnwX+6YpD9VsoHe5p1OwfTWq07iuYovqIdCiinZ/87Zy8H3HWrk1Jl1U1jhOVE3utAeXuz37Sm jqd7HsRt3Q/Rc6LP86Fm0/SskShvexlemZqFph2q4XLAsQtWRUYtPl+w3OBt/jQJ6XatdjjYjTtK MvjK8n9JfVOeTUctMk46SmQ3sNcc7nuGxZLqEVb4KQ8rj/Xu0QUOCdYBjEIP+VpaEgs5RgEDjZ/I Dlr4NXpWwuLdeFbghwdL/3kcW7JyARcbSzXnqkmJRQbP1g+WRkpCSOrOwbDSfBns9+KOLBs4qTF/ OjXJvoOoqk8gu0K8Yii2Cq7E05wgM6Lkw/jQ0HqTZAEB7rkg+med4ZHV4of63lVWWt8MveGPR9oV z9lrwolxZ0p77NvpaEEkXtgaILs/jqy/e9JtiGMnSLLtj5kNt7Otuy+pap3y7jh9+zf/3OG/8u/M YK/RokDd9O0yVha0JDK9zi79S2rODJjCYAwys6YTNpCDPHlghFo+c6dWBVJOI0/aSKFlRNIuU7Rz 13wlieiCMRvds+BqX9kfAr1sVaYo7TjJRtASq6wiagPQI4MdGbHR+02NOqu1UOcDZDTdqF1fxpqj xYPhaYy8ca8KVNoKLEUflZr5d2ZlD5Fc1RHjQhFRon5AYlI8fI1IswpVoJWVOU9u/NRQIDXyGU1D SAR3fM9jhXhDpVmoJNc/5mhwLMvRA07Pj1F4sp4SyczG1cIjBGOFf2/lLQRIVmYjmgZpdWXvAwz1 OnMyc0W1akNQmZxVN2nHyaBvLQ8TWfos4K4NclXCfF1q+bK227xX2YSRZGfieXw9HpvBhFzkhus4 kjwctPlYD+KAiu1u8tkbdQ9nCMm5lZ7cpdHFP46s4Ks+/a9YxII1ZlH+CP1IYKJxBkhDGg8JQt5d WbPC8QInAA4VwXsvsdhIMO9Z2y4Dgcx+z2zBKwnQ5aaSK6G3fiwgn9AJdYE7BqVIiWC3ZmAeo9QM FnsUHjd160TFrmefF1M/0teTMMH53dpwh3qUGQmfo0Hb+Xxo62Y4TdDa65GE8B3cMwsfxAFpLv5f ipSLY2A8c2ySWAoQBo188EkS4dNUQoU/6f5DuhkSwPMhJW4VJiKD3xZzdUR32IcfkmtXGtx9FqMj bXIuWYQ+/bPF0tyqDQdDOyifmJkC49v1DpgPfybxriQA6OBivoVA/iIwUag3iTtPCCukV3/zQGf1 RzG0k6FKN5RrA3L1E+iZaQuqEu40lZXPvNlCJHZCoS1eE35C72IxTtNynbsasDYBHS3XNHA5wv/1 u/JONbKm9eEICB7tnyDKu5O74CmNUCogKFS3/D8WQr73nyAM6h+8UIAAqeMN3A3lJl53r7n7usb0 QgYmPAyAaBQ43SaodppcPZ3BWghP5QIXaD/NY8GEmstSnfXhgnTYk5y+gi84A5TCyzSjk6kC0JU7 6h0lKsizgYt3MNPyXbpMvuA067ODgyZdoxWvYFKs63Hr5C2yIugcw/CuXLktpI/upF5qbnjaY3PT xwpGCFwHddOUQzMi3LYY7wZsPnqH+kWM93deFf/2QtUeWr+iKV5fzBqNRVSuvUwmPYLaSzjC6qnh vZWulbdnjt1th6yrsh4zt/XTvXi1hD46jzT2QMMVszYmf/1xrpeLN6UIZj09bH+prE3rxEjeqQzR qPPWNfRj0kPRO/kGIrL2wPNkwMaGoFcn4QgaQSCxPBmbl0ESOrdDbKX7gTWKtx9oM8N3GuDWMqCT LXkh2LvDck9dPWhnFaXSwZMKayJErPm6hI4oz4gkRF1fq1DkfduHWFZWGMqOrRuNXXcVGaMeYfgP Xqo5zju5jVXUHA4hvkibXObRUJlZYm5bVa/YrjDynj5CCdzwAdXYyxhObTu0n14ceZMBc7q94jv2 twv9WqtfaoMgcXyI0HIcKyfkrePXWdxA2KevbhU9SB2OBCiBCr4GmHIM7u5vwL5GzTMcindkkf/f KkDhrcPSjgyIz9QxJzROj/wnxyj/He0yC/xNd26zoF7yqTsAa52oaOBl2vrGOsFOylTi5/QDorTh KyHNDUboZ0sZnsSysO+VPfutKeNqqke5K9aWig5pvThmRgoaycPf++pGE79X6tK66LSjCa4NJuDT ZhyRnxnxsvBqb3XuEpzlVZnGwsXpCxAG563yVc5dp8IYLovXvK8T2pk/evuzS6SCXM0UaQQO3TDO gXvGSJPUiyAJYk7BZk5OpcI2H0ffmQVj8VJBFNpPkwF9mEC9cOz9gkEWQiU/0jvzVgANL7eAMJxa x2IH+ShmVn1608JBbNls2uurhfkk4PjeUJ1TbKv2lHTIlWIGfLB18QgsSIxCGr/HnQygf74iTuGe yGn1Idc32HhoAD0frixuJvyHZ+NyrnqseN1vZSeHC+1nz8F9a+EDTY8NK/2rMY6qI5o0fFKrxo8R jLtYHRN2D/wA1III+N5lFjS/SvEyxBxmcp61u/ORM88uF7/+kCNLvfDBBGWphbJqSqU76802VEtG IxwS6njcJ0xlCS0N9P4OeQS8p5c5SPyLLqjBLNW4/QUzWr8+DfoZ4nCC9Ge3h+r0zXh/VngNuMGk cOiWcsA6COpZpGUQONj4/jeAFf4b5XaPAveG/Gns4+0MeHkwVqD1rn4C5y5OvRPoW7oqOcGGC3TV NKy7dIrjDF9n8RqX6z1VWsGiIu6jkrY6/goSlgOJZTE9NWhQaP99ddPS/GzIJWboHu64RZZ9eTke tOysKVy/wNt6A+f0Rf4n0loeiFpYb1z6TIYyIHLBcYkS+Oas975DcXq3JQmtouqvEtFR2gcDcBD0 EUC/PaFLZD3JPxuIu+BA2jVNeJapTQkVe/bL6GqH2rq2oJgeh3JJWKsTuFSYkZa1cHNif5A7K6CM cUFCD628TbRDar+1k1/4kH9GMbw3Mw9s6LaQHCCKD+Z9y/rEoImk3Q0dcWhKqoKaBa36Paxq0CLw jMUS4uHpcGx8XKDzE4vFfzDCRUwb4y/nVal8Q0660QkzxATiZ/bdZq3FZct9ARYqbOUH6SIdikjw jRsa9lUXLID+X5RD742LWqonfSIFTQrOCKOBPmunyRZGr0fKNeUfryJl1fmTZENNTVWnyE9V/Qca /iNZQomR2nMmTe0wQ4KKYyfjUDC9BfAiJyzaNVs+lqzscy+kQUkQ1dgicaGoBJwEbsw3/nu9VYcw JyjdQigUFDyH0yqsgTEUnehdHm/TPTNqI7ow/R/TstcaavF7AMZeZGv9/0F+pIatk/PbwKdJHl9B 92/Hgw9gxF28+PMDiBTIRhYhASeZvZC571qhP4EQ9UPxJI9vj5AURnGAEQbmwPoao+heAoJYY3Z2 1Fq7ydScGGz4FYFenNgTzErfx/sNWC2Ql3ClZko7RtPlFFiMCjXE9J2z/g6JfAzOdfjQGCUb/41S y/3J3AnarU32k/DAOUGPf1vGVnKwCSasj6l/8KSutt0HQQkpb4p8Vk8r4wa7tI0uWOkys7qsaUlB 8LGqe5XSHskyjmDeojvP+xPYae4jMuRatQ0mzfXrNPbPrdGtgyx0JZyk8zyyYrNy8G1PORTUDalA mN/ldo05tG2rabMBMYPr2k6iKXFeHsB1mpwPWg9wCUlxVvJRG5rC/ETC0zbW25fT/AvXd5IDsl5j yLjONp42Yv8EOcYvcJOEVK3X80bQJ4RdzKZo89dm2I4e0ETeBlE6a3XbWtoS6SKYD9BSLJem4xBx SttNameAb67NWkrX2m0121ebueYKwd/vlVmWD2pJw6b/UCLqutmlactMCV/ptZN7B0W/X/qsSPom glm2dGIhdPoMNtGpJqHks2T00e6hHpPDlu7nHOReynkNyxBM0SgXlLqdbz8F8o5gp0GQDIWO+0zr yDpQFUC6cRUPZfsmrN6UDhlj7sxtIor5pa+04VNrGHFLTcNiSDNO3bNJuR/PishkDau5MPdcdSUx JgMz5evDFeO0UlzV/dc70/WW3ILe6Gf4goaXRWhHGuI3APq2CFvAbPMKvopVZVALdh4uxcm8gy1F L74J7ZukLGdGvfxofqGTdO1swr9zBPzeMigc6JpcuaSrQLFXsuXEbWhsI0D4tgMv7cn+ZHY1r1Xo JRJi2Jqxe1qk+RZ0CkoYZnT8q0TrVkDro9VQxjSrhY6y+bTnhViGlLAP4qvQl6wBFzW0TFtlhHve HbETM/iAmJ6g+adTwaAB0ZexkAQYDj1DC7Wi7ljjLGrgriCCf/ap2EODX7Ddf83TtuAgUCzJhs0S xP6eCALhcRqcZK4UtDynm83SwVBhjn7ejR1c5Cyv/QecqakNdE82Hkm4WMwmSi1tQ6bV5t44CxGH d4GS1/8Ahcm/m/+mbOj13pxLbxtQNTdYAczbu+c3wvvjXFQ3HKcLaCNqIqurfbA4dJIki0STy/5z yNeA3Rq1s+EboTWkEIIBI74CNB2d6mtOYOGArKxvyEY7YKxToGF2D/sDfnGOTJQev4AtG12Agv5V Lzj+nYoAph24/8fAZX4OOh72WHNgnsOT3LWfYYa8560RUqr3xjyw3VkWvRW2EPdIdwJ71ApyjCBg LKewGbmMzZdJlQyP1L3SgjHo0XaIkFQuLQRn60neARH2a0DT5XRc9onXi8ZDmtQTvdadujh8te96 kLcoVpehXfb4X5iiq8LFVHHYww1JXcr57zB5FfjzRmZ45vXCfB41T1KpdX8sezsUwuQ4JuK3hpoh VK6hW5B15ITzE1pDxwicCjlAUTARVIOCs5KKzjQjU2jFn3UKUQl3TKhRO2XQSrnREMp4al4ocotC 4T8i4JSuCrGrvJYu4+2doSnprf4hAhf3y/ehZlWhGRHCFHYcC/eaC6pZOQOyUt+xeTWTPDaLBsrs nWmGNcJAM+fiX/HGb/m/QX+LCvWe5kZJxOplFw63/SR7zKCvn6ihqUSVMzNg+lnhmvI9oZiDhL7B 68XFfpfRph0TWQYSMGZx/+CDrnSHBwkQRyNQ/5SROSa/MYvE9o1QehLP8Kf1cgjbc+uOIWkOxW8V ehTVBNScP30b6oRf8GB9uS3R/nutZ2FGP2o0KRXmAGwj5AWRAg5Xi/tL0VLbm/SQTp3yJ1GHXSsi pYdXq2oOd8TpnUbjekOxJsNayJkuUFoktBCh3pMX5XLx6eWOXzknfcPwafHJcyxO7pYTnagx/28x 6gL2zSDD/Te5M7n4YCFzDY/7lpS8672D0ZI3aqYgsPon+8bihaIY5Y5m2Hrhwb0sneunToyxen05 JH5F2YE6xz4tnja0cyhZB9ZG/BpPPzg8XKvGD7+424CewJ+fcU1X4tHqScrVI2AUNdm6U4JxUR1i mNGqZ/ACNWeKliNu63KL7XfPPigsN3hUhjQSyVs+dfukh7ZlozCyDiCNPIbExMmkrKMV0Z75grPw 1Emxpm4th30qxQA5PgaVWWLfGkymzfLKUSny2rpMxiwtDwQOf4VXljzoroEa7CBDA8uV1eQrMrNU PWoCiEbAJDalRK7LJ1wWnV2faDrQr49fgs/DV+nJ9LUSDltobWZ3o3jJhrDiZUI/nn17ijI66/qx /BJkRtsCYvz4QXEXATy02nwL4re+q2EcL1yRWbdBdCsVU1yLP15XHUwQOYZqzwUTXHj9N2jzeInU Ei7YjUqC2A5Hj+k7BMNQypU8bGqF9a+LucI9PnrlbZ/fIwR9Nd+lzU15X9D1nK6yjQ/r+D6+dp6C +xGpYfSQgCHcHCMRq5hDDgeO5d/VKkpaUn3hMwXwqkgG6e7KNWqPMrdEgt3asDIy/I+s5IxGhPhm pJHg3Ku9+eE8c0uaPPpBiH4zURyvduweFT1W4BnKYsfn1w5cLGw9lbEXXPlpfRYNhPBH7bKSdrd5 jvrDe4nLClcG3TlmWBvOR/uLUTwF+ETIiI6tPIw2niASNE5YUiGKfLL8f47tv2dhXfczZKhsIu68 h4E1fU59I2dGhKhx37auLh5bLDWqHHj8nnCMdo2D0nQqrC+0IvRz0xC7+s8S0KlKKy/dVG2CKbD9 JmOn5XiGUFnkGJs1Zc3NvEFstJCmZlj15izwICWxPRe6U4NIh9WZ3rxKhc0t3z72ovlm2asGlysP 97fy9lK7mIPSQvwQm6VohjhJv0QZAMEXG8UEGGc1PZlRtoD1CspgKbC5GWXohCqwfed4KguVjvlE CxDrYdRqUUQA+Gh5365Tl0Gu0gZyxFbuzj/0cJBYtaGwGeE/hLJ0mcoEG/tDhzsHE2cAY9THiDct 3Fg/U2kyiLwb8CdEaEa3HB+qOIl+U2DI4MxMajy7bONiuP5XqH0kyUGrD1hB6VJJtgDPHlLdyph9 ULxyi3lRJCmyxvUQwInazcUUwVlG/V7PLVg3acXST8lGLAY0pzi83rF1lreRN8rKLKC7gpoUAr36 L7tM2/Wynyuq3iJvpGd4ydSvaPIrl4kX+MnA7bzLP7N6JUgZ0uwApxpXZVQxtUuzjW34zJdH7lCP j4NyV3+JWKM8tRGzRDtgeCORma0pbWHQT2pJ+hZKtAZXnGdfOj+y0YakI2YLZGVMnn8t1oZdZjLf mkPJjyHDpUH3TIVvAz6qu9ueM2RPPviHWxv+2h8GJsfrutTnDu0fgrjvc1O3iFYlRhjcdztyYQob zB6NcnC9q/RG8nQf8NPUbKYcGxG2JnCRIZpTiSapPrE7ivW5D/Ge9wpga5miNQQbQIZHwX7/t1kY 19tlB0Zp98xm0G7dvaLi0eYRn6UGtxc8ptE5M96NduthOcVgFZJiZXTdAvyK1yiWVICuHqZqN81H 6rrmUd3XG93BQeNcPt1wqpcFCpi0lu/CggA9QU1i4Wuwxtd7UixBaFCUEtwmxRBxW+N+yzoQy7y3 PlXooOTkOhK2ddAf0RVRmw0uhVeV/WqTJytTo52LP1Ww/9dECQL8CyhQiVEtIqpi1IbotcJOhYCb fuAlZsvFNuHmUPvVrByZ1KQjtiWoRG4aKtZikTmhr7Fwk2ctdtOLgvJNDCE+2u1eYAowNOMRMCto aQdlduzBU0nUyKF4M42mIKs6kCZJMNN/Dq6RVSL8Qc19/ZgyVgt0ETdrb8oPUpH5UsGHvSClJ0F3 QvE1wDxDepDl6AXd0oFkoM0SutBPEWIAT/6WwAszCJ5wU9eNelsEae6xe5IQd9Fr9uYC/DoyqX74 2A+pGPN01zR7sMH/IphXwfDXGF6kxbzltriUo68/Pgy1QMo5EcAL+L/WsrDcAJW9lTnoOGJyaQBU AkK+0xAV1IaavOwEXpd9szkHPgSDFnn7XsDTN5EVH0pb5CNpLh6F7LgQx7/vMt+IKaEteJS9AHiG qMK73Ybex2FLmpXXEZUHEvUvhCplq1xnWrSlAaiCH0Liio1o1cEG/iDMymEoqFrYOqPhbJam9gSG yoJ8KZjJ6uFDyExGMKxeoQgAwFOVm4akEmhhTI2J9AWEyZwsQErmDu0WHuwDvG0WSSuAdIR5dGEA Rb39g7sY6Hmbtlimxp+XdaKblYvpWcSbvFqXwT0R4KjP5Nl7w8tKK0AGnIuEGLlBZhSn1UrnWDQL FhzXzBQiOijGybpD0R9TV9nrSiywS963LXC5j0QoUnSKPoI0e0wljab/Qrx9C2hlVdj49TEc0Ufd bTWSGW1xfNzO6aDX/Cznl1YSfGX+iXdIs61a3AILK7sSnPPzK3IukGO68nz2GFF01c8hPEDUOhmB 0Kg/7Rr863VHFzAlRTOXzARU5BkreWD/hG6NWVI46R+xO2XkipYDWwuwbt2wD9bM86kpfNsrPtkV vqyHOrCNOc7a+ncvEDQ9O5GvfGiV1wJAaSEENdJP7o2a5b/WYgnEyL44mRpssG2lWmgjadG4w4bB z/iLDlv6AyMUW51GaslCdnEow+6/OiBXgJ+n0aW5H+JZ+i91b3aNVvFLub8MqQUFDdI/kNDYNfWA cKijB8cVZy7QNK4VmZap+nxCRsjJkTGj8Gj80zrootwFGtuVqZk+oyz0Whr++6/1I2E7jCt3TZcL 3ThAr6kU0Sb1GmqoLwh4WaFEpSxj882n/WcurIxSa2xl2voazQ0jCfvNwIzcla/JQYZE5gTlg26Z S6PHZ7cMN58SwIXL1fHZkZZnSYP4vtOAaxvfccVwhZHtu2g5DixSxmbwXFG2oOjV529J+DZVzCMz tXI2+/u/NfgPUbxNakaWWJNKKPlyDfpt2sTcXO+3pYszNHwMDwwKoOH32H7Fkup/g714fG+qx3gY e5ixaSUKxuImLmMfhrPOGHddfQcMsb5+wslKeCIEWiCacw6gC+w6PAvzQZRrIGTZLaPTNX2m6EhM Hsj/wxX5yWtMij0To632myZZwQx9pN5XBX6iBg4/jNugPRAJWi6tmv++bWZQwCn5bHRhhJH6mk4P dItst+UwlK8wFiJVCUMaUkYQR4TV48eRC0z4PTTCeR2MP6M8G1AjvaKBamAJDmBgaUBEFZEl8Cw6 Xd9zjiqG3nylyOdUEbmw03mc8htkFXYnQtDUbPD30qBPwuViZZJfCRhteMFyg7tskMuXMUHhHXxd N8atIwporoN8rkGXFWmbceSIJsq/zSew23m4Sx02iiScxKUcacJacYQKY4x58Pb1SauSASrpPeWD RLGswkhAMDhwpOICChJ9p+F0xhr+F1xaFuFVZL069NLfrbPic+bqyo0A/OHN3uMoFvFpvaH3UAdg PaKPkRD963QUiMJYeeS9E8YMl7ZlH35gwLvyWfQ4GKnR2VOyhaYaPzw3Kf5m9jE5AuK9VHBsRHfV 8Vm4NGo7bUmMj7cc2X7VDqex7uI6bKOmWEmC+Is/stQ8m36ja35rgS4hJ3eVvWj+fTNgZP5Kqiqk zH+qUWH0udzSQ30Ix3KI6zjClrOkcPHIxdE14g70qNBK3kOD172I6blTmdVu8pDiw8Ls30zU45Q6 uNR05rco/FKRuNmY/s6I9cWvlL1EXUDOXYwWfP497UBt0qU9Pa7T+W0OYjU76qRElmdpA9H5FbmV aQKUe2GnMoI4eVYrJm4NCWJQQJZvB8boj5gop9DikKmdYg+iN0fDZFT/aNYuMQw4/eg+JcEBOnkT cwuTnynBbL/HK/8x5PxaAeoIcFDvgbV1lWvxi6PflZiq4Ghb79FLFAHK/iRixPPcLkzNew9tANTJ KDv4fAPpw7S5M8GZzxw1G3gznS3SZ2NqVrL/lCezNUHfeeuv7itL30vqiQ/HX0uLdFXtqJx97IMj 33bZv26BgDer15ydbYIHy22v+5JwefG80WsTyCv7CU2r8D4C+9eZNL48ZSUyZijsMT85fg0yQep2 1/brDPOgn2mC8XUfKnXlJ+3/FL4+Ok0k6gcdvCxvhGJkGv/Du7ByoImgDp3FaUrCOP4G9xY3xZ7d zAS0ia5I/2iIW/sS4Sds0GSoyJkTE/vnV1cJwR+eR1TRrfjBEaRA7eXyGh8Ww+2FvfsyX40W0w5H 0A9jA/1F9f3ESH1DkbhLm3ps/qQUh19qRJZ1+tv5LE2tTjNcO56OO3FbL8QQ/Dlee7Fu33uZC/Va yw8xKvmVcT518prXav9Ucco9aGUDcb0JFhrEueqzrowsx0QvrcNoCK4CVrximIMk8EmmQZa1jENc N3pPdc9h696GzypLr0+GVeeVBbb4hroh0KOPioMxAEO8dhRVyArlK3bWik9nStPbO8/608M8bkU7 5T6WPlifAw4njEcHtzNDMYtoU0dlvEesJtyMs5QG5KhfFC3XWFNrEWy/wiaZT/NT5w5V/UovDoMj IlV79wYpNDXjywPqKUGOSXTH5ng0zlWxyyaVl2okoedn1WpTpnoeSRGBkp31RA+CWUZHgFxik8RZ SswwgrLZM0T6pW9wCQc0YXTC4vLaM3+DWxFG4TPGXmLZYbi6Thyr8Lu/rkWBytPC/0EHb4KKBbkX hjSz2h22GWar2lrNe0TQJC30Ef6SQmk3EQlcg+iiIzchZM5EfPvZyWHP7wsAN+Ggwcw7NiwTJzfp bkOi5JZogLdB701MDAkArbnbVCy7knDUE5jnsrt3XBjU/ul6vu4iIE4Paihf6F4QpDiZIWqBbtWq sUAuIitqXW0at71H8gUhb3cUQfxsSBO+athWulA2yRdQy8gqQpXmR9ze2xpnfYuB4u8K3/Kczqk/ 2YaSiONQdRWAgCPV3Fc7NY4AA4Wi773Oiw7ExVqszKvngWwEDxeEz4F7bBI3PduToYty/r3JH2wj Z6f6eEBCeUzk8Ngz3K9id2ZnU+LAqOMD7z+mt29iZOGhEpfG6X20D9M7HwQC/Jv9RQCa7j+bGyw+ kIAc0xOpM+SOruHdzbO6hoNrtU9wMmxeE5ooq7o0rN8uktbHgK0Rt+ru5RyVcqfb/fzpzvLrk1mI N4APekNv/mOEmqyduEIU54Cl9miiUShITYiX2lz/B7cpiCGHhM1kUGX6B67uX2aPi9oTWL4PGkOO lcwRpqJdOp9+KTv6hv3TvLModtg+n8d+b9NmsiX/+pyySrWDxNowN+XBgeW0K75TlrTTQhAyJbAO 9SWJo8xDQ6U23N1sDDAXTL/jykeo+zGnPOg4oLPFEsnP8PglmIyAGGrMgUQBmbH03wUYZLgGiM3W 2X2eHEFdO1A0DxjMW5kMcvN42NmhAkJ5oPdRjapGickDQ6LfJxMIH4NxRMe2gAvscDYRvXXVDXgA BbDD39F1x5rRf3mZT//uqZ2qWnINrSfNs4cLxriTkBuVvOdEArenY6gnFitewk1ELdgSN+2KZ4ya f/SUef0H12awDgTfoTWpr3n/4c1ZyI8ts/BPmbLV2LtsBB95mqweIPQHJL20rOjhdmoLk+bPyIZR bTKBQoT51jJ1LRvNsGC/2mJ4wnMO2pwI6+6r1i2k5MJ0PLQxz698+amehW6IO0hbdEet0Bm0JLTT memohLEqkdbA+bm4H1Osy45U8GdWCCjz6C919/bRo1PswrKBrRp7ePCCRzhJTQ2l8pY7EtDeClIH I77R8inLFFNyN7/tnHRO8PNYRZYk5RZpH5N9Q6J/ttlmrhrDiSfBzY8XqjjB3PB2Dn/ypsjTJDbp /8/T0J9xGHtk07PEeF1RIs3tRn3ElFI5nfUWl7ZWSGIdaItU90ugpHEYwi5+Ll5E960viM466GpJ iixcqvSAPilF0DUlQsxHXnDdcAXDwBMAjJtu3LUYc0Kd1B+STWHN1Xt6qbr4VoP9MiVTkaAYRMfv rOiJfhepH+ZlgwOTwUvoUY/faJBLKEHeP1uNrUHC8Vynt/yzOQKrkLp0/ZcGW4rRXRMFq7mkFGCh TcvoQ+Gxp8upxcMImItiC8sCWL7Yr5uDG/JUy0/ObKEUjqSaTnfCBLUjJ+AEZEHAc4oMJiy2td20 2UB3zAmRDWJTHfNIW4FJDo2B8ajOLkb1SjDlHNPsic25fjTnajeq96vZSww6cx9Thai37W3nCbmR 8eiJn27PiFwdMYRSyIh2C2pGJCvwOEXmBaRKFktD3R+EMPVgXcElmGmYXJms8m7fb2+FM4FCkSeT 8IL42MPhbXV06iqLEA9KrcGPkiu/1t2cBIrHdmNNnBCaRP35GWhGRnQOz75Tiz0vT+I8i6BZwsvH pqd5osqsplVUfl/DHdjwhIu1leipahGGK3bpeHt+YVKVDUA7BnZ7hdDBojyuxXTtT6TjLppDVl6z RH6nHJC5SVH2cME63ep57O/nfQGxobOGJ43m018AJnJ927aEGqLnh7nzyeZFkZN6t810/TkmqI8a tKN6vqgJZOYX0hfJG8wgGkDu2ft6mBdH1ydquI3tcYZnWWSpgQzNJOyvkOEzve9W7nm2lpqU8bqX OFKbNTPa9vLwTDJVj0PmgGGhxeh+MTiDUV6BWMnaVWeuEXSxoUdULp3SZd1Kjh9BZMMppWpLUp8x U7T8xyA0UPkO+MVZverN63sH4x5F3d+M44L6FtU7OPmNsuhbiqh4GCe12o/79Ll+/wcEVuLaJ9yA E5vJykRmnELgvu9w5DKKEK8uWDHw/SJhObaUo5fq9OTnhyjVuyA1y7Ln3LlNM3CgW/RecO95PJQx 4E5F388SibhOPQm0eV+gp1/dQfahu767ss35bun1AH2VXptOaqxIKRzkuwxKcwHVlFGb3NwIR+do oRpG4nAUz3Nd4V461R2hY7f5YG1WoMx16nK8q672sAVIU84aCYcs32dCG2CqBB7U5rOynbQx0DKC 9Dc8SSsUSG0HsUC4m/VNWHuEjO/n80s6PlJYimmSye38dDO1PVjcBEMSc7qeNVFtkPN+CraNVR9u bviyDTgamwVL72Ltto9dyYwRPHzTRzTpl79MUFYKgM22j9AdbigZZHlLWu0WeUH7W3iYWmp0Vy+Q vLA6qKVPr5qUgIcQTpRspCxpBadZhApJwHgI5lqra/2QdKKe+VY2muQQt+ld4oW24I46rspRW2cZ Bj8RKA33WlRzAzxtfh3LvLLjWN7YkosistdkPN7nw4AwDr2x24bSpi1pXu56IuYPlY/RlVwTpsk1 q669Nx3J8gkeO+OVQcGZh/fRdpzQI2W/mtccPtA3HQF8etwg/NTDXOYXKvxWxML8t9ay3fe2qeTH UFK/wARvHgzw6z5wgw/yDmKgEK2vffva1Kmie++dIYSGX4mgcpxJThx3a1H3vrIhuh8dCCN+dqOY dyd4Sg8VKOniv4Cjjkpgy3flek5deZpTggwNKDZdASf5Kvz5qCFR79fylyWA+/3yh43k5BjPyBRP gXPAA6ewte5kN4mxTGPmzgPy9u4zRo8Ue58ofOBHIEklf3WXG8sWq6JdnQzMTKHWijkYr0Uf8pLo 8mnXHHdZZ2eliH51xQXVpJJihVikuERO95dfuJukJU0RosWsKRv/v63YFMHfW6P64vJkq0SUwYql snUDPizvuBEdh9PHpxHa62h6S+zmHcoQns6QxRUDaTU2zmdYZR6nRI4E1hrTmFStaBx+1+M7ED7x VtiW72Iir5G7JfDDWmV7pY6PckOZlO+9PMCJSJNu7WRxR8mtO8zUG9D1utTC2wf0XA3RNSD4crS3 6hU/fdYAWRRAZgiJ9gYE0BEHOZZpIK7j4eg2y+IIpKzVR7KOAYap9waRbmTXdPkfXEuBG9adoubr yuumK3fOsaTIr7sebfDk9verbamwJjmDU+CWhZ7iAiYkwvNzwmNAkSccPJKXuQJzsI9Vd8t8cbXD Rg+AC/mq2jYL/c6FTTtfg+mGFmp2TOyI1G5b11hkke346kYxSCst/vN+9M8S1tipF7zITDm/B5db cuWCEik7Q/midOOgBd4qj3eumd/cJ+IiBnDFwO4rKwQsN1qyJMrQcf366fm6HbXZ/H5AKbldvuV8 MShU6Axp8KPJF1xd/T/Ccd67oUwGOymMN334SyHr1GdVp6lQKvr8T2aJIlKZvSKIllFp45RYxxQB JkoCt16weis5No3c0oNePSDUxd1iv6QjJxoImqFK+J+2HWikqh/L+TFfmNqC1xCwdxiSwIvp9XYg 7jaUThKggcksemI3Yi9rio2IbdmWdvhdUyqiBs6HzWTjSD4dMjywgE/BjwafLmRhndjOF3DGD2TZ v9MkaVt7gJkxeG2PvObkAwAOBCXTNAXWyes5V4V+sPSUj5w2BsFv0K5AI1En3LkR+dxHozcE18wj sLRgypPAyx56sEi0wuHnA1SGdvT8y3VS/uunuXg1m8/oqsdoRKTeQUDZDFE5ReALJN5AAXy3GPdn goy3hz3Y3CIbMWtB15ggOqFI3J9RA8g8Y00YNpihXfUb8z5UIyfXInapXN7mrKb7YH4L+/6GZBIA dydL/WCHdBUX/cebCgu+qcEnINS/SeDB9Jj/gSYp7Ew8L8ZyoNO50VdVXsWWEhdX/lEbsPnT1+tP RCsAA3MOUyYFliZYeVhi7wWe6dBrOAiYgVV9jGgi4LFCPdqKDSM6Pvo0jrdAQcAanCc/0R8KN31Y ovantbVrj/JyhV1fbycR6mqwP5txPB5BjF8MqO1QSj6LyYMpreAOVGYoAK3cIjh+y0eXUk+9Zoea so8eXA5lY1qutF8AgrmINogOltvGGNbZJr6uAWb8RdLQSYjPIPdOCJy/PgJFRQNmOJT4N2O+ZKWx hTV/GCNsEx93imJ+ANDby1upHX1XvUVE7BOttF3CVrSZYBgEBiqe4RT0icX2LMvSI8U1fV3Qgo7w kfaA11SUPnWTETtD4PKSBsiLb1IxvnCcwNuYfYiDB9L3V+elGkjETVJa2d+KG8CGyiI7AF90iu4z 7i2e+x2W86PXcs5QFH4JrDUeDw8PWQov2jpb1Jq/GgoBE7RgC0RyMp+ykOKyoXNcirFP/w8c6ZgS Td2gYvFL9JsFWPKMRZj6NsbqjewkrodhNqDbwMvFSy7oJLBEgw1CoJDzmpSa+EjcqKxvGQanzB2u cqEo/nBlYFamodKlEtJsXfjAWoE22+uukHbfxhoI1DXWCsSuoIR/lIVD5Xq4WUy9MxB9TcTD6LAq iyswZK4/D1e3C96Q8QTCCXp9ou8AwYrpjC50//BfGkI7YtoclxR0aN1Bs0V40g9TkwEkDtoZhLTL SEhmLv14/KIfNhG9hkxxhHkTEPvhenCZyf7RX7JSQ7e4a5zZ3qXRkX/+6fGBRdFn7Dx/tmyu1/MK CqB2Ofu6xkRbrQ9hxyHgRC0W8B9sDT8G0F/yJsE65iWBLl3NKcF9tvXFn0HJtX6Eztmvt/ylg26r DfKCZUoY7qLX/LDNhZD6pu1H8p6gI24fj0sfNY3vavi4GRU2XzbXjaZj+Py4QRH4a2J1kSdQfCaA 8RBz2taKZMHqRGEnbYNzveVu6F50QQE0QgWmPCuzb1V1k58OvFNMmCPEXf/CuSPZ6Qqfdp9/LbOT nbEMJmAdXEuOvoYy/MxRZ3RTjd6Wc7KWxB8JtyV0Ar/+fJkngysouXbJPxEY34nR1XxyceyPuoeh iyROT67ZiO8uIEhlCSkBGTHZjEFV/IHaCN5/+wPLWGSlph+a+jKKCTtBS0UyNMDOLW5wuC/N9LHt RAi23hkTCUcLIcuoPhoHRI5zoFNq8gN9IaLctpIk05S6BopeUl4W+j8lXsyuv1kZKj1pIUgx+HHq DO9genG5bx2oFOvlqdkOXn9melrb7PzkYTiEWl2XL7wjhFwe0vG3EnhiTEWaWl0mmkgASth1s8Dh 7QyXlxG9Bcpbugzt45ezjIbUBGzRiGz3oZSNxjX3YZgOJcECj4yt+7kQz+GCSIdiwJyK1wK3j2R0 H4c23gbImNZxDEEXmHGZmABjK3ANDMbqJR/EoUWwSlmGMjIT1H124jttLte8IGc6qzYCuZojiRFD z1o66uReL4FrH8l/AOSFOnXqjZYbykUdOlBaBrdUdUBmrLhOHWSTIaLQJhqP0ixQS/IsYZHju454 5sS5iUqKl+j1C1dzA1fihlL2UnwHVGodHuXniQFTdrLFLYlc5+9pTPyOrnebblsv/GO4AwLXqtCn Ejhdm7vLeOKKzt9OiWAbRtJz3k+6Xlw4NyyHoWWwf/OUrcWqtj5TMFG6Mg33AjOnVemV6v9PlSk8 /NFdYqbz0iJ+KyAeOFBhmSWCS3++Gnk0eWXi6pAwxwDFswyblema2lIEJdzCz7aQzyqW03nvUtFR 7kpmbo7ITj9+DwCfXkrDxKY/Jz7ksgfcGDMxrrg8ozqalA+sTz6VWglnTpj+yYMh/+LPHQUd43wN YCriFVwBQFtdM+aZ43NyNVGj4pJRTii1lZP4NbIevqbzLKEVC2baQI/iMxrzbRaaFe4Ibd3oe6PP G9PiTevlAb3TA2OVh4SrdvmdKlsOtdud93M7vzWxuCcFwhCsiVbe8IQHPC8f4AP5rXEP2bEHVycQ Kq7KJKl7lTMj5DLP6vh+mfGqMtRuya5drubbhxffLwk99iBCqCChpfrqrk3bfbVnOwg8lTBigrPf PFuLEMEmgplKR2PhX31//Q+dAkk96tq5Md+vRh5NVp66Sy/U6Zjxy6D9+KsR4Z2GPQ70d7jx1fLY WwKOK2w7f6g7KLn7PrU1NfV1jcEby+ydoBmgAkNzXg9DMjtJ4zS9ujNRjL/FZOo0vK7/KUnbtIMd C1pJV4pOG9EZCg9WPdWNlyV3GR1vpIFJmI4I/Z132dIoSEJVxIyQT+MvY2xKMAZpckftSOPQchcN T0Ts9/birCc+8FHnTqIpcz39ncjtmj2+mwcBp+kXo+GbKaSXCi435vXHSuceE7mfRDL9WbwAgKL2 lqhR7WU4oxklBLvkgCqwOzo7T8itO6Pbji5cc0oWF4PYm/cRlDY7UUV2w3XkxTAB+A5kyXzawWeR eM7XNKtDGzJmKaltfE4QFX7Kk+mhc52c8ytz4Ucr2tL4bPmlGjJsJ4Yl2h2FJU955jfBUivBOWps lnfZeaTq5YDTFqWL1q1fEljpkjgk5quGbV6CQ0f6KhoOeiFwrnU3K7+ALvIbzkBV8q22u4CzVWoM 6PX9sZVgP9g0v/74OslUf4o4jg6q8yMqTz5XqQEV/Gz+pdXIYOkifzAlNMK2Sq5UYM196fMR8Rgf 1U1M9x31BlG2+Vek1+APhd0bYvlNbz8FJ9bSQ0OkIk7l+2UDzsrbBlZQpiaRhvt/6l/H1Td1OJfD TGqfjR34OY2l7tqLEckSlegKFw4h2f5fs4DKcjraRABqAqGpy5VVJ8/Rty7Y/Asa9pn7Ex5IZFwe jfENYWxiWyXz6MdPiwOY7P5azJa5dqDXJSK9OfU8EP2x3CWcnrbKluH5DSfxR2LQV7mjgZ4U/rv2 vUVKi+CzQ+Y8GJBsUNiYAOKFEhNv45yy7EzgowbRxoe63jnu98DoLU0Wnjj4op68xV59UKrF26Uo 2j4CqyQhfA5eYK8HiMBiIp6yMRhxeHBGh1hG4K3xrGz7Znm1d5Oh7FbTTxZrS/53V4CYNMjeO72K arIUTG0eWAKqS/eYF2W/EqZ4OpEJmjh8VGFA8yhWvcpjEHxT51kkGp0M/fseMokdHuBEBYZdCG77 qvXIQlHy6pEKrQ5pdZjeqo1B1iVLdJJuVOaX7GYUy9gsq7n/j1kV9jY8iqB1mxADgn2mIamPEr31 WoVywMrlZWghBxERM6kPKIONMrJ6aL3enJPP7SqOk83xALEzfffBVWbstoRH+DsOVCn+Dzs+aJxF nh12iHpQNLkmdqJNGl/0Juo/FP9GA+69bHbPY3z/XGuHk/9zGpQa0GfQMx/xUbi+PbnZsfaBjsk/ UrgTTeiasUEpNQyKPCgaC0N0Ti7WE6tscauTbd8sYbWO1NzbvSR6MEIN70TZHNXg+x9xs/96CTHX Txkv24MsX3BlsN5pBy6BSDaKvzlATmc1aosgZ+oFYkYdaPNZMC9QtmqxadDfCaQOU/EfhIs1XAOw cSf4ewQW8f32jnbFXiFHrtg/twUpUulokgMsAn10mBjWXEQKvszrlMSPdyybLPGDn2N5cbtB5Hd+ fQXTqjkS1UWPR5q2ulRvaU/22nB6hQDWXQAHiHurqU5RG/R0XgHq9OfNSIb9oHG+JQ9zOyd3uZN1 eaLLxHzQY+Xk+Wus+P/XtdIc2766eoqW49aLEY/0c0Hlsxx5+ECdVNp3C3I3GdnviwjzNiNlxzoL jVwqSWS+axWngMOaGOYt+6aJpqdlKgBzEd24Hk8wN6SjrvTBqTHTmo1H7kS4mN0QNNglti4z+I0c vHn/xQppQdhd6gAEVP2gKMKEkpNW9dXB+mi+yyfuDpanY8REg9y1NIjcQ1lmGW9glUshMTQ2tJhY TRLmFr2R0zw8ZCju83/1Y7rshATqOiTCvsPDllLQnqlQX95CYMavmmfSl0Rb2Y4zN1Js5TmT+wOL JWizMz0WvBlN8r0GR7O4afQJj+/DtlGZ/Iski5lQXDftxs0aeKXF9s62PiR/Tc4ZDlMKIYtk0Tz4 z48C9dx7Kuv/OX7j7Qi3zicFj9QwUh19ZE7SNRj9Aj+3ZMFxb9nOODrNeIPeppHwX1GwqUT/Gt8J VYJVaUf3vwTPHHVDrP2cWKHUvOgAaOjYXQ69J3ZzF/rVAwjwkKkTQHQIXRjv+OvhZU8nGAnYCnnR D+aqxBB1fnpCQU86smXNkaQ59dNyjLRgiQXwe5Pxqc4e4E6djfuNpuE1eLXn+Cv/DrWi6EFYjKyJ AqOY4GWhDaBGuFTpCEQDj90/2Q9X5gCT77dav9fv4HWxepwKxK51U1uQpny7cIczl1ldJO0mxALC 5G2DX9telQCPpQmkdDZnmEapBwcfH/RyCJbLlu2UwjPqeplP+kVwzJUVh2YOApEB/SFKtXVmqALV K3SruB2cdm/dv8BsCbp/7nC+vPVuFUv3OnnUvrt2ONPlYlcCOr3SIjxLmNn1R81AzZeof2ZJZj+A AXi4ugszJNwXS7v70i7hLvFacbD/Cj7FGQ8AMfCH+zMOvtPg4uL/YTmKXFV6TDKGfw2/IBb+BAVp yJRfFtcp28V2dOxwuUayiRqkRIV0B0JdlA3KNQR9LrRKSgOnlm48pIA5MTSQ459/+pYvDrr5Cfs8 blOENIGe9n+kOzjYNjWeu3YfKVKNCa2nhfsXX4SbdzC/+9IxwKNgEsLljsBcUNEtCpqkBwPsrnYw nigbUOuhao8a0ahVOsceK43Evg36G3N/z6cQDEVkel4QjYot0YC2WZqQznfHZOQNVDfm6y3HXP9q etf0B2aLlRW+vkIT5tcYlWpqk5biZFFhTPSN8iVxOW7Ihb+/phRrsaC6Cbc/X7CEJTfX8t2ziMWz 4vThxLM5+6cgDpXzbZqeHO1XEFQ6k5O65lK3LgkiVj9dpvUTU8zhK0iwjCQhT8BClcE6IXNKmBxZ f9HHEwjI03Za8ouLc8wDh9GYw8VgqVBAMhm3tvdb2WVv3KzwODQHEzViyhxk9R5kT6goqjj71Six W6XfPyOWsiWXiIcyy+Q9jN/aKF0EpabJxOkB70aw7ge2w8IfaPRU+tlYXjUN2LwIl33fK+Am+GWj /NFvJxqQ+NPaU/TfhmPu2FexlRDF6JcvqBn+fOIkhZsS6YHxDACH9L1ePm/Qi+QWUicVVwm3GAlQ 45eh3SNjgsv8L/9O7jHfNJeGOvZhWG2qtrWRSRs9NRLx9WalbUn3qzD556YqBdS7eyqox2AZlM6g i5hNvv8hyJLOVNcjRUBMWkUjrFTJQg5YcievjA5d4/MkwBqhWa/MiLBabDcgewHOvSA6nhxNrtRT s5mURZfsjezSrwT2hyLeL38bDDDFiRIN1Fep4eMjgLbVvw4A4PLwYOjYOizbkXYJK8xmAWI02XCk hfKPTmC0Y0g1p4i5F+4fvAzZQ1QhaSB5p2M9xVNZ+gsR47cszIRPUxbdkfoqWjVj8zqi3KnrsHcS fJpsb3fQSfKoV5Ds1lX9l5hx4d/89nycE/zUko6kiN0bBEHfhLng4KnmaV2IrDI5bz3rnf8OcbQc 5N+2iPuUaeh8Q4elyGaYE529NGBLAVH/eO/wBf3bK2XXr3IgZ87cUOLSVD1tT9qDm+znn/3+GqGz Zo9jhisCV9mW4pG/Xs288NC8Ssv0cTYbg6yhTkSt3J/6dw7RrcUqcMiqaGtH+Hlgl/Tmt5bztxx0 JdnDHLpwnr8w/KdAhasFJaCUCIZVEVS4dcouOOmsVM+qQUfufB9PwzfgOhrDVE1bdviA2ASGDEK6 NysCyTOfX8anaNvB3g7X+umvRKUW++j1cBVERlqNCb88SPxX+5PSqMtetvVRs0VWXQ5Uq3M8YxHb h1uze8M2sElrHNoduhdWThjCdOOzzc4nycfQMddXzRD2dN1FOz1APWeg12Cwici1DfYApkQNamyY 5krSaJOBqeOmYbokPRPIQWj720L6WUEn0UJLpsaYSTrvnEK++MiLSy8gd0sIdL/07ulW+GwVY0k+ rB5RtVyd6n0pLabvYoSRNDdvkDKMOXzfb9Excc+K+NrOBxN6W9fLcBOooMGuhRnJgGs2lJkPI+Z+ ethhcmwLtvysqQVv5+/OIhNfiWXB7tnCC9GfLmefiIoBiJS1YeX0sYbBPLMCyHoq4BkmFFd0HkO/ ivFNuR2Aavh+gb/4HwyUp1bALhV15erujwYp0TAE7o4Oc9RER41uSov6tDzJ1h/q67x4N8L9HROE huS4xBK08PbHXuH+EaP6oiwO0KzVL9tDogrXsfz5+U/D561A+lTLUU7z3K90X0A2BzJPzgSv34VK tA1Eu10AkofkAD7tRwTWqU0W7zxyL90UMvaHB2Ddbn/Nhn2wF+8e+oVcIDLIQOXB7e26cOvFUnPM rbQs2FfzUXNVsDsUzn36xXVaACtMhJx3rF9XZXvl4gZmRy3PjdK8EsoFmtmdjNaLuIONawQmUP/L 9ZIyw2xtf6Fp8BtvERZFzkFjgCtAg0NrPqRINXGWELwMARX2p1zLWdM7IRRoWiZ2UUVJFRYmdLDN GdtXFNtO2eGpo9Atj2cihLf3BhI1CCYaqHklXdfJJeJPYEah3yHlqIVEOSJNXTK4zMMRKb13GXbD /ZLnkWLhWYO3ZxLaoaDFgdEM+uZDRHJvsr/V8X2/iuoOddA7T/RWjNkVLtJQSC8MbS9G4NV9osoL oArK1DADqj4AZFieH4bRjSNhnCdXLVw8emfb92aSnl3fPgMO1iE4OJOHriYMgWOI+Wx/wLHNbaTd ytdyj4skQBSv3aoqpirIynEqaJiAVkPPwjT9w/KpQbdUvXaYhu/93436UZfOgOU8sUKXeVVJFoa2 kN6cD7xJCh3vuX6ZR+HGT1KXqQsvL1MDiXwBXrHasGaPBdrJyLQlHaOCVjBnq2oSIEEjCWjf9kxK vDkZe4dvnJElVipCXZ2YGfZU8XQRysR83fPfcnQFoU33OrwZdliaHVHw+UBcIaCMmFfoW8TQ4dA3 zBkJXbsJSn2QQU8B4dBzvWkHeisW/OKch8ftrz2D8F0/b5lR5R7Kqa8SidHm040tQ0xldAi0VntE H1gyYtMiGQVWVKdjGTvl9XoTO5wTxAwYkcjFFhu5W1FTzwTnvFCfEExtKETbOxKc6kHECXeVzqIr XJzV/d6zVNrNKpMBBI3ZPv1HXPDM9PyN/RGYrggNus2ShowdR2qKYReHc2pVrNDdtsz6CerxVcDz dsWmZhi6h/X/nYDUR0wXJs7yLoR5bGxISzEQSyRBcVaMc/ETzEjMbjwOyP/bvBBRT7eBbu1Jdp8E 5x9D+Q0V8NuNP8IW7Zcqq9PU/groQwJPPbDDFtjeDmi9RKBvnLp2eQnvK27e2wc4H3o2RhEu3S5T o837WJC3Fc8uXme3iK6hwFGLdfm8Vh2zUzSJa2+tUtDT5hXmDuYKl+ls43UVJWww/ZrDWCez2yE/ D75HqmwZ/guwSrHxNt7um3ppgpuvU0IG8krBL7ulEkFYZf3kYqASFsJ92Q1yToluaCYhh/+/DsZ/ sO4LyCoYHeR2itMkZP/Oyz82b4++4spRPfdWuJxJ5QckJhI/DLnENWmJxvNRbG1mhS51zGpVN/e0 HIulWWqTLpwhST9MZm72wR4uF9PRXV4A2kAB2q2vED265FHATdpaeAfznrw/x8AsikLGNUTjSCNH K++v6bpxL4RCgHf9x+OgTGPTqleAqGF3lN3xHt1kGTDGKLzg/gj0doSZOfH7LhDy9H7879hb6lmP zA4coDmiPEXmm90QGKzbui9RuX5g8djLoDLYtgURR+Qc+gN3WjEcvgb9V1/PLSn1UidFgsrgMVGM t4y7NaQeT3fhDoj2W2fvvcuQ2MC50jrFQLbrCEbJjvkyvg8JTu99Svoj4YfcQtT1/QafmNB1cOol KpdGfEccwUAvuL457sP0xSEmP76F+ZtlsOmf3d7dUNKJsx53pqKkUxnJZZITj4FH9nCtZ+2POT5f I5+na5UoN24M1TBM/g5fK57pCeRAVF+Loi/60tDp9sEPIKCTd0WJTDz59sq6mdFCqBhWYjneJKgE NeTsFt/VnzE+REU6GSuY2cV6/koU92Tl1a5fOZgqg06J+YYEOsqAV9ljqH9ovjM7GuvRjPWbJ0lJ UIBQuqPYlMRgPz6XTAovhv7ZPYtYlFo2yUAE4EyB02pMrupgr6mN5Dx6adFwIW12Sp82EbJcvHNN 8daRwbM13qV1ZmFxIxhr/M0zdvRCA28TjCAkyftmGqOTGfLJwzgn5as1qqti6i/9qaHO5FYfvUz4 e0Ihaeb9orsrINxPzBmSYxv67mjs/xEI1JTJMyY8wlm9pxVFlcXYUPS92Tw5S5BwDa1pzU9X8FZY zvorOqo5wVq5N0TjPM0o0vynkwc7RNawVq2zsVP0EV/VBLeIkq22NutD+iN+JV6SP8HPk9RhZGGK /7wqj6irhAM92fIQvfEy+frLDzYM8x0Bp/zwupZIDc+poX2OXYSBB7rpvtlLJDPv8gWebEMQOBDZ y7pkcRetwr5y7CUHNqxgHTxR66sChbog/JSa6+AgTY4N8ly6/m9ZiHyVak77+IyP7JuJ2dWDAOZ9 O6DGiPn7523mKuC4u3qVxKnigfoQfRsGGCZaRFaLzHJwNA2OvpU6H9vOuAsm+ZM+pNBHAVxktrKH 2LdelGRaYMgQMCuMCCKfZFhbn1yrIBxZXJAkcmFUIX2R6/Fg0TbbE/4C1VN3lQk6KjGTLU4HsJuP SzchI9A2svoKDMF6Y0GSXNDa1Zlo9OVDZuJBn2JPHA1iAc0yvuqb1qDIEXl2FHbIw5jVVkxbEbnN dtql2rM4m0K5+MYzrJcwvk4nqWZpK4U5ETJubVz8E/daO8WwhsleoanQBbqg8J9sRXpsq2n0sMkX Gp8iN5TFmzJGqilUZFgr7VAw3uhaFqscSiYFfE51ZRux5mD3thSyERMb6qdoLO7kBW/WXXJLCWhW cT4/7Axzr+MZv+zrlqeQQ+ccGbR3uXSqg82REBgDwqt5SnwubGuA1jyJTAHcDTZ2DK0ZhHRNndLe 0yS2fo4zjxFAzmpZ4xtofPObqsqpryVEOE73hangm9VKmcdslFn+Ufdvj54fuqplDDegHVY5FxDk 3AdfxDXAMGBPR5Cs6OsbIsf++zjQvcEuTZ+caUHcoEhELrJj5bEIgW4Mog8i1zq3ZyL2AhC93ekf W9/3r7iL55S5g2ebiEKk91D8VGuzGoYy349y7B0jo8Xpv+kzuwAjM9l79DTzfDaJLyZyq+h9nYJ1 sGNIkQ1rG3Xct6lXd2SKSK94PF/V4xvr9uMchgJ4j7EqFaqXH1tUNt9Zz+T91exmkRyTmrE1Ud92 UpAn3bRgcqhgd3LEgJflh3ssFTqi6YJ9ZPM2FCuK1c5n38arX6kxXU8or3xjvx2woEdzyVvnfpyp jjWqF9Ua5WLMIz8DoYfjKpqQUxL0bOHb+mftthqhGE2a5EVWzyV50AcTezWdLnRHpDeo65Hj3507 5s61S+TvMLTQMb6U8AgiBI8bSHlhA0tkv0SrYIedosBIwbk/r9+juz+BHAthijab1liwa9/vuOsn +r+QRmfmezBFZB2cExkwA6ilakh2hWmT8MZcbdQ4WmItSnE3/IX1KlJtVoXzXomkd1V6BCwHyG1d 8j23IpJUNbjD7BFWU+mMHKG0S0InY6jIy5wZtByTVAX6jlC2PweB7dQc+Uju/rubZBMSzya60YKU 43Je0xa/qzS2Gn0bAPAMXTxYXVpljmcjM5+XrUgsgGQtgZ0nX4MB5CCQvGBZ34lyMmpYOZBymblB CBRk497vYllpUQVwfpk61OqPhef7v5mxUtl8KRl+e+xASu55BQ8qF8bCHMfLU0FsSnYlfr5p9ZNx xMo1nk9nrT81ZoDiCzFlAeYANnj1tiXV//f42EDd3hm1zLrw89LdT/bzAQQPNHt/jsvoWRjXaMbB R5xQ7Mm9DjkoxDDR/HvnWM1RS10QMcQHKDJ7R4PDs6klQ9lV6cgl5KTSDDAEGNAlyqnbMnCDrXi/ 98Ep4BQr5XoqYp8hvMQIbAMXuoy6PtMDlzcHPLg3JmgHxGPvZen+0leDOn7p3zn82ANLBIs0d7nx ZxJB8iLLgMp29vWY73xIx0MjyDs7iKet9zpzIDMRFFRoTEyxwohzeRC5C8vJVsW2WFtqk4c7stHX PR7t6jLNARRtaotbtKeVhBG2ElWSf1h7tb9izPl7lruxqz7xxm6LE+TXkwa9v5v8I4K7vL/G26ry qiUDzqeuWTUQGS9wudlPIzv5xPLSwPCrJF0gizFtKDxN01A+i1eQkZy3yFoi51Ykl09DX1wSH3nL mTL4MFXROlreGRCUzKZriTkvWChI3dBn29WJgfOqekEUrcA8xZXvrIHwhREy94YGa9gluz/BrOMS FTc6IeHmxCu85B+MOado7oz+Uk4T/EAOJ+3/+GcnYMc8Uu37mT65lGniJOa7h/Y5NaRFrqx7Sc7d j/7k8piQZScyXHjnsxkBxte29yFPFy5bbJWpW6b4LfVma2WWo8K3Waf4xOKEWt1aoBnrna67qi0l 5X7Y1L48oNXKFOwSXDHQu/FovN0mvsV2O957i8lgCx/9gyEEvAjdUvGQV3sRINsDopd0A5BuQJ9/ nS43BFlaB1aqSSsTbJXyAIAb8wukQthlYWID86m4RRd01ZMc7f0v4GVVXeHSnz7ACsUxM7D+Af8q 7H19BjcKsgImZf05vDlKKxnUYDsONL+rDuaQ0jlWCbZnPEAQs6n0JhL8NN+tghiSojizPbjD6CLp 1K2QvR3i4kSI8aFlZN0YHDWegTR30CMnEaesjzCrA1SBa9nRlpA6iYlg3/RrPbqoJ7eYllMEVYqA 8bEJcE9F1Hut8CaKW6iSdKt30dLi0tJ3eqQoZJzbkrlaoVZUvl59tCLpKkqlW+MRoG/BdLkBjEUp ymhnuJbaJRdUlP0z1VxTjCuszhGi7gNVhege+UQToChkNtqPuJX7qLlQo9ycXI9BMRLZwM8T3iob /4oD0Sxnt4gBhAG/3naCszjD29UVE5WPeUeIVlsktNKMvFOfEfLF0qYBuxbTQn3deyKRvnWwVQcq RLqRp+vYXOoJJFMVKn6Ii88hK21X1/tNbhVTlDhBdeA7+TvKESNzy+W1tPYaTpieDNfFW1Z/GmFi /9+Q1SVEz9ril75vpN2BFZetMsX8huov38WUEWPflL6ZWUi38JKsqLVawH0jrLT3lono8ZisuzEe 6d3jydopmqyE83bPhyR2uT4dBhCKbdov5M7sg1QjnIcUBmY4jX0k4siSd16hB0X+NzXbsUlKENvJ ycabyVfDYI1K/ha2Qzu/pyhCqOY2V7WIE+FYz9guoZUk1ge38quWmkzXSPBS/NSEwFS5b6IIg4Wu 6pdUYW8MH30b+QTvXe1MpyaO8JqG+u1SLc9c2N/CYF+5YbtCpqpNcBo12ugHQXx4WjJoPDddY+E9 FUY1LlWT+vM9yFVtSNLpaVteS7rCa5Ia25ax5iDHodDQz6pbMAP/O8kmhbQMTVifVJKdBU/xPzUT Oi4pw6Tx24bShHG3/Qy+6kSH12I9qiYHDi6Sj/sts3Xiba+aIta0doEiP0pdKqcCXPPKfA0au9FC jgNjBj33mMKGAYoxw3B3gx25TndCbX4G4lLTL1KTS8SCurETbS50Gk9jA2ctaiaTuVk4rIoWJN16 fojC2yzXzwcXRTRrXFgKHA1b4H+PMUx4/fPqzdzkVmE940mOASZdn1+U1xnt8mhODxOessGmCb1U EPSNoDjSiR+TZIHaRtxSd7mU/88vmzsFsFoP2L+ZbYe5kGZqA/mIGuq8GHIuMIILtHsE6N8bOhBU KNnh8PA40lwMcX8Zm2DeYynPbjyqwhcok5R3ElgvBLYOtZwl9OWKSAUkeuXonaIv+zg6O6Ca7zRI 45HBMg5+Cm7FAZka8x/aTsB4BMcezgWJ5uKpm5T/bQRR0MvJvlgSIMKJBKT4Epkaax9smupI199f Wlw9WV6JqksoejWfRk9L7FBWsNvUjrHwiL1c2UHChp1LxVHRKi/YrG6Eg3sKv/RqQeCrpKG1uLLT 5NmdC3YHFrW/Yq/9bPkJxRu8VfHRxprxSsUk+2hZvS7yWgyKs1K6mTsq/ePfPmXURJn3zhyeeRmz YY04dA4xYGAdpRTMmZyHoLtoTOcKeoGNZBGrE1WSdqYgF0ouN7PSMFRFGv6VabMmvVKsVFFNTjp6 B+9BwKBNIdsq3tQgB/4aR6J38n8NOHTNrmnf8cn4l723aE67+ykatElTA3uiEhRIwgmyG1Bakn9X blA3LRwbgx75buXLOCyeMQnTo6OqSpWRXoQSeJ8+V4Aokb3NLNtZozfZvPACbknF/kdX7N9xjL0C d9dFAf8FXj7PQbV++aryEhktr2X8IcdB+avzoNcERS48QHvUJlGFZxv8oJr5U3o3wj7ZhuYgpu8z 2oBZHO4193bH5596kNCRbrl9mbbuT+s+zjjh68VuZpvKL0TrJMd+1vpj6KxhQaDKxwCAzc/tGWOO 2ucXKnPM7dQ2Q5pPpwxY+oiohxWi8eUN8ml5lSHroAcCiFukdg+9AWTTZrF0NYbFnHy2I+Njq6SR hjeqPwkJ/L0mjGx3dRElvU+LsES/WU27vbClDPcdIARwplwVLFicbsdoeUeFlFgLVl39cV6tEYmo csL82ClSvSg27RyxTm6mdtJzJZTf6Q4dhapxwbgB0FUkg4fHvruwSl8OWrbQq9QNbSegHEsgZY0u beG188LmtiAdlNKIAkhXvjpnxcT6cC72EZodv4A6UNqC5Z/zM4+IuZAmlkbp0+aFJ2rGXFDIrTU/ fw/MjS5DA0V49UYhhIShYZrJ7nQYyhgVTqmtXxGBOsX9ne2Yp/nll9o9z5CDFRHEQZ0YWEle4tvT Oeedofu1wfAmrmfNpOCP+ZsepBTsoGjcsYFy50P/D3MDPKiehixQiDD19Jd2AEuRpgJPFe7mBq9H 8CSXEQa8CcuBP+2O+FHZqdLnEJAgLo6ZJdne9qUy7vlLGB0GS1P70zl+DJVhuoH7LQlLgbj/90d4 mSrT9ne+9yFFi4QmGUvYe8TM7pimL8D48Y6yR787nxPQ01omNwO0NcV+kWIZmBCpoEDcGi/yL0uI cAsdmNMdj/n+BOkFCt4ogJvKgOZU3/W8MUlPDcIdrNz1GPltpIAq1fckjTbec/1pmaAo2VLLc6TO vrrajnt/qOcwQfDSMmWrQZEnDfWKl8n/yxlZkjhQ8rM7Ydv0pE5HTHNxFcPUjIInC9M+3ompsJ6i 7OQnzrn1URWx63kZpmcQU6vXuFlT8gvnu7laPt17CQUnDdSaBcE3LWvqs5s1MtDiuUNUBICcqxzk vVKEdp8voRF1o288XLzpY28O5sVEg2cTvR+EhyGQHWmQ/AMrrJLosU441NuPCGMbehDkMafuvE8Y FMGEwHaSC0JMUWiTHc+hn7OdiAHNTSgdqWpce//WM3whEZbB5R1+fpFqc6pITC2kDJ5guz2Ce86h gQD3Nd6USp4xYBVWuJrhyUSGpI9OcAqYeQjY8nEPnYzmOZLL/Dp3wuwTzd9u8H8eINVJLM30V2jb laHhw0/NZRROltTX4asnJXA2XU648CKs/g8pLAGLynRVfpd++Pu3F8xR/8jlIl6d4eBsghd2WViH EROtuo6YaiH5LJfTSMEfqe0RGCQ/I+UQfW9gvHPVBysSR1nh/S7giuhM0zYMxiL6X44uA+XwJpX5 RcdVcrLLJInWDfJRClrJdMJOU+BcTwf3s3fnji1VXk3ioaYwsPiW7ixnoBEh0nO/ijQSJF9Gf8fn cutpYRpw2Opaz1BsgAfRW4Bz3m+3D6Xk5LphDfqdRUfPHz7/gZu6x+9xGGGpMAfPk9LMLKk/8zn3 l1OqqBDA6YS0HI7LNZ43fZ0cd5lgAFsGa+aqCUBNuNmH8+HxNGKOCloYNKYa2x58yPa2FtitBuzk ef7+kP5EoPpoS9D7BxivtZWqgd8azPDCWRiaqb/zWN+5HK/D3omzCA6llzOc8ZShaRl7Q0+b04z6 8dpcaBG+51sF7qJuHPQdbezbBs9ZimoO7aJgrR7BFiBHhjqHCToeCBkkbu8x1Qv4/C+qsjvCbfBU yaYJj8bstUmHsO2hmpFj0YIUJGfWgDg6Q8CcdOIwY57/GPaEcOlqRd25jSmUAMBeBJnNpwFczNXJ TDjasrBMd/a/15K0G7ieRo9VxAAJ71sMaUtu5OyKXoKwM6Qm/4sg3h7tx99vnjHD2wLzNwK3QfZ8 +27MaV9TWkajTaD4e+6ZVJuG5SfUnBcIR4Li9UY0VE9QZkmZwtIqFAhA0eAOjmz3Kd1hErSPZXsm n6tInmuGvvmerYPc62+nC/fHu4oKlV+jF+pLfwUgfl0UvJwkuKub8W45iFbCQNJwRlVTA9oYBU0X egv3ytwStd9ECBirwvODUNsNgrKdV9LwZYGJB+OQSoqWjZg/fgjAImROKJHUqkzdyp+8vW3FUW0k lUggsBXgw9cr0n56uPSZUMk/+pn1sRAzoAjrRIsBfahueFvX8GIi/DabBB+d1silEBqzF1SgoRAv BlgaR+Rx0GwgSdhYfdDTV40tMqWBe8FvOdD9RlF68Izx3QYZS3VP7AwDmUe+8ReBQE7D7gOL2DnL NMgV26Ijz4PhbBPEp3W6wD+bG4ahrlpK4qJmFnuDUsoCGNrgAQIeDr7Rsr79TZlfFKQ7+avTQewI BqTKR2RWfkSatk1v9BB7GuFWkoB6dke0hAqZP9heHxZnVt0KgFFjqv0/6P2qx3GiYKmB9LqTAq9v g6dkE45BbfpYo1hKIb/zacPvf9ggXJGCIL55wKIxcCp96bbh4ddE20PSkmh8a8zS+nBe45KgpX8M dNAJzPI/KAJGzwP/dlLp0H7zefWMOZ4tYwLofzG8jJE3J5nRGKAbEvU+80bYATBqoIBQNEtrkscp sdDfznWvvRHVqubLL9tWOI7xgtS27j/1Z4svKnOKPKBGxm+C3Z51Vj4Nq5Nj9vXvY1vgRZm8eYDc sY8EcuZE1X5TzdFPRfyX5ycW3ZevORTn3tuUoiZfgaLFMqYT/7SBZDvtBlNZ1BR//TjJI/7Yy5K4 P+VwOSeYRZvgTQrL8J/8xknKZJbm76xJq3ynP3+lcmRe4U0Lp8KJiN1QmSQahpC5o1pI0R+i2U1h sUGnnfvmhLwLQuQkwht+CW84A4sMYMlCp7iovYePGsHtLUErH/UNkzS1vAdPYD7J07yz0MDcSCI0 BQEnKTBfgAsGX/9on0RzZ7s6TdaSTVEOuAFPgWqCd3x9krSKdzMi37wG0LAaydjMPJnQTHILlhN/ mcUpkx79OCiNfK2mmBiwsqDWRvwipY+BzcaNLVBWxpTeRO/ZcqStdKJQxs99uZAvUUB2adWfOFzV +WAq5jJA6h3CyFP2mIiSknsZIcm8KnfNzRBzvXeQgjpZSrkWJq+Etbwk/HjpqT8Ujy6ssfYfyXjC JKpiETShbzxZnYld65mEtis83J9vGDqyHkISHBV61HNn49HCPNLK586lJsPcX5IZFWFgyOFQyQb2 rowF4ZBipFhNcPOcAHObHKHTWyw1lmC0emCO/X/Iziu7SDU30nLn3hrJCqOnctHwjN3mL4NgW/r+ MsjGnq2D8rw4loP9xNkt1NdoSE/VTUKtK2TixOmfURvcq3ttkDWQ20/7gOHle59KuduhVNfb9mSI Kmi89sLMwZ22HY7TgcusdW9Zm4Zebpw1nbWdiEBPOhBQgefbb57MyFK6FtViJrFXS9SMPgoLQb+Z q0PAJ1AlzSWQMHiViWu+dpYOIMR/sHRPsC4GaU90xo7fjPLsMYFrc2gOPctpeldT8J6lieYGi+IW xkySmNDGXxINln5cZNXsp2sN7UOi0oyppsLqHWoT7BAoqjA6aHKZYVEMbn3z7yCj8exO7dvnhbgN kj+75ognLBlQwyZc26bTg/+OzqPEQ6dWLbV0Te0Ov4cyM1uCGL0eEcoi9jyYAWXHt0ht4oEyne+z lDhxyc4Yn+Xpvdd5uNLIQQ5OIS5LGxiU3UC0zFC9f3+sEGruXyQggriCsS5fg7wD4h9CwlK7povR FJKlMVH9bIQWNvto/+zZDIcZIuufHvjJPyYiioiBeFkKcoTOSLYaMbIIvn6CBnSdbo+nUdqw5U7x xfje+GVxnJUx4kvYFktQsTqkZECB69UUKFrdfPccyz5Cs2ufGmIZ2G+IFBCN/rkrsdWDydOv15a/ eqP2SRiPURCED5Stngsua6Ty4wh7ya92ez3e0e/ZZ5mEjaD03kQ3Hf8ueHVw6LmxHO+fpnZT7YhP W084obW/EBEPmpuXyVtqMMhF7enBnFYDF+ufafC2ccaGf/3kGkQd93NUoDc5OuIyY4NTGAa7TSmw AEgBQHxr7+TrYQh0gfCpUrpiMcpbvrOm6xTjUuGNjs4IVU2wNUMCylIZW/G12qR1nMIi0MRf2VAz h2fc6Bo8nX1INYuFKqXz2A3KT2NOgftMXTxd5TlmSH1Y/8WWHOqqYRrZ6rB4xBipzpni/iexj0se JXdq3T3JSFAljxs6Nx3hCUKuvDfi0MBgV6PHzArYnA8ieHacFNcYkgVO6SL/IItc+2d5jFvmbOFg X1ptbORGk7nL/bf8jwrTDRMxKqHXIQVq/EPHh9jQUa+5SYcJX1ymrl5z6JzHkkRAoYy7VLpR+UPz cW6IMSeIcx0yi+GLqoeD79sYupVhioNSnrX28q9dDm83CzB7XZlST8RlQOnVtmf7G5/9WmhEu6uv xDvehhtTWFOtsuDiuar611SYpml0skUYmuR0Q6SWXqFVuKxjggLSqFHfBbw1Ua1znQWA2fOPim6r lNwU1g9/1ye+n/xN/5EX+gFVqabOXcl95kfl6FaxBbwDBduR5LTS9PDypm5CoorDhdD/8nERqxfO KxFqxJKuOXCL4T0D1CDVhvpnA89q0FHlffhv8ikDkgJD83o6QaTM20YpF4LMhPk6j9tyErJYoUpg Ut0KwMiFp7o8HbbrXiWTrED+iiq5Lu8ojzgV8g0GW032PINbctYBXfBtF6KN2IDFazoTuB+U5vSc lSi2xWEe7RRlFX6/zAMvkycV5YFoadFoWXcCXJptwdLRGhn90nXEwjgfnY0A6COEjFkvj8I70xwy gQjCmFy0EG+MvXCvXyBAWE3X+vazKodsza84t5pXG9H2vRp0aY+KfN9DsgVNWuTqa9ldx0AB2HiI mh5Tr3wp+quxCF66C5BEzT3AEUSijK7gkc+5IloZoerxSfTCCXG9S8RTA41xNKWeEztsLPT+rZJ+ xGk1NCaan505EL78Z1ULieHJ9nO+3539Vi8O7V6YAkBCtlKoVJMuS8zSswa0oCkymSXyLbUBzT9f /AWig6OUG6oGKxK60IMdRWcgfL09nhvwoVgRYRWxh2X30gsSvKPKZdeKT7rQ4GANIDfp9vzhN3qy /MCW7Gj8636uJDCBrYTHfA9Nx+BjMKnyQ+HwUetk1HI9kFL+HXyelJyCU+YKuk9gSjvCyYqOdMpD u9eb58UWTYpHUiaGGoxJyOQuU0gPRtlr6zfu2jp0vNuCS8MNKbR0atix2NdnqRtqR9FQIKLbyU3M DmlfTbuQRKqDSLv6SUhp4784nP2t+idp9RQpu7GSQdo345tnt0++N2/04wRot1Ez8/dEr1UGOaNa inAjwqQ2yf9pxJv2glZqVpua03Vrvqh4xO4IPOsRBqF5Oas5BgWSChwNmPGGf2mIm3QkQGN/g4aF bfX8kM+B9JMkcOPpQUBTl7b+NKYKh/HldMyE/wQpFFkMEG27XVPlCYt8WQeRchnpPmNKBp/2j52L 2aI4HhZ8V7XCsR0kyuDXFhCqi0nIUzDWMLb48WA6KgMUaeb/0QJNCpv3YPb1+7iYaMG6aX3u5akY k1j3AagWOggpPfXXVQkDWMlTbvKL1/u1AQ9hMix2fLnFb4VXP8Z1RLnEjcThM/Gc6/lUvfP8TsqN DKXfZD9BA4kd8Lf7ez6RLcdRCIzs+ABfSspdCiT43neukfDRriMVj91VmIuMNMVSQ5rhPxgHhL45 +4ZC2GKXD6iy1OF608vmey3+/2+iWP7FAlkSQU1wBqO+YAtW1qLb5vZOOXCpYiVVURbYeVxRdnjQ jiPErsyL6EEDbNoXaJVoP9qLi5g3bR5F3u5sUso7Gooz86Y/DzMmjqEJvyPKAPi5//iWbdfJkHUP GM6vPuy3zDoR0Jf7mm6KcBF/yoBrbZkqhRs1At9/uGqIubJeMTOMjhHQTh3EoIZwCP7J5ZHZRmKk 67j6PuN8ZdBgvwSksYG0Re0L3FoLhi2oghHZjVTQTcV2jHf2ECHRyfp7A1O9uxGO5HoX44G6mzCh 6bS1hN+OSTiVBEU9GWxhJhxBSMC3YrXBF3IuktAGmsB6Sfr5yEg/UV+fcIdebHvxNEZ9IvDQe4UC 8TprBV1BKw6zIegrXR5QjUjm2H9KL5TCmgBVOKXmVrhXRV+6FdLxXTPslAadRurorAgK6tOeoAT/ Li1/i04rYbl5oK4MxNTZwYhkNBDB3Y5ZAIjUTbjdwRU+eg8PRXToN4rwtm2nQpcVoAqpqMQ9P7xf XgaOy3D92pSsrwRBOI/MRoXLjFMNJDzRg0ZxKAbwMOPxdhGbJ0sjmT8gd8vw4x4KepDf81FOmZwe WCv9mgIyVZ6bOIHYYJf+HS2J/6VPggBosG4XLGpVBcRHVC5A3lWb6QB9n2OacxHn56xblld0bL0q 13mB25dSbrNrJmMW/n1iubWzoZ3Tr0ATOKK8yG0F8c6j8eOmneZwlQjLUohlzoXYbacx+fvo0V1O JwNHcDdF2D6OR7dd2S/sPfYqwMvAi3RN+jSz6Msa9gEwj3yfPc9Px65cMkjKeF0mvt9fG2vtoM1M AppV5r6TVoQoJs4Az/Q/Rkj1EDet2dpBJMmye6ze5bu0Ny5KJB7ReMypyujZ7usmiDvwhZvB7gvu gzXkNvuYbm1br1KVaxs4tUAkoezItIb8tNmyeHIFJpGYKTnRjPpiH9R6EQc+ISvtr2Mv/D1YhaU6 CWFMIV5gqvFKB4E9gnhL0dvjLghEztdtEgYClZ/iHamu/XmARetSEw9rifnPt+OP5/TqTLCgncHX 1m9B/IyFeJbpyZSgTKxzcDavEl6bsJ2iVFIXzWLVgIFP2plucfFoKN1G0s1oBGG+YlifsiEU5Npn bqk0sOc15N7KS4Gu7ZgicxwVPV1jrkmsN/nwM0JahMY+QrtCb3Jyksc1ffXmldAx/rJMtPZYiuoz mlNqs1sSMjWIeu0FzvaJ3m0zGh7BwI8D6Mxi5q5N7kIocqovnW3FwtSY6gWbhJPnK2X0fxappOxV afARD4cHZBCwq5SDljR2C4NVASW1IkXh1MSbeiXwe4u+4zeYzW78/4UFPglsg71FP0xZCb+KKhSO 8/F6zIoL1aHFs2GSu8ktjR44J6TuE7wfE9FGMUUr4zXuowPJ7o336sBjBG65FYatNsSBEGqw4+QA 7iMZ2uky0b213V/bS/+G1Mz4VCHVg86Mz34DywXTNpc4NAmLug1qOPYA2BEfkDz64LiDoue5+sxo ZjuW+iAPAK9sqZ/DmFKgGI6+iiEEjtZOdSHhPi1ss9lCSJAbZ1af5uCL3lkqHya6rXCNNjKeCOIT 5/Yed4KGbB6yAVitmOIrXKmtOsL1M8Gi4MBXCozf9z/uvlw5kahgvhkxq1/PkvK5NSYtDedzvxin LzWitxwCJa8o53UxK/6Yh4/GKS7oMsVBsDcYfLtZQ4fMHigqpv+aI9y1lUB2VHEtKi2jrM790bAN w03cOKGSuJP9X5nwwPszmxI/7U3gooBRZyCMk2skwHkPGgfTsCinSh5Q1vQKlqmJBMB++py5z2jr IkbP6qzL5rhspVX5lhnt60uvwanq0bZKoRj2tiIm8QewnQMDyMJOr5D8teLbUGXVq/HZSO3dhFVc XL1RKd1rcejavFvey9U1/cq/jH9SYVLU0SmX2jnSJsMi864vJOXZd12x3+hTuxc/LOQZBuALpYar /HscVfD/YnJlufvPGTj4Q939ZhD/KSAMAug/cWmTGVThbSz5pmVxa4mHb8AbwxxQFc1CwWJQ7L5/ Ea4/J8Xfi+wWC1MEEgSlnpxU0MPTWF5ybziaJdCagWUBs6WMnVUQ1gdlIi9GoJGPKRdqObvUYAxb 0QwqnwDR3LyH6e+BwDUwrmyDFtfGLx1X9mGMalujWe+fBwyfx82NsZl280l7SeAuUaExRdsSOMDQ ME4c96eAt3klHAVtXXAfLg04zWfq/g8FkbshBP+mUcLdkYoYPCllc6J+5PepFXMhVimx+2RfZLPP 1W8JLnJOAMA0acdo79STV7nrB6Ddx7AaluxYidK1CEDEwhZZvS6MZzlk6WI71WyOYwnHAXc2yQ3P jKkvxDGiEetGf5u123D73yI5D4tzkksJGlegYTTTo5WdotZLSqizEyqReAbfkTg58Jf/IiREra9A J1Lr6M9KUrHd3EFBTjkmkD9064rUAXNnKrHTsBcd+MK1tNbAeMbNJLSEBCB1j1I/+QJP6xYYmtpG YKHL4PSwfAk+LL9VyGJ7HC5mYRREGxndrkCC+AMuJqSPOFEaQdvgHShaHgsTh5ZBuwTLtnrZf4OI L+ekbULT/l0hxXn5qOw/MN7Occaf+zOuJuiMbPUKHPxiSqgvdV0f/Z8qQvmRyH3rkV14vV58hdrL VUUD270EdPqkx6tQ/05SYUcdLuHpqrgY/ldq7sUzAiGF/0JCBzcvlWvWUJhvLz/DREE/9p4nQakq 6AsqFAa5Qm2cj3QPDRVRwcDEfT7+n/UsLAbVoeAXKhfIGl5LwEzRVNkIEQ/mnmuCPcdysJnjkGrn w12mH+pOBG2lh4RM7ewkcGNWjZLT68LwdvrsJSze3dnJVSUiMs54JU965/0yW+L+zSCtZRVbWja1 rwg1EsGxTO8ZnCd85OAYnyZIASUg+z28YfEJ77Z/gpIrPzPpcXHhbsDVgGzSyuKc30pbfEBAz3BL eIB2Em6NabeuyTTtHv4oQ3bXrwcWyfuNEbCxlCLK14hkq6T066aEJtFlHSCxIm7iYM17EQpwKHti +BEUBlYhjo7XUJffLbYDSPzYqEvUip4odvzjKxC3b+cZgHyR8T34lNJtDMSkCWkP3D+NpCGaryC1 YhE9TmNQm98owWzZwImIwvP27HVdBnVoDHtcN5JXao3RBTqn4zzMJzzAFD1G4fpXHcK5loq/ZJ7d uLoyUY4sz2sRcYV7mEbRa8WmHUMGyy9Mb/BLq/IsaNUY2/y6/oWczedLctVrmMWV0OGUWL0oLENz qDlpA1YRIeKa+I9TrmB9VdGBdSZYwXT9xrJgDyqDeo3EBHGX1uo3cNbMBYKmnSE1DA0itFNTJ4Rz nzxKxP8O5d1lfIDtIpZl3rd60b2UY54se3kSBpZd0u0y7Crc/KnghpFmHWDhx3xSUkQZhHFYrJMi E+TN/GcVVP2LOZ4O8r7I4r3Jl5AbHRDsN1woqHatc38q70rx8GkvskL/mM69AN4lb1Yrkw2CF6QU KIK+dokuVT2svxZdwJNFOTmtuBcHhmVebR8nQ9weJ8jh5GSfg84Mh146JOObQiOfPmmd4MThAcaC TdGHRCvfxT9zXUMO4P+lLkamBF4ynvJc0Md9wnMd4oBs+/7ilZYlk9ntc/8xruf8NYZj1rGfWEs+ sNhM93tmhXk4lQN6NNECJGqvD/9N2CNF4eX3JJCRC2ih8AkCxI1yXCBkcYJcK8MlwWUDJWk5AcAz dPVkezn/QccL1R1bFfr5+QMrtfhk1PCKNkDLIAoKo6r28yiXmcKQxEqKG7jru6w3zQNJ/LWDiRMg 1A7oMk3THC2XwkMajulHscNCm3o2EegW63+KlRbiJrz6nygUrS2Pm7apIE3JoCfvoSdbvbiouUJa zretuEt6qr48tldYkG2K8+qvCZLuYYN9xCswVcjQIiNekBzEaETlBc11zBDq0qOoZ03Df4OcIkN2 mPpJbvxfs6fLi96dZpN8XF+OJlNYcFHVnjzQTYnSAuV70hsU4FtP1380Ec7v9nZPXpBR7N1P6orM iNY7ICyx3tH8hokGhk2AdiTCTNhKFTtnyEn2OqIrmNiRm6VOPXknobonoCRVwW0NGbGjbXCBBQIK hc/y3KFR+fMrLeaPMQDZrvolKeKp+TrjFTRDdsDUwLylmC2RLx9YgDk5j2tue3mwCEQpWZawCJN8 jgABeLGO55nEfn5nEp3i4RFCe4RvWinPTGTq3JYVhT59f4G6y+JgoKMuzEpsNJMamSN5so0hFGG9 t6Gz9h/JaCicfJMLn+lUCfDMbBHJ0ZTIAeZYZw9OCCIhICCi+/38V+8HbJeAlrXYXQsw6hec5AYL 2twIhvEvhs2uQq3JUforZBuDnK2MZZ8KC6C1eBfS+PleKhVzN+8ztxw+4v6mOMcSylokQLf86v+P lcnxAVczBNqV9uWQnYRVlcx1pfzEvXAFqMrLPxUHMG1eWGHdBw9gAKgdAnRbhUB5AHy8VnuzTadc m9YZbsMHCIqJ1wZFph+kwuRn1q67SRRi4Bhaw5ZFFSQusMkuQUyFFKXB1Ezp8+hQI5WkVJs2b/95 2TEvDSEWP0YKu/AHjoBKFVLYrVjIAUa+h7MWa1H3cNlWT6/yoIqP12q/LLQCtQR828S8wAt6A0tD whFLL7K+mSJ3U3mwV9XHaSoffc6ybi2A+kw3PCa++qBlrnSPQHf79AH1wD0CSuq20t22mvZvAKYB Rc7tANPEa7kLh6nfWqKXBjUA3miR+xwOZnPhuGYLHeVRI4vvtSyszDAdA2H6KhO1Eo+eCWcDlaZN bHPRJo+FIx9eN9OSiTSFicXeNnEEOVP30C8kFP/CjzQlBs+M0G5kkBvUwqpREj7JeJ/u2KEL1AYZ hftFPPBhJT9NzPTEAV6zeUIZQyVHbx3EoIjlsu/MmOO0jPo5eGwCJLJrATobRPzJyluuxoc+m6KX cJ1al6hFW2dcDS9pSGrM4x3vxrRgL9+P3dPPkJIcgx0X67OInS15YdrQU/9o7JcCJIqR9AaEdLYW 4JNvcQRegDx9KE9QROkC6Lo/T69DmL1lFbQnKli7XHIweciFbikBceIhqOguxsbeztjHUpVIfsru Zay+Hu/lIGAcphXI3u3EhFamgs/CNnMQNIhDLBQnG6BzBB08U835Tob8QfR2Pb/Uc3P+pHK1CFqO 1OMYSkU41Y5m42Fub1oIFCdy6mDziw4yHaLO3KSFBS+z0fsXqZKkpRwRFMxM5z97Sjn4qubUZgr4 e7z2X+UpTakh4/YqVsnLH4vsYEBM2hZTsbwcIcQo8L2cdps4J33ZEwK7FuveQE8gwfmxZ3CtZw75 5LPVDZd9sRuf2EZgryIrQcDwN7ckw5jsqMUDSHcsYklYeShVuYACXtauPYVmlwAj1GqZSsushtSa InsbCYtDXz477ZOdi7NQ6iBOwbRJ+eS5rdUiIVk0A2Y0ZgAvSO+kktFvaBcGXQS6w0vork8Qwapk PvlcspyUfZnTF97pczkQO5uRmoMJlLWznddTKVOqr0CZk83YRhMOT2mEHoDwKynmGCY3pmyHjjr9 OGXbzP7dxxWqRx+zt5bU0eYh8qQ0UV8Ki+qGKr4URPnPo/dQmPGFWAxAir1TshyWQ9l2RjhtosId r1mCvxP2ZOJJLKZUeu7FdC5Qd1icVVIPpg/cdNICMT7+1r6n72fdVQ12UaQfED/9mEV/HoSLczUZ MbhvhV5vC6xL8ud5M70kGs0yDO++0s/7J0rjtEV3/GCLyaTnO9QBO+vZjGLK9nFYMjH4qh01UEro 7P7U84RFbf89zfJBqUMlHnBTAzpPB+o3/6MiD94hMirRYNYPRvq8kGIj/Xho+0bVyeXD6HN14SJc RFYbVN6KfSsI6UYBdngsfTnyiAd/SeDqGxHFFBAdivpsGLhp7+fFUbHvbUSupl0tT7VI2KriGPx7 T89JCb5E6lDBfbH108xPWBovKT5IdW33C3u37iawqV5YRvrWiPpLX5VUtRd0wKimaRk9zNehdiv4 MWFAqXNZ6nutcN/GWgshthib/ulusdr2Y69Fw5riocse2h89xkWo8UboFrWp4F1P+zlUy7CEta+i oqhqY7TMw7x+xBQlKwvvLdpa7WXzV39Vyo3IzeWWZszqVmzy+sf/6eKqrPIHU3X4dqmV9URYAFCv Un0FMkaofpLULH5Q4XhonbvkfkHSRurvJvdQGU4uY2/B93TnIXoy+6Xc7zpZbw2g7xKKrYpN+Rty k3QxNKyMkpwF+cgIw0nlEL/Pq5n0+oIt5m5zzVafpZlYl/I1onDfOaZFYKVMDMtntNY/tjEj1VH8 QFz0d8It3q+Y4Ru3sTwhi3k6DHw6hpvO89y57IUIgsK1ypIj+IMsYKoHWF1+Yxsc81L8puSkkJb3 3JzW1VeanLi3ZynQUGcsHGnjG8/eCxQPNk/HBrGgRUFE8BpFYeH+OD8U208hwnRgCq5e/a1ydd/1 TwlrtasyZAnAuG4DuhPRPpkUcFeG6RBGolaPbbNSAHEpLZE0KYsJmvZuRrsMe67lcrbHjd8wNodc ibx2rHeC+gjUShTfil/tPGVhT5mAm4Dc68wVsv8wb/+FlvK5EsTyu6mlIYRX68ggGiiivjALWBcm OzBM/boq5D/nvNe74TUzKShpZm+ZS8owYbZRIPu6kKpBx/x3/auAR4NIYECICFxiXtkkdB8d9zuO 9b+l16adQ9354hdwzseOuTR9HJqF7tz6fozG96ilmRwcGndI/rLsNtruj/jjL5q+OUEkviZtkhT0 eGDr5cecMWeQPHG2INN0iF2BIXVZuwZ5ct3g2k4d8w/yOttJedCf0ie26wKxSisiCHI1++EGWiUh oj/RQohbsknelcuyJubFZlKWn38OU77M3auKp6iwrX4dTGDB1SGwd0bvfzvA7KhrNLCYOlF9ZPX/ Vo/785d01cEf1iHFs/NZ0kj2xJjz6kAtgeHq2CjdXIJkjkUFylMCm3AJBXxD1NJ3q9/xZkPRK7R6 ACRgGtgkXETQDiJYF/qeGEUnkOsUxa4IefXu4uFZ59mP7q3kSDC2TWVcs85oPzS8G7gKKV8z8XtA iU3YEixtCLHUkaeoWUwbD0nwCRPrxHuQxVX3x2Ugfwcti45NmlWzy838523vsi+s1nrSpWSKRODD ceIqWqispJJXWCU9jOPBNNTejeV8x2ft4Hf7Ww5j8aWAXYako2rVG1uNEQD6mUdHTaShPJsvFN/f N+HF3Y9cerFr9Fw3MnlT9Uuglz94LqFkGsRQI6INch8iDgWe1rfnZ5WzvlMyyaxqJ1TSbhk48q88 fv60E8XkyVZo/0Y446cwQ9Phqewr0m0I819QbaOhvd0RMu2INiGtrvCSIIC1+C+DvYwg9Hi2mByZ o6yZq7ZbqFD1vo9b6FlRODu82UAGWQNiVTnRQo4kZxiaJpWXXMSeFxhpEPxA59kB/LWoZGgs7UYk Ev1cxhorO7x0KuhB41LmBDYkMai08+Nhghl00v3RHmzYYdNlmim6EAxEYdIKL5jnU3ydDT2zrqyv GHoySKDRT8FS/5nNWu8nHJqKz8px59aohtQ4NTETL5UUWsWGH5uhY7zdnp1MN38Mma9AHY94enJC rZXzSB+9S92tCIzMWY/ySEW8fKCf5CuH4WFeZrvU4VBsrRJ3Jv2tMLjvNzsdez4HfO0mTHvKoIdd J6/8ZsgHWI4/kWbIccQRmRrjnSjA3dL36O1BZujvuSmWhzQGVwaV1GgRZYGbgS+udJLubOj18YtY gm2QUCN229Hz1VZPSLwfSRa6Lh72dxURgC+xNuVgcAK+Id9m9O4dmuBD0bwUnb3rDIknErExBGkw 5lbQgxytNgP/pyq1/Pr/uFdb+h/Y6lq85vpGYNVydxOvS3XtET1MqH2j0TTQQky5Fwcgs+nixvTK I1AKbZruV/u2Hu7gU00AEYkmaj+tgLxT7t0Pr8ot3yyxh3qz3Fo4En5qI/HgBRhD2/+RJ8CRg4Xv Bc6K1nSQWD0dbBjcoqrML84sytvZwPNZeRrxZNcMbDQGUlXpAgHv+rJaQeGObiCcuhrPjPpzgoEV +OYwkZm9jwib+c5+oSpRBcktCjleDCYe8o+EIVWiBRWB7tsv2x2Y2kWd6RMqCCc13h+bgMtag2D+ PBLqpMOPd993TPz+MVcXxgJYtIL4wEWf7QsAia11KmBZFgsvzA49EIpqsKx/gCa/Jfg82RbDTFB8 DNly/LtrmsXFLCj4T8RjlOucQXG6l0hAkJDmrLUXUUG2Z3C4xoak3XrpwrB7u2Zj8AIQrjIgRmUE lznLj23L33+YWdXFnVqcC3Zyf8EyVcaJR6H9P3Yrbv2sU/sIFcfvCeMnph/AIkilLa4c3ZnmMGvE STkfpMQZs/rn748WlUR/TpRUQxmXWWwyjwm7I5vf7FLjuuNA3IbxCUkvXOcBspEM15tEO5ZdMdgP 77ipbvO7Sh72HAa/Rh1wQvsl6nkA3RVIeskCFgGNgd8TjtqutqzMjTzvCmi8+6GDN8YxJUVd3GJ/ jBWAnS/ZywibBDm9VfaSiaw3Zjyoh31E3FT3TGxyHzPUQVpIqGxPPw9FyF9yGdb5gtFHd0GBxCIZ 1/VS9tFJMpOCPQtyZWv5q/qzg7/66LPZ5Mv/Uk9rr4f8Wz0Wsilxt3KLG3f1vjMxT6a//gEdUfQ8 mlLkKGgB1W37cBm8e66p6qq5efopRLK4xBLp+wAz0BwDkoDRh9r1HO7DUwrHdaqtLGnqAUu7IqIB cvv373zLSFWSKuuBt8kp3wGEuisX/jmEo1w288g3PCD9bW1SGvfpoatriYGNf2S6jiHfd1X0snYc JCIZgVZnH0oRv4Kg7eT7jZ/0DLRZ+k5fbEDhbeRLwEPd0wwK6fUwFhTABahXp9DpOsBu0TH3Yra0 kxrC9BKppxA0tl3vCkeDqx7vybTrQ2BhVrM0+4CTTi8L47ORwPF4RbwYgToyKvU3p5EtNePiUYp8 m5lPMz/e4cOgb3u6WtPOGsO0Yn9Apr2oAohu4jDIgNcFk+4Gf8ERT7oF8rK6HUrcsX/hVQ9pBpqO bMu0/5sDsz+tD33vxGVWrD7N5ge7R+b2NQEb+8maJwZumE8wXHyo7FENaqOa5gOfOtzZhg6F0j+w OvognnITrMTY/Im8Rv7dpZ0F4joNLKOn8WrxeUywzUQU+RMZA5dAh9BnkEOSM3zSATTbqScEQ+Wl dOERXovwHqECzYwVGVT2XJTx8pIuTW3axiziYCsqovixSKlfSJE7+LiHDtCVeN6LeirubVtxKs1A g30yhJg07rvDJodJaiGHCwRdfTg5//Nu9ImTlluz+70GEsTlK/8lkgX+Di+5XfvYCU3VCozflEMp eiS7bH3uG3gQTjHUtoIrPbVIsEtg7HGeOQiS+owX13I9VS9KMzsWj9ydsYyCx2GOPyrNfURFQFiZ 36Ku5tj4MNonCPTmeV2M9wSRPiZvAp3FVTd1gjPsoWa8x72AV3/yMZLTS8r3kqGoGsFblpz6SDCO xuEzMu1mBa6jl0nnD79tcidrgn1THnFCA1WN1oSDOEEeMZQuPtkwQfpE8Soi2M5LD0//xGIbUK3s phjWvZjN8EXvVWHaqH1xM0+JUPCjomEEtVrr6H4ouVUQI1TSt/GET8uoM9LS0nrkGKb7TgptFeOz S5pjV9mKduU6SLRrGXtGm1EfSY6XBPQy12aD4YBgvqAsa8E5dq7pHwAdPGTKLHZRGy1BhzK5XSot JDW7nY+6Q1+ZAw2McisrbzET/hw6jYAfcoJIvFvHwNuCWWtNtzP22dFSs/2/MQmI0J2fkX7qa4ej sKp2SHmg/B/n8tepsLOpn5QMnDOnKO1Le4g9W2gSjq/baWFc1aru4PokgwAGm70hsspVx1jQx34H XcXFdeW0h1Vgsgcp5cijIoSK+bghUum72aSsUudnQBO+Rwl37gUN3ukQkOiTGr8nsJ0WtRCqMHj7 5WH2O2WQTyF6nGgUIPrSR32yFA/IZaiDogm8+in4SQLtlHLbdC5wbIan8ds5ndaiEA3IDkaPlJ5o tW9piarU3NasB8ZGVfKY4e9zBRq+FHWzQ/bHjcJRuvNfqD8tfJ+gRwmkYsMPHW552GfN9nSeIrns DjH85ycO1ziJyG3ebCv17qDxlsX5pSBwcQC3notR/eOSJxpjOnZmQ8NPkc6yV7n16KWHU+/q2cp/ /izOFEpVq5wIWf/K4X5d+uDKpktOvxTn9bqMJWE17TvAMfDONEf8FCU/A+uMXMd98/V/iDheQk9G LAzt3ZM4wNALNSki0hRFvnF/ls6GEJQeSMW5ZKsQ0jw2T4pHlA3SJ6wZGWrXrpkp7HvTJjRRq+G/ NuUelmywssmEz0gjNjwpA+Snk/u0LPn2yRgp2GvAK8kk/jF9b0kxrxJ+JE2TH0CSzb2ZXHFu6FgY OzpbTalC77+uKzjhW0W7d5a+qwfn20X6qs0fnkiCV+MW355vgS+zb9kcyUrRtHG7NUwzeXA1bmYw 8FDh1XJnYoL9lRUtdZzQ6JuY3FlhRSZ68Rl7hegUo13zrxKQ+E0zpSDfh9IvwAjRfhtmqAkOq2cL 1vSZBdmngy3V4vKzMYtVFQcpub6laFrk9fmU385y8cvvOx7ie+aXltbJpS8Uq5t+Nsi14kMgPQXM xxh5qwjO0tQNvTdA/d29b0PzWcS3q0212u8fD6EYs1qU28LOi9Zf8WCvcb/G0F6FvSzJam9fn+Oc Lye+/APJjujA2Y/RZn/UWrrH7xRq84QbXKN39jcpGmWnYiGwwY5qWgL+dEicZYRBNL5BBEe9DtnZ ChklJzF4mikmTPOpndLVujkF1ytBrjJiSc5OXppRWQRQeZcYUe2p5ZozhRdi4K4eyriaFhTorbSR FrHV3hZAXAjAdVr7PCs7qyBPPUuLYIhKbX4zCWaFTJRW2BGGmRAhIeWu7ZX8hRpgi7MjK2ivZnlZ 0mtUbcBxSUQpKgg9pBhJSevFO0yfV9OmigvZsI+FWlgBgLIu4v6s4EG4IbpPm4ersXYvI0pFK3y1 pDIIDrAGpXbL1Lqgu5N1tLi9e7vv73f5Hl7cm8b9sxC79bhESpuImGLBb35GrQx7f8jULCxgsyqu HdhfXYo4diGL9QI0D0Ma1nFcbgCyeRGzSqlcFSSO9YjyDn73wd2dCrpg6sA8XqmQA6np5lAtB3wD ffCHdeaz4jVSoG2C8B7O597/fmGYYbyIPumLONZ6LhHyO3eUX0Wn9ks3J72J1AX8HkUU8qxL+3i+ lUV1SPRfk9fWPMhuizGhRMTzf+GxyfOu7QgbnV82Le5/kAxv98O/89TRt3h6JYEyiRpxsBETodon blBQpnQ5dN1tpSIv67qdP3j2hoGYzcj7sKedvFtYwqYRhwf9K67n+AEG/M34FTlUj5r1Qr+eNqT6 PE6y5Wr5ePelN3mqcmsWwb60IQBud21sYvFpgdXpQUIeH9xyRg+XSOPaBld1PDjnxedHMeqWAcIn OgMZcu86nEyP4jJe5YV4ydo+wwf3FjBD9q3QWFbIb9/DFi3xyNTXm/DR0mxzBGp1D+jGklaD+D84 mjkhG+sZgzYvaN+O7boeBsR81rMqLz1LVFHJtiPzBO2Otxv0WM8Rzx5F+B2WIwLpEMDtKTsHPAu3 7GhZ6/pw7PG4Y2T8F+d67UAe6cnZoXmvXyEwoALI7xOVXdO6stPSsr61zxk7k5ZMgW3qTqNc38OU 9Mdd2hGzrhper09WEa4wR9nlF1Qo+9NpN8fm5zYrTrQj2ETyJ5SDWhTzYZ1RgtwOzau8Rkig3Y+f U9Jf2nm04+rlr3Rnh1wd8Ey96u+8CzjzK+0KRS2X26mRkhFIwUtCI7V4teFeCyx+K0hdEhRIAUnH vpPgIL0fZMZ62vTS3AO80+9Z6c0PX0yfZkJf7cd2+MK9vPngd64v+N9KJ3d/8lZhOnSVFVEccimk l5QaDFXnEdJuPgkvVZhpqlcnvzvDG8rC4l/LGm6q5e2T9EUmJKyayb4rMoD95ZgmpcCNGju1b/wT SFuTanGKHPzrduQsgUX73vuYFFzCsYfpvyJ5cvsfqpIyJ7x39j8d1AI4207gVA3u8TVyfz1iH5P7 8+UDq2Z/ZzV5VDS3ksBA818tnY/kzLA/FAvoSlLk4EWFw5hTnh599M3vUPTvBeVOQNXVPzBKipXo 5EYCuajTpR04BQrgH3u0TZRu5WvQE7WAd4rARscUID44toIOBVlkzxiESOt9nDE12HofqQUVfuuH +McocsuOI6U1MOgAWC5HORV2Ir4R/Y9Fzm9vQx2KQ0oaqCxYGpmqo2P1mpQ2QmrluIJ5Vyd7T0Pp WVm7l6B9CToJ8sc+ezqPkLC/94zTi20T67NBMZcJjDq8ZZ0FwbIOlC+vbnNrO93omdvz9Roxfi26 ws/6+Y2xvDs0Ac9VZa/fG7/wlOg1O6eJ4aakt6IxUMWUgiE0As0VC0CoR2gZRRfVLhKvB0SD9F/6 t6yrI/2jPuMvfaAPBNjip0XnjF8HYu6utYjJXLPGx3oa31rkST34EE3kmBifCKuLM7iy9Z6ZpJlW 9WJQUcj4oeM+ElPcy79ceM5VzIu+MlZbUjYqKGfF8rGHhJRiTzKO9VGhTS/roWQo/gvQHJypGYhn pBceePaH63cgrjJAugTigDJzYr2sdYxN+dMhNBKDDiCpi2KGD9aC1wMpcr8VlKw8B1Su2r6SPXYl qUjnHZ3P3ZNlnlULlejCXZ0rjrOUTejlzsdHcP+x326tXO9K8ewy2H8D24m5p5UCJSgRnyI2Uhh7 Zc7GmAoDqiOnJOBGTADnCnOmUSoS2EVBFAxBbkbizWDR0oAqqC8n0ZFIxjFKh4eD3BLTDNHZ4gqe G0kDGqVqviAwCndLSpNiZ2vLFyIVmbBgpJXKSDmQbMr/Ddqqi9ADBivOp33mnzTT/gZnU9nlpoNk w7Wvgtwa3bJHnDpfHzFu69VDiVDcbJTnPWTkCuzNXAic876gfp+1dg/Ot5bgmioncvu+mFNnUT1z CSyDmQQCfp74CjRoTjVZP5+Ys00uDyRWcwlPOBID5oez9+s9e+SVVFvnC7/x0nnyn8p+SyRivEwO JSf9xlRHHLxRqJbymtbOHyokWJY1/XCxx2uXoACaPrOdbc26nEyFmccgraEj0D2dHIsMRsw/2SIl FXWIargNpRwGXo0yoy2L0jzark4gmSDYVVlpiqZfd7Z4ggdlYw0plSV58h4c27+GNHIDzD0rhHw5 Gckus9f/h8n8NPeVTAzkoeMqnSj/jDgBBSczRjImtCGW1p3jdJUF3Xb1kmydiEPP+Qcd3d2BwjBh gy+IJv1qzjWGm2di3LD2grILbSGEjQT2NhCaKalDumIYiOlOv2v1cvDIktpwIziEyKuEKdS/TU7D 8qm5Y/j9nB389Cjdb3Bw/vo24NJB96HKw1NoXy4I2akAeY9h+PHxJk1T/hHHy2BPVV1ubNRUq6aR fASnxe187LiNS9j407VioJTMjjU4D1WfAAjNRkfmz7BeTdKJRsLGopRYZ57I6v8y5oVmF9g7da9i BeLLNskTh1hdlTEq2R6y2rIvaogwsBdmJYYpp//Rqx9fDMZ+biGO2qw1jWxr5+5ct19yksHGSvCd IFUGn1fnma4G+r8Lk27x/mm6e/ZmHCimdNYlRb9BGq7/bEL60jR0Gwa2cRexLgWkfqojXT4zPFzV iRIyyNGmPnGIpgWPdWJjGt3BJmAqkc8J+6N7Cd4DFNMb7iIGSJAo34UTN8ot/WeP2tH0ejNhxZlL sj4sqDisIJPwgPB6LUDacgvpqVmffPC9vbSUN3iUuBwASX38tColWHG4aaS1dRa1bBgY3uTZGPoi wR70+wlHVgsCFRXFjLBDkzqVX9OhZmY2F7czhB13CErqY8AV/Z/5q+28X6iSpPoefQZ2udjviUNN IFPEP7y1x0KPVmMe9Ts3brGMPivpGNS8RPqdE1NBItlC/yGuh/P4MwqeqSYtUPkc6h9eVVn8/0cB fOzHb+pK8prMZbFPqkmyLjn8MbP6SNcXP1pBixnji9dUXNtiekz1Q3+ZpprVnQLFWniS9B2rQlXr 5gZQXc1DnRIWcGO3fOO+LMaXl9eAERRSnum8RDdrV0iNUm6GUg/grIsYbLm41/XKBbGOdvV4AYOl 2xyma79W1Se4NF4y8ij8XdzijlPHm85Uv81OuPul3hJGg2jviEOyjgU2MOLMFfwn+YqVzqWKIvrv pt5SFiF12+AfurE78oEyylLvdNLDjMKTFu9HY4Lfs1UY/jWkZFAlc8zhGnZ8Li6Un0oFh70G+qqf yZP0p/YasR84xs4PV1IgFqap28xYNU1ZJqWH0t9H1/0GUhX5Sjnzv7cOPzxyMO+SzUtzhREMfQu2 CQLMKw+wbu3KDMsbXMiu+VlgPjuinDq/I6QmSqiE/v8qOVis6rtgbCDSZWckDfxeSslxt4c16Ff8 9Km9ZR8+T/DIiSCuB16j1ARKJuk8OkMFB3a58O1oouh3p6ZQMbCfELTtvDvkL32Em7eJo34yaQIS rdY4Ycbq6P+2nnCKJiAPudwDzLGpuqE43CIr/wNi8IpD+59XkXPWMfCkT6mwPhHfWJpGGKlxG7fn X4/UEtcJfrahJHb1qOIDkRrT2Z3Y1QTNNuQ2KMRjIIwuwoX/E2c5kGWLfLNYdowIbDS3uGcoJEkx Pz8N+8PatdHUIRMH0uCT0W1Sb4oqiE5ifoV64l/eHsSl53p148vMrZfcH+Pypq6zZfQGJlIeUYuz oOdNj7uxPxuvz+fWHvNWT7UxHQJxZQU+dLP0S7aoKdcrs7IEc57eVO1FPu1lPMfjVWCArVwS2Occ YjM6sD5+ITJGRtvF/KYU4Xr3pUjGC+AkE0DRvk0D0gwcSlau5eIB1LGfCVi17pyOtlNbo4+SDV5M 548RJRwCDzsnkixDERBxyTlgzU5+IeeE0rCLrwbsQ8R6gGXkNFlJdu3c5EtGbEKayoQYz1WvexxM CEpb9i4tq4Nc/yEVAPHCQTFODLO+/UNklY8uEwufScAC3F1poQ40SWwmHP3yMty5rhTvS4vWqeKG NyC2iU+fJf3BpqeP8YUNRbSDg3tjNJvB6p1p/GBF+Fmr1Rmydr7GIhaQxGbHZCPRHAd3UpE5cPB7 Ht6WjJ0KepWGWuurq9Q7mEm/vqsUtc70R1c3zhZ4bt31rnjRPYSJgpHFqRc5eI5hmy6bkx06URh7 zWqd95YCJ8RfHw5rP6/wnyxx4EWaezQ/1c4/MF+U5nIIEzDNCV9OLoWviHR+kvk+bgCtQwvSUQvN nN1/Dt+fn8xlY39Vb6gjY5MAyasTXU23rWClwV8DZZlRScDdeJsyH/CxzUilKt8l8dTGRg/r6bW9 pe37M+/WzvNlffya/5F3Ny3Tx35RuocvdhkgmUyd5ph9a0KzYLTdh0TaFaYrSCgpsz6sZZssuUJq ieO0AGx9wEa7PrcjZdFO/Scz1/EThEn3CcbGAtE4DxIYPMeTBw0ug7tTwtY0ld+Aue+RIQXYiuSR Icu1FOsddYlvo9TpztLw4TPNXAWstehunxFe+HzK2VBry0AF2kNt3KazpuMO6F/JlmVd/Ch2pNx/ jHSRCDMmvveZP0PHDtdD/hu+bBkhJX7mj9dhu/CVJAO23S69AteJ1Kfdu2cC1z46sxC0JUB6Pg5K 4OX3ShGuV4TiZCd/6JlNK6q8zGeVTYOMUIk3rl3KpO/SyNjfMo1ozx1eDhJQjQUjYv8aypOsQcTj 4GENfXpESnBc5oaWFf7m2uMstfigK1QNxptYJTBKOLoMwGBuzUFDPnXb+sxNVGb0kwQJI5HOJI5N BAxud2EKW2oY1+ZTc3rzoqAvkw3cXhnsZualb0n4MAfXVZA+vkhFfcDV6is84cn7kGUg9mwVrxHB bnfWoAbQ4GuEvofQp8zqvoBAteJ7d2T83rMszqaQfuZx8NjGIfi+XgbSSMROPqoX4/PSBEZnsk5C ctuq0lkQUSodkE52AyrpUduc0uaZKn0m40yXdtbj6UbqeJkbQYWdTYzyqHNyHrxcq/F9a2XOElqk VRp7K1WkKS9QiIRZFg+Aka91CYG6JZJgy9DZoZ76KNBe8Fkh9rxgxldNjXPoXfXmClNbA84IpI2f FrDb+3yVrt8UjKk1XbAkajuHV+AH1tGkNu0HcjDJyp89QvdQuuue3sw2Ob+6aXFNdZmq/ZdwZjZs lLWWBktupKZz/xco4seGXd18jcFn7ozsB6imht5xGGZJ6i0s42B+IHQzX56PANo1Lo7g7WZGhKHc 2yJ6+tg1tKCASfDmfzN8MXszEcmfaCapQ3S/QNXX5MoN4sYxb0qQIS/9O08inmwQ4END9IdIS0Uu uQeEywECairU1DjQbLaoAdxL0HMldGp/UN61dppCaydBzOFfbawpoxJo6EUOC13vtv9+vZYnlicP FfiVnWRLucS6/OE/A5NXjoXQT/sR/EPP28tKIsx8YUirw+Ldh1ouUWMLzQEz4twknwaYdmxRdEvz Jco0qhGkApCCGK3EeD3E6T38WqJUf6G188s7g7eXx0COHW8rMnkaP9/8bNh5qW0SZ78k4RGEkfHJ MIvzRLAl/2rbydV+vxL+qFtkbzz8wPSl5YBzbE3+LnX01kT2eKO58pUF5c57NmhHbiP601PReHxq 5Fduadpybjol2cKJPbjfioROcnkkCDCxMMiFwL2XjsJpkdmnjNc7CizLeQS6dD9YXcxnZNeH6Ach lvblvSdkpXmkrYQNLdFWluQKU7s6bMYYzuwQj5a3Ofw0WJi+jZcAnQF0NY/R5oR8A02pkQ4oszwm ifc3mwtmGoqUiYP8m8Y5g1UeytdKx8R28Dje+20QdaFLquiy04au7xcx5OfexVCMfOme3JslILmv ItFdql72xrRjpj61V7RoCgJAFQWTTJpJIBICJwuyFAZsRVIRzuvC/FpSjWrKmNCg/BZfiDJx8/Ax VvLo1ZfKDwzOu4Lh0TKXGOm9rTsYJXNJA7Qm7PguIoQNhH1Gm5/Z04jTbU8rQMjOmRs7v0CijVfA 9Vr90CwInsyE1o3S6I7kTQOY2Vtsx1f0U9yGAMO5Ly0pQmkieDOGiNrzsoq7P4HfeS68e8kbRuvs 43377TJv6rD2dlNYA9obRs3kr16wdF6+I3qIdZHTWCZHeOlg89Xub//y8GypurAo4K5jBopxM5TT G4EBGd8vXp7xUPcUPhbGJfiKi3XLBLnQjm/2CUcijRnDk6JP1jDs8XYbvsQilDB54HsNwdFEd4zZ zol0lFqPmOV2Aex/wW9WQ09hF1dHugu9oQcGreMdU0ykN2LDEOK69+I5qVy229rI/SmGPzb9cYSN PEPqhaqXCM9JBejlJPM9a4uQPzuHlOz+ZZfuFHg29g7xOpEDgErtYHoVCBmDWsPtqkjy+4aJX3Jo ZVU438kG0qoS5liKMB02Wf49P/t65afArhN8gU1tWxVyRYw36tkP8WAzXvjmHtCIKVilXm+jUlZr 9ZNYu6ypd3ATPTPLXHW6rtavd1/Uat8TvzpwOEztU+KGvZZAeSGz052PpWzPNnagTIxlfosxoeTP EKyd+FiGjoNxpfb/6CrMRI6s4uwIrdIT8C/4TKJNtNFW+DESSmWsaC1hGn3uxGkN4geRruiOmkPM 0QlnIHAsPL+9jSPx7949xNeb+3UI0Cr6cfJDYUOVxCRoqcLKtiKWnclWdyaW81L9w34+Yl+DwAMi 7Botj5VwEF3GjSH58w1f5aadXGOv2j1OuoXOZGCQlrw7E++9xwWcnDi2/BTOSbZLZbB+J7aCC126 zv5Xqpd5yi8zDnYbcqM2ZUeui0S2KfVy84aNpyjpArE8/BgPgOviZL8OZ6N9dsxpJjPtVKwpGbqH vH8KIAvXDCCjOgWmQBafuWBcz2t5Li43Op99Is3Z+UMEUCGdihTdjye+Q04TwJSyi+XnmJUkxOPQ Bi4N5k6ckPCPqoSIEPjeRipZv8OmuH+TDfxJjNx2pkBk2PPgFedYN7FOXj/MhiHL41//QlHn5t8V /LUJCY4IEALRIP7LrR8GjA5XR35RkxQ4AbG5CHr1TH1/krfH8HqXtjPVfkh69pVHjoZ1+sQNS8Cn Zg2JArZ/tnHkRLlT0gQnluFHNr8ext3yjRWvc7mmdoF0eLpu1vHFlzmto+SiVp8W2WkQtpNW3Rb+ HGgk6YZa1hktYpIWlT1CqQw2n4eIhgkFN4w7JkBxOajAhJc9Isx+uTeZn8gEM6M58Df2wJ6/hfJg YHcUHGDsx9MQ8HAxHXPKGnamkP/TO0TsX+tixBtmmKbmf3wgBEB2+xfveIB8ZW212TfWqYdB3EFM 3Mp5bLUORXY5az/zH9ZsfvflGXdj4/NtkuXdX2yj9blMcRV2foXTnTAxQUUXa01GkXHvj2kDUN4n +HIUihEqyzL75tUYM0wlz7FzHK6SfndZRrMTg/Ka7/z2GyTsY79ZjeN79LWod7adFY9uz5ZbhM4j WRxlVo3E6vAYGiZi9hsut+qnz9WUhOxcBk5CqA3bWugQ32xEX1FiHrqNP+tKUYQWxCwL4HZhWqPB ek1k0/+kXhYIC00WmdpQE16Lhw2l2H848zqEEaT1f8UmGHnlWdf1tJH3301L+g8RVoZ+ZiqYqvzE WkLRAtD76nQaFm+ZAXnyrSdRMD7AsowhGBZO9SPAR/3NQCjHbMpTivNa/F2AmhCfKwnlnEFgLlNA hfp/O8lsoFJGeSTr4y5a0rD7iVuFfzc4cHE47UQgrVvbqd9aK6OtmAVtuB2BKtakDwqbrnp07Lr7 lahYa8/X8Pt0n971AUKe0DXa628ozCwYZyWuYBA+WYZZuwUBEcKOY19y1TLC2nPjunczvzT27zj/ iUzS5+E3CE2C3NTVGPEcwIYcgzzzl74OAt6b2sni5BU5CEmYGHyhD7VMuQALJWoiPdGwsYVVxclr AxzoOcL5bUA6cXlXhOGZ1pFzuK9PMQpbW2qbkWXq2IfIiPvhijsh7JOtmOwAYaA8lwC+GzIDF3Kt zt98yW1vXR720A4TonZDtSInkizYdyPlpBe9KDyVWli/bisKZZeP2n9MK4maEUjBRXmIkzdM6LQ1 AlYEP8M9Yuilw3Vzy9Qwrbkizy42LbfKGoRwI1h0Q2DFzgdKPrurXeK61vBj0XaO16G0TyGNeVTx jV6bZlJla6/gtUyWj9KmQwm8qzYqfsPcYd84yasecdWVsAUAH0JwW8luY9myekAmCiNqBJHZtnUg +G0O9aGOZlMcIHX8nRg4VUNm5aZdkvBh5r66zgorE9stuCS9M1wOI1mwOFcvyS2Et3lf1QclxTSE hbbcfzx9oLcxKg6AEln5UzDkOsJaFTHdyClW7A/5kdGO68OI0fvFTdUw5TbWR8Gov5sux7OvVo2S Fv6nDpBTkBFQ2V2FXDOGA1AThX1dpv2YcNKZroCeAO5Tgvb/sJBqBQ8thSGNX+g0S+XDVIuAEaGs FLVjMcvFT8MNQzSspH0w5z5Vr94QKzouNsnKSu/fyfm/D3dRyxjmO1tx6tPf0owZWBY2uRg9h+KO ElOuCXbCTwUS0Nw6uuynDvPjF4Ty2LuD9zPMOKro0jywaA+CHESP3M/ZbZS/FQMFeBOeYIG4XMBQ tmGJaaRi8n3FjpB4c4ldYAxFhKLS34dtnwkQaS0ZFZT3Rlxg0NqHCoxIoMlV75dhpCSY4XJ7ba5E pz9gFMwQ8B9rjji9GRtqmSUteRxHUcwnJlsRL0mviJbdA/fM0rqek4QWRLL1nIcm6PAWVYRDwsBy SAeCgWBY+rhFm749L9y2wQ6QRczYtpoAwmHfknCHFaT/D/6qmFrf9xd5e2ZhLn5KlSMcmyHdl/tR ++FANSnMSOAHpQOX9KLPd7Qdtj92LpvLHm1JtUW7J8Htm35QS+8zOeD7KfJtRi4/wsRS/h8RphH1 /4JCVpGEMmK+BaH11BaAygITdVL5TVJmhrCZyjNN85dCuj4aaNs4bxtpxcKKuF93ds0ijAQcpbQz U4L/V9cp57Zl/FGL81UQ+4w9B7Jk6XuQX9IZeHUcBglKKnfQec7FSIwgWiZ4SqRGF2vIFRGHBuJa B9dmtG2a9ScjtPBojX09J/qtfxRMjb2nfHsylqO8VSMRb4O16VIy6NEfpTk9AJFeW7onnLbuyWy2 Am0WJNfz3gl3z9SGjLk8n+gFaZSkpFQ0xYgzgkQyVkJtGvk4IyniGBjOKf/LQt4TWdFGsMmj6ba3 kS7w9pdnvvgHPz7W26rIJWBmlmaZhGUIcl0CODt5ss0YYGQjqfsgrEz03pTwi5s7jJdxjFVm/BXP iihU4KSeWZKjlLndG5OYMlm7eZwg5NvaeAGWdFR9frUKo5BVVz7EnIDddk0bPw0Zb/LttfwcKh+v o58ldk310rbzJR7jtEYJ0f8vCeowe1+jmIakZXkR3IUlC7AuyQbTL7p+ZAi90QIVpKDTI4r7ELro wdWWuq6tXzD752xkkrqeK6lejztoTbrqgfblzxDdSlD38jV9xlDkrH8I45GIjnMo6cNLvj6nixWx gygmUxoJatefrBo6wfdZuYWGpjHhyZPXj6Z3XAUFeAjilg7VPHTulo6w0wpZZNXKuaBVBtylFAoi AiFwPlLkRoX4FHquR2qnUDyUtLsQrrl+A44UJi7YG+dUOn4OnFNjU7/TZ+be6nXqCRk1YifZG7LK M9Pmkccy3gAJI9AIeKNeXwC0ka4zq/JUu18ospw8kFJ6+PL/KYV3Nj2d+EopSuPDWUz3oFerQarE UXdL5Wdz6Xb7d5zivvlOeKMN1kYzL6nt0UqlMa5Dry8FkOfJPWc8DaR7z8KVLn1Zb5lvadIE1paf S1flY5pnZ+WjoM2GLEspARJY96fr3Xdr7dS2iGWBrpjZRhjpKQXiGv11NDLuF3ai6E0S7HbD7RGw O9f4LM97f5CL+Buqg70fklsV+ZSypU/Idbo9AWOS5erpXh9CJJp7qm7UI4PpXeapMWFho0S6DXoH p1TEYibYXDK5Z5aOxUjAZO5NRtxawvq4+bNOw8HnNBSea0XLe0VM6GrYYp5LNqpAEVsJ7onVztKf U+tjq3mUHIM8GaDYwEt1x5RFnT6K7rq6i2SxDc/9KOwvGjVUyUqFcHfzr8l6h3tlhLqeijtmHjCH a6Tu7wqf98MD3xvKsxKbrorUyTy12/dwpYWvoluRw8StRbYSV2PjwMFehHsVGuOE4vgixvTt7SyD xFDgZ+tBCs8tWw7i7Dg+eTmS/YIh1k2rKepHd8cjAYa8CgWiAni+MVVQbthuzhnk4gOUxttBFGVU CXpAoaCfUk+yIU9AuWJD31PbRocHMIVhoCPw6j+CjluI6VDi8WsvOI7SyxeDfQamKBS/QZn30bIh ZJuYualXRYFjPP7tUAlILLdzxyaoO09WT1HLBjWpLmJ8n2tIvzctT9WJuvTy388e8ZLR92sxd1OQ g/KsIWk8rKDtS3zlwtppQIaIl82sNNNWROi0mHroeGPlzqAYWHoPG3lnZEJky79Syt4JU3XFWDKD a1LcMLhAGE1tyPiHLHzYuSDUL9dplAUBwxuGD9LA4mUmDL94/6bzzpR9Kmp/yFYRl83eKEr+UU7t Dw9onguDd8cUkueE5L95ztPcgLEj8nFQXS3pztR2ylNDt4uxQCAtwf2D5+0W9CPtDfon6KujE6BJ 0v/QLITUGVv2NIRw6RpP0lan3Lx2mHc7m37hA3N1YpADt/gHNryeQiDw9SpxU1MopRJRIa/C0e3U m9VVokJAwioYIyWlKk+G0WTIT/woi7YgrIM0yh7AyFA1HPat2WrsQMKRQ1QS8b8UGv3Lu4/5CU9F +3RoCTlba4DIuhcL4wYKp8v+bb4fw5e/RVoOCApbcm9nQ8syo/yV+gCTAWYGeUPlHFsw19wXIsjm 3QNR2YwY6Wzo1hluLV2XwLM18/qKMZY/RdWE6mfmrJv0EXz68t/QXqLMkC1ZPBLYM22ozB8ub4sK DRBLf+T3SgLsAjQ3k5yHGzqRvuDyDPPeC2vHJmT09cDJKJ5BKuZJT7tIBVrd88sw0Hy81M0+JiiZ eWAuoTrfXT/vkL9Ad70egWhltMrx1TexJd5n41RhP6vqQDFsZpo6eCayMPduwxmNhqJw1W+PdiRo EqID40uzYFjepcaiyLoHx2bT5osX9b6OSVIdtRLMo8OhKIs4MCjZrkyvAk2VIdT1Ze9bOd31dOz0 iJbqhAupSC1uK0ZKXF2Q6lUkkgZEd7Nnrn+2kt25iY9Ji3kX0HlWIJ7v5rdg8KWacur3v/65wR/H LNXN3EPviP5YgWZr+3waUNjFGCKh2s0geNiKqtcxvekkY29fyGduZRJeQmhaZxDI1hhmYy4ZqFL4 jWpWt1XlV+0ZkDe0icgykf5rN5/cMv7vJS8ujM0//KsdtXmDOtC9C7pZNANVkI4L08+CJslwRqZF 3j8mf2bpkp0Ol8Kqyp5PL8pw3fM6zjmbBfuLcPgBOoyV6AcwJi/jsQ2ObUor52mzQGRIYiM+HRxY y2ACUCetcyOAscF+400tYyRob3MALHtpfGWg007vObNLUnzazD+O61wMWVjlkLpvs+3P8L57s0Bs nrQZPUQBr55VLcwc9YT4/+APsaolSQoTj88m2hakBlxJCCFuPmNVYPMgyYrNZRoIg3OetYmEBSfh 8jhTg3Wl7ya3OvN4brfSDNQlgW0ZLf3WKWb00ROGvieTQN6MPaiGpNF1GZXoVaFl58p9Sh8IxmZN tL4FOOvY/vrsXd0pgUYXueZQ2SqXoD/KSZRMOLWTupvqquP/I6vtxIxONu548HanOBY6zMf9s0o5 1P/9Wsej0yKoa7xN5SrinFKI6sraG7n9nnm9YunEE2ghXoZmVJojr/NRchxjBZfo24PhtgkSnMJs iD1Kq29vhSLkQa+LR/+6VhVKv0T6dBaE8NwpDt5v+Ciy41YRjE4Aw0y48Kk6yo4UvhcI2gaXwKlD gcWAJL6Cksj4+hp+E6ezVwg5p0nXT2jNH0cg91t0csmKCLki2LwRQbxw7nwcHhexthBRcUgaAeIw VB3xbMVosU64PJ+0l+HI+bGkLCIWA09zQl7my/2hdc5GZ77cPqD+RMVP1z6c+seaRtyLRFam14q5 Lx5i6wj8watH9V4g4trYYoQITj3SoD0FS+V7fS1yhPszLkVFlWU/NkcKmOjGOZyw8taE0g2wWWL1 9CU/poPS9nXILCK7aSCI+uHHZ4LDmjTIZYC54yd2fdLlnXvYVC/rcKLmDiVzHnFQN2hr7JeUKWTn 1Xuu+bNngpRC8nS/xmabG+Y8U+uVLMVzCEDi2ylEEI82R5axXoOhe4xvwNv6/bcSfSxoU0EizO+b aocaQsjDCLpVo7YV8Rg4531GfSwaP84l9wcgkD+M+ezH6HpZKVPLYPn0H/YDUpxA+C4NtxXaQBFv dhkalkKVWUuVJVo1TwYisJy1wdGKCS4gC3vbLHmtAGnM0SmRu9AGEMsOrwGBAv9yGqOnUuWPcJ8t Wzt6Ii+TQR9+ZR9BiY0KEBx9WZJsQfsfE53ZRphLKcr0vcgI2HNa3YeQoIk8zNI3BvTvSrDuyrfM mfz3qJArELbY40G4J2Z/cLI/92GxowMHHmVF0EKWDCGtuM/XxyZSKVDxivvcE9XUWI+uifsePizb HKL/ctTBCTv9EpEzGMcuDnSCbAH224bypFzuaHg/bR9OqV3S+fG+G6KwEriWnYO++ALF+jaOmLeh RwnA8qYX8+RP/ws6ue0iE6+Y/GHtplCL1zSuY2na3y6SID0VayLxF/Icnma/wvZOrkq5pUOEtJ8P vdO6sbOMnByqHS4FKoxB30WoqKv6/YHklHI8qj6+xMjUNh0e+Se0UqHDdiTVlbhdnQVurbj0zSkZ UKLqO/reNgv8xHozVXBgf4DSQy3YmGvp6KjrkpsRvtDTigDHg7Drwpiu7tKgcxgamAlKeelLeV1B /XxsiftNhW9cIlNPQ6h5/OZbhTTyJfAssA1yEcJGsmJawRw2YRcJQQs0EdauNhWFjoshPbizVlS+ iplvDBYSuPkIiQe6y6DCwSt3XxZGmusUI3fV6Vvs4zIFx9dOJfuAPmWMYsinTHSWCCnnpoKXSPxg U3QF6pzTYCHlhWsaJO7BVBDB0d9ylK3jSrzcffJjj6sbef6mYLPcyd6fb4TDfl+2jvEMGTwM1Vcb Ea1Jz7wNc6IIIEj2+93YxZcVksQ7i2JtHPuEac0yb5GP+pmwxX7pDseaq2KlcWBjNbNNWuFpZm1i rOEzxz1I2GG2A97dw9tqsXhcsi+s5ye8ZZMrZDyMvje9RSj00J91WACnq4Gw8Gm2YdnthgUQBa58 5VrvgMt3SsKQbLIFeRMCVLpw1XZ9D1wn1YAOtUp/3ZaZ6e9B6SYN7GEd4II9QsHZFET6VaJmOcvU HfJrPq4tWoPJ19pyOv9wrexJOEb7odwZxpJTn9/HphXei1w3gYKx4R9hKfCKh6rWgiQ4yppdCapl 4Gtv2vy6lTXmxXO0UFo7JuantXvArKfnu8nzmIxYNntRn6A3Ds5Kx3k5i1EpvyeYiNxqM43pz/JW qZqBOrRzs6syYkErcPZMjcwhIV9ZHTo71882EOq97q2np4ykSbpLFAznjsL7Y8iNUfxxuzAxzd0O 9zBJhaI+GHsdo4xBIhIrmThdfYek8j2CpSKWtk2UhVjeFdV+9WjIhZaplmLo2/1TiovR2ogthc+5 nlXBE50YHp9DLk4P3aq9YOtEph7VZ2fX6In7TbvSH0XBW/8/WiE7W/ThrEpiGyBKy4RBvCKUJDIZ yM7DtCDy1MOwBJAa3NEv/tjTK1Kb8jr9o1I48AG4ZzdOw3+pYR5xx0X8mNI3iFU8JR8v6pOYjz8Q h9XRPWlDgtrTiX+dPqVQGy17/E1cptWlNkz22jUKti376ygnqWVmAltVOAEG8Ddxe5tLUdqEc2Vc Qa7E8daAy5O+T2GpqjxeHv/vYtLM/Q/MOyHYWl5LtRtPMdkYUtkIZHpMz19ym/afbkoVxq4kfcr5 NVuY5dH4TNPavziJG6MhRx4aZtMIiQyn6upcQOyQiT6iX1vvVenL2HOzWrIR5CJL9VRAwHQvyt9J UiPXJiZq+I39CkPUQUKiXDgP9VFMMCFlHMWMKqT7tCEu1h4qKsG8pgMt6eOWYx63bgJwAnqEZSml Kq6wuboYILgZqO7dSr3Uatw4MfQS3GzVNzNM/sTGZlITwmYEjyFqqCr+8TUL4EzNpD/3NKaVJcTP Y9/BrWHShGpGppZ06qq7VseBGW13PjZZpFkaL7HVFoibnDrBm6L3Jjoe2NfedR3Uz+LPnRDJb3I7 LtuZ7NdlL78HA4nqmPcEh+xElTLzHtgBbRC4qvgjZuG7NdxrQ4m106DK6RQTyvvG4RPmZbr2gJSK fkhYxjOclHpT8DMFvaE4c1mGn0ABfpJZGpvQ87mKDoJFGpS6FfaVhWX6BytBt78Nr4YDsdqpxbAF Rfxrq+hLZuy1QoN2Gmu84qI4Z6sIaX3LtLhqI+nho2n2x4TcBZSZSzzebYixZ4M+g2wr05ssFNuA P1lQ+8GCS+6DRTWKcS5OYKMQ7vr4aw2+pWuOANzNd8TiP9FEddYb16mXoCiCZg/9iqfVSp4tkA8P 34V/k4DMD9nXb6UdHC7WYloOTqivTx/hZNxr2BPZyojJ/RIhjb7GMRc7Yd2AviAoqkm23VOE14pB TkI9pUyXjtj1tEVv7HmKj2pqPQMJFmOzjuZkTtb2h03NEBLKiMWO0+YP7PRxK49sLHmreXPlWQ0Y e1O2vYVXHU3nnYz+fO2WZjDxToyEj97gRm/X75UOTMtywEC8qF+efenfc1/VamRUuKWcLo4FQ7GD 0CzDtFkQaqO7tidB4MkF0mCvoCiQRxDAwmF8TCcn2EQd7SwUP0I1Oa5gZ3Dk0p3RZv3IAENyyMhV dql2wWmVrXfkYVxfHvD3BLxZy/4M35V/RMFtSRiYMC2CVbAaJPs5nDgN3qWbtjBTuzg9+0dqiY3a f7s3Nn9GQaVtbpVsviO+T97fCeVgNngM8YDBQi+Vp8IVrNoxzvxbDGO+EBzGC/gc1frXajwGvJg3 fc2ocxBuIgJ1GVV8AUKR6FgK0P0p+mh4hAFwmZ3ClbkrNQ3QEnWx9VdzOQdNtKbpirPwEZtbSIa1 Zq5ooTH9DCb+QGTcPAQGFVA9cTn/5vQ1knoi8ZoKrHF3j6dMFVyzXW2nwztdEsQHSC4YvvLkkZs6 9BlJ//fezxyZ/a6xnmrWgq83l+Yh3NsPpRJb+Iq5tIbsdrXAExMUibY1AsYeiipMR342MFlfwN3P vg3iJTxXLqYRjhagFjm/dMLPgPJkoCA7V7lNrwIPpH/3S/F7JpARVTkNifZGuVefWuItuie78gqh 08KL5uCA3enTHdSb6jqu3ahU3CgS3P+3XFMJhb5FESsWk19RE0aDw6lXwaiuillSRPVZt0aLUgiJ VzBAphhBeE6a83IH9USDJkLH5Iwt2YwTWDjzv+iyhYRvVbc1fH8dzjios9Mi73V6QdCL7UehLKKj 4KneWPy2J2x2ubzNofF7z9vtc3YR4WFw521E4FGjTTt67LJfJiSaQdgNp4smg4z3CjD/q6BNwGOj ywKg2k9UY5BlwR6cccW/ehdEq/ouYGcFFX05+zN/g4/+sNk+BGB2Wto5rcyTiHPufxVYbA+0ByVq /xfwIdlPiDNczmRf05Jaaztp/2Gbf6E0QZbh1vEZgpPteqjIXLYxNg1sW28+PXlDbgKnM43daloq CHt8UPTzJJlY5Ec9ERAN78gqqpPPE+e+b8SzMY2I2834YurI2cYPJZEGnT/R5em81dymTBWIy7e/ xgowy2k2rRYvfaebWN50VgWe9XDYHqxwIiFIkcb89UtvCIM8bfXzqIbrab+0s01GKixZSI+P+/9+ 0PauvhUptVflJ3L0tJYlxRw0uWVsj6jRpRGX5WJCxdHWLpDT6Oa8ilvOcbuX4cpOD4tbkAn6luHO WTgO279NJafjIYEOlatl0ggYUu9SKzrOqEannwsxTL0UiFDrcPhrgY3+3ouWsP0qG+TB6xw2fiP4 jdhAwXSb4VLzxYa00SiwkCa7faV3FbPpIBTXfti/vNYY+m/d9Kh0cgHTnc0oOrl43x9OCJTQWMnB Eba+TL7KT2RC5L/NtZdKvaqTOFf7wWfHbY1v8t7eoaudNNpwv3b46BA3kEMtEuglpnuCVq67pDt0 pmiVObRTzOxfBcomGg2KtrBHBbYeYDPWGq6TfQBaaWuGHaNw3Bt5ULiR04e0ufAuxa/d1FNcMmBh ugPnC9ijMRiqGikpIw1o5AIn6QLbjX4bDLgg4L8CE5AGlIoLarWtaIlJRIQVGvIM0GfQo6EkLIZh PIHYtkMEJWFXV+IRFVD9xr+uTNDbeaWz0UYortye6/BB/Lgfl0xOcNULjKGumm+8k5OuhDVv1Oek jvbOIys/S/SQIrQkWKfoq59ojKxQ2s/rcdEOIsDvEIow2ggsSGj+s9fr9MsjjFQi1SK7OGr9hdNy dA2kwoAA2KiIx7Z6mTidCvaF0Ai9y4fI0m53AKep5EzpxCbc+zzt2nT6LCxY+oIwnmqXTS02W8ih /uHJC3YIGO72SYBQ2dJSlLa2K9fCZw08rAu08YAxqORkqBZgcw5jWAgDJ1Wk882abu/hhC1n7fBP PeJQyCb5G6QdpLosP0RRSTZCrf4ZKwTyU2dHVScm9qPTDzuSxXonIs0jEoIuCE9DwWQVesd96a/2 fKeYa6NSqWCAE/w1hNdz27fW5xGdMX2alk2VWUJXGpLsmco+B6q448Fi08+9LdxXtVWeeA77iAVL WauvxXgji6VRZhkqej/x54qbWCjBfbiAXPG0vbqGjnjhbi9BnO5YkL1MfdLxS6gGI62cUxgvpR2M u/D2Emblzjb2uRvzTpCmWJmQTTwIZKETZquklM41R5Mj6R4vF+YUvvztXqtJS10v/dLVT/x7ai1B HR9u/Kd4qf2KIX0eDjJDFlkcMwKCA4KlIfF0xNsmoMshH7w7EMXydJZN+XCEtm+eREL9twCX3Qdi baAWVCq9SehPj0iEYSwoixqtZz8SnJ37CboiwL8J/3326njeu+8+lcAGnEVKvnH6oIQy8Z4X5Kwe KWq/70VVTXd495VO4Bnar0GOkHzPy6c7Bo3HnksBIeQAru9C3vWcV4krzQrtnEMTjM/wztkjpMzF ScPMVKl0d6WATDtoZZMrA9SrAIAGSO+jzVnWa6qR6doQlnJtudR+vKWSLfmtR73pqG/lE9RlEiJ8 /KBc2lWIffSRZ2bJpwC+Oe0JXtzaZ/Net7fPG+DWZyCGz/Lc7svEX8kQeQkCyYYwglqNbKHIGZYl 1VYt0xlv2WflarQvWAfA7yagl3KXnvjm5MJZMvMyrekb2EBC4iAWwq6Ur1o0G3UbfdjjEXNk5WDy TK1sAbj7h0xH1WcL19qBEgXQ7tuL5OhoNKm3aXGUf+K/ldY72HedQjVHXUklr8XaROxtWzcuapGY x7r2p6nqdTKs74HPyiJWw+jWLYi6li/8bloiHbPYAoFyCqXzEqXEUH7Ogjt8bhEheu4h7c8kkqtv rS+/9pYkcmo9e5Ip/KdmY8SQbMgcIYXCYuHwhfTiEWANLx9Vt8V2+KlKy551B3HYqiwYdDUT05iS AwZK8rdSdINS3V9990JRkNkbghGMuabM78f4yQI3i/pzDkwMwaJEDlscRkUMusbMbScOZsPUzyDT xXLK8PCHiPPkkiquOLoj9GlkfdVWbKeQnlzvCpr1SuY1p2PxRQ6sFt1AUv0kovQZOklLTBSPSag4 Bz4AdbAi/lLcIobS/MLmxN+OKusoVbgZePQQvr7/ofahaipELc+BmqzuhqpXGvZ6oloqYwsdbd2l Po9/DLExlgnzifirCfc0dTJ7NkgoS6ZqKclSpO9DVCk3fHS4sSmFSJLFYRtnfwhcPQ1sj/9d+EJQ 6hPEtBL3RYA8U7klwZfmktkKm8VUA80ywfW5VK3eMcntvDT2mJZt5JZV9VcTL9soy923q5ELYmEb kTPjDn9OWrlbDwqUO/yqWQOx9qyTehTRxgsCWz/NN6gIC1Pon+flDQd+jkhF4keeDEGH+eSPYYXt AjL1PMjmO69kHgX/QuRSsblx8a64XflKYRLxQ+w2NMhnKcmr6ZuO29ltXB2Ll/yLimtWSFy1Sumk n+IsxtltUsnUTlixgJ43uDBnF9WaCpocP/b1Xxk3HMaOiSeNs+JJw3KMmIGLuVqiaq4fwm1wREZG Is8G41nQNxbQgfQ4O2mXR4P6HUmaF5JDLxF1NzdiRw2c3ts7Kx5iutGGeU+XJ7MN9FI8W6WhETEu AgkbcCuw3+jao8dOlebbbTn4xej4yoKMPGggPbMT3D19xlx2lmT6CMZ4rwbi5POrsd2fzbMv1rzz 2aY3ff5GzzSPY0Z4MLnpSWLcp7wdq9ie8PjDowZLQE1eongDQxcdaI1S8979kCJN2DX9i8mSA0DQ IH5pTBehPf4lvL8dCulmo4ZYngFWxzINzScznJfGWPnI7jrMfJ0Zx7FbmA6xgrAR7WrIjPdGTkZp YcWKwOciT5pHIdAxX7zcFhRrESHo2I2gWqRldSSzSTKSFqqwEGFd4HCCeZE22TRJV55lEv8ijwB3 9BQ1qW4GN9oFGPJ16+kBTQz9LqLb/DoPz0dpHraA9tzBsglNix8vbwlZ1zxQzn3Hcyb4v2aEoRVF fhkgCNwfSdvJzkHO1kEYQMPrPr/na0VcLtyvnj/ZWPj28DfrqtIZS3j4i+aq1Q09UM+plu4a3Caf ehHuRQXZ40h54lzM/TvcaofVehEV97kcV9lwxCFSLj93QKMg+YZYSVGv0LlsqcRPEbAlx93PXunj C0Zt66x5wEqeSkq0vcKBZFmZynO/2ZpjGWLk8jpIyOAq1Wz53yBo3DxD4zPL1KBH/rbAEw4dZ0fx eVZFrM01QvBwjdRU5GSHPsndUVr6s07CKQ2nyopUyiePAumeEFMOZ+84SMDG3bSnJWNkCzX2JGLE IHqFTiG2sHMxKadDStVRfQS08jn1uRNd/pbdn4A8c9Ft/KFPROIjyjlqGc+n8u7D1QRUtOZaKl/C Ns1tGE4Ww4PJaennPeTP9sdziSPNi4SBo5qskSR5wT6ynuOpmBa6MzLFE1PzqcCBAT0iaJIMn+nn pfhZ6s8IM56uq2b3/3OgemCnRNME2D+YTw9GwCTVJwVNw6sDgEn84lssd6Y/KD6Ky4b0dzcXGony 7A8V5J5QIBDtqREdSdRusj6DBKNS3bJ+Pa1uLZpm+8kiW3PQQSUNbjV2BjJzeWlPiJMltRXglr1J 9guMFJgZlrMiD0rqxfU4NEaR6o7ioriYQ35lnk96F7pfWuVXkldJf25BmA0AUHJq8xf22pfXsLYg w2z11ZNpBYmM4AU8dOWNcfaEVumruQ0eDLmeI9uutXxB87qrGCW9lj4TZ1lWFpq0EL+sbD7XFq+9 fJZjRxRqAfev2DBHkPdULHI2ZdzUQXP7RsDXRPgvmDWiWbICmoVWKIVmeah5vUVPsMrjSFCs8UDf BoOArryT0ANCTE9KmnayExi4poBu/TgXZDHZOzpmX10eLZZqcgpsQEVVmIcDJ8hMSnWPwaGJrKsP b9mvNxPb6Y8smj/4777oCEY/GQqmWgTk9fsUv0Q/UgA59xibKXs0W/xWqbYRaKkBuHzm43qwOqbb rg/2giZNF+mKxrhN0Kr+rvFEymA9VDIoYFoiZibVwQVBBluthd+EQ6NA3+uvhOEXlRWUgbcfnP2+ y8vEKaiubpdIKo8HHaaAYA6q67MdKm8BfCKezMeUQfVjwWMO4DhrNEl+FgnIL81h5J+Q7dOJci5o gBGMfCR1cCQI/JRiZGhHKCXn/KiP7FuW0e12nlCxg6gzwVy0QICFk/exvZdyCRhSZn9DEZTYNX6d El68sOCkA5koUVQctD7cGOWFN7MpjztjBsu4WHytB41acYZED3HnuBVsWtG/QpdpJa84TVYS4q1C x2szn+6+EI6KzsX/IGKCQsTSPLdCvq/PaRJtCkC6FNG5feKynTpb9Go+PZMHXR/VUfERmEeSPkZ2 V3TBRuFLeMRc0u6HZvax7/cjlZrjJftBtHpi2fAjnKVfr5D6JE/rV0iBoi2SDOZiZflLWdESIRhz UmRLrH9F4k8UqU3oFr7W461yO2Yefe1UIFzJH0uK/gq9uY+gCw6tU6/cFT3cTFt/AlaNN4uVof9S Knqrg+1b6BDeWNm+5eG6KMTyibAiTSRIA8agBDCh2rM4oE72SJX6xMaKeeTg2Va+UqaoSKP9+zSt lTO9vgnrbpnm63Q3rXBsV8syzOKuTcKTsUrimAMh78X244cdHGPuMXt6YcLaMjrmG1jcXEBXTYyp l5rIFdO+7T5NNINtMI4EMe4nU35rwUWbU5u70KU8AjRxgTOl99KvwGz4+Qt134O3Fw2wgooDnsfy vC0tVnea8pMBTPWCC1HWvHX+R4L7Q0/be0qsK/iWx1lDQFij5UeFkBfHIAsKy8kZzib7ab3rY/4z 6MZsqRivIobSm/MGimRwAQe62JU+oLWHISCNBHc6W2ibmyg8pnFzq14wxMQ231EnwPmbWGCxgG/X Y3erUD8YOASlRhM/6zdiTU9Cx22EQHe1CDHDpwbTlhPPIwinq3nGbB663Cee9CRs3sx2SPazXSnL JL0Q27YCNHJd8vydn0lB2vFWp8Do1TGH/wS1qiG5+ag2RN+3vzJVde3CdRE9gLQgz80SFmj2z+rl R2fvncxdiuJ0EQlQ2ETQijrARogCtfqq818xkCQGcQbXGnF2y2xSMXc5bVcM+VJVF0EKpdP9EAvS IHdTGMbP11RyJd7R+oGESmkejDUvA/Wp1kl923KmZtFbXU2GOqIscwpkvM6QlzKzrEK+p09wcqFp f2u8Vc6jbJuJyLcOvsR96an/tBIAfEj7i1iCDfuOn7fB2Zp4JKDeQYietetC+A6it8o+lATLmOUS FOoJhwP0XyTCuAHKZqKwN0gp7Xv5jVUJAF7rh1j8jOyd2udaPsa+Q27J3C8iM9DgY76xNn9KdWnB DaVtaqYLiIwzeGE+QR1hJLH8JjPiiYweIhIKQm4lic6rIlDFtk8I30K17cDNo/Q4blAXcbZ3VVjF I3D9M947/d9LtGiY6SWGzWI2CJp2+01GXgkwH/FjZarLyEH432CWIu2SV/DkyyEFRmQMDIByKW4x xAS6fXVGLCDHFWbX0EEtzHk9YqxYgm+uDdRmEoWGP85M/VGwKF2jH3ptL7PZXrQXIshowOUC79wX Ym4e3IgVgbDpRPJ7ievmxvme8kEpaeHFnkHMhEQC11E6KYwAJPo3+29fhGEPgBupeJ8XdvbMmIAz ltMgn+w2RMSOQ7PbBH6tNDqF0d4lY754F+saR7L5KtoppGG9FBLY+y1t+1OzJ/zIE6B/bV1EQM/g RZbaARjAADPiiuUq/IIE03YNlj0dT4/0jrO93+jknUKWUAI33nc+htCZpNiMRSwX3PMs9NSgd09Z mXRU70Ql41V6Wz6EEZL64tyKDPQYMLWGzUJZCwWkLqa1c9LE9aE8sFI7qByikBhmjo4+iuidf4Pl ovU/85ip80DkEFmEPb7GA7gizkc5dEmE8BGj/8w1mT5Pg9hHRIuvDHbvO8j3D/kXj1+Vg1K0r7A+ rEzct/JK3HEcvcJfXhXMmv8wVTYQuDDOYfekoNk7CEJe3GrxuPZfKFy9twoFSsVxbcwRiEnW2r62 nItQpbkNo0JcyFNenI96fWXezLGEhQBnTPHSlNYjIqwYHzgLLm0z0md4Z3/14sK0n7nFmsO0SnOK B0ZgrmEjKo1SRKLhQfdmDWJFY7TnwMlYaNNmbKoqecJ0u4XM2fh20Urb137/jitrzNu9R6VPQ6r4 WdG25fT0kGlJhWxDF8N8baLDcOL0NBmZXo4AD5at8h7Ocv5KTy829J2faLPergZm/9FjRPxG8rlH UzeJ9nJiSb24ll6UUitJXOLabBrKN6lRaLC3ytkCIKu+lVT6vYjRy1a3dAUjpOZ+Vv7hauESQRs5 Ar0rUPU/1ItBtuzNHiNSk9v6OVSZE9RU8rpQ1pIlUDrI2T3wzz7tMIY7KtftZ3J/R5o//BTzDuCv tgAXjcEILSf4+5TiYtnjnn4iCiJfTcL8USPGEIw0DxDyLuhkzpautnL7JyZ9mlehI9+f4jQ/MR2f aupTCeZ8VrQghey4xLho02Vk+xPw7ewFm3+IWFoZiIPG2L9dlHahwKqqPy1HE1JmS7kt3rvAmNIX l+UrGoi6Le515MkUfKqpjPGxA0cvhHB5jIyqueCKG4KHs5iGFbvUQ4CCuxcGdBcdQqsrMJuF6tH5 ofnEGY4JFrakTJF+eqvjl/TmuMK5yJzq9hC3ESPTVTnBTkzMF2RDEZ7TGLVRf7L0NzdBmGT6MTdT 344deVMbgdVx1YTCIgvr/MFLvV6Gj4FY6WhoyLVyP+otgCLHJA0MeozfRwQnmKorTGa1rbnLkRYi Re0I3It3bmBdU6SdvN7qhwht9iY8Zfl/xHDNcLTbeuikU2wnqOmUEaewLPfC+N3tWUdrrimGn3n7 3zzRLz4BwcNyEdh4U7ZpPqvml9Sk8WWAs+NLljQbPJvBLarRB6C7lyEfO8/SXs0peDVOw6rEuzJJ CqTtiCBPq4mWgfTINDyaoW252shnEAfQiSh12Y7VoVedWwtiLnQg/9IqmeSb0drWQzmPvYfwputD +sssoOd7tOiay/qdzFDCwhHNXybMAwABpKo9479a3ZPWE8cmCK8k8Xx24FuMAJX17jnt5pg93Cnn yPG7xyj8jyuxA/75Olc0i78B0/GBaqBaUP6f+gpOvvUPfMmy+5RNiF6ms8be052NVPp98vC7kOd+ sapWXEVqlRU7gdYh8YnW8wOjBBxTg4k70wVvDCu02oe4iEbW83Iv9E2fFaE7LixxVLkBEIkcUcu9 AQcLkgwF7qUMKvAeC+wwLLJoJzsA7abE2P9fP9MGEQP6wQrmUE6lzxxOKN4NaMdiECBYUYu096Jl CqB+SRTHmpLWKKasvItMONydpyp9QCQcHsVEGcKUv6Iyc2XcU4VRrFbBAIMOtPOb21Qou7xQzFl6 Bt1eIFSmlhGtbxFAfSqnKz6tdFLsXrArDvIvPEWu/enXITGe/z7vFVaGg2KwFPCfex8R1zxyMzc/ 94T5prMG/KTYajZqlD+YxTT1eHWXPOGlRmUP8zpvmvGTJ69MKbbOdIbjUY4+aATiGKq83HdYUf9/ ppcMVkxrHJC/zv1LriYbz+6tGzU/y5stP/IW0yrwwkFlRnNCA60+M2jNy/2floJ7M2tjxcyjoIPu GPeZvSbx7R5bsUnoZ2C+lY/ZEr1TFCaSgrVRw8n1PYr3NksLUcz1Wv1TLgLg327cyUnkEnfbtQce h9oMaBa5nWaPl/CHQ2Cn2epssHkJY0VyhBa715Toymzk5gKkZUttHqcrltNraDc40PVtqUhHfdb+ Wl27yQaEdI+Zkdqkxy8QyqGqeMWYzZmt4/7YqaXv6+ZKWhhw8TfD+e8LOUR1LerMHeQrkTikEPlY BEAmih8ODnjUBzbJJwyqgRXsUpjdaNXgxr8Wscl38WAt707+mx+cucWbQqdBuS/AkG1B0dH81wss AEFT3SnDpS/KIbN3wcMeE3pnIc7PGV3GvxbXGRLjxAR0Zd/Dq+OOtNUxHVKWyHzrc+Ku+LSx8RLQ Waqy/UDkabHAKAxWGNPeAENwJPj1rrW8WtOYQv7sdY736vTWdJt8OU2viSW02g1wqszA8zur291d Ztinu8c5ucWU9ZS1OvCKJoV8WNE02uSg6nEV87rQCGzrWL1DunBaii8YaiLwAC5PA401l0J5yFvb B8fn/ZQJH576awpL9Nytpfszg9pc8hmyWgCIXKwbFgHhf8H/TrZSVX7ihRD1TZOMuGjDpEziW9Pn rvk+G1C37qkUK7oO15+iSLumCZ9n7GAxARP0GxbZEau+gElbR9nGGhbiFVwyekvSXmBGXmQrsWIH 32Ge+h+M2Oj/Jrah8UF9wnaYELxhYiwqyRRCKzwd5a1tUbngvUtTSve1aOrldoyZjblvhBwsn9N8 k3kaxfupagjIzMIjUlNZgjc/yFQ2ZY/NT6FzF5Jo/zrkNIb9uxbfjACYXRdpHl2pyIZBQqNKjod3 EEt+qm3AuK7cNSoF2k/klMukQu6/EsSKOz5FVsENd4DnpwQEVT06FIscUGq8c647zXCwczLsqD+P TNJpA2ZdEY3/w12Y7h/eQ4Nd1MnIP4GFfTGi6OuysvagdyyjDPA7L1/33BeuU8lXobjlsY8H2oll eM+h59eQ5FQ4UOFv6oFH2sH3p9Dodm/S7t8efKh0oJ+2vgzYccrF0YaSj91ZST1dfPCvaXP01ymk c56Zx14aUvrs6kK054hadxa7y02y5iat0tmrQ9MQsh0BcMcPuW6ciX3zZpNNKBDW+2MzD9HSvZvC x054E9C4pYbYb0YEKNXWpJLa/CxF9DYWM7k7hqFcqbZJEj5vRf5+I2O1FCzxI05TSjlNqTRKUs5q edn+sOGpg7ioIx0TQCWhOKx5Pmzog5Ht2Ak6CD4OxZlCjsO01zmuN51AvmSOJzTqgxQHMYRO2R4K W3MU6tq2Izyl638wX8MtKAaykaRT74ayl1ypy/ao0AQRoSMPECbwone9F8WfVkyJDiPjboJgKDL9 AnptEvMVHJwN/m/b8QDPERDzlIiy4rBMB1/27LZVUnIKfQccrNWyoSIMo47gZPOHZTmDkZ3DqE9z HGU6oW7J7UBZEIoywjRDlX3VEi+riOaW1SFmabhPXgR/BBxM8jYSm78iMkkERMgMg5G7lN7eTSOM 59DX16v+yRUlELN1csVk/LElXOfX80eebKyKfd3YWts33yEvxoBvjCCJXEtjBqhgMV3LooPEF01h LzveILLlNr70nRdd3EiSjjxUkVI43UPyL+WSXZZaJmjOClpBPfjTDZswOWfzoRqePFqIP99qhz9R sxFSEYnwYDwax3hhfDfrMkCASPpJIaxaC7maFWSuh0a1/qCo0Qn7/SVdVzPcGVN7Jnv/itPJXXu0 /BdMOwERBaNyWxZlT3l9pD5yPlo+Vh3JvyActkI1Tilzl/xhvivY1r5AQmKKEBMV90p7jb+sVhUE f+OiWB+hbEzSWMyPf5mP8SBRDQ/5k3C4GM/nW3jjz8D6ox3EiGaV/XPypjNIQ7j9hRb0ViAvcwti Y3HGDJYRcq6SEXLjtoE1hz9Bwqcgk4AX/2BqMP0EJih2XIduDlPWebBuWh3XeLSX0VFe1YIP9E7q HGA8z7ioIK3LI/lkHZQDTjKZWzEfw1lnR9/htP+8BYck7iA4HLFKVNS+vA4gwT63TK1ZQdUq8RyV qGpCfQkEZzNN3Qe4bfeURtBPF50c7gQwTC6zaFEnJceLfYhb9hADu3Aqvv8myVbWU6ugsEnWYzSp +Br9KqLyzG5H/mUaxZzmvwpY3PEqghnJqGCv0OT2y9zDvYigkVg3gN2XkwhPM6btiNNqvIOVLkwZ 7Ch5utTfGhDPTzC/7NqT7Ny2if1mTqIFcPQGmht1eZXO2X+cjY8dATXxwzUFxWDMzcVdRDfD+nNe hPP9wC3UVkK7zcSdQx3wGTvs8clr148UOAN5kqoJC/2qKH8IuRwOPsaDHrRRBFr3Zi8MoAXp9l37 5ROGaLbgMkuCIb5awK23gYGbIR7v33L4BKp/Vq0A5axCKDslHBRX43qn5aln8EfQPojvE7560daW K+k9LJP6LZkPnq5zGyHV4j5W7YIeBXbNNPZIwzt0keSNQH2MCcWb0vEUU6iuw95fptJVvLO6l26y HAE992bjkMV8eQrJZTdf4nTulSQJOXeLem54MRNsEWphN2FYU4Fh1eVcL6imzhOu9RhAjqgQ1sHX nAnkvFPP9L7q/vNRYojb6znSwAH2RACPJkPwbd9nOxc88yN2Rthxx7LTGoh5XYQD6pyHqwHw3gsf YQhIiCPhLWUNa8YjFzTMm4ABGmVnPQakoDR3Wkqim1uDF/f5QaDvI/eDmIPPsCZuTRVNfNy9QpmZ 0UZaSI8zoqIARLFUqQS56LCPrwJyWsXANERS00uhOKFE5r6TsGiJpdz02Rxr5hAjtaiYiEjlUwu2 EcyL0X4ucLMNxPzXkFNxLLMY3/b8jG+XB9xgfeM2Ifyw5Al0LB8MoXLQtiT7eGztmDuwk1WX/9na SobQMXvTsGQWsvQHf+SfZvrk+ktL2DWmbFXpnlq5hAZLsSZzcwARWMyE1Vo9TGwPn0CXuQHGF7Yz AZwaBtuxnhPxjeMWvjs/W2pf/N5jZlCW2PPG6pWCm+dYUln9i8hKwDUrXFI63P8/wBy37Vmw73kP Sza181LTmcCkA8+8sobC5WsetN/8xjYwWgSf0bIQwVvBtHfFhUoR9Kdj48DznJ/P/ErElfXVTMJS Nh1r4c7WWHfcoSwiLY2UkAViFcOdE9OVHp2koo2w3naKGlqlPm6SMbzFmMDXIMTpmmdK6OX8tQJM hqnszfm0Vao9X7UVL/C2T/ZwzlhnJ3kMGxBah+b/rdk5Za2l5wAejJmN3rRUPbEaJE7v6P03BSUn 5hAIcHcGc1wYR5MqKUXVeJEJt0cwYoQzYbtMoakFfpLqWI+ImSv4rIb/j648joZMLKXLevcStvmi X9MSfnLzIaO/xTt54dvkF2Lat5Nw/s8MN6dtqBjcpT2rr2UJBhiUmo9NH8hrZ1kNeolzScdvhLQj MeyXzxK7KwCGKt0fz2TBfJ0kWNQwKMKNGm0KL6DfMxf9Y/KcWomY3F6SEAFPc2TNpuv8qJxcn+Lw eKHmLYmgFZdAx4LuRprDEGCDMm/B+HlNqWt+tVdWlF4wQT8VAyX434oTOx2u8ChYre+boc6s/93S qQyCUL3mItcBziPU3AU49Wmy3fZXG0jFXt3RSFO7JidYhkoX+KD4R9txhPUOYOkpYRHMAsfPw9lu 1pxZNB0Wqck9fW5+DUhwDLzN3kPIjDQKS/OnAmZfkZrFF9dzJIXhdH1g8Ex/akAw1ZbmyoitkN0P +ITPT9VWcUMlJFqBXwlOJB3B8H/Icr9rGQG4iOnKM32TWcO/qmEa7SdVqoXAwhMn00Eh1Ol8UIAo UNionpH1JHGzl8OLD5IpCLCG1DI15px0WfvdaKpbBpCOB1QdLHits3VO0KpBElEn8MCH+xsZR13u jedTYhW+FIAQugcXdvfJoXj9RSyyGBjARBwQdsaWw8nZ6RW6dBvHaNNr2Am5g412iKmPIUwEBlIs dHdMxAOf5JSB2T4WXP6JYyiy7CCRAU10Ud3l+myGiaegWah8m92VxfoHhuhrqSBdIOEI0c0qNP4f xLnCesHyyjfhbAqYdyD+lpsadmtI4rfBt+nHx+KAxEU4MU4j2rMONdk59cyPqBDtbCKoICZdsAFB 5vrM6ICs6Hl4rjAR2q1G1LHg7c3jonMY02B9C1G6ly28krMfIzbL7kCZOkwxCU6tz3FZnprmzbeS 82YJ7gWEue31t8MMUyYIAAuZNIqx548PlhFBRH55JnRstjz31D7mebOkcghmqef3TTo4TenKKWLS nta3kcl6Uh9wbF6gga9M4DX+jSZlvHuLMIKAqsI4xe+/PQ3rIVNL5BOkgWBohxANbaUkCadDF80f EDyb5lVLTLJF6jUoTcainis4BaTylb9zJl3RomTYnsJkPJTU+UnCMJpNLbq9gKH6jr5AN1GUW/ei PMw09wsTzuRq5ZQYGOgt4HRj2Wr9CWT2lwRRsZ6Ybxiv56A9Tp4sFYEFYy4W6sF0L6LfEBYDSrgr KOu7ZJwKCGZj/uDyj+MJBwunsxXOpW/qNO62Otc7D0ao1M5Q8ebnlf1lH1dnrTrPRFtvhHc0p2NI mhVMFuHWqBRy+yFA2qJwL8M2nGAiU+iHT330X6la92d8vFLrRuPeEuqd9N/5SyRqqKv8APxJzd3w DUHUw5HIRw5NH2DaJymRC9zZHQEZfxMe0YUQG+SH262PQmCwx7F3UCGFIJQkOS0VE63UAjQI90nM rcfba3wQR+EnuX4dtuuilTC/xTF/mZEaj/YW+s+KB5q+USM4KTHklySab7RRstdQKrq8nEIV+5Dx ifob0Rj2ULL64lDOVzDl8lIbURolYGrMVeWMNU2oRAz1CAkmALenQTOR2BFYJkKmFnbOqGBlTP39 ZnwThKoCdskPuT2lpsTgqqJcxD2g2CZNTbW1/yhaF1+6lranFphmgcHO2n0UScPK3aayS8u58n+G FLeNsVb6hl9qlayNEKnNkL2C3Nh6vVFFjSB2Wkr4HgQMZv7LbdWnuMT7fqG0EavQ3nkqijXkLgvY 3FqmLPF6xoWELxsGrBswYxTZSaxhz9c03/FR7U1WlT+gMxPEzUDz396rPjDnO3YUuzBlNzgbdIPg ZP5fQvuPT1dyUQHzK9Ix8efFhxl5BwVmxNLaQeoWl8g3+lb6HRRuMXaCE0kfLpmtGagQns3w/jFD Wdcea1np950QiWE5kw5jYHmcHUyOMLmcZOPwQ8cTPUymaP98LHdMxDIeMLHpyStMk2hml0K8TYzU bgOwjTWCUZgVELnFqGfsFQsoyPxDlyY8wuXaDj6/n5m9JVPeZm3WHO26ekaVBpurVR0TGK0s8UvN Fma1v+Ks3AromElK7eOK82xM5XfBHr+YrA/+CaIGfW6tsj56QIOpJf7LjX2Bb0L3tOFgcCagdnph x7bgSovBkZrBhbO5YeVoueSCkUqjug8oGFjyLR2HMSw4Hxt9XhsHXD2g6xAU3a8bCp6clQgp3ZEW a18hwX+u87zok5+Q05bdiMTC73kHhmeOSv6iyqetlmnl5xu1Gu9xuh733VUnaguhkRGvSWGfqlfP VTqHczCdgNO8jSZLNfl3BNi6WLwjcTjT0in4aGyluZ4gewRfyW25lhBoILDRSOR7AQwHt/8XSdvu DPhuVpa0vWXZbOvdkXhgNqfj/rjPn2CdXBIZ0L/d+zLmKKB3OU04RYXd5FtW+1XC36huLvzdRh1j Nydp2TWVn5Mm+Hz3XAph7lKVB74rfeWzUK8+knYKCgHXMU3u5gGUJFSHVU+UK7LOQBOnVVnKPSl/ 53VZvPKSDxp0rB/wwKna2VGu1+c10UPqtBm0YgV95tHXRy5851dVMSnzndk7/SOkUjAlGnVK7UL8 +4Fhw0qGdUn2Rjg3I3Nax4kBfZ0WT0DDRL/cTaGFqjROgKLS4BBtnR77fournHTUKbD+JC017SGM iQVH8goGXLlduxpA/kRjy9xzT0XPMtAKrRwMqZmzp15ubZadBIPxJSKVx/o8aer4te6P90EudJ38 83cqxzthhWGS1VDDIs7irx8emSb+mLAL32jegAZA+pbReVlvbx7YXYwOKh5BNhb+ofgUvtzUtj8M EeOr/dksWD+SQD6q1la7HBOuhINdUWcuoowgpG53SByn7vBq167Tshmp+wdxnMwQtolg6w6psiuI gtVbw3Umq0HjB0RJqSAZUiXbD+sgtmuBXn+mrh1bBudVTeaU9YZ+dP9qJBiLuo95CYfLYuQm3zQ8 jqjLmJn/O8fzb9sFfCkJ7aUb0ge/0e7o6nM6k18sB9mBd6566RiCCZt5NrsCR70+1Vdo25cUmQPZ tAiA1MueQkAuymZEJ/OJY8kQMseRc+MaE7w7DwIZRIe1cgSPEVWyinG6W1Jejovfrq1EHbLks6qm BA1Fr3ZcwVyGJ+95GmsLGeQOFFTf8oL4UR8FPIjk5POqnEsNhRgNOEwTUple8g6+WZm1bbh2wng3 ITodR0HY85BPDLSRTlKT55ITDiQcRZGD2KeygLSZTvZ1ahOkjVFtEy4GTYcujmOWapsz7kAWln3n jpc/7bgUHElAy8csbWbDSB2gWh1qXxMw1m3s8zalCV/7HeYZJ0zYOEdgM70ipz144SNfI5L6nWZk hCdZu+1RJG6HL78Tj0cUYdfK0QNjh4FyJrStrPFbc6SRJ2gwHp2XQxGRRlZDUjlRUwFs5T08LAys PWdCxmTF2TDWGN1cb9Lz9736NlhAihTFlnM3u1ES3kkyhWWseQlcTl7bM1WgRamP2yXb6C1/krFb 5OnJkk2w2shTcKNLLXQKnsZUnxcWh7NrkSwMEX8QTQstrAat6aaJdoURh/x+v5y7nHwWilq+iKgu os/xi5lR6utj7FHi7rw/ebnEcvCH9u2H5r6aoJ0VW45WCnwkb8hX5qpDxZy9/8ytglruGhaQh9Ns 9bNe78/0ItuBWVYFC+GGdcAbRyOyZ8K3Fc6SiWE3kGLkLjJV6KV6WoSbAki61Eh5UxKEW8obNJSF 73BocJ9/I+pjdTfFTPvZwYWUesB2Wn0ea8A+b5uxyerqDxiy+ZYp02pCQBPaMhWuzawkhbq6n19w UvbMLvRbtpM09zLGWub/2XhZ7fU52rqepARSq6KRbeON7UqrIzoWaRxmaakvDFZdX/mfUdyP2PFb 6TzSCAq5fO8ujXbNh5m8qzhKJ7gzsWOVjX3GBpq4mbfS6Vbf4CzcfWO/yqX+ftiw1B6VFf5DIz9o YyUY4v66OVtdaU6YrvJ/m0QdYGpicde/SmPZoIxkk0jvJg0g81hIGgXDHgXn9Rg1LbdC44zmuM74 1mcs/lUf0DpQqPFarkGqPGQHzfpGxlGopBzX4p17nGLreRRIvuhDtkQtQ6t53lbuNaX4d4uA04U1 /za66tvQWgTtT2Un6yRAKP3TmRzTvSCnYyyxzxK9jA51OQM/QicuzMlpLWxh6rl+OCHI0fnUspw/ QLV08OrA2KK5XSN8I0jvzsrjLDsobjGOGZ4qfe1ten4ixBqRDZJ3US0vb96P2JBFb1O8RLCn0FwT Opm4drJU2tRdLVutfAyLtU4Y9eHZOs8ZuBAL7gEH0MnfSHBJv9uwaOFkO9Tq1zLO1+SN022893K2 TZDluYZH04PR4MnSvPmcizjvPX/qGGtstjzYWh4Ltc3obNs4wcXfR5qNFRUJ9OdyDQpo8pPQEoN8 ZYRinEWOeDdBte88PeZ3BCn70QBhOx5oF1Z8roS48h8bL4MR60wuwG/qwuJR31bnp3vDNsF+kBdi D0l3fn3DZPZNSG67t9yc+3EWr2JE26q93UYIjbbDhWPV1KNukcLgg5AJXhtDaNJhq8JkUrDVoFFb PmEv2IYHNhI7/BQMONnYYN/SQLzGRSWxxX8fQdcEvuashiDoCffqNeQX3zwsuiTfW184Mn6uKAPh PqSi1TV/B6iN6XlpUAzXw4m874urIVCb/5+ybuYsnlkQKLzRWGpBBuVYQssNpzVuEc3Sg+g6WLt9 cO5OhtF6Y1eG4dOk4DO55SJQ37PZZtCV6vbpC44ODFO9e3EluIOaM4QNKS+CroNxwz7JnKQmpL1v 8H4O9BCo89YcPrRWcz+4Jby5dwV4z0YAzr+BNd2flblhB4AxL3iHZicl9wYryyyXej1RDVvDks5o CG1aMnqic8S+/NzH92nzq6KXH34Tn1RgAgTOngoE4otW1zpLIey0fEpxg9CcVL6fQq/GYbLRCPmn radT6eW4ESom4yM6qtlE490Xm1rntMvPpXFBCMQ+cnTcV/ckkpHXzkLfq95fAAUtEYfLXhs5Dzc1 krhMZQOICRhtMEuvEGgRiRTt2iJsWYfeNYuWh0MMaoIXVR3CaHCjiocdOSoJfkiuZzqL8XMDCI9O H2u/qIAZedVLKYUpZ8WVaDG/WcRTCj7nixkxUvyqGh2z/gNesP7XvGMbAwLh6ODGDw+RZpp0SxiT SKe5xb2CxC6j5iMJR9oeoZ6DHMb+lJ6pStMORDSpvlM8/ICuRdihSe2vKjDH3K4ObajDjs94IBRV 7CZJ47HcOrk1q5IeplvhTwWxTYBWCHVS2phpYRNgDuOnYDKMGt7qze49gDUwZtkcCSERDuG+fve8 +ZCAwC30FGn1E19yqZ82BF4ou2nnnbDz8ELO7x7z/3O2UdR+WHvjfSm7SwSDpVboT+8VTXrPIhof GjFyvdTpjEIyv1T69ZM9dAHRlD2W+X+3668prYrr2X49uHX07AWuNLmVsCD9owAsmpg9L1R2Ky8O EKrAgWW5PeT0UoIFcW8IEkQfJPE8uKsWXoRWMakfX7gP9omNw66IAtvhiFs0X6drQ+X4O+50oKtm zFfpg1rWcWzuDbTUK4M3TyeddfOUjSmtk2ThXFtSA5R7OStF7WFwyf76v0/iXRPwXfYhepeIKfXW ak5i+jweFRBnjo18tPN0lC2hQjdRF4VyAyml1oFPAW+ElNkabqOockHtnVq+tFTveRDsgz8/3WzY qzmmZ4/tosNM+nrxyNaWUVIZc9k8zEjLSTvY5HfV3tvAIsA59ickQ5/d+eG+cx/dpuD3rocOj1ek 5cE+dYCmkuieqp/Dg/vSpFIzPIhwrzEVEB4mEI8QaZBYMdfJXvgbUmAnDoyUhjnBOD8j4SDko1H3 PMkF/MNSzsnYZNGn2u+ls8ZdjE2KMvs2G+W+x+vMCJ0FQdGKmLxTDSjaP3W9+jgbr/OZlkiOU0g0 M9Nledq+SXkVhNTgFDoM362Ynhv+DYCsW9xfZ7wp0Tw4TwZW24/lEUBSEkBJ78jWk5N0NVoN1pQC 40AA6CI7X+lMVqYp4xhU4rtrgMWXixplSvuG3iWfsNJEwtEF3BOdtwTCoAgn3ffgJo+21Hfj8XdA dbdWrPDY2E0BXI2eSzXQ+KZjsdjUEDjZusC1WDmRLVnFlS742WdFBQYryWnb5l0e26B9iDb9aDO7 GxjtX9x7mweCZJ1zFqzJq/pHES3Fi+HDKeX5STZS5pXeHStszY7UOPCT1uPX7C8awRL6fGbfnKo8 BG3T8GOHDAw026xxNYjI6spxfC3cLem+i6jlNGiVhxeCxAInJ1QtJYsCAk/vRhq0L4MsFABrOtTv TTcN0efXyoYTDxFlwWbhqsXrt2vyJIJhlM8Z+5jwlpsXriShy1QH6YCuH3p7wjwU8e+area5fsP1 an/nMDtEytBsFdp3h4ROkNXsYd/CPE0YWKeU4qKhhNgYtQvRPQFbkfy6g9ZudU92dttAHpT6Ky/3 h5ZgN6Jf4eZXhzaX+aIzIEZMSqYN+76sNMcZtrPMg4QAVGTtbjGpS4YJQC562vAoQ9M7PgNhAuAP nJwQ3u0wTfAqhUbcf7HjJEQql+RdYieQD0FeEYKTWKXBdyxQfCjgGIVL7YWfpA2eQhwjXUEkxn7w OJJWJPmMz2+ya9ha+EHZjPfY06X4HyhB28Uvy9UiwhTFa5PYX9rQsGQtUruPmjuJ90GqOh+vVB7s nIUY5Upj2fePEZkQTKoEgo4FxW7gsps8SI03052SsIMOutXi7XoVrDJRoXT6oXOWtr3adS1nVYbN HTy3ksH0h9cH5JclHJK/NEur+iGj7vU28PHrx2SEKkRDXXy7b0yFPi4Ke5cL/xgP4m2BUfhW1epe +rPiVWpukwfoqQekrw0PntCKYleOEffYwQ7MO+oZOYzZst18y2XWzSSr9E/7AAkeQuu+XCebbFr2 x2FrZ466LrviWuCflhYlClZf1LLLLCQdlOZF+t8lrqMQ5zZaLlofxikOpJrXPD+XYEXPfebNg8Ol jthk7jzTaH8p1+qUv5464X5JwqLV3rN9JG+GF7vV0yOgT/fKl2GbWniUw2ojKo2L10EezNuHGxsS hdiCzCW/sI0ID19E2eh2OPl692OU8cLXSYRz5Z3LTeMHnhimEnmS0J1qD8nfk6GN5clFl+/2ASrZ i6J83Bu6rqtgoeppFb5wmsQb2tvU/4QCKtEHhYb9aGH+GKEhkFa+HTxJK14vHe1LIxk/3p4XAr02 Cfs/IsOWC5VLzPnpMMrh5wXSUBKgvjjctCF/5NFwXcjBSc0ypIBUE/k7NdKNOMVvzB/ZqblP5e/T qrAm7vzC6yDohUTdN0ILOTEPgnG3fxxiea0WUKUBWFNgaJk7/hG9h5Mzo6TRt+pnuquyJRQucCex R0h5JdHYGLr6VmnwzqkKIrS8S3wsvUj8Aen6HesnpsTWOyaJEaGTvunSBNZTeDGy91M8BprZd9bV AYi9XmESE2OxN4c4/UC3DGWsIsIsrrRnFLa5hGWTyhvC68Q9fZR5tMFDjMGUytmDfgLanliYc9A6 xvUPmnQJQ3Vmko+9JqJhvRzXdFvVwV+V9nwkjP4QFsp1pGpo/JP1cvmSS525OSTtF8gikzJccGNi 3R2+3pYJ6f7EAa4BOQsKhDal/AO/2luostHgwpjw81baIOf5/sW6KhJNGjATjk5u4VmhWzK1zyr9 5emqg4fukG2EsppMJE8LecIey7JoG4TPF6GEjcJIxsWFyNzcY32XsUf40WkXxgA6vtuZ4Cd+fFvw EVmBpchjLlivwiLQ8Lt2nNmEvGn+HLAbArJ4H6sDOvpjytHm2cTMfWcgvdpzraVxfRpjj76jF2qa dxy2h6Lv/xXysEH9gpiL6MCFzXa2xbEEugOBUyhOl4HNwd00Qcnsy4K3c3rN+vWY1bVxVwdlp5Ed P63z8mWf4yX+jn4cfRbsRyzln6in6YbJUWTsP+P78Hj7IbPcTTI67jehjzdo4bD7dvXv+dBJRK+d U3kxNqFeD6zXPQohqZyRJyTE8VGQ7uzbUmLo+7K+OQ/VEVEDUNIz2aWpeBhcjmYWLogyO3eSaHXA 1qZbHl1XNVCE1zfBasUcwYoVa9UjweY4bOtw13Ean60hNaipN3VtSaKS85FRVrHleJtKNeoK4njt N980xv5baLPYpiHC5blu55ujhT6YPV7xR9qmjNEqGDF5sAgh4+o+cd3JytuQVkULWsYH+4nlUvLC vVx/okdjqXSh4JZdep1nfolist9MtS/mS0uIC9wq3bwSH/v2G+S1hozof+Y8hs0infZbJphZM5+E smNu0Sv7pAlDvsgmXrBtI3ATl9y8HexM7wNQQVCHNYllJQJSlKsPsylEVOTNTLJ4hsHa0kIVfpcm POBUIbkMlkKNYh+TddrY1ZQPbAwesVyfL159SbsEGK3U9fd72g5s5aS7CwKGmc7QPcjK+n8oyuTU Qmy4370gJrWAOI+CppcbvNNvXEdNF/+fuHqS880n4WYVCb/B0Ta8IwyC8Eg8oPz4SF4dfR24otBN 8IsBmCXMgRTCQ7qGDh8qIrBAwNWL+qvrUbWcT+tCim0I3KivKC2caZLqQzw2e0b3PuCBdjzen4DZ prdrL9h/SNfn3kvWovRNaX24BaHmKJjOS8VwRcsQUwEmS2Iq+WptBXaO6vGH+VTfxBQK6qe4GYmq SL69i0+lmmUD840GcE3v98iEbu7J507Bi2AqcqBM5pJCwHjVzNqLfzQVpGwmrkgI4MxX5zSTGiiX 0s9FvbmNj9uL++8t9L9TWsamlFv8Zl0EBsWkbfdH/fyc4VOoEIMSh39+fxmpmhYiUwhQWryM/xpn 076o+eEbDuQVFZBN0Bvi3IDSSSvjZcJl/JozjaU/pGc2ziLsexbz4c2bEISzS/KwohgBRW78K4mI ywWQX5Zu/tZwu1KXAuw6T6NQzRlUuL6MQR7P1wbFgAtA9Be9ukMNVjrAIuH39GBs3T0zaNcp0cG/ 1fqTBb+31JxqE6LoKwIS8PyQTzej5BKkIumK7UTv25JtI9ArUlZlq+0m+dc7oIWdK4dGbW8OxKwO lnOxPU5ZsqLBP0VGMcPs4cs35ccO41lWEPkfiphyhCAreLeNym1hKk+UbtvLIGEqwL9/wWsGiI2c FXim70AtwD9X/vx+xz6GHs++SSidCFYk4Ja39BA9iqRPo9KRYN+oHDtGWG51HTivHw4pZvndZ8Me C5OzG+IX6Z6I8bHK2aEM1IJdlxA0QNtVYDtVxwSqcWQ8vAwRNpoFRJYnqVY6MY2V41n5dPhLAEj5 ssAYfIBjrDxC/e4BhCrLi+zJPYxvJEGbvFDwlB0jdhORoukdmhZwzdIgI952FfuzLDL4GEYYosOW b6KRLKaRnt1Ly7KslWFiJskQaP2RA8RSTe83+KRhVfl6TW9yYINJztEa2m5oATjz5QndgEycdfoZ +stDdH1KMwSzZ4EbopJmNCqsb7PIBAvgElSBTzm7RBfvGKIKD+nU8/LxfMpfq8wve/I1L15WljER BSYJLNKu6aI76lgshGUAhv6FQIMbGQ8xUNbwTLvpjgkYuVt6vEuokK0Jr6J5XY8LOpv6HD7MrR/H wiN9MjiYRQIrMRvQGmqwhY8qfv82PSfVdoqWxCwmkQb+1EofjWmCX/9HJ56G9eC/uYEYrydp6cqq DRUUtbFgItQ87HsiIB6YZbAE8AicokjO7nfLgbf9NTRHPYeXc+ZSDqTsKYtr065QhkL/P+ahS6nL pLcxTIQgk58gc+3lyytjiNLfa++CJCbY07ThUf1nnFxHafiXs6zoOef8HNKYFUh3rzYXEVznQIhw Gnsq0rR43xRo/qcbEPDk+Lnetrj1F1+CUkq02yyYSmRHFVZrgoI3mb1otgZnywAzQaV1/GO+jAgt pKzQ7GsiO0LTQgKf9BQX+JMsF2A6m7D8Cp6KsHGvI9AWTy13+YQJtuM8rBFIjvuzJwxquy9AUcjf a6CStFhwlrcx1ZT/neS7XF1RA/DuamZTqxCuKRIKfifzu4IYC5uKC7+57Kad4aTZ2YEFpUy4KgOX 1x9qwsqvRieSDa2xDNnscQ2Euheh+96ptMcf7NnNOs2vjkMXaFlJQU2y4b56X7sWCFT1EMO9M28m MXA0goiQv7a7sz+erIIOG/O4ApnZxSfnRpXOobQjnUpmZ0dndz+PYCbmWlYhg8BUODVOxwPsL1pg ekiSZnp+NeE4CME6fNK9bxvXo5k/qscOtIxas0sMEWnL/sYvPxdTVPBwUCc0N+ur4w7eBW5SNUx7 m0HUDa/ijaaQWlKDz/V4CRnR/kHmcLYoOdTKE0J4B4HHZkots0zxXHwoliBE0KkOHp9D97u482+W 3T7b84RVQzYZ5sZ22kZmMYjGuJHcTalbUdoBSSfVDHwutCKKHZZx3QWEdKcZVf9JVcVB26VU+tf+ Ixe7+4v5I8NBiTEiD8EBRLJ69npJDAt3XgjpKBaXe90Mq3RJSb9BwKHdyaMHiyOlFEXPfGqw44gy HLCabBaObCdBrNvtxT0YuRkhZB26e79aDBpA8xrrXpIS8tGmjmploxEzLh1qfcKUgat3xNWV/hoe fbe28x7s+ZdVnh9mfpJ3hmkyWKaDsn4Bayz8lXVIDbiG8f9owKJHAH9jn6Um2bEJpX58oEMQekRG O1yghNgchkKLBSZI0G3iIuQpudhnxSH5SU7TBov6f8I8ccsYOKtVAEpbyB5Xz8dC3Sd/rFImfaKh 43tdp87hkbiz1hdrjR41/H/8rx4BAsB4SLtRIToxGbtdCi6veCY05tce2IlYIDqrgZN0yrYkWVo3 ew6SuI4pS6uVAxpgDB14obiSqxjoPCB3AZvvSqFu9rB8WrsEhiuAjugxqFNGVV5gZBYpWKXYd5fb 5hiIwthwejgnjzA94iiboB/H6Kd8+oetyp04+IoG/CnuyoyWU7ni0+8SuTCBh1IDIVM554BHQray ZClWW7C5B2K2ufMuYXhxFOkOJUDwz6eaDtdeHJGQKxKQfvJTDH06MTUuT2q1im8+ynVHGWb+Dh2G V27koAcHJtm53R0alutr0VIEplM/T7iQUViSAaMXIS3OSnzaiHRrU1ZOHkTMmOpEHDI9CIV7WFW5 dmyyzGX6dYtJpc0pDvcZ6XWYuGEYAqPC/NG7VOO8UfIKfG6YsZA1ePMVkCqZVyN6MS5yP57Nj4x6 Ndy1f1iSRA+EZTn2AEhx4/XUYeMGQ+rzVJ9iWPCOEBHvKXgzxHqTCcLcMLhJQY6He7iiWJRs8DMI QRwJ+xu54IrkwJTUPb0tpTcadJeKKFRbsA6w04qumF2qZg5Zjg3zI7mif3mBK6GcovMapXA4SpAT iBKRIUs14m7nSlx5g7ZGIUMinSxhjsQ7gDRO0JXxIlMtYpglJ92ePuz3pUec/v6oJBW/jhKNBygV jA5evzyA2ejN1axTl3diE3jMA2MaYjBrfrXDdMBUkDjvm22TTRcm5aBoNDHUd1UxJn8Gpm+UUbmu np49T5cLQ/p4U6oFMIDEGso5QdobsAJHQZC7Iillug29T0Fa/G0vpW+crrbvk8Ix1jtPX4qQ0SkX 9XI0PnLdO3FrGlHf56wk+cYkl0Ts7JS9VfOIPXmVLor5ANF3cEifaU40e4EQZW+V9wHmAX/Byi8y zjEa/6j1HcYVuUHhImpn6iDeUijEpjlqgHRIpETyKF8HW1lus91Oc2N8UvEQHFNjk51MBEpFkLCK vx9ig7+2Sg68rBuU3sUJD9p9hLs4D87oW1l+i9XmSKXTziPRc1k0Y589oZPy+Ug0X00/dNKvnoAd X4HVe4mqT4WjlwarLzekxLsnx33e85+UL+RyklvFoixIqBzXNMNVnyrwSXEcy2Yvds2xLL8/+Sbw pPN6JKGeSK8VTx1P1JSjcCYOhr+LVSSv2JZ8G3rNFnSYbpyVsvE7k5wgH/Ov9zRVeaD/+iroeJfU fCtKPChfbhsWCsU0D4UxXNeRFaK9X9BoY4h6sxR2ua8NYsFHUzBToApwYXPgyM4EWB8c8bk/GnjO y9/pgypMbj+I1pvkHj9tFpcZKBuKHBcS7OeG2oneycTP/lizHGJIuLjhYbYddaA9TTwUSaepB2yW LC1UJbM1hp8yXEhXQRvJyXedZZPUhRXhrNzsV4BebsVyaZsrZsa7PWLjLd7XJUOxifmw0s7LAEO4 QOWeOO5kGpGL/sIijvoF5K+yj2sNGI+E9GJ9GKy7PPgMJ8FXR1IVwQl/lZpXWzsEjdMIgYB0oxme C5jSqZZiweY8Sl5jYzA6kmSjuzqmtPxPCcHMD1OXF/5ZLZsC0+iap3Lq/q66Y7zv+TMXUQclPQ7N vWVH1Oxm3nVJzxPJyGrAmI9lW+At/oJtd2BH2W1BomEavkJ9OfVTWZWVjXQSHQaPIdWEdcxJcycV J9nTgk0RHaHWy+vjo51CaQvI2yH/8lNP5eEuJtW2pQUKMswUx3hSgtH+Mv+P4juLo8AxzG28YMyK fU/LKYYhxNfiJ1nRordNPQZChnQe101oAb8DyBcVyY2snK7kziqO4/W0y6SH1JLARXdCOBIxqodb 1tTHPXI/U1ituCo+TvYylQYrGbMUd3p65YjnywZ/UHG2var2HKpOU5D76/UUWUbDkqI1hh4xf5J0 VL4TfHSxR2/u4Tet8Cym9F6it51WsWso+wGlP5bh+RJkQk6r0Ar7bD/BD9MS6kW6oQBT7ws7oHgV rm0gG9sPt4TRBdQG/OBmsadtXrfl6s3iwT0omCgQeGlRWQ3bsY8B+gHN5ks8DPxlCOp57G7AX2nx rSoh4uFI88lEqjgXud2uTSLLosJMzreRp7aPwbD2pErqAns0p1GzEUJVz/09n3KyFexQEv7Uq3zu zSF4x7oesSHe11J4fgbebegkaTfFsHSrWpcuGrlKo46KixabIv+8G9EZ7huROJ+dHrh9Yk3PQaJ5 nFEDQXvjr6u5IePMp5ayuS8mt/1sa6pC76JIYGODUx68X6BqUXAM2/ME5rHJxgsnNzJrZdfN3ldu YrfBKbuWnqkt5V0l0cpZV2jc6c+Zn7My2sQc++w389RUqOjjJN9VaiwBuMQeqvMOzt4AX+MuCesf xSntJvCj/JcSf9fy8z7kMU/rw8e8iwOuoFf3XW25bsIfNj2xgOysH72VxVwavtmjcZn0tgDuilF/ UIOI/djLEcnPlaXq8q2M/RnvPhPxvYXKGA1jbV4zXZeJ8ytDMxn429/k7X+Nn9fzafm9c+8jCM92 nW7FqLVlcdX6IYOrDLrjLHKqmTFqcBfES1JwL5uTOb0uHB4v/XFNhYCySizmpgb2/jTx+EjiSmmo 7UtF3pnr+WJIlBj/urfQwP2/qFMxj0RMd6W29XZ2/l5BxR8gnATKchOR3X6uJ03GEapOBZfBkwK4 deNQc5JZYRT32tfFPlM2Ko0pk1DDMmijHNWLnxS+VhUTL67qIBTjO81zcl+6WEwh8xPYaITCH6eu re8p5d8pzY4wloYuFFQVQRe9JS39nlFI8LzQvmC52gjFqydBG4c4N1HnbHfEa8azsye2dVYr34C8 PDqXYh62zlMTZ5JU1mSWqxCpCyMr8MWcbtkrRPe//GSbshKdPuM9hT5ekxktS8EsCrZ7UeuxCZ91 m7PChPDwQt8JvW5ErQU0/fe0oP3r9rRav8HXZoj1BlRm8R6aqEG9T+Dit6yOukycxcLENXyauq8E g7iuu5AYpf27yJx+OQ5RKnmYYBkCPHifdKwKkFfLC/mg693kdisN+t6xpauA++LUa00Y7wRYhdwu 4/XEo0zU5Yi12A7YFVSmSid5n1SysszXjg7KA+CgyxfTtMNUCQ5+5JRLfdRFKcM1QilBtX0MLV14 34TuHIP1LOLKTKY8/zj9o30fqIibvonQvEcs+oA7D4YASrrNVkwUkipIy9DwU7/YBa36VYYrCnaO HStBrw2a3Qn+p4IdVYObPAnRkWN8sb6uMDb/TTyekEyZKeinqORPYjSLoZofRQU5YAYhuVMSfVip 1mQTCHAWGzsLyf6bgpDc8Rv9di1ts+5VqEIdhOByQN3R9/prJK7+94tVGWPCmfjLRtMDnaany+rb dJF+29lHNAMLGe91GJuYDqgNQzrIqa1RTBjf6YyveAEU3Q5+fjubcBIFBii+Tduc5RxOytybbjrw 5NFvNLckCJjg3/XBW+Ao1ZqfcWJiqWK9M1F2OSNdoLfZ54Ib8c0VjN+LjFNoB60BVLNSAPU7UvAq Z/Nemh6q6ZNq2nRRb5bJJI0xtL3xTSspWr1gmACIyCFXzKAvacWh5qhJ107vl3JwWdJQLsKFz3nL HMLW5DPO/5iQlw2nMCOMlWLtMBo6vJWzA9MtClrhJryNHEMqL6BzRGuFMb0Vqd30KEckeg10IpBk 3ocX35NY8HoTvc2+TBgGYq7GTGJUrYOZUzBB1gfTgvJcL4mSRA7+BzOSBhPRdMyfESZ6eNkdLTbs cUSF4A2o/1dhMspzp2BxY9SkAzwLKTIK1Z9wnegLK3hWt5WQErVcr4LrBqxqZo48r38oLGSDOwub d+ijq3x3ZiulNvYCX3SV+w5iw7GSs/Aye4UPxdtqXr8yAmXHN4q66N2TjmeKi/AwdvF+tuMY4fjI RMH27sxgL5ZqFQSUHYt6hWWET3PZLr1fZ42szGF3c74FEMnsV2Ofu78sMeewfCidtRs+7WWje1pe vYsy9LDZESmq6//bfWRwxnUwjQAJ5bSYtMbsff+Y3X6M1CCddQBFWhmZ/B1ErILMwcOgPGRN/xwJ fw5K0wsP5By8lKhBZS2+aAB4IogenvybQkCYAhRX+mVDe/mbAnRAf70FM2Pbr0wVLunoxzpfrp9P jLsrjgq3QllUVDo1gnw0IJgWjNu+yMfSJ7KaX5+0OJqCPB40qVo4BT9Q/9gEg6D6Rq1G9Y8SV7K3 LBtjseinDkwDn1rKhxORbxGTAQoTJ/2W1inBYxkahAG74WL1whsm6AVxaMCgDzQjXb8Jd9dPW70a n1yldYggPnYhqasaz1fhJFczsV2dpruoPaVhnyvJK1cT2y4mIxP8itRuxo7KJCabtMsRIoCzyW4T brNxPBh9WT0DB7eA18+0g+X+IqPQCtqH3vsO8IVpNfNJBQm7fpkYQWrdtNKo2zWL1jst41G74pnE unWfKd+66s+MHaSD4oidQSsg8ZcpoyaS4eUwVusIfpZdeQVFILxcNKfHSFXGNXVk37PQuC47Wfzm mqO+hA+M3233jvx9tKSs2LV6f7V2zJ/mX6JeUAX8kFXm3SrAW1N37pmaXbXRWl2HSu/2xfz5WYan JFJF/orzr66d8VoT2uiaMGEeXTXwwxlpufttCEGBPms3r12S4knqVOk0M0+zx5KWVmPXLkF7/SQS Jz17cQHnnzwsCwmEezdxDfpfXvZi0gL7mtIMOTZCndc3pYvrPijSA/ZavsVL2GbZSI1M3JDHN7oA DOEk+Luagwgwokva5GHGi1b5tLNjEdSMWO12gzjEuHm1HE0W5kYIB4dh2fEFnWXRCCjICKeQi48b ZEKcc3hhUdLQX1oPT4V6Tc7jDgk2L+K6/14t/VUhdTn6gA3r9kiq2sR5+ChNq6fb13EuyyWrwGri eiFhA7RS5a/Y/mIkr9HHddH16gyX7A/KEwEnr8xXtVyhB4av6A8RmjUenrR6y7vykio68josc8Tf B8AOJ8PHXlYr+h9oweGQAvXuuhHLmY2cmymoR6kGaF5gBNlrQRSNbPZd4k0jTAbvaSu2z7zfg42Y TGLq2tJhXkSU3sABs2M+gAbFq+v41w4AScYS0xE7D7VMzwc++NLVvcSBq+Mj473r0x0kixd7jIfZ /LcQ48Xm/L30bCSKG+obJ2BMshZYjeGsvQdqssbc6DTTTGRLLv4k5sM1S5+41NLACeoAoZGIYaR3 cL2rqq8bpCPHMDDQ1IsNUaz5jvjvMSZY9yHS8WMbVoMPgFw+tXz56aTyfY6M0svfEu0V/yQ5B0Z5 JCTxl4KSkaFt4ainvO5ENr5OznFAHtI72s0I/hYJji3DuVnV+O99GXgfyVWYqlDEbJAwaCw+kSC1 zkbXb754dT+3B4akCOJTmldSt0vHXgWfjF0uv496SchDzcMYQJQ76k0NPHrACV+1EH3a1X8v4KnK 8F/cgVDWUhA5qGZg6roSxpuf+jt6/nejAeIHlrNrH4D4RiSvaMXF5WC/NEXFpfPaPOeMOJfEFa3Z WVOS529KxcinH78OVEjmftrR4jyqrfoA5HNxkXk9v4cSWRmYtju2N8cWZDKfY67xUN4Vb8B+vreh wcyhFYiwQfiA3TZ8PyvlOMTbUywgH0pnW0jBkMDlNJ1lnvavU9FA1qZoYWraw8N3Ni3AtOpMZgwk fAjnfvQILzxQt1VX11gQSqnc0HmSCZ8Sq7ryhibdeecWB36rVttMkUwO/mxXDJiJYctZHaV6vqke mGKTyqvP/55Yh16D83SR3xZ71KRfnRFfPCy6Mez1yBkMrB12wUmvadYZTJp/hLw9pwH64DfaPtt5 ny3iC31YBWUPIBQQ0jteBWtaXW94wou0rb8K0DZ61GSn906HBchpgV0QtY2nIurwz1ep3g+u3rtV YYN5OaoEpX744hKcZosYExOTDacOWLqull5cfcInWJTz3PgMJKzf8IJu3mtlNmfpAh0o6EWj0gxL 5Vp7WTspKeyxCumsddReIC0Dg0m/T26+GcFs7E2vR7IWwcDTKK+tjbgBe3wnfv6BSjLkOdVsVD3S WBxyDZ+v6i3x7VncJ8gN+GD1bHbbu9ktcDb+SBPBVYj/5hbvO8EZ1LOkGy5Pxb18myJ5XRaXV6Rf cwguAn2tmnZTNqz9Opp4KCA3X+sqYCshEr+d+M/e6W4r1C3TZGZjCVT3A7B5e4q/XVYqRZykUnkg GaPEPqxtwe3YrT8xvQbHiwtcS2Gj5AvhXMsSbLR9Fu/361Ve3QhxFciNNGQx0ViV7H5JZdo9RyhK GJdI0IK8813U5WrEFgncusb8tefVqRSNRcnDtunCdD5zYegZ37ai6QfskB2SCoytGCZQd/e6yfa2 iuu/OKHpBo6jVhhNXG5qMR6CQn5tnWasaK62SqrUJMStXy1DCYzSsbT/Kxj0cTHWeV8pk5Zlapwx U2a8eidbaQmnZ9IJAmRbxm475pmYXHJtJgFndJDNI9oUDmiQ+AOp19vxqbgJr8HHajLVTY+QifCv zAoNZDGT9mbR8oxufTADm34XMgTeV8cBHqGAWcWPlien5uG6yik+Tgsxdh4+WRFnm76pfFi17rYK 4ZB1IHi8yxlr8mJ3NHHStsuRVCTJeZAtTOXUBkKR6BqIOD7h1nJ9Z3geREpbR9bKFECC66P6YsbO oFYoDRZYqwcVNC8jDqCMLIfuqWcogylfO1c/IjKIXF76X6wWfVBjnkRYXR+5LVy/L0zPi+EAIQ38 odNK6Mlq6oBWl7MkNeFh6pNB5Ifuk3hrZ0EQaIidByCwvar9BdaVSeTGfF6NbSqnCvR5y1GyITwm kzwIOI2S/UPZ7BIDggF6I0WbpqcUFkQ7nTxG7abHbhlzt5XpDJOLAWxmn1jdn804e1Eg1/+K3wtT /30OV+W608yl6hg+VL/xKcBFBqmW4RE9mZGOGMWChgbIIgVzwYWqAhdAk4dmZuAeiHY0IgCmkCV1 96d0fR9gWTZ3D6wl0dBk7gkUrhe57fiyMss7Bsgeyt3Q2ZWA/fENawmhXesHQAKVbFSPQSW7Lyho 8RtWCihVgS3xqw9P0Unf0h4ZQhKGmFH5VDghQR6dVE+ft59yJt0aE9Se9yCiXxhbbdq3rIxJOBMr lk3Et7w8UYU4OlYXheU8VdKcutbuBnQm4XpRslXwxfocBEwFCoyL3DRHoS27eFxijrRliW/D2RKX EEJem1E85RJ6mOM1xVOB01DU8G1GIBluvHnxX7Hr1oGiszx1KZpvuHJCQ2gLWnFhK67coCRiDhuI 20MBh+MUDZjgRoSoej4E6aE+UgyckClmqhB+glkEkk8awYof4U7z4ixg2y3MmGrv9d+YIGCqS6LO 7TxzMZmZVROk4d5bj2DV9oEYe5cnruUB5YdwXT2gZp6MGTfrV9ad+q4LOxPwpukbtasz5N5GJB4H WPgrrcUlyqBxVzBlZvoiXQ8ay2Krp3lMIjFTnClhJebhAyVmVf1s7bXIhe6mdObijAOF2412iBOd tikzIfV5HLR194A00kSazssV8oTWW+9DuWUl03FqD/A+7VSNv/tW0pwECqp9xW6bj7rvYDE6QeF/ SSkuCRIQBFi0j/7LCwgW5JXMLBRBmCokFpTm6nF1rEZmRfi2KiPxcDf2kdr1YyqnOO64teP2xxjU DKb9VCF0jJM8VBEU3fOfB65qL0sBGdcIIys/T4A3IX9/QhXZleqDdAL3IqZYqn+nu21DYO5Lb3Pv x2xYUrmPfPGCKvuCdABNGRhlj3WHRSVX/U4ZiPWyhFUBC+ZRKHGgZ9odau+K+nR2VVeYEppjVpWM 32EGjHM4gmqSTM2DRbaTysirfoORpBG4rGwMa0znGhucObE1nJoqmoeHHbs2qj/s3w37KIQdOQri wtq3fJagIG+vpmFUJ3fo47YKzXiL030+ExqtO6jxX7xzRHg3u8g7d58Jet57Fxmhb/vO7mn8L+0a KhRSBJS1Ox9FYhIp20hRdS5UEvRTAErcyT+z4InM1oocvMzu9GJxkPfC06avvOMvCIiGSWzUio01 bUEcQn1JqGbtDefspgYarLB88w+SDWVPwSSrWOCS8QwVjh7rM5PwJspGIkeNQmJs3BBwVSPqfANr CL7XWy0vAdFfhXjEP5VXRRzaiRF6fGXrmgSrVkse4YNOn7P5yp+yClWv56RTSq3xPOtnHGqVZFOx OWEn09r9UVtxn6XI44lHeVd2wUsxo7cPzr6bug7xB1b+7uXs6EDJUrgMuE0EGTbN6sVLbFXu8/4i kweXX0af8gxl1ZR3uZBjI7516RX/6j0X5Cpj7gJzPJC+tg0kIFrgRfHCd4sBhODibxJSB3kzHL8U 2gyUcGVZxV7zBLFawWOXP+bIX4xNVA7Wa7EBgjTSWcOU8WQ2YnQJUeNwqPooCgZPV6v48Ymym4OG 7W1YjnOq+EF0pG+j0Dgrmqou4ez2oMGSrjdNdWce7O9o4KuOOPAu0fDZWvnxtkbVZ9/zUrxCt/Pu VTvBowGqUov1VK9qAe+ox7MoVkmL9q0MTVaKzWdOkrVqYAI/NH7DLtBPTAQ+DWTWW2Zn2xWOE7pA qutOiJvKLy0GWiSIdsV+ssdDhnbNzFPUAJQumBio1Uvrp518WgiMOdFpY4tM6xpBF3QNB6FJIVl/ jovhRhYEbcpfi8ob14JFwfewoJ5xsGVTRREQc70pMuk+70LSMyFToDG6EPLgwrJwA6L+TcliQf6q ZNdnWs1qMsE9HCO8yhGjfMXua3bzbNKlHoDFwTiVHuwGIOUcO6quoq/OqH9nLIvU2DAaUqEIl6E5 /uWnopvR3ISo1cEFX9h/50P82A4TmCxzRxFdXYQOsyzdSPNjOYI88mo90cEvm1lWS5vWID62C0Xa iwR6wj1qr/DclRLuCssXYhH6nW2IP2GXsZgRACn6Gjtx48LVmIyrJGiTlJDoadisSZ/rRzF1nM4j MKNWUKz/1/0YUP2W9ocJBMBBqxbPM+PlporCdTsfhVekFogIWFZgV3xqKr8xHUWKfQpUzWcZq0FE LB4qHFKWywyrYfntNpJBwYhvP97P/+dc8nQVpPRtCeXaBNXzjou8qgSIPtW1ENLUCsV5KvVpmKNQ nfeo6/wiz+FLKzW/vku0GTXnAZkVlBAEOdi4tnPjJh3jR0Q5D7xeXVh/pSDu12vCpngx0jP6luaf CVLJ+2+jeDzaeswUQmUDFrrlHkVX7hAFKR6xzUH7oAfrNJS+vX9BJ7br4n5BbZsCd1LdBOEeWKnA cuoG+81a7YCXbh2li5x2doIzZY62gLwdUe2MxEQuDGjyLB+uF6jikPY+v8fnEOPQ5Q0uxuvQf5q0 abW1VsFAKEQT8BoZ4+HWUKZPD77HU2n4KXmhoFEDQBJq9oBcRbghicDLQ9XCxbQmkP2Z9m2q+lzj p3emYHgE8hd1i+ntErgSIAFpp0rcVtl243HiKoUt+dsgDat/AtUEIjG/IXnbtBa2Sth1966ZAA6/ 6Y25fR2wh/zJpwT7P72H7nodHb3GGGR0VBh3Q/ZlM62GxmKfMmfthric4OleMrGuiIbgXMk5rdOP ZCgYFaNDu+Vq8jjxUmXhlpwFKJU2GlH8Cs5HfZMo59GGaqEAgPcwcGLGbPDlovR2PJfBPTAT2zm7 J+StBXKNP+z03sSqpB7jV5SiG09yGujMr0AhMAy0HaHkbeeWorKgw3Sw+LoBPESMqglrYx6Q1Hsf 1hS1pL7fDGsj8SJKlzutwEUX68RU7SW6plNYdHpP5dBa7KdDXq4paJi72V5H6t10eiO0ibqhsKGL ktNEjz+lHCJG00IwKbNMB/ZsCoWNcuwRDNeukRKHPhTFk13DHbZTtC07e9aUUMNzA4UeoSzep16n erjdx/dkXkfAwH/MC8ujy3k5kDIT5BDIVhMI4fX4nKSwedNIbUxwThQwd5DdV9pBesIFxxr91jJU YuRULDIFS3fi+g2sphQIScj6hgJz9NGml1judJ6LthNRJf2zYxeTmZB6zwatJbaXdDDpWUK4GEIO mgbnQ6JxWk4BcalATb3KT4Mbqv+Ns1zQlx7x+yRCSsy69tbMB8mOCTl4blWqCjPwSNl3v9rEw3qw k9TCrQpS8cIfC/SeuizX1Wee13dAKSDROkn4kliSXJzJFMezv3NfSiuy84JIYf1A7YZSVeIdjsuv eZYFo/SLdenwG+mUQyVxWwMZeMQI9ZeBGRHEgNQWY8K1MEGrqmdiQ51B/razX7wlEib7PnN4f5U6 3aEOTUZ8gOny1nqmTeAmg6z8u5xPRCunSV8Pe6bTqPmtIhq3tzOLVRcfTwhJg1MF4xPe3S0a7buk +bFF5qpbojZwo+82ydetlM9A6UBOh0aLxDMMq6Rv2thurJp9NLh2/OP4aSUhT83JoZZVH1OlVKQR iXpV9WDdpvlHNAg5CAVwpIDfI9XzxvZfMeHtxT/QAGs5y7KIE+506V1EfQbEq/K3Uim8a3jS4aMM pqqgBf6nGAWPDjB3Et2dPgGOwAwN/QhTndZvwqpZRWZ7vOisiB4DYig88TlBQTDsd+Tfyv3sdllS VPIIpcDVoM34f59Y3TG8YSukUCYkc/07jC0EVjDrKFEHMCoquqwS+Ig8mOlgAt006epiYUFtF0Wf zrdciF+GHt5dEYpqcAFO/Q87ks4RsueiCbKQ+tnYJg59UhTX87n8LmU4at6DNbU4nHNNH2F4l61A Pn3yg8vB8gvRBqweWlXiOdzHleWfDWw//7l7fmPFxU2X8lTkyFuGaUlmEH87na1qSPjeyEoWM3U6 QJUCpFfXuNLBu9pYWPJZXdavksTUbwDWo6IWQJ6a+Xh5OMASUgImT2Mic0fU1oMx4cBncHDGkLGT 3XQMQeYmn00ePJTon3NWGgVYO2gdpNWADykzl1vSnbJLO6Dd6E0G70hHLiesNOdM+asEfpYkGC/s Sjq025GucgzNItMjDbb2Urtxo8QU3ZK8n7eMTneXHQrlfVZOf3Y0X9I2atBLqzerqkkYw0MlwZ/n RaEGuYdFwqOk36mBYkBkLW6jlsh+r7etWDvio9j1pc3IQHqPKedBq942hI4pNwflcQmGL/hYUAgY 8FIn4qYv8rYTzTWbEWWlUcSnWjWjimSMP07U64u+NzCKZAkTfUXeDUi9aGzn71oX5Kt9hdPzVzwy i2ix8R4xnPLbTzfwN1OtBNzSNHDBVAXW4KEJnXWrYRi+fzCqrF+LEySKRaxJqZAnesuzUTzXcKso fZm1BuSPxQ0bxKJ/R4TSzZKKMrAxEfW93I4KpkvgfdmFbot2lpAu8Jv3LQfauVX3Ne5uElfeFm+u NV4PpDx31fhMaTv64RzLxNPtOGFuNWpNy+nJAQO1/LLlMaHfzJkZ+YjpfBqcUlZOVagWYA+3e3el V4de4OmvF0oy/6c9VKiCc9/kVFUihmgkZ82XJ3tYNVuChyk595l4BlJ/pUAM43ofUVAxDCdjySYl doRyxmQsKem5sAmxJg9CC6xN3LoBs+OwGpzlE+sf4p/AjsINw4lGoNr/opJbJfcVjBYeDOxXV8Zc tx46Z48qf4tR4Dt08D5p4SsVpaM62wL4gU/I0qM/+xMz8J+4bkkHrHirn8+2FGADgB4RAlvviy0p pInjUhdCChGgM1wCWAAALKrqQS7+j4EKYuR1lW23ipo792pihr+Xo59hDn/bDUznwIjQyA+g8lxx tbqw3HJF91KCT1rhPaobAcd2m7XsbwwikT2ceW8E7WFKPWSwptGfTpeUlqjvoFd/1veiAeUs0KkM nUQBIx9EcpGFt1GB+jr6pZeGZbuqISHchZlacicK44s8qhwThueVq4WuH5JI/ZuRhakik8wQ9EtS rExrtQfsSRXSMPqs8tHerQOAD1UOofHdwIOW2YwRT25MmyW3kJeNDuXOE8YJno2o5j9/vDoTOvRC qCRC9dJ9j4iEqY/lj8ck2YwPyD3jCHj0MtrbND/YVLqSs9Dy87CC7B+e0zioLoF74o5pJf4ELayq VrlLCQSXNItYtZzBxbAnpuR2iQIKDdWk0thow5pKklgdPHkG2jcaNlI7A1+qqXjNKGvk4Vf5xsoZ yU66HYdFicM3XPRWu/GjEksbq/BXdFUHunWoP7lWLry5jBTa56NpnRVx0NtySUFYvoKFE1M1VaO6 pImuBQUaP0gWzflqOOQDtsb9MNq6x2K/UshfZ7qMbXwhf0RIw6RHDu5E2sbxl6TwDShp+rgexm4A LOflWdTWDg0S9TKGhKx8+yOnkfSoJyuddhDtsNbs2H9c8Yynjuj5UMcG1IK1FL9/eX5xaM9bPdnU EGitMJhgjcQMcqOxFmVtomRGdICBxZm3z9orHrXRJym5pDowgXsiShXIRhuunaQiifqOB6KtZlHj SVA8fOmL8isUatpqqnHr74OuCXB6iCvDXDRC60Qh/fYQjJpYcObUi9HxA0iGJm8E+6X9pLN5USTM gUIBuZ237LEfJWL1r6w4ZEK56UsQq8HCXvZDhuHsyA+6E3yqj4n7dRL54LPNqFXPfjtPtJB/hyo2 YOyXBeBm8LAgRyE74+D4hknLcf3zav4O+7xsETgotYX/GVEPvMezziqcuMMArV8OkcBnc8u+b4iv eDJk+RseISf83sf4nVswOnnUwUE6B31C5R0zzYjaIQT2PqPkqR9AfiUqGz8pUHShubTlvVQXzqHr DM8zuH+qWgdSWlmvEDUyeJXPgr0pOCEuiSsNFrXEio00RppxZuNScyjHW2iDZC2cq8SrAUSGwKYB Ny2IbKvjGqW83oLblN0qyBoxNbX4a7/2VTicXpOqH4bLCrwwGzJVNtxmCH1nGw3MInL6+vBCswp1 LsU+UZYSAcLXLGE7dF4bp6MiCuQi7BMCklmYltZu2tKajYJOzOzbVI0ba8TxEwiOuViOOW99N3hJ YjcosmJnq0nqQwL3rZoE0sr0fVGWd9/OimYzUJjwNdzBUEMrQst4HUzkV1lRrMYDcm9vyky4MS5N i3nOahhnYvj+33RSNFVClQ8TkSt9oWLcCrhI2wpiCuG/Gu0zCebwTFMzMd6LgIe4gh3/ojeeaJ3t GtW0TcIHoOIASYSY89vQNn3UcalPe3QpKJcy5PXrh/6UIwFz0jR8m3H3wYPK/G74ygK9hqAII71j khxQh2IYgYbs098u98+XnYSHA0BUjb5Fs2qScCI38mqAtQpdsDanit3r/CijKKE6ACGC3kYBoUCe JQk2OlJe7xZtOeT3jh2Y/xTRLM0X0Efwo+1i3vRjD6hFh8kzEPGQ2bWxuWKlYyQp7vqJVBkSldPs SIO4W2FKLiNO1RSTfDHDI66pZyNTvI7EfAeX8+3/i9OvFCOsAnfcOrZH5TRjerAQyMUqtD4M7RcC ZLRw7FlYPhZK/dJlGFcncAwQDypxzMvr2e5z8hBAqf0M70zfb4n2G7+Kd1UWAFaobqU3edaTIgAA QtdxX9xQnxZ2m9SxyKcrMPJQ3EQ2x1yP9MHoEt8nBWRfCW6WPYHF+TWLV7hTfTxcFD172tnNJYKz JvUUi6twLfSlZUp+4WP4zw2B1TmwdExMvy8ze4NW71pSE6+uU9HvvA6gN7LmgQ5hOya8//3PI3uw IBXm/TVRIQ7XfAKe/NcqyXHBtp6ERdkz2pKP+QQ8sRFofrieS70xLPRu710Kc2x1QjpTdeAo5Y3X 3C/aDdqW2okuC8tkWermxw7O3tV7df1G1pCAFnKD0sM42zJ8duG4zk8qxHzGG34jhj7mq996205y drmLd7+SodcR1te6nTNkguHqdtMibZ8r3wZ6xWRV2WuAJ7rMep21DZHiVLJsODF+xzZsUJKeNOEL F3h5adFhSDusEoAn5KvBvmSLKREI2yPkaSDwGlRFcte5zmcVQZDDPTBmT1uUw84ICG+RinpSndG3 Pz/98Js5CxH3Y3iObhyyXbgvGO+/DPeidkME3QJP92XSwD/3opStSxxcK2Ls8BvONHNJZgLTG9Py ZjTtznak7jh03waEUcIBsYfxrs5wj18qnoLO60JVw8GgSRGipbH8eLepMK29P2jXqtH9hw4hfgvc qL7eZ767sLko3IEiZ4y6j5FxfhDfnQ2qEc9xvGw1r7/zlbXVKpaxHPgxgKsMZq1/OIOYIXRE3W+m bhcKkDi38EUMemhtFqrgTpor076ETOGDMTcjdQMEHjxXhlvquNKJ6BlCb3ZZF0tz1i8yZtiZbi6V 0BIt12xq3DHpFGC75rsYM7vFNrU38iEaIrpjoSKzRQvHYa2+WdrcSFSv5b9uKYaW/Z5jikPqv1rB BikMxjs8ZgJYvN2TB4Qxx0emTire9kh0v7Pq6quytGGbCFCZOowA69Th+mgjSW7JLoN71vxhk3M0 x2a76GKLaBdDDs9GaqugqhQFHlFuey22rViQNGt6MbfjASL1hMEHW/a3bnndd769ryTW+ekzPlOX 1K7bkmOjf/gvxl1zjJMPwBJBTxvARW1LfLqn8u0Q9MxOSSVhUHUoXARaHZsK0ueAQswLazjYaYFW 8Hyr2V0+tN9oggXvvyZMNv/e+SUry+Hg1uT22zcmPRnNxvpSPZI/VYiQ7hXuMVsw2ZqdLJTyns79 /ChHA01xJsadRN8PKyg6rg2l+HUXv6kH5PTGauhY8q/XNQQ3T/5ynI5nq1G1z0mDbBQdBqWEhDSD YjgW8cVdctj63p8zBrSjcBZTIETJyeIKDkR9ZS86ys4e+m+k4R+iMTHaaLI7Ho9YZ1/pUaEYJyrM oFJuXL60Zw3G492CWZ+qfkHo7KF1jxa+5YXYk3S2iDctuv6HNuSX8iCz4jV71yCJSY1Fxwb6tsif O+0c0MXLDxFdIIOxRc3xwVCdjpA2srV4UffSE+VJicZYc3YBhb9B7W3nM9yMuYzpMrA6C7C1OWVg W34a4T7VQ+FP2BTGO20vj74BV78ZBzxAWW22KRlsUrvNo+vwhJTN7pkG6CdcJoZupVEFOLw5oiWw rut/0doJoaCsCqnbCJCbpj8NC1XXxlIbvAk7e/DynEvu0P1plhIiAUSNCSFnotLFPbUF3Kn2Pp84 B2mw8xYT9/GIPD5CUodhIuWtyRjpsI5CkJJoa1i1bNA2Gh5CyMB5tTurm3ZHU6gCk33tMpim+Vjv E0Gan2fBWqWBUgsY65HgxMDUfLxXbS4OgDybQHgBDowlxYbIZQuDtHIIPwSFGSnMgt0BQdA1JH5l MXIQo7pWYndi0KqFIG0Yg1ngQcY4s7AwcYFseqbe7varvgnie4Y3hmIIHJbu+0PAN0y9c3x02LrI j/UxkzaQRCU85rBxC/gIoKAZ/n8JgdIUgU7hkFipnWKl7nZM4/9RayTXI2c90bAqfregaP2kHlDw oUeXGs9/980rBcdKdjfiPH7OO+I8t89aKB4SFfEmHpv/K1y/9hymdnWYEw8Y7yrMTqUnc2m0CaLe 9je/u51nio1BTi93DgmBaFxn/RkfTYV8bJsyw7NUGRwHI9rmaAa+1lWKsDUXrZjzZOvPNrRgU8DH pLIovtCEHWNlWoWzM7CiaZIhlJvP/frNGr8UFOxhNTVAj8Ef+1dZO11geJM9BYsG3G2wwvwT0qJ0 SqlUdRSE5SIpnaOAwRJcJnLnn/txOp7kZhv6ZOTEF99O+5meNyR9lqd9UD9dGQVEi1otVGs83RSe qk85AY0pTtMzXQlHn+szXQZisLjy6FW/OIE/Ml4uPFojZTgVLJcfLcU72hrzwpGFrkEDVNB4zQJ1 MQ4YvCFlj5TX4zmJD69+yH1vzzgBU5dBiHQQzCcGvM6DHcPoMjjGGTQwANjKhYpy1O3b6DpYeFnX a7mLyJAKb8mFuOSMO0Wf1Dvt70XWw88Grx2bT+fUN5KtQett+17ra4jRiXBuSzD+5uqNbkZxcztG 6e/PxfC18SrxTOAnxSPOICaPOGpikPzr8Hekz2Yd3PXtxcIPCeaZC1MWQYfUYGCwypeZ0GghGcTF j9aEmreswa1lWx/ncxAYhVJhryJHSFGASD26CFSs93I44RLQf4yagn9YS+kTh3RQBk7NwstQozeW P3yyITny3hP9gaNtbC1wkcr9X2s96aJFKfg+faCUULXO0/RgncS4xKCMY3L3WADLCI4IABP9FycI bhw89ECmbVHlYFlO/XBe83f5i3ai4QQc6s0ux8XdUROgrnKU06do62dt6fHkzm21mD6g8OS6emNq zD865j/ETYlQ6reQ0DuCpqo8rV9+qxMNQvPQQxyseW+kb0VQhKQOJx20oVOxq1V9ZNVgXV1uvDft FyqwqnYcI72C+uMDepyEiDC34NuD6+JX6ObdxjGdIza2TDpehv06LVmxtk9d1uLKPJJx06FZYW7g J4AGl07OCcPpKANY+3RcUeCfodBOpDvxVgKHQDfU6BA3FxYYd8NNfysvrba3OzGNQ1ABM/bw8TMR UJ8JBfRNISox62EHRwOsXr4K54FJGXTHv7RtfrOPCSfN0kNmvaM3tQxwryQS8luaBJzgNA45D61+ vsayUw+HNFxWnO9lbcemCQyM+T4T0yg3bgL0Gpn+5kKKGW3AsK13L7g3CZMSIFXFRSriYowXWzeh MQIuOeWNbKaoZbo/nyM9EX2EmYOCa9qbQ7RAh3o/FDIFCdUmONFxPOtgATcI2ZgBbBZ9xwNV9iUd +IVDtcdgXTNdgkIqAM3ZnHBcw+vKGaAn3SJdgwz5liPbv/srhucShAysPXtrrf5wBwdOx5lvTE3w o1tUN04sPzYdxNxMivETchMV8g7ePLKL2BI2o2LVKuF/y9UFOsW9YbKY5xw7xOD+Z2bulqvcU2eh ASRviO8Jbpkng5mE9NpuXCwRvoFADhjGjCBPg2/xvA6mZso8IzG7I/YAGvQ1q5digsiUrGlCjWdy n7CMcAwKgo5ptBdHurnrM5J9gES/ku958UP0wjSZaqNtAk/xTrkCQ/AsiX72KOBR3imwNksNHJXy bCyFovkYzX18RmnoU985qiLoFEg1BpdkuS2nwbd1yBRxeWEGUJrTud5dCx7Orb9jr4yQ1uSmGkjy 9vLEX3C003WKJWXZJu5/2u4eZllJA2+Stw/qACuXr6cVPI2rO82Yp1oWPmCFjXvZhJn00jvXN3ff yZz0Zn3GCRofrA5BbqCjZ/4aM9Pw4pJsGc7K0E0Jnpkxoxe80Vfgm/aGqGiqVy+Q2JdCQpxZ/UgX ayZWnivB37CNCJGquBWGebnjtMQkitqoPrFjAypUvFl4ExmwPijhiLWizeHK4makderEVq0bcvZd mXblA+ht2m+DvqSQG9WYtaaQeNRSr75cuBMLL0Cv6ANFYuwZVDaz0g8DK3jtrtmRA/yUIFONpuw1 ZIXWkW3TrlyiyXCNyQa+Ik5uKp+5+/9mxLyZERMX9bZXltWQlKvCmS3JLVFqZo+l5q2Oo6FWrZ9G WE2WOWWaHtYzIhoRiGup1YIwedc0htPjf+h7hXqjBJ0wPu6ZcjQgeQJoGCmC67gdpHX+ik/1mUH5 xAU3XaT5Q2cpOH5TfoZ6m34GVNI992KBp2Mn1fQLFbmU2kGJMoe+LZWp8N4sCX5+lAZrL1C3OYWq PmNC7OE0/XOUFdEL6I+RhbghaMqG50tvDhvxjapktiM9VK0zc674VLPEeqdXxuQKINEIgY3O1C3S lwR32uECMg8YuQHUQbHgU/oLmIuyW3ydsa3b62T1K5Vqse2iXOHoC0qm5feDNV7usX99zHx7l4ef hZ8dJ73M8Hi6s6VkW0G4tBLrUtBXd7L92YncCblHK48vmwToQnQ70Ca919eH9cOZoDU3g020DoH5 nrBBosjlG4SkcvFkFWy4+/tpB/yT2N+YMRALzRp1ln472PpbIciFyMOV/N/aN6ICvaqy1lfdZqfK CQpDLynK18vmDWEGtvbKKsM43NDmjpTPbFa8fXN2nHVosSzKQTByfanoiFTQ/dUinXhDOOSUSDJx ANHCFhgVkNyDSgtEJFKZbVS4fk1K4FvCD7fFgHTasr1XkXfEHlRzsQg2KMhYL+5FvgIBkoTcTGDP IXUn2ZlE7X6+/o9GJzPPPQWYxlj+hNaqKlkPzNm5ZXpsNAMak8BFv2LSD2PV2KJ3gC0+wdWt0HGA z2iDJRG8asmqa1V3lGeYSzA35Euf2yRw3LcV6trOV1V6AKIr8rcFrJf0IeIE/l6zE074gBS+I5Ri ri3hVTa3CJp80Ipx+qrYNcNndkivM4Ab7BAdSVq4SuJKg8/d156AXwn1j3N9pjFxKaApAutqPVyc VeFvW6O+hG7I1Ph4n62xiOp9GEZGogYC7ttLUoGhxhONjVMulEl9mkGIMuy/obWfBL9ARog+UG5R Z2ynKBZyWQUXLwW/slP1lvCN9XXsp2ELtMxk7MSoR4rdfzpTf3vRkDI4iohuVIWYULR1RWlR/WNX jmOOKP0zRh8e9zVs2DPJTbLdK8CXeJl2BBHr2WeQoYVbEOHjb0DgJY/BjV7CjZJRG0+xB877aysR Uj3bKHI98+o8XFzgixXegHHzSPWzhLRBS0kw1QGIUWhMCCQwOYcmAAujz+UAxUebsPLOmbyV/JVm 2kL4BOA6kDg3YMeETm/XQoY6skxu0mYrM55y3V/EFEpij8gtl2i5KlVRcPrz7YIDd5KmkGZDKoEJ ZVFlQUFbX5rFCgq66wvFZ4FbW8CCgqaEgT64MT1W5jh4kuUdLFZnLRnknuwjjankWbiGUMyvLZR9 OPyTMYxDca3095DbHyGnqK7YPXuVasibIBS0uTYE2X1y10IdB0oJrofAyRosM7+kPGZSlhfwWNgN 092CiBEIBNcGaGQiZ0il0MykNoQPKnEHBRYa1+V7jM+rVouabyM6ermyw71RmBCe8b7gASwKWXUX CQx2rhocl9a6rhfplKS3QLXq3g8NM418UP2RtEMySnSmVY9KvqrPMBIAaUT8pC3+kcooJjkp/WMh H7oGJmT4Kc7g35DIiCs41ewtJqsqT/gID81FFvwClqffH3aBs7OvOURnu9KyM8Udi8u9LB1HKhDS If55UjbZjSiwGDrvw9W5ZZRS4mPwXFKTtJB/rizoE5yPxPc3JEbYa+VT+zc0nrNq3PhBvS2STV4z RX/YcyZfDEpiwfXk0qCK0p06XY+jd/GM8+6f2W1fN0NZZbB8ATsdIfeNo+3TGG87sT0B93mMMQml 9ZSwtaAWFnff6Uy65FJwsNc5pHf06B8DLl/l4+XvBdFO6iwQMicw8FhcQbo9XzXj625NsFTo2aNq frnAdqEcCBHr5TaioOjXmdR0h6YLXV+qOT5gD1E3fTMNFpgpBhpG1nL1DmcbZsJlOgK6zxxcpTOx fdv9JTDwo4gAG7YrRPhM9lgNhbQb5SBQbocHMdV7t9MFTRuNV6izFXOGWBKK4w6bQcFZHpKlGxky LcXCsoysFTLoJEBQopbdtAxYWKdflntua58X8y50l60Fcv4P3M8wDbA74flwoLvNyq50aGEsPKas jM5tAAJE4Vm/1onQvyKx2BkzNTDbLPb/0DKNbnH976L46R/pv0hJ17K/OCKLhmneEgbPSaSONyIi TNQ3RSn50/uQ1BUBj7H1U1BcQagxtY9chatozvTiUglgqlJwzQN1jBUP2sHv3E/Gn6vMYuXExXBt 8PH0xrRlMEWG4fplh0UMem665xjrlicQIy48EjY40VUfIGNaW7ndPTiB1GpPlEAnh3pEnXDDx5oT jCXAYKvwqhCx6HLiLsM7mGfzKMmCiVY9Sf/Sm1/z+lz+9D/NJoRiNQW1VZv8QxzamTHUh+AuedIa Q5A2gKrRVkqGPo5JUnExSaTxhdKxSdGJP7gRxZIO5xvdrM5twURdgqV6YejG9pBrM/w3RZEDabpD str/srwGpAlrRj5K+DLvrj8ozAO28MXQDqAD2xAzI00M2aGPE2Q04biHpW+9HNtEJD9I7+GrncJJ uixAYcjEwmOzi6ALf4Yd+GnaLLhkFzs+H+qFxSyJp1FnBcnODUvSbTT7KbTOTbrE2EFhThBrOu1F d5TsFGnRjgdE1fPs7rdQC1lSsW9j+GON+Y9s6+KH6sRgGgy34Q/OUNWTaKb0R+mOBS/XTRyE6g5V q6Kg/T47Q1BOiga64FhHhN3feKzjyiKQ8B6Y7M8G2vW21stErdxCLGkVgiQLITTsWHlGUGCbhvpy CqJfI0wz+kgALtNaUbrY92zAvWUK0R5lTX8r1/oBeXDfs6agAAP+Qnl4FHxZmXrXc5uk0668Q8Sq 8WbitcwLEvfWrDeBvJOOwxAKpo8wGFe3+SqyKBS3Q9E39boF7wG2zW7eAaZHbe1Imvh/FOq6sEo+ FmDh9wA57uXMLGHmkltvDAMi+K6y6uZu8tpKZCa50ddDm0/jYx9G2JSqJm1T63GfnQmRXPi6H3Xc 9QQOJtw8J83k9paoFuyOVXjvGoxfl1luwF68gqfV3lktAQw54nE+MyQNJZCz1HWw6uJfnqblaGak 2kODt0VLTZxrrPDYuedWPb6e3prV40+0w6emPF9FC3UnQoldf+B2n5DJx2N7XJZ0eI4O4toEy99Y jC24XY9f2rr5acpttVoydrcQJMV5T4j5cHBgrnmHyvfGLz9JcnvUJb5SpSYUFXMq6EdlZnfLhRmc 6xcYn6qbn7t22IvDlImtGzph2JyoVc/8cZ8y7VGGctOQjzFfoQoeL61ov6ocDo+Zof5HeuAO18yd BMAnRnOu5WzM4safuQjVs7s61DX58aeI6uQRS2xV9Lg5/Id4OVHJwuNEIQjEWrVRtNCJl0tXk1zO M5ZtijSn7qlz6vsjcWgiioXDgY9yurHaaprN6X12e7ozMBmGw6Eo80sxS69xapooP5zpmGpq5kqM Ed40Ar4OOt18/VrJThCykDAlZw9cyAncW4CIIr+ucL7jk+39jgmhSxHXj4k2MNGamNo0ShcY1Tf3 W/wVUkim9H23KPw0WqnfpJ/2QmxcujUV3F9jpBkVGQCTFhNUapKVrY7+q33S4ST5BpGOfRwoIOG/ iN2bdXh60zL+XMH8ptxadvzX+G8sGdhfEP7Ja2NEi8B0YXS7p1WeJDSqe8uReduDZ41DJje93uTc aTy0X0Y9g/MDerPqc+KByU2AnI/w8NXOPRjCRIX2kk0NT8dS74eOkJqeTa6QMXCWJlW+e+eG+w7H OxnGqqfdpCc/z+T0LuIz1PGefRLqjxexaKeVpaK6HFEobei+tvXjQLoVnwVxVZd0jAGXhLWjuenB DZtfpXYXqBQFLiSR4B7XSv6IC546P+qoAZqCtZXroVqldKnoxTPEQ9IYcCgqhVV7gO9eST98sEz0 LQMYfkrm3wLDtrhQhK9PW84br6vpeSLotofKvkKcRfCwZHRYDARDz4PlJqgQdUDlAuYadan6hZYS Tgdim4DyE8nRcA1J3DWTamu4L0D8H4mjNBSOQRaMPuk6ZJX7ua5XhRlNdIBA3PiKBxSRtw/8YdI0 GaNcssz6PrxuIXqQ94p0focv2fa0jNgx2Ki53u7IZo7pffxx2BT241OotYbXSBHCa18f9yWdMteF SKHKq2DNCjdg8piGojfPP87LQHSCKdD2yEiuFi0iYt8xUrMfTZYLcO+uCIQXFHGlLmUv1zjGTweg 94s12JRBZ/8f4INpUgz2Q2eDlW6g+PEzair1wJheBRTWSoc/o60XASEjo40bUWd8T8Rj1ZqjOf3P pFQCTRNojRwHbMIXcF37Pwmt43qnuhQGWveXdfLY+uLifO6tT7crJH1Q05G5HnolAcq+FJ37sRJq NkD57AsilCLlGZ2YFmR6tYmpnZlol2H4RBJbGIfHzdQSUnVjKbLSv07c5XKGLs5d3OTcaMSwxaUV r6ChWovIu6vVXta+CDcb1KyYQuccV2/LlWbVuW59Cj2aVFhgtRWherc++9cQkOnK+fQHgRSioXmC zxUOX2BAFw0tgzYmy1Ths+uRyiQmE7YoSCr/90lCg/zjHJ8Rq7qKjmBE/ySPwNjnXpIRFPfaoY+v Et871eAcJiceJOQtAQnrHKjHDIeLfMpl8kXMQb6RjvPv1owYntrlUugrYyP6H05wPOoQ0gPwsx3V AG3UiXxiRJe+oIee74/BTGjhbFTnfAXRgOpJjzkYK+j9HjSa+WKC9GLW0dO43datdM+qI3lZ/7uS KU0GqJSU74L0WznBQ3oAbvcHsLpc08l780UZwt8ex6mNbOI9zwhjDedrKZWKFP3LitVCdnOOI0Va dEbN9Sly3+LtqOYDYqVs4DuYf7/6FB5pvG5gIrvUzsK8vKKWwiTog3zWCFdGjSDv/R2segnrvNWm v7Wr1maoRhX5BahwY6eFUBRbijMZkvOXyecegxl0ZG1MSIrdqCCGEzqa5UotZlMO7UkFgFBqcLV2 VfsE0epqA4yVpggMggLwaCPETjRlMtG8uhR1K6DRy1waveFHDP8nUgm+/3HShmxAsOtsnBwk2T0g N20rc/Qd8YDOgJK61QxKVoZy9qZQQXz49ljQDqS1lkL3AYtQziN2z10RiCklvWok0AxqqvdFFwkB FIA7BAvcgb9mGqaVdK2iVUndy1n0zPpx7S9LkQ3kLVks0wE39EQmet11yyRtXXWiPOafDzb+v4Z4 KIHevFjhyI9yOwvpE3J6utLAOJKb77B38vHrIdN+H8Jf4hyMBriQo4sV1XsV9O/wqRueAMg6U6yV fVW2RvoV2Cgiy5nW3SI/AIz+zC8To6Qeo/dbQSJxMsW1pRqKYJLOzbtsnLmIJqiPO9f7aemhdCe+ 2vFdM5k+CUPx9qS6ayv9/rmk5zlBCjIxync90Frum9Zf/ra/OTEwxdxrUIguXtlUBL+KQYWwNvgc gBRR2Bc6/Xw54u2ejAKfeRDy9lW/R9RjIeUJCfn9eRnLl3reMfbWnLnx7g/DJicOkfL8Ceo+k1cj qX2zWjCFQ9ZAXgYFOjcABUPWGMEQbvkIbQB0o6dLPMU1gsyPAzIbowDgOdk8bEIrviVdEh1u5IXq 6Y4aToTpoVS3y1Z1YbwMJsf0jAvg6wi1aNYjiussVw39yCcrTmlUeDaN12Sih9xkqyYgaLzBx9mI /RkksBUDclWsIA3v1HZMfC8hxaqo1FCvFHYRpdqFmibXJet/MK430Bfhj8lpq0YjjhLL/rKKsAza cnoxpeVd0SLFJQgi0E0/qTkrCP0SUsrXLNL4Q+zI+cXJEibyFMD0HPdYefNppshWu9BLMVAcYSek PWYQ5eMwSgJEllzEnkU75KTGMtXUBc0qP8AYwD9B/CL7UpIpvN0/m8X8Zb+Nr7xj38bXz0YQ4PXh QtplKowqN9SN0zF+zDqeqjsZ23s6Vl5DRlaRD7c1a/CLwKv6g5WLYr8O6+1cwCcSLo3z8Udwexfp hR5p0RizGh91h9wB4cuk14/B6HynawsfEsQFV3H4Ds039bBshWsdwoO9O9P32SZrbAFNvK51s9FG dH++uO2vtSUzXSzfs4IXq+JDSu54DBMIbtYM22TGIJxfAT4zL7zUANjQxoS/nfqXTg8sgDZFHIOD PwX3djT1vqFXtiyJBQNluo7WFwt6QFdKKx3swUwEaTO5Fy2KAmEbM9kW5fcTIH+Zuq5BD2OpVtgZ hZXx4xVoM58d49ZOqg9ql0CBUzbsyDZVgYY+zIwRrcKqBrC6WfnF1AJath/0GXC/RHVGQyKHb9Gd nSrtv6nRPv9ud/PUottbXoBiUOPSxiBT4pOvsXO/QXDH3qo4Pwp43xDyzh+69VQhBjurkv/+DTpe Upz1UzZbAdP+tLAZaBncOqsTuaFX7zeezNcqMSdiFCEMiO9F/q3ZaDlZkxHEKdEdvlBUfcWnKZmV d2Jh5b2AShT559O3iD034rZR4K+NEs4WDZwznudWvUM2clD/Squt44CTqCFaPDSDmsb1+3qa4AIh t6vkvLiN5gzZn4DhD4fGfHZ9xQTyiN4Rlu+t+GMQOcjv+3y8sxEZlnPhBxXuyHMZE2GmbDT0Sz3k 5NJhxU4aLwhciFdOBziyLxgPqW12j3sQKqGhchN3vggjTuEZRdzoZKPSMhvOhNHiZJd0TuT9/X34 sDB91DJOE4Ts/lCVAVDeGjrdeIfIIJpwm8DletUgydBM7BVIUr1pVCmdJePaRce+N1hKEqmOO+64 th5fwcMAuhby+fJOb2HNjxFncBa7HY7Cf7gxxvzhj5n2q2dzxnOFPKD7QFKqFEoBcKQZTVbloNtg v3GBvkyTz6269i/aXRfQ069o7Zt9BIg2doAk2zTWKuhJ0zZrbFBA74ssFB/yb82xTp1U1LjVGPTa bBK7XL6dbMLCS1HROk6MheQ0hMHlDGArNrLMG51TsPX22yrI+r5MUQwmN8cmtf+fN57g7gLb7PTN e996zHxLSXan8pVdYXEnnIt+qMdPG3QaWvSAYn6UgzQXdYH10c3qDgOdGgQ0oRz3D+aSfzEcFx8i +ZqgY5OKYm/iTMx/ohQWfQDEEXs0hYxaSqb2ixA/DviAnAHL39JA5t1LQ71FeqOvggl5sbETXV/R IsnP1qRzIci7eEF3xZRexcmiUgYf0CG2SL6BUpI80kSu/+p7haEjn5fjR01UEtPlR+srbk1qlVft 6wZYIbUVQ0kYC/IvPy+E41sHXnV10RHWwQ9YXDwSKoxtaOFXN11vUhSYcezjl0JuAKGxFu+lTjYU vMAO9p1Hkau+icvE1EZEN5svt8p2xp6oOW9mqzP52lgZ+lXfGblpJC9PGoCK3RHVVyQ8APNzS6eZ Ymipniw2SH2IjBdOHSHvyqFYhTNV5oq7ELqCUwUWbYRvOL9LdPJ5msVIyIaPBYLHxEEhHoNv5A6w Aq9glnYUm7j+tx2kQRmOicW4PSaI+4Mc2eZvI870nAcykldoteAGSt6WMromvD96yTz38DJCjl65 ro4Y7IJR7CwF2+FrPKrcTo6ElWVaTzZjS+IiP3dIu4zD4g3ecYs7NlcdPGcMO7jESlb2TSJ6hOKz Ch34ejrTxE409BXOTd8/pgW9bbCV3quZweQ6hDYtNT5AZ+YEViU3ZR1enLk3K/5E+IXPjD1aIBY3 fyynEAb47t+2qqQhAIdc8wGGpkIY/IoPmMg50JXsfswbqzeECfuipUK+zPXaURBcP/lpkVQSE0xD 1XBeUd+OOvwTX6hanv77KP52P/WKy3Ph0yXJh7I6zlxvBWGmFpyuGNXMsvfz/sto6Z4o9TrmWq9Z bD1/NzhEY318UY2Ay3tjgaSqSFtWG6FYkDPEI20N2G3bgkaI2S9s8r/D3RJN0l6/LKbU1Qk1zlqJ 5niJI9eoP1QM23apmizUsbEBBAYU2skPvtd8BLNAaAvJUXTlN27GIEzQ6lpRHteel5m/+X1ZlfoL p/CTdZ0FxpqzchHS+gaGZoIbig8NQYp3wmGQf1W63MeQS0ESHQ0Wg1y1+iLxgfApyPWTiC7hfoRf sGM5I96OmAIPhmk+vAINv3rwQy5uKC38QWS9It53eXDQYc7JCAuDD+sK31EH4dDLQSxeHPpX3F7P biu6/A+fIRlm0KO9XUG1cGHOhKW+dIkl6p4rzHCkrmeMNxH+syt8WvMeDvIaNQ8dmobiUUS/fJ2j mEQ1oZMn2qhL2u6xdHOMMulR0UXbntu5ry4V26lN6YHqypwczsdsfE3/vUPA20iZOkLVYq4JlwLe er1YD8iYDW2sK53cTKCshKgy6OwuD/lyfGBPC5ndhdd1usSIXzOFiEO6v5EygBcHcaw2xErViFy+ tnqHvf57i+7yN9/O1FbhpeD4iSkNAPVeAt4tQbh6trhqUp7hWBT69ou/tbl+v3zT/PoNQiRvZCKy pJ79DV8GYPx0soUBY68iarLUR0/sm3/JLxParPpKZ+sgeFXNvevZo7wJRS4if4ztWB7fH5dRf9tY 33bUGijdTMR3mhjqag4JqyfUe7uNDblBKScxOaa/n1Qgs97UvWW5dffSdAPE1mobUAm5mE441wFA JspPqHjLw7pTxKsVIDUrTAN28g2wwbSR4BM0APi94Ezi6o9+HXW7Jl2vMjfNJz38RckeyTXoJ5Ro Kaczp1IkXoN4/+ZwfCQ/vnoe7Xdc3cwlhTeoz4cg46DH03X7UeqiDRUur06fDycOs72Sh8rMYEki /08ulXt56JiYqJbNrm+8mMzXNLDcU90/BSFbszTT7IWex4YJTTBG1BUo7ZZDR4+itTZnpiRSrGef ducA/wVO1TQSfPMcZJ047MkN3pbIC58pQDa1bjOIUdqLUaXlcudKlzsL7ZZHdsNhNmYtVaHM4Pix icX8qgi9/AQ7zVN3CNyRvumsHVcEZ7hBlKh6gXwZDb24shuZi145xkpNoa60g6vaUG7A72tH8JYq zsaogig2NK6cIDW+FHRCp2AgPp6moS0j54et9lxW7iw+lfPlxAKc/Iaj/Hc56NmQ1ZRXhk03G2Ow JRIjFWczG6PHanGXOe23JK8b7/aA30wryeY31HFDpjlyQYJmGycG9N5n0VAbEwyngPByhOElHzQZ wgMm6uvQwDEV42CKGbYmki0LKrcyraF6hJcIvYv6P8p7oRRyEwEYLk9JOfoPZ8Pg2DxZBHPrItkL G9oIj6waE38cJBVxfYQjFsc/luC/mhefQNQ9CamCY8ther6vYTtEanGV9MnGOkohcgxsA7lz2K/u ynx5vADEfvUAUExFYDfleySqzlITrdtHcNUaDKfpaiCUkBzUDXnpPsKaWVEnw/Temzdgos+shwTL 0ZALQBdtxubdEBbVulNJUtj10j4HvhiXujbGbDUvs4B3vO+m+pI2oTCW6WmT22G182/7BI4mQf51 wjQGoSyheCX9/wszfuBxKVSVKm2ZNpcDFu4VN9YMjwl6X/Z7gfhdQtBKRF5iMkWhR4X6z/76gl// lm0+gK1WAq9UP36GYG5T7qQ4B2a/njSo8CkmmpnG/22N3cr9m/3lCKxqnG1Syva/ydVM3Z+smI7P 43F+VxB7UOn27hSYD17FvIGwh3fAUI8Zp6yn0yDE1v69KI73iXJq7KRvxScwc+otiLRDWXbIxLBr 8gthQPdmVUEaoulbvoMMatd6iw/47+KCJLHAPYmzGjhnwAugIZuR+2QpVaGAE0VPjcJ2p9ZVE9Xb 2IJms2Sh7IjOQCbHbJty4LMxwm3cQNJVaUTjeFfpL4ThvxapcmcPBM5ykxX3rl7CQqVRC7jVHr5a K+Z3j/keyQPAWYEG4mMjYryaFsBcfM34KsrCRUZGHMcNwlidH14IZy8Z4w5e4NOTdRGjaLS3DX9i FOwXztzBpAuXeKsME9sgXUvABBTWbqHMQReXT26N/H4eHi0BY/lCjyVCw41H0Xp/LC9AxPJDmVee KkXmsxQ7B0YdsRJXm2uwWjRR8H0LNZInvxt5+H5mQ9wb12gkeMW0odGsX+SExehooVNtdg4GvjOG Ic4QWMPktWPLbdo3VO+SoRVowJcqUsljeq9UBD7R7fe/nyu5rBWbnSTYDclmhs/4UkFZdRD3XGTY 1En8WsJKyxqAHcuDxPi+i0mdOi7llmSWmtaV6iw5sMcWrhABMACgjbj2RhG1V71ZI1Akc4G0IImk 7ULzbLn13s7CkM7Wm6q0pBVsN8haIWP1ZCOnqD7Ch6SNIGRO8fURtBH7v4eH/hh2Y981Xt/fIeuK J0HqarCxALG+C5p/n+QPiQRe07tvEAG6wXc03ZuYfpMkgHXDS+yQAguRPPGq554lmszYjVw0/SBz VMdV03wFpl8zn+eitjCvlftvtTIte5dRUllvDOsT0ek86pLxWN7nrQNILIS+M0k6xTPRuhKp7uqV SZA2465YoW1AyVBOJNji43VfBo742Tvo5qH5lKzDIy74NF5QImWRzYwQHRLQn2WADJrZ9YYgaAWD 6LyDBkg/zxA9fJVD6FO6KmFGpyu388SMimeAATw/9oT6f/FRxS6C/E0wNuaC/L4hOQs+2RmQr6gm vUMcs4KRr520jpThqdcmA6LHyiuc45GkASUA8qAf3yQIkz/aOf8fDNq6IrZx9TpD7EXBNKi1x3su RNczD7vPRb1oajKAEx2+j7yzgZr6boA3aHxGQvgoqB727rlbETKXxG5w+xNJ0FKOH2NvirXKV2x2 Zj2HzJbulwF9Ar+kP2McUyqQTvCiuMKKMKgPrPjAQSvwhc5XVaVG10ry2cxjU5ZwuiFu7+nT2pHK SOZiS0i9WAsP6Y+LCS6+DtocOeB/9Ip3aF2Rsf7WGra0tzSHGSO2J2rF/oEukaNHfBS0IN9CPicZ ahOmXC59uiWKjlV9tsUFWxXfWFsBAueuwJRwdvM3NrKbZrWX+rqOXvnqQ4BlSXjuenyU7of3XW4k PaHh33ZTcMiW07PEnwQrBLLZ0AQW892HrJUcIeIrFpGghD/3hvZ1tLoFsG+4v1FLVKykpOGc2cJc 3N0VHSIBCdumX9lV/0WBLB2DXyNe2/f/eG+r7l0hNVy67OSd/vVEyKzcEiqzRKMLWvqkWn6S4Kmm C144wMYQjuiIpXKz3atTtySBqQzFFscsjv9XG1tlx8D2x7Fl4UAaFV1+08WjZiBUEQznbrGBzytk 4GkwVZsi9MmtipgCZ2rm2byRacj740htsunhADlozezf5ANwuAn71x7Xxw40sdqRoHHkNk4Gl4XO VgZsGqK5Vqv1Of/sGehnEJMM195n+oAQl4LvRP6og24p+9G21PGorhQSs3oGo8aNzeTpfXM4xADS jnJkPxVs7Zp7MU4GkjxisYE5yPAaoKJ81XNu6C7E2zrKtaill5Dz0uFOZJxcXxTNcYZg49v2ErdN 3vUWEIL2OfimABVSkpaA6fTfFseAlEIglslp+sV7XW3YeaZZldIV0VRnD8drFzXR7xv6ht7hRbdi iA40hG2rrsuWOkl/hsFHPOllp58fKIsfiQYp3euIOalIFHXdHv2mYm20KFliV6aWEZB6mUPZFXJa 1BIzMl/3WV/tIZgSE9v+7MhgTUXpl+nBU2yhLqlzy/b+IXiQdqkOz3uUa/9SHOl/Dg9KJs8s1kMn o53PpMGAeL6beW93PD3WksxALLAr509E+x0vMT+FtZrWGj3Co6EFBS5ExFilfaGLyKE68KNJKjHT AQYVdzsmUqpWgWzwTWwXfzYr7XVN7reMzy0fU9fn+gsHUy5GwXj0og/6PUg975l4N0tyep9bfwXD QcJhb+VbNp/xl3CIGmck7rfGPqws8I1wW1AH/zQSJBnFSqGU4qi507fRyLCzUnO4meKm1sJTF6l9 Xcaf3E0NboGnzA71isjEciJckWh86MIcrUrGB5VBVor50WvBqTuiMRIAlUy5dBKMAf66PgRsFysw y1uQvn7uRdtnv6a8OUZJEqevMAD5wJpl+mH9A3hi4TM7LVSLtRSRRHx3tEvYQSlXPQd4HtuDxg68 DX0hFw4wqFzbTG4dRFKMh+R828/gPc23qSD/02THmDCjnyy931VxJxHS4gUfmW8rjU1YeTcK59ZS N1ZaL/ckDCnuz5UVDbfZxvaTDiBNCGMj53hhAr+VcqD6UmN9MK/LMlsIoQvZjy30GC+ug1YuWSjf XRKQW/TK/lyHZ3pCpB3t2MrcJsGpHfOZ3BmF1I52fVPKfgOrfw0AOqPHGP/sI/Rk16nj4F0RHHqo dybRqcjErN7dqNSdQeOm+79u1RuoFJqMDpqeLQP7PDueNLVkjWdcebV9C4UPFsK9KW7QEvyPjQDJ D6K/j2o6HdA7PqUvQzDwKkrKfd13wPkuIWwsGqihkLiJcWT03Vmnpxrw7XeUc2jlkLFP6ijXOPf8 UeHN8a0FabdYEz226J5KWz3feCvw2bSm7XXgjMOaMSQC1Thydwh3H8ESAaZ+tGxNjuOrE0zWW0Lg v0B90Yr/WSjhI7v9+ysuRmCVQuJjgkB3iCnWBD4QBkAtBT+qGDIUslEB8RmbdPYm3G8DGkRn1uhM BvF9BCWUk2dGVCU9bSSKf6V/CewOqKF2XbUxllCaI3aSMU+Hy5T0vDzS8+gzDVddL6l4JJdD0Kcj CB4CKTArQz4qcsydDvIE0J2cKr769VgQetYIG8JMFdTyBiprL/svmZRTVFFqbv4ODTfVGu4T9jdq chOdjpFEIWYCQRgz3w3AZJjqc5kdxPjF+soMLRaEmcqBC/N7a/giYzG0lhqFi1x36DQBjXYAE0JA DLMFJIOIzqPc39S8ijkg3WEuTRi8w8HLHgYBfl+4yq+mbB5Ll/FDtg2cLayxxAT34cbEF6HSIS+Q cgLupz7RUqB1VmAlYtRcNbON4+PK3egsRldSXtpAjLxfdgWZTnjxwYwVnhNJk7VfzFAWyEN2idet dZZI4Yf90nkTuJEaBZDYw57hAILRzyJ3c0UmxZuzkgrOEKbJkn0vlbqJKm9v/QCZFAqfIxbu7D1U ENTab02tTfbgCs28E7mIZ+KL8ulw0lzUH7Bx0soaKm8JeZKRu6XQFRvo/3addyml2n2KJaSuSSpz sotxQbczsqaqr+vmy0wTgJQjOMXWLALAE2aLFzX5rSJxto38zrAOl5AGEynvoH+s/0PXWNQBtc0p y0fOErhtHKA2aqMKruCKWlqr3jRV4sEOLQqjc6bu62v/oYnzZIQsql8HotYapwf+hSl0p9H16a74 t0JQq3q2v5mGMAirp8svdTG9fVxh2Dn5DOcq3Ipz/FRvnXyo2J7GGiv09AfCuRb+MWfDLnwHEjaM bCnsFI3GUdYKlM1q6tDk8LXE5u8BnlrG+4/1VqAHseWXBtwhsOvMCqAHHOgann6hRnOwI/lpksoT 1Tjs0iXBGv0T7U7DNJIqN955zqSq527WiitknA3bgVMFrdRMmLpMV1Wa267cl6NHJ2HgtBBMcZtn 6hjNMEeFr1+qfS1yC1jfMf5qvvcK6w8o3g4fSTJxj/VmgPe4cbTA70atboiPXhWojHXDg/rBwM1p yk/0rdZmArZzOw4kfchk50snPbDKbQIgR5Wbn6YcxxI4b40E81mkvLniEbcIF7F/CGYrghKYXlW5 9ak5oKkReW85P0uP1WMJ1PV0C+Bryj2PYZ+nt0G8/9rQ0E8QDfwjeg4yrzPg5J7JdN+vhJIjc7sT IjpaIpSqn56KeoHqNhGZNNpAUl9Q/m+nBaz6UU3EQRp/dOIRvyzK2dBNOHszfS6YQhBbNqSsWGKN sWTihzz0I73gCFu23uH4CxmoxjBXown/Gx3SShrF3SqyxY4p56majO5XGWFWzXL+kIFZEVTHDvAq 6U0EYVrQ9MKj9XFGiZIunPPY46oBxIDdNjL6c9CEzbHlxvD5vdlMDZh9mGBHpGgszX7/+cAbTdPg zx8geQbgTL05+bRHKku7o/sIBkKhclFLF7ugn3IyPrs9C+BMqehA0MWXynvSEZr9Kbtqv2r+9Uma 99dQs5XC1GDXXIIqTn3MOgH05CRm1t4WM4S8Qfsmq9gPs01heX6gvGnX4x/+ZZuJecvKC7cdeT6V BIHEZh0XjHzuf8LjMf71ZKRI7/8K0At+Rk6K6CO7JnCNxkmZMYdR+vR/gNkVceBmsAgF0B0pI5p1 pOVbM8D5YPh6gCsPFKP+KCv9dx3YpGTSK0bu4VM+shnJHh0naV0vyeCowk4+CeBe1DImgv5m6BMM XgYa8I14wPfcvhQuGE0JIbwFCKfEqdFsHCdGiGKxnlgplbd5ltRHSAR3PjC540ibgjxpJOxXlWgp auNc91+GHhE/f3XPg61ePe/DBcNhJLEyxhi4QiQ6YbexnrHezCh6XVWcCEaF2ta5vzqexS3za+RV hNCxWyETsYI4MHfULJgfUgRtGMCorakGRX3DypyljWiibWsSqkuD7DwcF/Vgj5xfHZBLG9IqDXuy VP5hyWNJmh9TCiEHN4adVjTtGbnVOEXWDVh/LsufNe4rPNVvDQozz7KJzPLYnDWCjm0qxWGjK2NL im8a1Q5aBZ+RJTExjlM2xxhlMYOA+sqBq/6Na5PyvgC+2YAdaGX5ydDsE67FBzIVBpCgMAaRxGe0 rDEjrWAv37ABQQ9empOY86a1CivG8F03u2Qn58E3QGT4lreJ+lT1Zkcw+MwFkfBdr153o+tQZ0uv 8f+mSxMbP0fsDI4fuaOaKrwL0J6PY6rNaWszJaZcjrNfWui4M7yomKC9ErKjUMQHuP/3FCoarGHs /6NPHnTjtnka74E0kkTQyPTCmeMTVC3M4WVQ2lDttS2wp3eTxln9RvJ0KM9VtJeeBVdpheXp2xyr 6doSCskBFqvDnbXbBDnUz43HFYftbKzkBpdEoob0k+ScDTyfsWa40sSk+OwcydA9kk4XWEZ5CKuT cfsejibzHPlbBZ18npygriYaLrcaVcOellLtUod8ygvfDlwuLr+V+/4ysimHixdiOKqdizNMV3Gj fFgt8Lz4KgnPh3+CXiasZqcLGE7PhVcxOcUPNiclGZs9CbKXI4eEZcMQHGmL4cuV6c/mpCPPBDBo ly/rcw5cddPXiLxgwhmApaGknBD9kFbMsJFczLu1eSmNhn/oGCXvxyee05me3S98DJMLI95A2QG/ MZSBLcqzitvELvAbJnPSQqUp4eULc2S2kNbNyZ2bpUEAR1S0LICt+FwjUNM0lArX+TlXOK0ilJzk l+TL5kyPGuaPQTvzsaNZWE9Ncm06mudImnJf72/kSUkUzqqk6kP+I+IDsJDs6QifpLDPbKJig4Nj CaJG6gsP4TYNutmg4LfiSBAtIfhurML1OXoM2HpVgwuvuJX4FQdPuEkPlK8lStngVyZsdBCzrPx5 dmiybu7p1hV91UiyHEsJHBVKD6M+lO5IH3owjZA6qqUlnmW4lyXK1Opf17j5buAvikoHIKq3IOAr RI43prFAJ5EFPDXxBmC7N2Wf7FILrQxstaUxJWHaCBbY6Y1QeEj0T/I9SzJmn6sZ9KQ2tFIRsxPy jXT/h7Tnm+lSwK+zontiFErQB8ZU4btdcjZ3mn2/K7ev5M/qP0kVRE7adLyVq5HoVS1eP3VPODcp nigRiwVxh1KHtnFdCaFISNPReTziDlznZuhtCzMgvXeGe9M9dhOv+nAqeZsDtPfjhvRpThlR19W7 wFPaq8eD7OZweVRO7/6927h7aNPf9kz4AvakulqAtOveoBI2d8+gdv36x66x6nYLuekHAXr6AwMN ia/v01utMC73YoIUei13LXalKLSGEY+1aaXnABvC+tx5EGmmWu99NQlHlQ+6e1p0XIXjhWpKn6ow a7Yx6X9QXl4Ymun7hlwrV9RBbSeDD5y1RNitV0PNF/oEVQSOfPzQLJK/vetp12SavWEnIE53smSb EFXlaUYvjs1SeMh7tRvNz24+rWSW8EJSPEVy859+7HU+SVBbFQdL+JbHnv/jiY6tZeUFxIuDTeFf GVcLMIejq/lijsTRwMaJYsOlR7/uY4J1dfhMnlj3KCPZ0pNe0MmyRu4lzcs/vEZuJXtw/FIhK0DC SV8so5h6TNKOKLaYaO8B3NMP/o+MW90MP7dWJs0YhpTjW9+ymTwsXPdjDzRcSqIstR8N0IR/UWQL Nbd+Fe01qxcYLSx3RiridDaC9zRFSyJ6Pe35moHLhhkP0C3SAweQTxTyJIbWF0WVUYE2RJe2ntWX mDF8+9gvsv3zANGPa0y9SfTDbXfGbIAc+HO1KXkz0IdYftc5jd4sLU+nQb9uy38EofEWEJumileV ipqn6wVO6lx55yatgu6RvMxa2kePmLnYJ11h01ClzuRY/98S8vUPKIuTgtUcQn9ZlfCQF+B1UOhE a2yzOoBcX3SS9q2jik7ehweo42iSIhfaxF4CUDHWkGR9oOw4xDdGXAjV4J/zfxAW7aLve1LCQI49 UKwg9AK0vYsWwUkZZQYX0G3gEKP5+6AcCxxdLzVH4lUi4wnz2A0QHjSm8uoBmAytbgz/T32itlXZ vtu6U0aDGLDYetUSEPfL7DAjeLNM/Dv5qPe8ikviBPaL0EZTgA+cTQMQznCAMRM1k/W7rmO+aT5A J9X8zb2G4De6ynJAcaBJ0H27MrPOQUYjwz5GRN6mQ87sVJ2b/Jx2iVjio3WIJ+ci2MGvmiJ2yovL Wi5fd1do2ay2VGGSya7N6OkHM9QYZ4zoqFH3T6EKC4MxCSkCYNGdTkn4cVChG/I2RVnGbPVWpCG+ Fq88SyU3EbabmT0dhvqH/Qy3z3Mxr1ZEZJHr7Ft4w5AFwDvcB2GZBY/K70F2F8kdaoHaqubl7FMv DcS5JDb+PL+vAAFThm1a8D6LisvgSu9IK+x4eNCDXxhhNFaUDPoPR0IfwNseAj9ku3pdD5bNuGyq 38kwIrvOLMWhBP3jJMr8R3PlKiDLp56noYYFsoCLWElY94FlcurLRKqoajvd07Vld/Np71l/Rw8P huzJYy+LXjd/TYjlBjLLBwOpLDFOSxLjBeZIN6jNdH2U/VG6i6B1Zb2YOTWg6vQK7pAbWaaZ1lWV Iao3j0P20pleZe8V8Q+Zg4fChmzrJRS8IhvzzY9L6O/Ub9bEpSNhRsiaevpmVb/Znt6/kd4VgIGD 37Jr8tuqgsXhX2Rl0uuJK6qo4BJO7CsevxcBywGIEY+LUU2f9RROt5swIp87psbjin3xdwM5aUpW SlZtjT25r1pAQkWt/XN1jTlBj2ZrlpXt7HnEDleexUVzW+dUIobYNX6LqELNlcvWVAwAj1Q2HK0/ XQg6PD1138OBfcy/ToEIbQZFV6xCzNLdJnNlgikyZ3+w9Zf4AcfdVplhhnmk8xthncyointW2Umm B/37rpWqvZLqP+AAAO4FI7uK5na9poTgYQNv361l14G9QGOWIJB6Cka/IaC8cNad1fXh3BaVG/tl TudIJ8EGhPEtbk9zjmWzDu7nm/lH4u3RrWXif361EOiSKBQxfSOVt0YRkuYgLg+P2wWrOSHBubA2 tO1+VrYxysbMt9ksDDnSI98XnZ+GH9M594URjogoWGfbFykRI9VYrkC3+a63MZYaK/bgVwcwnLBJ sIot4TCqlTcSVKo8Tz4xmjyQHuayFvvymPH12uAggvtG3rvGqiPX117VZtFLLPZJTDLQJ47FMK1A Hdu4JiQre0DxljAF3CQthaAmn2ReX3A6SnbR/Jr1fpp+OXcQdq6S8td+hGA29gH70ZlXskoxdIGn AH3XrSFFALXQ3bQ2pBjyS2Hvr1i6w/ZRo0ghubZT1R9+/Bi6MeC0unYhyf6/SR3vqXaXDjCM25aE vj9gnNRo6tc8rSk2ABn1z5TR/KF0x0/wU6WVGEnaKaAWWLngu6UalIOJbHmDXMaJyNG4RLpB4j9v M7jK3dLFG3UlpaOTWbuVsfln+wFg9zCIEsxDrJFkiO5UA4OEaT4YiTnyzyPMOyWRmecOVjkp47Bu dd+Z8bXlx37vxxuxn6z9zhAaTvWkWLuj0aqj8pZo24JZhXVLRcvDjWjMBoBImEPb+bp4EnFn0tWs +H80j1mfYNCFTaChnHmRhDFn76HsXK7Cth6ITZgqgK2/0c0NG3IwlkGrypJFlY5/tAETnJZJQnlS lTHsPeISQch//ZrGzMwln61dZvxvG1yZsd1Qgf6nmzGab1SRFX0OiUQQVBU0lHJ4y8IbF301eNS3 zl/4XOfZTBSpxDmtOIVzHCdBjZRUJAjShcGOADOxiqCMgln9F1ZGiAJv+DUiQ1Iy7Zh2IgCiomOX XSFgw0CutADd2c1g71hjVc1gx495W0aN3YTC4HwZj65oIHgJd0H6xti4GCB13inzQP1cUakoBrPu xn7su1auyS+e7yJjVyeB4bBuOpVQjeBPotq8PiglLJEqvw1yqiFMYLV3Fp6cBYdQfnYBHgC2KCgp X1+hYoDiGGK/JX5QbaEV/3LxW6OIAsuivFhc5pztYVCXxsjys/MLHjCiG1lOmJoVtXdnchh1Leqk 8RTLgnqrtlyHSUX3nLhVrdE5n/QOgKDuENol8/JMuwwczIaMbVtkiD3U9CWYNH0wUDofNsIChKBu C9YdqwpiAkgIjsf+lUTiNEBeCxHFFeLXdHqplhjHOSGDJklimtC8epjgkihEpC55/Qynq+y4fgci 48N7o+Bq7kvYrvbD8+2e6kcC2m9bAxo6SehY5NTBRnwF/wRVkiJeBU9Q55M2Rp5KFR+v/Cl+w+yY iCLIEKmuzQzjrLHR6GgdE/QtP2kOaHBaSagMaWAkd1S7HBPdHh4ZzrmKC9LNOQoLqRSZYWx2mLlY Mqa/XNyXo881Vj7q8+TmVG7ITC4If7R/2qCBrjbHQvU3GCfz0mrJSthxmCP/lvhTuk2xzIktC+rw cOatYVSOcW0E2WKl10yhduQXnafBeBXQYK/9vG4d9RdWoKdouoHahs0KyJssN+/eXUerp/IkM8Ag jQ4taCu36mDn6Vy6HPknOeXSd7umIpZqIR3YIuNctGdjtgN7DJoQXrJ5mnbd20a/+ka0JLagZO3F O4ZHZyKBxSkavqE2leMfkMiIlf2Jlv7x1sH/ePnkov+Zbj92By36PsJIENzizkDotw3wTIBABCiA qGfz0KmkFEC4gshaEUFYoRUWEnY2n21obQ+LFXn6SWLasdcC9adQ1fY/bChvX4+gZ3S3EKv6ldd5 fsth3eqTNdbr3ymZcsm63a3WEbGVi4XuQFN8Avn81IW1VtTfSSIw/bDvd7qAN6syJ/ueiP1Sv0zj jE93K1YB4N577eoEDhNjdxUMIO8x5+VGqjGZR9lUbMjqhTYPpp/wvBCVUBl5yP32V4RYyh6K75Fn H8yyD/usmWSYXYSqcmUIV/OEFHRx0vryEbIiR0/M7Lhs/sChFtH+wlBbrCfDHtHaZc8SykT5srgC GjCIJz9j5sX0WP8b8KezVnOyeCyEmGcW796l2vLdhm/vmelB7GRIhxFVeQtoiGNZubWWPjxEu63+ wgebf8yu6/RAdx7CrgSb9jRmqeZ+spbHmrfxceqfakWX7QLVyHZ/hhuRvbhZbkExXqZl2/kJt6FK 6K0Y5eYZkL9g4HC0UbDny8x3isQekaKynIODDjWRaX6vGEmrPZMlrFl7LpQN9EoWrHR2AlqAVxhl ExzScB/JcVcEATFGGetS2a3Fue1UtJ4eo4nlYiuEMINHNFOOEG60B1rdpuNfvIcTnZob0VPg7oQd KkOmFxEjj/z/aAM6a3yqE+m0IahaPha/hl914+bpr4BrHkTnJGTPsg0DtA2Iy044MPS0V5nc1vbR rHyNNYZNfClteha9EN7UoNfZNVhIhOwTMOtkv5kIcZHa9znnAoq3YrkNxOti3iis2o6ZmQrUTqjX VQ2e7qTzbH+Ll9q5imdHRLLmYbW+y4UczXu9kjQM/I/4NHMMCbKijr9yxNKN51YDI6SaTDDoJ4sj 8sukXaBcwT5oTvT35D3BoLmlzWgAyIVYvpaIU8NZ+aW1fzgXEoRLuhi2HmNBn1Iw+ksBE+zJGZlf MiCu4KcnwLQcqCBG94r5tIhyc9JTkKoPF12R5CHIQEubpYxOSfWzHyTe4MZAGYJJtaiuWX2trH0q rUsGoi9noCcNC799t1xYgNtfXTEhLc6U4cu+3KCWnDFmI0E2d0uqZNjLy3B/q/xCIPpg4W4VfKIK rr2n+am6QtTOk2OyCqBh9Xq2Hno2/3wAQxDVTfCAm3vgpmlf4THKTO3Cm6RYrM/9tpKbKhanUnB5 7K38ZhqDj/eQDadw5zdzX6LZBw6CFo9yZ/2Y5fctFZidLo3kPbu+cD7lORZfU1UGO0t6iDdcH4oM 4lH6FE9MkRKdhW4MO2Dy/ixSMCVm6SQCidyEs4qj1IBiQbXCq4DPdeV6L/rPeHDLgUqp4EX8rWHy O2LCsFMsbhQvEQHk75TLNcBUXbMW7BdYvzRnmRy4v0mL+2qzIrryr0YhqUtClUsJRe078skXThiW EDADp1OKIIxEUZmNKRZi4a5GIeFzr13NurzHm4g5lZPYHXZixKUVlvwEaF5J8iM7CJAjKsDKrlfB bW+COxbA4Kdgm9wDmESIo7Pzm81tGZTXY0tXEz+y2XKC+cvqjQE99jqr8NkaH1l6wMB+zhCX07xN lBY4k083BoSoqwSvHfazaSoHqt1qz/XUn5q0HgM6OUEHbq8FkMoTnEkaGhpv1UTb4/uu1f3BBuq1 6TQXwoDhiWgrZs4+30tSnO1CBIHDE9OOPt6CPYreEkUJGV/pI7Qlo7KAybZ1kbN9YHwXEMTV7BKP QE04rpI5POl9Ugt5ay+uYxZg7exgNoo4BrBzX5645tg2SSLtyorQvZfQijVrpO4jeRQKXd/i8sLM WMU6PB6i3WrmFnD/WB8+M7w8JVrKNWXaeu//Q50AaVsebQxxq5odOqblR1HmmD/xNHC4079Scda4 0O7bypnh/IFYEwoVqGPzmR0mqhCLbV9BVek0Ini7z21lT7TwcfNBLBGQIePYUYMIcUbfc7WzBMIE rSI81aPz+4nB5E5f4GhKNO5SxeK7haBKJp7SqKpjRvyq/R7UlLGnPVbnuvoJfG7wX6ZdpC/hoa5h knwxQdsRhT6xrd3gtUvWHVDsRQqGQdvJHbnjiIlCBx3+lDs+eLuxNEywJkJ2SQgMU9jBUs5NGEwb Bxlne/AWGIp/b103Jm3CIZWh1iaELMigrjONhYfjjGtLN4mBYdBF2+FQVvx2D6MqLBFbIqr2p1ey KiOv03+g0eRNgZ8qny0dpbHOBxwLED2Cfh6BfaBTkYoz7wWJKvA4pk3FAytP6RsgClJqxBjxCx2e kyY19PhBp7UzTlLaun550seQVUmn0eClS7/EN+tnQGU5Xg26jVTzTbdOi4MLYFOn1OlLXdFzkf5D qJpOWRzx9MUBsAxU5NsJVtvCMLj1NqXOYsXEwCSFCurKGifEB93boEyDnhgq/HXD59XVpTq9lmP2 BlanvAYhBM2SJm58XXQ49pmARtmmVSZyJ6z/CVdMhgQQp/fRojnDGPDSRsTx+K25TlNbQ2p1cH0U 4W0zgyllNvDYRRFBLwFQMoyK2y1L2aZHDUOZtfYmTElNsLyAKrxYwTStJ2WHnwhrJAvGW403W4E2 iIJdHX44YNJpngq26liRci+piIKG/fELjbO/B8+89N7SysF7wA5vXm2vt+L0RCbhaVxe4+Az+EY5 18NoGdFWGugbF3z7cv+/tiB/lEVVy8bx61wod0jgG8k0l5XbR49Poo66DDZ1FVfERMCbScoTUyq9 5DpU6KWPiU8qYsup37wlcuGlR6qQ3xvaunemgj5wAe8zPUEg1PeMej4rtF8IaonVx4nC8mm2Kh0D pdIWVXVGvbRa8UQRJ3lPTDCSm0WiwCjoxGzCr3N8yeyCHhavJWE+kUmSxLnEh+z9wNmdFg9TF4Kz 4mL0T6BFI3IGy+4svPNE79cicwMjDfJ3otj1RabFKiWxXEiQGTqA7JTh5mTNofFcSjhsS9oRY+Lg 4M30IZGFiusoJhKdy5b1S1PdmPY27u219afVL4lH4BvMtlw8A+uiqBqHDxi+a7/5EaNu+/TZkXgK 6s2s0Cmaunu03zLPCetI53LOm0uFW1OKu2QYhzdAHaX31UZv/nWfscVRgXZjXtu40Q7RoZ97dZoe 2LTQqul+01UE3BT/m9j0st6Pzsl8AWd3wnHY+PVs+a1CcJmXomybK2NL/A3iLNIVQe9LqyJySAdB O7cblX4TnMyw1Fu2f3SIlOprH4A3Y8saO/OpRpcMc655NS7JPuIs+zF1Zho0Mwm6hEwtpQzOoLNa AOTnPq3fcr7SR8smBxfheKqeyQgolLQY+rSsZJrD0DSK2OrXuUA8jtV0S94cnfHovjMC2jBFrmtL up/18H3+bwx6dDGIdOyIwXGntAuK/Oc5PR0hlQnEs3aTHpLaoGu4q/2vZU+Gi0OjXncc4Ug797+W sPoWOOFzGhPp185BKl3bO2jpdo9BmDYg/DWMhshvrtZXI+Z6vgWu8BvNxdzB1vBtVR1speoR51S2 15907PF7gMNCSJjralIUiU8qkA0S0eQ3twdglcXEZerAhgfkOaX5uAfbftKzzMUkAaR35Y96hLFS emj3EfyKZUQK2s6U+b5sPbTGXu47dgolW4hyAsnSm/LCMJomfv80zWzKYtq64+tX/ZyEv/QyawQQ O1DJLmthCUkqILMgVG3JPrXzc8Siz87ATgbP3Lchmxafj0LsGPPXSyV4gK11f1oZhCer2N0ci6xy fW5jUh4t1+HXxW8rtPlWmenxCIQEaDm7iBkj2/k64aFTCe51GqwkH1gJvMjsU8yiwSeeOn+r4KWD ijy4FM+xr9da9+pg0Us5wlC2thr5IXuK3UrxAeha7jvEHbe8y2OPBLC8rUNxOwON4L189K0zxeEg 0QWdxRHue/mlAMsLyRmz5wHV03KlLlWQuc2evPRby7H1BKgCWEsjJcmmTGqt0bzWPtCk1sQAR9dO R8I1Tcongw+nbJSlLEwPSTR8tzd0cctoBlfvSv8ZRVJyB5VfQts7YSQt9wZtINnK/mCrrFJbCkJY M+jTqUYVpi+uSEDNOs6RPBYu/4I7vT6IsFqcmvF9+ZYmxUYT+Tmf2gYzmV9BMf0/OfN8wZXzMkke NydgL9XXIkLIRtVeQPwIRI47c+A833JGFma4MboGio0HRFJmXdSlTaKmeIUjUZbW3b+wrgRYwwrq DNyCwHZKePN2nkd8bCLxwccCaw7bIcLwE4xqLLc/Qw9t0RTu9Y2N3O1QbDFsVakO2RBLwBv+emRO iN4i9EpnNK9UdcP5B1d/Expudsx1jjhRF1dyYjMx8e4C4o9gDe6HGY8TbZAlJ/rPuh0uPkuxQIhP mUpxq/CKyUi6XoT4lxb2pCHgyM1jXZclfqk7qRBp0zMaW0neGi2rqxKRVXf1ttGSbloDHpuDDEtn NHECh+vbqnfbNq7pKCMrm4C1Q4E32DOj2bk7dddzpDOOi2KvymYoUDThLFme7TCHSxhls6qlOlrV +KRA8hduM2VF2OFsgzZLz990+oM7CQ5Zz8ED7KY8TDJzEsyma6b+37fu3ZiFNcWzrvzKmUqrOkvI FRzSed5DmZPUqow+BcUrIVcU+0hgO/JOBxqSN0j7n8vQAXPTyJmKBpvDnzYmmxnhOQXBY1vFPpXw UQ2z5L78Eo0blADOglE9mPbQiXTNh1Ba+1SKH59DhDFYOPE/JMwU6O7vE2pdQY4+NqpW5CyhmG/0 4okFu5gjQvORH4jU3IC7xow2k80iNI0ID11GOihk+8uUVKc4C2KcKEQ1wdVBRUibIcCKSXmTKbyL aNqLqzF2J0lWRJS7bmUbFYiodsGWdPFcs61BwesALrJ0OOobwXx/tEwNtERRfBXOkTaYkK5kci3u Y5J1Hsl+x9F7Q1kiwT3UOPOcUg/Dm8LW2It+Mw9lBsAtq4T+W3qwBiRevz31qITE3++nmgvtPHB+ axAdMei8u78rJsaEE63ilsGDLE6/RH02uEZUlOLoGeDi9UrLKLBfX19TXJNzOzCs6euKC8RlBxRc wxHhiDzxUWXIdbrCe3Bv3y/RvmRBWhdcCvIhdyOU8y9uOHfBrRzBbFPHCkw1LvevzISfGzx5W/Xh c+arfXBXnPxkdmHF6/v7sp/rCctYzDAhfEBQ9NBen2CZPXGNIofG+P+TpH3EomOKGJjtO6CfUeYX 59Mb3B4xhsdJfmVXFX2ibtM5TQgSjpfSNVumWZ8+sKDep2fLSrTJGn3UaXbDRpIcsqucH/G70GQz 8Sxjy4XlaOlmeKQIlSDV5CjQsOVcI64sdrGKUV43EPbTcJZVSAaeLpWCWzFh2TTNq3xNpryxRnJF 2mnFeQweyYeVLlUf7BkjbEEGBH6KgnU51ae/bJkxES2llVpYZol/7aWgD7giw7H/4yTkiMyIQ7J7 bMCMR+HmbVbW7MxkHpbJuDcsj2Qvb99qBfDnyGcl73FAUYkxZb2FduZUboSA4+9B/LJbe0A0UWzZ Qc9hvIFAm4q7M6G/ITGFJI7MsEh0YVzx3g22MtDUVEvDRQmQm6W8UfrbKSBaW32iBu83cR3N2B0o uau04x61wxGleGGnTqAvcYZ40oW89W21Jm6YX+YS3rh7K0jftU0rh5J/qtXZaBnyOJhtrx7dsqRZ TTgSbbzwi5SuDBUwjk+6zpJhyo05knfdbiCo498acxV9Dpj89S1TmMwkcSFmOBvl1BpT1n5Q9c09 sgA5JTz5KWreg45He+GEjKJlmzMuVeEUq7Qnw6ucwWYYQNrFjYDg6S4khTqT1qNmGQSkOWi2/TBO nBgSskL1gXhRaq1Z4ybtGH+l98c2/YKZ+CxeMo1kuJGvxl22YRlONDtU2h2NFSe8hwaYzGn1sVP2 06xfAdHyKR9McXj1w/Njrn954bVKGulHdrQfZ7znyDWD2FWabDrLgu/UHbscACNiG5R86B5VdYqe HvwXqyEmQtVEY29GtaX/9jhB5MDU9Vg7iz5jhJvNsny1jqbNuR6rmnPZWMBJVSuNMTlRC+k729CP RDC+PxskE+pUMamkmyz82hdC5h/cvxpT1uga/qKl1+pTRI73HdKrd2Enk/40VJKDTtGgXR43BN9e aARdFpwmk4FdigA7pOvNMfxUjSwzKDd/RJvmn+LY38Co5Tbx9Ks8KPDZV8+dw50qfz9lgpilqZWQ IzcxkIkq6FmIAFco8vXwyz4WuEBYAmIR+WCxNzssLLmm26ME9Uwd083v4HZm2wz/vnK9aNoJLQKH Arm13TJGEhWKG6ORp17391W97XqrnLtJ9BTs5HCEEgSoZEZmrmpb6cL/4R3uyjws1ACAwg07+Vbc AiTbaGqH14xECLLiaKZpMksSdAqqMM1RYeeDzrm9OTaEo0yO4Q0J6eIv5XKUJBy2GsHKCb0DKQia l2cP3dV60sO6Y1lnxfp9ra0pPH0U512pqVrJEtcxn+v7YVtnrOqu+lbf5pjsux+I8ZdXY90NRPzV MItTGhmlJX8PLNm11pzjXc+OUeE0CSD/aLbUPBpDKBrSzALk5Cx8dw/aQC0Ir+WxTKcB6yuMBTOz sXhLSfQVsWsRNNsQlUvN1a/qdcVZ3ZJthjwtybEORNx71NAGUXuegy2wmSmMKYPYKK7rJ1GyheKD BZcZY2iTS6wvGGfNlwfGlPy+x/p4Uk0WLD4mNDZVUQrGWxAdh2kyt0ns2ZP2HEDjt3loiI4p8Niw 5iS7xGaCqWKoPpHVcVuM2HJBWYfXmFzDJEziGqMPTRn48wpEnXSKA2iFgPgKuMG93MKYoVA1yr/a 7fjTK3DMNew9vO7cXwKcAUaXGOpF82kYWC/MXXpvBLvOxIM3HNDXt3nxcNC6BVfG7dbCAjsWjaNW Ao8dhxJ3KfUieaTpaLMy6US5PSbdM5XV/CrPtbnfhGayln6LdCZxDOsDhcK81wR1rsM1D2PMaujE 4DgV4b5ynG2C6xOO9ddcMaKwbpOdSF38/UGb5ZC1NJx61QU9NsOHMym3+Vohyt2DaDEs0szYtfyx f4Gg/RmBE5AhMR8UbNziVoyLI16OS7uZPWAvUxCEUrvgmaghOrDTZaopsrBSrTOWdGRnLdxExvOo LGqq8+4Y1y5uVXu7OFKAYWCRwcYHfiyXDZ0C1MDt5hsAUfxeocoaVMiwC2Lvg+KCgjwHlg/6vxlG j8RUDKW2Z5YspASHDsTxf9gQAtXa4951osQy0cxl3j2al52EbUC97oEZIFw97K/CybmOR/speD7b X9WbRtWJm89k5WoUJsspih41BUPpEhwqPlzR5kgAYbHyw3AdQTcOlOQn9F9dkPzRInc3h18h54vA 0DrvvrDPyGf4azaJRmPlYEO+6phFWjxz3cXTTrOZpiVkso02n/XbSLqQymU2ZZUKhEN7TYq44/uE d9X1YD3i/Q/Zb50wTGygowur4symvsg21kjadv8JPqgTLKbwHg+ZrlyLoKVWImOjTZSuNDHSlAkJ Voa+CkG3FxKy/MosEbwI4/EF2YDdO1XANXb6qGgy1gRMY1eJ6HBQTrp6IzpM1PVt/9xqu20Sxuy4 OVJu5QOtM9fu6E1nd1CqTLgPFnOEsM9I7NTX2/tDoQ1wlmzDwHYcgn0Oj0aa+EFMKJyzsDfJHak4 zRO5zTJaLUA99d7w+v9NVk1lIAn0vf2qzdmWSQxs8KTUlCmgjJuolQcVxBuGVkeledBG5TeeJLde 0LWpOFw9LzhhZ3udtNj8k4kpKl/JHD+HKNslAmHGbtTxtXLhIvOvKiq+hiDCa7YC2gRZ1aGNa7kM LrHIpZR81K9Biq/7P+Xzd5VwfTa7c99lSGvnE3enqt6b12JYOwlvXcGtKpQEE25g9k0cphi9ACkc bePffajs00ZUevk03ypc/UAaeZ6Kwapu8A4zFAnRbtwY/02iEHbqfAQvWuP8fjnFrts+X6l8WRIZ 9Q91vkZz3vPNbbiBDqxBnDpWCTYZ+mSHI/3ikDH3A0ZIsCE07H28k/ld/+dMcQJJm3dkcHikSFzt QtMWcS+kaErB4CrItLriBMjMATDHex+TUFapQG9qfysGxlPh5uA7H35iMRgZwK8zdYVurOLCbCZG VC1BAH88iSq01+vxejLqQY190sdT9c92B10O3jy8MXogAt8FQfx1JCtLjOstpC2sQqUE06ocfwel SGf7Zpkec6t/p+pwSRUM/nvYiYHjsvJOBtqfh4zRzSL/MmQJOOWOamPqCdJZEaFds91iaWwTiLjq 2Z1VSYlo/IxtDRTsmIstRNoFlUFNwANDPzsh1vXhNnnVO7oEiF5Bga9n78jqRWpTF6Orr82rGSfD QZb9bVz1tQIloZFzdD680KC0MnZnuAzVjP3CyD0K/LR0v84TYDR9MmdmgSS/vz7OZ1L9LWouRRds sxh/JoY/oAPgFw1P7ErUjLYhWAAbyj9NE7xFSEJ7GY8fQO8FWA0AkSWzROho5R/68mrAUHXn9kNQ SpmHOPdyXVplSgf5yGJS9+tCnDKoeGHvPLBGkHvP4st/vtXh1uDFSoMuzGebSyGeJq10O5Avcp4v +/8ruWuiOf570roDI8sg1vjpr3/6iHcP4UBvz94UpdvtvxFJ6czBcNwIR/W3Aw+UbNkhpsvnfuHD mCIurNsOcv7ka8z6X/3ktuPUyv0xG7IrHWqG6MrcdJwnh401xIfY1Rxj1Q7yINVsweqr5QEdc5ks tyDQDLnDK+01x9I2NaN0Bh2AHdnKGFMzF6NkGgA5RW7DcvTLcWiP5LrIU6eXD/QlZ+Zbp4MFKi0x 3S/sFZSVPRvqDabbTTFUDTngIUJ4TH1yNyjPBKSECQeCwJejECrUays23CEaf5NYGICKUBQG5r2S WVO7QDlCHl11jygcKFiL6G+LGKWHY7rUYu20f8Ld1lUTuBik0nv7Wk1JpxNxzAunKVLSPiCCblNK M+xmgnwDSry7kF4hMKSjS6CnaSiUh8c6vbWVa5dpWmCKk69pXX1KvBfvRWgleGVKQWNI1Q2iXf5r ayuN5m4i/vfltfyQpMwlKaHVqfmj6bi+Su7LAzXdEqutHfwntPSl+7Z70vG4Jjgjvxpv+qI5k9RH 8QVOKLKk9zfWUXrAKJJkV3mFNSZswppSTTE77b5514HellB9CUc6TdBRGeJYW1P1fOZ/g3rZRU0Y oxsKPU0Vf9gWdaUgtnDX3QY20VsSoD6UUG2y+4Q472Iyxl0eyt5k49EoftM0rHnGwxAJM2x37Izq u9zBNTctwWENUv1IIzdkHYHERcKSkmdonE0qfMnAzTUwcuvJm45BQR1CDEXaaGn8A6xb2gSO9Irg JJ86YMd2NujqkQmTJtHy9+KRZZ4bEhVltrd0wWmR36xt8Nae4mlk5jy/c+RpMT5gnCgkNhOahzRn 6ENDbJBh5zg4dWxFUvzYx2J5yR0cP+cb13xg3iFWRTmiMvHO5dR8wGdXqh7mx/igZ65GMsNau/5u MQi2j+NB0L9fptyeJ0jCThhIF0FOFw21Fio0tlVh1nQsM6n+wWlVsyMvJi6nIAo8+B6uhSCoUyCI odZQ4gHXeRppFmXbTkFonaSMdbUgDfu3KwYTlAGWP1F7ghtsZSFZ88H2aWqfXvChh8IUkXSlD+/G okFGEoU6jpLeCuuWlx6xjtNTj4kBDebRE7t2KXqBdaot8bwR65IfJwwOB2hTnE7QdT3fpQiLdRpo 9OIQNN7MCj6rkPUzbN8c5UJOpaURVL2m+ihGjmUxSZeknZPMndItCoIAk7xfRbTlHz7AHnjs4kbT bhnlhx+q6Jc7Hz96cW8/ki5yN1SQOUn/Bmh/VRnCjYYjUqSgHUHo01mYuZhcsidpRmbQzyOdoapI laOQNTrUy+N5JtDv3mv27vWsb7RYb3RSLVUcjNgTksFXQjqHAhAo/4JUng6Q8QHwMWfKtQy1Nl6W GR8d7Oy4Aqi440BhUnnudu+JNHU/C5+uNWipm2Z6Q8vkXegRqfI2bgUXDYvUJvcR0yB//dp3CgCl aVjAKDyJrL1ets929dHyWh/gz5dwOMAN3FAcCjbEpY1kQfEA/qx7R6Zf2VDLb+xUT2cdFWpHNOdX qECOuCHfVEVus9+nugQKclA6uXxpchikN1lcw+bXFf+Wz7/+WU/uJWkDnZyGrztcCrSk8sGA1/Mo +HyDJ7Z2qLy2cq5nMETXZK9486Rw9iQwPOO04qku2G2doMGTvrP9NcRFWmG8+rkojzgKBBdsUQOQ quySfEa8LYxZW84zojKXiodrEybIq7ovf+Pl3pVg6MSpRVbpW2kzm1RZVLGvyxWRhIPkgqwsVV/f 3sfKp//YD5Fm3a7nj68AhHIqocmbETsx9WHXUq2feIICjzri0ux0epvyhik/+YNVmutFzuiRX1dK 2y/Wx7gUHGTPDx8f75bkshvpWYnRtBGRXi+6mtSH0hHGul+aD8Few5FRlkhEIosq46wCCXUBN1v7 Nz0NonPdGYEQyGGLSPinUij/RIG1IruwwFYg6wHZf/+ugu2Qgy3Gi/NTt9t98p0PAWngJfoB/lXF XkwXIWQ/A+66pMUDXcY/2Ds6X8qgBPml+cpLQL5MCloagBNgbzsDvfq9F/nWF+91uS+3uAa6arU+ U93QvdiFzn7FcDXGTN311felDGOVNc6C1ByhQeKB26w7OPN+/kq/YURmjnhYsapNSVwKn78oOLXJ 7laA5DWTw62lwz4gOodAZhSHcqPjVludZkrkxXTLUe0aR6aEgg8iTYgzS9/v9+3bjwuROuyALQ6i 2g2uXiDp9igNV0xfPwslEkmWD2ECCBtJ2ZvWjocvFd++bQdJLcbB4JWqrxF8DeYzR33iN3kCjstp VeCLf9I6XVWTt8CWpuMkG5kUkP8yOF+b5ThrwOVniOcZQYXHGRsS5UfvDbZbxuX1+Oo03Is0TdA2 D//ITWMzL5oOQKhzKcD91lBsfqOqBvohtnImdVh2oDHe7JL2mxQ+lp5DgQ2WR3Lz6enJsAZRaVTT 3Q/yvhEVPnMUtlVWiFsL4fNx4/eqtCLI6Ch7WECp4VHr+x2jLpzCGdbectEbIpVDWyzasyLNS71A aqsGqDDRIRJNqU5tlrxsOxT2Del0b/ULNTiZcofAbg0vhpJhEK/OLE4nGaE5oGQ/nsf/VXrCIiGt oBUfKpTMZO+xDN7q7KqcBJLjUgckqG0yDOlDUxIL+eMrpvWstFXTVb8IES1SRVh7nbQFZ0VRU/4A kls9YGb23wpzgCuHn+F6PZVrtp3Iu53pbdIccuWdKW1GcZSUxcAuGsZDMJCWjmOE1k5KpHZHCb+L jQ6RaTSpCwwgouKHA5VWX2mQ3yz8Xd9o5uf+Y/vTg71Zri8vnCANhHa7d4ONlJgCgHcK+1AmSFmO u3rKSyE1z1hdPO6+Pd1NuvEQ5PPoQ8enkeoCiwepDM6v5e9CAePGUU2MKnzvTXz/i2d0panfv7G8 g3TKxTDDeAC7litchW8zedQ+BJvPIdm8/iEKuW98QFF0Gl2UgsqGJ01Pnif+GnoTLfRxIFWRDx5A BLXC86UgNQKkxMNyuiUHKv/o9q9rl1/QAOfOiVzjbl/ZWL+cqOxvdtDLL+NRmpfeYLufKwoDiRPy g7btZKoR4NRjvNAZ4HLL8sPqub7wq+Qw1RgqdsPxlNCMORm+ilmZsLXxL/gIFzzs5PEOL8yABE4l XP79Ti4hPBf/NrPoMHCHG44/L2gDV+8HbjjkEfrnhABctqt9A7fYlMzfia2BITQXbrAMTxFeQF+D GOkiewhRlbJVtz9ROo7Z5KTDkhWt7lF0xH4aokK1kyg4fxOFkvIGIfTW6D426ZbRew2zjGHsEghl kY7M4scrxWky2UBhDxOo7OzYguGdaUyllzuXsYaJhDFFgSxU+7GXiHR7B1q4z+UxryJzmqDij9+Q 26XuJdrqmoPCtQPaMYw+gaBI4V3I2VdF8vs4xPy/ZutV92BZFmVLl3o1ZbbxvRgPyrjfD+uz3Uh4 gO+VkXi6Z49tetQ+rpKj8R/06LY8GEahrdqtdK+N2ow08fPfVfNHVxUiPvSO+0XUDSPXuVWdypsz F3QmhWTsgCTexFR1ZaRmR819esMMPCu5In3dPIBpD+1AzqwpqyWgol/X1EwnVvny+lc/D2ba5lTP flbAVeEIceKQjkgipgwu/WusVvFybxq8F8wZO4n+XfHYS7vPT7jPWuijuv8IobpJ+PvVFS8y9GoG gX3GztcIUPsX3IOHY9xMtnYTS0oKkT6B9N2/gb+WfLXGVBLcommZ0fBHhMcqB+euAmfSm0rh+I8A qZutnqGOuncKuq+vytBjeslKRioGbKcoxvAohe4mgSUFOf2RTmmKfnaLLDva3rB0hRRF2hc77jM4 w0mNC0d/r9NGVKRwQeM0muzM6sz3ZvO2KR0NFhujAlslgmwGDFUKILHGRLlQW3bcVOy5E3TaqOPl ELu7V5pJqaSFh6DnFzmZ492Lyb/AjV3+jGDJZAoeKCGKeFlIUS5JB51jHjD5em6i9NFT7rl1EVvf lGoZ/Xt32lY/P4ybRqrzVxhkUA/eWw3hjECfmiYrO2SlPqDTD1q69XDBkU549Dw7BoEkFnKplVDX ymlp3zNAThCjrXuxCRkcO0hg8PE6pNrnLEaSvC2MEUSDRj4w2x96EAB04reXlDR7MVj6AdEl6b40 /7J81lEclALNdZEgrnY48Eo2Ku4jzU9DNKrDn2xARbOCM3ixNg+0twVhZj/OUoWti5QyaqrXwqMe YhUB26AI14aogdnps/Cn/Vi4T3dqYP/AdHctTcULJPolCMtB03hm57Mq5SVsKKxgFL/9rR8yeFPe ttt2Eu9SxFOI7fQZnls9padMSOPl8ZeHhlOrk1eGlEnPpwtkKEZfH0JBThEulTi6Cd6JOwNRoGpG vowXdrmmvG3Hdr7X6MHGy3JX/dz4zNR6LtDCZH7LAJwueH4BUTuzxvH2f6KHU9R2qwRRH/7I4fY8 WGfjbIqTereLaXVzb0QUTaDm+b7YeqMmt30lr9xCvUBy8OA9S8bH1E1jYltEGxetXfsrrjpRitzb Q1UOgJPu4xh6VJtTHeTPN5uD4qV6bmnBct9Hvk63wVRMYRFSgz+/k3vT/VF0uB6T63HxvpEA9V2m 6N2aPLsFfIAWr5GsVJFcvFcRw2SzmPl2TPd/zE74VHzpJf8ibNoqlk6S3gD0vQqCqHuRs3kHAbAk x1g6mWZgn5eDWbSknxequuymXa7h/pyfNxsaZhuDfIMnCO6+CTgw4HRr2TogRuuGMUEtLUFHRKVc Oy6+7OXLZEPvKyVCBAUvBRnVSWfPix3HS0LY9rRUhUAMr1Nsmv5C5tRzNqv9V1PUVharFgCsNyvI Ytkpm+dbGr5F+cSbVtge4nk/85z7vDG0l9hznPVbaGji9/qYCAr9ef8qsPoEmP0s1yJFyCCZ0J4a KKlpHZIGgPoiaVzzaRYlpQF85NHbZZZ7PLgSWmb6CGIF8YIimkBvwSMlh8HyEcbsUZDl9V/0ae4W dIVn8ZXiaXl8UBp9dO2j4d1h4zQbj1iWQi0sbMUzcN7iEp6xk3ru3huyoe0Eh384rf0NSbAflLGh kifD3fxgbv70DRMHceYZLLQqdzh8x5lqcn918JU7YJPHqDGJakIwCO+eiDKzakSn2sza8mNhYOxF AGeNfJlMKryY4flewVoWNhswtlWs0yZ503qvNgHpju5iu1qabV52NHFz3QoBF7cuDuiVUkCzNxpL S4xoG1OcLCEn8daE7tDioYwzVWZeyLHHQ0o82w4lNXeGiK5CHkdMl1p+PAdEDc0M4hyat5Ih7r4O SEwQCzvW7Op/K00BIW1eLx/9D7ZrMJEth7ApC9jXWTyBFGc47XnrmkNldYnr3TV/9ml3CT/hf1DD frEW4H0SLHejf7OUGptDp4HtAZdsspD+4TJSkC1rF5Q498hRC6hnCcwUszGJOvbJkZUCN6eno7cj FzCoa3RTE07BN/8xetG/BTHtm0bg8n56JnlBZ/eusy9Ix+Z62e9IMJMZIUBkGYauNLn06EF8ha0X 1NYJU5vC1rWPDjIFkMjVtYqRJNol8TeSr3l1wy/PagL7hnJ1eUAZf5Hd6vRSAvYppr1mWRD8TUVH wUOC3ZyyOFULPzF/Kv1nRuhvX2JX/8aMwZuW7qTcAAjigPlFKTCJJPAwl3czB0vjLyjP4P2+Fbt4 vr97OodfApAG9Xsrq/vS1WnrTxg6NLrEZQlZOUMiGZhpB1WhGONorTp0ppKAvc6fOjWhY6uypGLA WGK9GA4+HJfsnUeoGjz+SzhG0JRrZbDKSKNYr9xMmN94ytSZvux9BvEBPw+M4LSQxZtU7T3/s/8C KzgGXnZ2KZf94weL0NwCMMyKn/QP/uTsp33FMDCLG6QNtmSLXwEmra7C2KdjNHNd1oMUU7PU15dh 0yDlgfk63Oi2VxXCAAGKs7GWzd/lnsIo2b9WKBQzQDQHgnN+YoULTCoBTzvk2c72hbELauQU3ok+ a4uHl/InYXgxQU5rZ9AeV3y6rCrAH1hntTXxR3x6Gx+XOwx0yRcI1rgEDlJsRMcpt7G768+q70H3 HJfD994mhJhBpoAvbmOZxcXLzutdG0jfqMtI2Eg5YLi6ExtOlr/4LcvPFBdEJyCl/EjdlhpwGmgz MZYwsgdsmV5G5pnNHWCuZ6/upidcJqxZlyhceEBEjdi0jX5Ko142o6bNOI2a0+iBNSQ/ZUSYT0M5 gtuMIgzqBdZO/SHSX/uYfYUnZbTxFjmJQH+MF/H1zvQ61WZmuMIhz+k7HkN7z9ArNfYqc7DfVTYP i1BTIQZr5KOHO663xMc3PL2UpgiOJ7t+G9YcEU4lLIOWo9vEhNy+jtGB4wWz2zmUHq18jbVGJ1ta rd3lMENqqw+oWPZMpssN1beE5JCBzE73tV4xnqtBw3SK25k1wFXNHV/mTSrVKxRpwfLEkR/DHliw VeOq1zGfo0VDr6QD+0+YmOl2JMWs4vBVsVMIdJzQab12QK655lzcetqZgopm96L8RohwjIGgKqLx zHu3HEW8BbVa0hYDjwxLH4+Gkyai/aMrVGdSZdxd5NT0RCK7NwymzPNcMfWac3OMfHBUFhUKSCJL b1lkh6EX5+HvjKuplFSrLfRENIyVHWoPnf9K/V1a1iifUjexnwnz7xSerhknSI9S0WbhVWJJwSQP ++17E7xbc3v/YQrXKkSdf2MJpV93+/PsO6S6bJPB1EjYY3hOuvcmWaaUG5MZqzbDj7FUQH2GhcRI xDNRYrNvpDD7ykGRP+NGlAXDsbcK891Cnnv0VqAi0C5DFERLM+e0TTZjI2JExe8/W2bGqHRNAVju tlaxLYTySngDj8mK2fUPUGfeCqmaswAlVRMSNm6BXXvWj6rr5/Iu7ObCn7W/+isDfJo+gE4gvMI3 R1ISFO6bbfx+djKSODTFH1aZEevk05eSrZctQtt/SG7U7Ao6qUjDdZH+pLV21YldiDZGWFvHDtYn giFbC3Zah2EYfQxdpjzSas4c0Hllk8B8380BvsW2mKYrvIiOaffZivKdvjM/2EAT72F+epBdXMND L44lfhU1Yk5ezhCAdoo7L2sZbgXPmVJXAxxZ51To6jzt69Jlevyp2aR3c/WqOMF3TCwUGkZ3DnPe g+NHJoMOiTmIjXXrtWgYURXzfBjSOK1AoI9ja1dxmY6EOAz/2zblqvbUur3xPjy0HmzYVUL4U0gH esJS9+pKuSnpBLi73X3Ansl9vpX+soWzmJ4LRjVlKunSkt3W+kri0Wc5yHjPmMqwE7SpNm4l0ZUO CQCDz+vqhEqsaMP10/Hd38WaLEy//55qKTdcovZO5hP4m+PI2qXkECQ/XdFCQXe/dZCeU5r16mDF rAE3KNe03kPu1vsEPH2vQwQAl4GV0DI/GfGapsmr19haEpveoJUwW490kWV89qTgF4gPH8V3Beqq jYb2cEnr6AH/kqA0TjP3H43OrvrAomnu5IkBasCJ51j7i+7xuMkznIysXahZJdesRBRg4TIpwih7 7Z/uV8QUM7cn1S6gr5mWS6607yF56jhofzg8/zJbjXwWFFlY91aFNXrRqQFp8wNyz2WyfZV9ZqKb xjtv3I5ySuu/Basjue9s5m2DHlG2PCTuwqI5EZ5zJIq7xoYkrvIh9VB5IFo6IP5xZIVy561QUSSe gkf3C9uY5/Q8VT61ZRsUOfNc8/LXkSo2qD8yIerE1DS4Ay4/UpAbNqnmgzsxKfo01V9nnIWOUKXY uUxSjqyRKEdbNqn58Uci4UUiCm6aJ/XUYcV6RtGueLrN0ajTXnizVWKk1vJOKNR1HeiAc4+513KJ 57Nd7dawMPWuRiPpP/g4IVgdqd7JN6nvIpCpkF96uI75yo+GEJziUunILosGndAIchCgcRIpqqpc gpPBFHrro9UXdmsIzSEIsS5fx6k0qszRn7Hdmh5afoksPneNWHcdG9uL3HTTE4fZYfLZ0sQutAXc 7e1tMapL29mIboFjSajskiDtKMmCrHv2lZE6Dhxe8CTu2Xx8HtpsDn/jL+hYvGHXgY9khOv0YeGr R9TgeZrKxHy5YFSPVrEJIHmYkhpsQc1IHzdgiDkH4JqubUURP5xlVaN3pzxr8k/HUfsp2F7MAIW2 BP6mil0d292GByO/l5wwieqPiJyPTGpf/7IV/1qJzUTba26uDvOXtZnT56UEXhn00xdbJnsWhuTf t9a8dF0hCzsRwhZmOKN12kdc7fbUOnYXgGoAnyTXHyuor7vfWh6fNb8G2AunbUi/0UKw+FZ4emNm cHY5uTDO6sBMduJFYfgozfseEeVTCj6hFDQzxNjEWfi56kOjSRfXXmnleX1OTDTSAMLkRxNpEB0v 7O7gP4Gs0iMuaBVm+zt4wT7mgbHc9f2efnIQkKrmuxvaVd3w4/GPHGh9lbNimJXIcXEv7ymOF3Vp Ckw1JTIsIXPepT5EjT//gEQq7+cNFd9V/G447Zmr2RqpeM7dPGKlZpThlTMBYQUO+SbQW7Xjpg9F 7qoNO6oORszyOOPGuwfQdQ90jpOJchMZOWcIRA1feetvtHEPJyI+BqGAmWdO4TYqU3KrhcMEYXNZ 3GMhcbRjtGb7QUquPGJp69pESf7NPaK+O9fw37KzrROVMYkQzXrf46m3VZYVNb4kuAHls6DQ6U6/ sOe+bBbxXNelr1XNMC8imokDkwL5eXENuANIlZhO4UHkZN4e6jNXIysi8ROeGQkb2JT60N9TmAm+ 0F+Sq8zj0VeVTszsLupglIeedaWqO42Ttry8Lsiy4WiFehICHECfirWCmguQwumD35UN8/Lx+HCj zszXpeHM9XFuaMn658VbYeSrY6x2Je+MrB+XW1Sc+p24T5zz18vhxNDXogZ9rOMIuCFpWf3w4RzL vG+eytBHsfG8uAwKkUZczhspvsrfoPRLfMUB0V//BMD8tiCb0pHi07AYpbwxIMQfTpj1FvmN1S0v AjuhfOVg6UyS3KieHALTjEAKuR4AdgLBO6ImRtEcnTKKryADcY8Kbp1Vrls55Q9w3liLj8RA+kAc f7SPdl5OAVsB5NN+FbPjabXpKtJFn/7OBYuJ9nSwEe49COYfZC0AWBJsj3/MIk++r9CsvY9vrVOr aaiAqGlqPLh71wwoVD4VFtN8kiDF7DyjLxM0N1ezm9HTlp6FR5NQ4tHyrdYK0iJ/8zVTxvLIh9fA KwPijPrjAvzK4wh7SykanvQgWiZDlesG9xAT5KJA+w3RttAOFV6KOf0idh07j03xjnUCTHlVDMNH gYC7EzsGTnrfQg1S45UxmNvQ+4tlx68wAWOq2fOFoiBYh6gv4Syq4rV16teGmMwUMOkIqiS7qcrH 8ijy00X+FSwrSXxgqk5jFmf8a4b3y4yTsNls/LXij/Sr+FH9qD265KCHDpoyjEj+LoSiC8eQ0Sj6 PGjScxp9YJVilca+qeJIcuS90vA/6ld02M8qKUU4m3rFQqmWo5NHq9fB9h/Q0AKwWJZ11V3VComF HmE7tSLOJHZp89H3WYtnHkwtSofJ3iAey/32e6G7ZEPSpRtAurgQaQqacGtj5Q/52/Zy/zbbqUzU wFb453rgQZNaUY2Leu6WOJBGslY3MZ7+FnGo+F2l3vX/HXxwfQEDQO9yIVcLm+LQTQcfvBEOfPLt lgXX82HmaI+6011AFjIggcwqi1cDEAjulFY0TJD6IOojgvJKh/6rpcX3g97iD31P2WF60wt3aGg/ SAP4ezpqw8oAb3VAndvnRQbndHvQSJ38q1xUxLgB7cHbqN3+YL7PFwW7oorhpo7ZNoAI5gp+Z+bG KItR8eEPfv0HBlZAAhBl5rrQpcEbdWWwJ+hsPMQTEqlwIxRyOfUgHkv0Fd2WMUs8KFGb27/ty3BG lvLqW+z/46gSgyqL/e+DVlTbUktN7RGHt/xb2CEceAy4r1QZHw8uycdDYII/jx1CWAXHdP1U3wj7 bRxtNduH7xJDJegcZXMlPXQGGFpCrTFerHS7r9fs6kpIOWr+uQszRqZYQXD7CMeScCPcZUT4qF7c 2900TqYnFeJlMbPttxELRJArrR+xOGpXdU+j69Dj7/tDUvyzfkbFcmOvWQgu2rLwMkN8Fk3WOIh/ vh3ch33kw12BHYHaeH8jSFX1qvtk+Zw4bsyC1+6PnviResLbQNMMhTTRIxJUKIh3Z1pAX9vVe6ja CTgm87eIxJTlzbidFyvted1NYEkP9daImTESpAfflnx2ys57+EOpVJx6M+Ic23VOJeSIiA4nYxjt mrwVxO7bVWdNX6Zhty/rrLp/wLrXD898yN4lZ4tcopkxyta8KgqYsVkwMFxAgbDjQ9OZyrAMRWSi KPOoxd+3WbtE9KutSs5TQs4BNgoIyCSk+ejlqEMvk4Buq9iAwFqfcbGU4+3gMBWT22Jr6VWK51IQ 6iwdaE0zOGoEvihxFuzwytmh04IPMjDEbMTeAImpDkoLIsfGQMIH3/ZvN7OnbEa/yDjRHPVuQ6OP eQR10v+eXPRvr6oqfwPRpkRpaLvLtqQaur35cJVXyFxib/WoTGuB/PDuqqEA/D2/eUjjETNCSJaR H+eHDpExv0G+bqTM2nT5AL5YjrBzeUl7qnuxCjtP31qW291T4txIqCzyQwQP1iDAAJU3cPJ/wfJX bWnwwzrHltcjARCYInEbrwGLwx58m4AyUQ0yYW6g6pdkawe2/gPxu7ilJKI9HKVWjroFMp/UcW4+ mR1E7mhzwe6UNisxzPv2Oz+GNsAJi6jZ8ds0epusFaMdZ8QqP3EHB0cundB0WlvCTUTyDBHC9zbN 16bPvKSA8X8fSavr5VX3swnRz/QZo5sF59fXZdZqEmf2jU2JVoLJDf+WrmmPzELoupnSTIznu5lW LKLXqg/6gO2eQJ22P2xz5oPK8U7KFyFo5SIITwPJ04l/IXqSGRWrsZgPADhsqD7XchCVIo67KdoH 4IUVsHiABJZwC69/x3QCS238lwaOTObk7DSerD6gywyRFipJEps9fiZMmR3KFu5fhuak1ebCyfVW APBdi64c1DyJsG46uEz31nPDHJLjWmLZ67QjUdrawKVQWfDbuqYRllJXZWKAJG7z9we34Ui81KY4 9VoqchMzbPTj/HOmtvc/3AdrjrdWsqF4AaRvRJKQSn3c6CYU3AIuiq325LZJZO3NZLDHFUtxXCUm ICNoJE1RMgT8N9JnkTQ96Adah/sOY74rpPzdS3ckACEoYz3LY3S4UTnjKFqJ8xU2dAfkrvl4Usv0 M8XsxqWyxeYicT4vBxvA1Wz4wz/1Yl/FQ6zvMi+IOPl5Hc1C8q3UPZ/CYX8FItXp1ffAyv29pYCl iOfeypaHf6utpOxJXPP7D0RV2wN4R8jQTf1qixWgujsLFS+LrfxqSyS+Yt+gem48Z2ryUuav/T3V QFwfRvzXLg5aWBOFZjjpKeFdyU5+9+RKhNdsz7ozQwti5JCQP+qV4AB1yz9Bna7NAOxdOq3Hr/fg nFIxT2plRhh/RSCoc/C6I66gliu2Q44QQp/9tp74oGpX687aUcD/ZGjFf5ewB/yt/ZMfn0efHsPP DEkr0dHhrjkePfdqPBsTcYeEn7eJ0qYRHxGEdFpmYAXy9MUWo9pqJGML+JO13EQUV5keA+Fumrdz 1e/NGOoPcHYktHnrmeKxWgaNy9o+Y2I0OvCreOurLBB5m6PtqhiIS1yJ6ABEyBM+Yq43cxCmo8Eh HEYdnpQVWy017H+Wkwh2vshyHof5XytMPrKJs339fA9qcO5LBglduMBMRX3DF51ign2Aqo6685mo xauQBd5Tazr9Gh74bGEV5WVyranzrq2nswfgiLZhZBp5CTxKMxQwgBUYIoXxVP+2iZzD6ojxthow TSr5cuO0CItVFKUAgXaW56g/H7ZpDy1uhQTdhdpnuuNxOCJs0cm47trq31oxhZweCeoyXUar/Czb kCNcj086VM/qUcO4bcfeSBPvw5zajEbsPqNtCXOIg1FxMCgSN47fwNCC3xVIH7sjOVrlaLmxmvxk 5NW6JHVCMkfeyi0+2g6lmtVvOrhyDW/6ZCsKzb1yOnw72iIqX9Hq0xufrjeTgyU5EKjw/vUhOeTn a4ScHlmExE9LwApq8KxDU/BJFr+FCUFJpA7BFwyC0xS5Beq4CE08qERrK2s/TFy+JM8qSZ/TaEBO ux+q/oEk52R2dXWiGVJXIvETrxIF4IojQEaUXLwB2yg4Rt5aGAljDnK2C2NYgoADmhsrJm6PdNJF yt6qJ5H3OZC6GKOiyI2n2KVFNoOnLiuSVkwJ0g6u+I5qT4vdsHUaW/4tf+Lh9z8KwkWzgsa+iFOr Pjm6OoXm70p52N2sB1I+aRZR/JwydIGhnYkalOXEv0w8kzUJq86n2AQV+a4HObT8JgtVc5CK7nwm biRnOooYETg9VE1JOuABMwRZKCyBQlagw5ZM6wCnvXaPEsTdocgzLrqzzrZOhvppQvPNgVScxeQb udOEf96xYx80DfJC/gFLQcudOXgBC3YulaHj1sNbkRAcWn0ZDadH9FbvDgWPJClOEnGrParL1n/q 8CSw5JzxLKkLecHmPreRMfyReLoSbNRGxLfcnMNjhCManV64rpwrckPp4puHGPErnc+HXhFDuAZR ltD/p/ObXaC9clorciWuGZobL8g/VUvWEjBariGKcU6ZIf3KL5Mj8M+mlf+bYuVGG6xuP6YA+UwP vF0dW2YZBr0IgTm2YYiVwV18ZNMP2RwgYuXWt+l8L2Nydvw/TFOlyOu1uLXy8eOxDNI0ZgCogV1y fVr/d4/K3zjOFypBJ1X4q3Hij1JSUkFo9JP5rJonVsMpinF9tJykPOuNptqRc3J7gt2H9XzrCgeq iZmtd5R3dinBjQypni/EbF6Tfl9K2SPgfon/kkPRXwEGQxhcrnGlAfhNCuyxDj/8XhrgjcJJJEni WRyUkpTd6FS1LiJYsHqC9dBhIprIwEJYiUAeH3NiudbUtPvgs+OU0GAmaE8L5XSyAWGEAjbIbCcP +LgbkgqOBcPnSYLNpVQ5fWs5Qa40pp5l/6xOOhBsG/3vURjpYpt+BWuUks9qmT/KQUpdnSQ4MfGG sF5JU6rwvHhkC6UO+rPQvM9ypUBOKkqXmsGILoPTQk3oqjMb6MHVq+P4CmjlD4LC4Hh/v9C5Fhdq tyl88QEi57MWJjHLyEoyySlRdnCzcSw9MY/M3DzZNB0ELUye+EbKkxq8O1qvtJCjGtrSAxjVvNeX Ziwbvwp5fDscdFMy3i2epwxhVsEQa8xayw8JG8t2R8awUzC04mnP93UykcG8F+v6+oFAR/tA74Ny hvClsQEhamKGsgk9h/ERQh0R9fQMrto+3E7+O4BWkfcSr2oJ7wgpxThrlOXeRPZaKlIydx+mT9hc yYbIt5vjcgQCOWCBJEd/t3pU4M8v4jwkqm7rHLI2e3Kd0SEh5+aDtRRORFKzfDZryiyi38dKxOlq 5joePihBDCLSxaHaMkITBV7baXEKi9wtDBheSpGKbV6ZibNQPxaosOP6CzZKCQHZGEX0jMEIjUwh D43bOFN+w6033l7XMq5BryeWQQgu3z5Ai99S9ISBuMCIuuhKzcDVhg5JqxiKky147xF9hdTDjf4E P1y63YRlm8vT52qlckvQUY0pQrgBn2mb6T1GCy6yTrCY3civm0ft3Vm0u5PsUtHBAuHjL6tTp3YV LCCLsBTlnPdfl6pqPMdH6D0LXX1weNaNC3Uyt78P3vz8yfPI7VpsHiZ8XbB2hmCFWA3W8D4+dtWd vlcvL5FCn4uKrqgPA/9ihDMmqAc9ooj9nv/iMGTeebxnY7plJpWbqRD/K0ithB4wh1cKbkkSWX3D C0LPqzHgGMQ42BQnDnU0LPr9xfsPGfyZRLiTmvUywY2VG0tt2RiuXNBY40T9MWA6sXOVAk2FmlNJ fW0vXYA9ByCNn6ontVdyds8WOT+y7YktCSujypPSwZpm+IRL3TSXA6ANCMYUQzxy2ww6symSsvDS HcUOtyKHYGVOBjeplAYWrY9TSILwBZytaJwxri9G+ZNOkxTc9KaMjnDH1lSwuiBoEc6DyDGkCJfF muuQP4UiT889+RlCHwlPrsW5ccks+A+Fl2qg4yrjRr84DGG6jIFsmjbVShNMuh3SOZ3XHMc95hnP 96Nig+PuSey92Qwi8lbmBXK1GWB4jlOCxGL3uCuI0wk60yrRlAVMufpMjQCGSpsqd+qXSuDpQHld 4lYbxj5Hmqya9RwReXef/Ms3nh+CmV7LE6A9K4ECLu0Yh7jT6dXt2Zm9uW4vWLALxF+vqOy2X0oB m6oVoYecQkv099GmybDurQAcuYOJ+DnunJ3ciPL9JZD/bOnVlWAfYfp2PZzERdaBoE91UsmrkmsT tpwTayfrnOxW9RU3Ss5Lv05bWfkT/gxGuTME4qaneDZ1qytCFkSo8lRapDLJUIykrc04/gDRWT2n OJ6+eyBul/Mc3/yIbcl9sI55nNDxg1ByoLI1z8ioWB5hPjNBMPP3eEsSxlUzust2qhByddtZdeVZ BYtTP5GwbDZqVl/kGrQmUvFn9oU5z2Smeft93KNRn7DrjmbMljp4JZYzwbk7Pfv+z6bB7d38WiqH g/0GrdE1MmZYXyQI8SXwJEOZ0lUkBco11QdRZMhz6/VBQ25auITHXrQy8yG4taL5JeEM+EgOiMMP gbDN2LxW3LHUOTLzvdsysBAaYsfyaFi/x1TmlXaQLOcBGjSgM78nPKto6yC8XPZ4Gn9hLWIZqZFs gHkFfF+QD6e2c+QQEEckgRUbAGjDm8UGHgEk+L0ALd8DeGU8e9p3sCW9VGSpkg6pMDJjFgnXFlDc qrfzm34MYgIF2LTBV0NINzjGWWA3T3gi7hHY92s+2yePySrzihLqlzha0I/iC0n5X/1znbbOuxlN qx5HYpfjlGJjcEYk+R4653aRb4/zQ83Zdx3RF4h2+kKrdxTdAridmWnjOQbwdiJti0Yr9jLRuZrk lq5ptC5bhSOgg6xVDMuYUsukxa2louoxkDR6VEGd3u6INx4E+HKdnFpe4q0lOnYwzgmrL8ihuoX6 spnzXKUqxrMLGKA6ze+oZaI56DMUjHN8yBjIvNAhLx3M/naa30P3tNimBIE5iAsBykEpTbJyXyRy E4FQVNCs8y7RllnPb1padDRJpNNLdy50+IJ/VhCQZeHDZ0727qqDbUEXgdhNKLYcg9PHSsK/SpU1 m3nv86d7KqHISz/T3WcwXn2SQCSREpuaaZJ9L+JbeeaThtmU5XNjRWfLkZzJGObbfxIN9NhADmm7 z6vQgQTEgnTcO5Qf1d4ajNZvkH8bWORoPZRlUqTrp5F0BaiolzkzX/DEgiYBT2qhcV/Q+4XRsejP pWM+nrx5VXV9RA2x2jVjxp+3dLlDD3YeyoAvUzEM1DbcM/39gC2Mg0Ai4f6X4Uxu3M9MAh0RJRu9 8cJnNk6bbIfzHAObQPzsRx9cmDcOIlOOswJiX0ojplixR6Uz5jRkyRgG7ZZeIndTmQvzzgh0bepC +8DLxkq/ied6L6QaL2bLg6x9AK3fyWt/D60jfPnvSqsywoPVmzWLmMkrvzvWoO4Hhgt5naAcMJgz Hs95VD2DOjhmFHrfK4GM6Hde20oymMy/T49CTsXEoJg1Qg6CHG9wbH8YNYc3bj5DbiHjVs2Z9lhX xObU0fR5gXjsx5FdKYZ06e48ay/zPnYDLv6UHzsqUj536Vr+oYqPaFcwMqdDWS6+ZgSBSN+WfBoC Z0+GJdckbopajzoreA5Ho0OQbUqU/OMHx2+tYrTTH9YK+90TMDdjeGn2gXdeGv326HLYShxJEDon vFFrg6LznmliAfdNr4am31y/U2IGefiRZJVSeeVbtFpUxj4RqvQ/Rn8zaxz44Hws6fn8KYgr+aPh 894WdD/hp3TZzyd5Z3htIJaIj7CT68Vg0wld37MM65kuSfJSjJsaZRx7KWpCyLK8GtXuTXr5bj4P GeDbpboDvuamu6Z3dxOoseGH2q/jcTJNgLJXl3NUmOnrBA36PtUxKqfLZ/POhnoGmcsRVMVM2MQm RZ5lT3tMW1Zfbclo8ffNMGLcU1QoNWQzHIcyVHyUKyNo4vI6slykyZxAkbKCnL/LcLsWNePFFEgy DViUIGpgbYTiLvZKal04FG/FyhJLApij5YYZhvzlqxYtLScFDU+CegLHtcjr3FCtx9qsgo3rVWhV J2EBL6LwnqgQ+ojQdjEdMTq5g3ABl5uUpOLo1QxoEz5rAa3yWC0P2WWe20UewzNHtcb0B+WQ1uKS XVo1l9k/79kkoGNU4qsVcPZtXhWp7iUYFhu8VMVSzYr+PJTC2fmNYTyqXbcxcrMfjQcd2g1USZ96 IuKkMFHDHsS0DNiQyDnmrVouxGmirQao6tMplcnCjnsZeQ3Lt22FAHkd0jJzovyHprx5k7hInLVy ypqIPKDPCzpJ+CaBMnPavuZs7wGtO0B+7MWoQswZ8CpagEF73GgDf0lfI9zLH67DJnV/yNHjPhlf GAoh88rjCblpknxA2PX+33dOlZQH232mI7loen3b7DCPA19PCY1CmBsJLEiXr+JCLKfZ5I5kc1Mr adWuxo+owoTYvG9Ml7dXj41bt2179ODoHn1dP6YDGMSaTtI0YxN6MZdAum5kUj4JeHRZ4pCeGUS/ HlcICnLxCudarAFn7nslaemK/Ubfjekngizq3MY3TfQO3PzCVKBWBwx2qMCMnUFUe8ISZ/CuenTe CWuq0wNvZmAfgsF9RzYnoehvCp7mMy1gP43hJyuwCkSVaHdu8kEQth1EG8x/gKumYe6iYED1uuPM +57fOVWFkW2e6Qaen7hnvy3GZdLshUzx8u4t3ojM2WtRTG72MMU5mzdPiBnfip3J6rNWUTzk8xoG 3qXZt/77Jb4cd6r77EFlnUyjYad0Cj0OUSODUTljaXHvj0Qabv64oBXYW4lcTPMSKwI0Xs0IsizD qQx/amnsHSPxX6H9wW1q5hBht5i5S9CarkfizrTmMeMzp67au7qgDBh6wSB5PI5TLYTWnz9BLwc9 IynJ7/P/oIMs9uT/wWYtwQOTh2EDYkndkg2JbI+1eRNuNqFACVtGlwNL127f28nwEOK4bJIz6PyU dwbs59lxWUWCVwRM8QNjyrj08VyyFwXkqzox/KIOJV5oyyhv/zSfyvSBy7PYFHZ/DmJ+MhrZAkgx VetT1QqFUPtAG0dS0cwu4qTkhONj6wXu0plBxBeDFfIQwB/8HyDptPsPKL5wNcS5vBHQFngef0jP KhZzeIduQEf+QDFVY2mC1c9HH/y0LgsTGpQC0310lbDfoJipW2F1ykpKvww8dIKymuY4xQpgshm4 PPIk3ERmkjZj1OHyCO9AW4VzpXVWy4+SUOmLbxYMX0av0IpR+T5cIQBvw96UhQ7xkYhwNf56wvaX 5JMoBle0iQIGz1oCFsceR9fphg6L9gnO9kp4F+HdfyHsCFlbSHydMIAKwk1dSNhZ50cLt556BmXW WzHBhdSbu4+afG9vOB83vLU5bRofr7G4Y6llLwFOLH9B0/Ca4etqd2C+oo1ktwooTlJcuAIyaURL 7B7irsM2wvAkQnsi/bXoutN0EtO6jKE80XftC6N7iKEOj56oeIsu8ecI5KFHNL3KL518g6/yTYIh V05XAucxrO04zhjUkGw6WlNGJzP2pKjDUtYf4RKeI1R+r7jaICRGnWh36doNyKNtxMQa18ND/oEm CQ1RMdta6C2Wmf9rezAcE6OAxwR9IFIhiVcQI3mouizOFqZpmi+BgcZHFH2jBiLYX4qsneIn2/KI GNaZYGLs4HAekz6nDy2YY+dq9sSaH6VMfOdbDOS0gWJAjULOeXfPC9gwUOsogpalFH4pKL/QpkVP BsAcR1VZkQNsUhOlyahupdve7/kyGTd+3cU4xsxX3SA1/ekwUGmPiIAa7sR3RqtiyqH04dDvQ70W apWvit2CtpkNSPpfMbqG4EhaSS/O8G0v6pDzS3ZZyXawnOzWEZ/zkRBBiIZ2BFBjItQcEGNWGNRU R8r7xiKk1v75JDUGgCroOxsfgXZ/tstQKlP40W2k4+y+J60nPPtFdoxVjRjk3ZZagTd5CIiC3TD6 Wi8XaPI6JEbc9hLavvkHjrH1Rm+kr9BAb45K4ZrpJlRLvA00f2MUTTzOZ/dc8sZB0RJ8cq5m49VE mHb63+Eq3srVZAzfOqQC5kDTs8P3Hf3j/L1/kXJiyyOiPqr8f1yuNdbtYmU3IqipYd7Nog1Kt/xt pZn5JK0UoUC5sDOel4WuwMA3taO0Q7hgKSXBfXzp0W4Gm7+CU4BKY1Ddpcxwk/tliTtBMy73Qrkn p+0ybSE9s0+HQZ9a3GLj/FAdNIOWFZi0ntPq7pWiIYx3AorRiZ2lwSg8SWloqvioSI5Qr8BINkwd b5Zn3naE0vqy99ogdIPy6RoU1njAtUbIDIvMMsGLMZwLMZja7AxX2Eo57mFmWGN12L1qV1+2f8Kj NgG7IniXZN4MEYsWQy9s12+dmhD2h7lpSZ4zsp+FiSw+zqX7y5TtAYOpQD+chwUD8kWJGrAhbYJ4 E+1ajJpIlEnxXzvHuIzVPMTdIpsyjac21QQUI39jA+e2BiguB/oL/xe0W+3XM+nqEWEyelGpet70 vkaw/SHf+751yUm6gck89gtCT9PJQ/sajaZkmZjRnWDZGyK8MJ2WyNbFDEjzfb2OO94BjpoRJt1w 5QKQnOK+XXEsrI1a0MSEOxx8+DLqp+KqPiWwhPScQYxVAR6Ezur7o3dED2K1dsWDWCRqeiY1qqLb azM65lvebw/AWI5ancnYazY6CUk9iRGoo6NmsLOL0hg3bpKgI+bni6Tybe6JmjSX/GuDgdgWD9AN 7ijSjstRE4qUlW0nIYYJjDUd6He+UImkRz0RucSKPRvLXf1o1woc+dlZo+UOLbQRMudpiRAa/f/N nF1sYxKoT39OTiFYalvmQQRxe3DNcsUFGgHCE4vEJZ6PpjKTy6slNzPKW0MdiIlF5giqHBXulsfL 1hqPWn8OPGUXkfZmaPQxKDHsWCcwJJ/mO+Y+n9Sj9GNTJoAssjRUOhPSWHUkvP7HEy7pmbp0VXp3 ANpjUpZeYk4AtiFy8ncywME7eftsNYhtAU3w+8wOCDaOjEPSWH9zOWFz0fhCT5WCTc7KRARMMvQV zHpfmPWGNB1rvw+aWlY2xCpjTv4YBp0JjSO4z6lPyatl2wVVjkolLqB0wDVmvziIKfGAjUQ0nDPl +u0DkPVmJs10j9BhIF96/pguqAINryReYXDvcPnnWv/Fcw0rdlOVfNYH27mwZ1ROLETz+ur84Pvw SkkVfrNeu7HanxUD1R78mqxk+nOkQ+8tUKEuC+ro20gANoIXX8+UqmF0WOGlBVsILMW2a0piGomB YPU+OROjttiDhZmpbBZnEbK/ukTt7MBQAPdUuX/UwFER4PagyLv7bqxBMdZZVo+wO4dV/UKsszM/ AIC0LQHZ/5BX+ZSBnn0Q9IG8tRDAJwND86YD6cLnPzWQ8kaNqkX67fn+b+QJyQ8y4kR2u4l3y97P Mo8tr2Rqx02Vo6Tr7Pu5+xIz/vqC4Kxun9fCFOi6b5yz3/zV4wrymknXtOQX9yjCR8LCSDqY+7gT +M6Dl9ZobS3N8bKeP16w6fWHFLPZsIKGBQZ59BMyT4ZGon3lcHcySuT2YMp8blaxTnp/YZrlXAzm tJ0bUavw+blCp+aYdJ8H7wkke+PPvrAL8gGaarkaz8dRFzbBniEKPzSmAtmLpv8fEjVd3hOfmLEV awPVG9CYVo1JbEUb6lIB7c0FZO0fTGpsvW2TyNjAz5x2k4sAoCLvPJYLWeeMSckhWoUupuhsUUHG 2ZxXYuuhTIqGg3Ck0J+eK57rpDyRTfzZhSB4++GyqLGW4HaTPRXuK5/zqjUeELhLZmfjN0j0iszs 74o4A80qNln52kwazUWJDIiF5C3UH/KUb4llN2kg/bW2tI7SBfArLKnZJlZHygYjdyBIs1k6/B6q cjgslFbQQ3mDMqED3kzmMY5KRTg/F0zrO/83lbL+VQidSAWDxeOmC33qddpIkW32HdtJEOC6PjBm uEViOLYHRdiEenYDvA96Hy22X5D23DwrXsu9LreohedgeQiWLf4hQgUXl4Rz7iw/PIBIM4XkTHWS eE6ltsKPDhWLlAL8jUoE+i1UaNJ1PFTaSUuSeLU4hKHxmYsodV2CBJxhH63W6Lo8iZXkxIyifATI GVoWj9QoOsOs7zBDjPdzela+PTViiwEhIPDc5F0ea24V2c/C23z7uEdI3r2dHZaKBFNVc0bShqss i1i2tMcqxEgp4drrjjhFJgaS8fbB23NiIeg2oOSxeCfG9+Albx7EUBO8hw40SoinlypAyxO4k/+X ZW96FyTBUOGIDte4Flinm+dnFEnd4tbA7fKm2qL2k228xvpwVr2OwcVPAovihRVufP0PTdjjUqD4 3gPEOl3hFfgXK0e+MQO1Udr3l+i3C3COQQJqnJye4O4tVvTAdgurS783WVIfMfRB3BF1yUWJSYQw dinfBiel3dzQYZycg3bQmU7q4aHxrUuGFsh8Yik0HL2ABwcrRGByvSIowDX+a0sqEt5NUROTUyL/ dVExhueSqbTbr3tr7DuIYFnf4uissOME6VLvhQxFYWmC0kvKZe1RueE5T67e8W6HSOQGcvkHsGo6 s0S2+zm65XO2Q8RybLKjowTAlkmxRBmZN9UL8bmCAM/rGGi+EZKZ/eT096V1yaR1Lgxck/E0i3Cv jWnoRPYNKv//LXG5q54FZOIYgo3nH2yL7v4gtc/ioU65BOms5P5JGo2McKxeS7ayMCka7RbPVedm 1k6DBKVz5g0NKNPJ0fVW6NBDM9cFziPhgSxHs6raWbs4CzqNmtV8OhsJ10Us+poxdglPQt9B6fFc Z/LWl5X0S0Cpl+1VVF2ccgYorZW3+4imxnngzskBLvfh6+JngxPuIkaLhC2CtDfl+fX11JW3zZV8 uINpNK4bo1c11Ve/N/fkObaaAe1wnFfOmcTXHSXr7AWzHUwgBfDgh/ZnEs3jgPO43nNbe7FHeIcC lswnz0Z2VEi0pxbOHfqTpA83oOaf0usFExvuKuiQh6vHNbZsO3/uL7b4sFVeHpIP2cunthSLuAqQ clvRcPm6/eDcTl92q2PFIZlEGoUDUwrVzSCKIgAo6wTu80hj9vnGG16Y5GY7Si6qgSIP9qQOJd9e 0PJvlNptkZeeIhNlLjkZf34QSS0QkuO1KbCf0nrqaspwghlBXkl91N9OPQ5rDCSGW964h/jj7cyP CvIom8OqJSOmNEOjil0QlCHgh1w9IuP/RC/PPbqB8BmhJLDro/nf78Cba45bWw//zsE8XdMzIqdL gaemmZN8fLGv7DwIIOuYRNTXZk6J4YSLEvdxnAAiG/K7ir6uoCLl4FvMNQHRBhiYCfxLv3WLQ0Ih q/4kH+bQYorqM+gNKyKIYPHTO50Tg2/0n9iYrRfe9Fr6shqGLDUpgNQPCgM4gflQwZPhYLXC76LY kft6jDhnn52VkgZgUEQZ9LOhq0MHOUFneJPjG5N51AomOg2FjFRahPXrR0JWxC7wH0iPzuPr3edH 17gpXnbOJg8ovKTu9jvAwzAQuZ1bP1bf4TgfxcoQ9MQGFa2TFzY81Vc2Fre3XWHqAcOx5PFwk/YB HthR0f2pJcAAbuCM6W9AryixD6taNqL1G9WdjEQt+aGUoxp8vLAysJNXJ7YoByTBeZJ7aja5uJUF HvpMF/leUqRf1nOdOTqMEY50X6h3r23jy4FUvW1bcQ5H2jIRiHmuRXUzrkjGOP7GFmAuOv4W6/G7 B5D9Km3qNkrY1fqqMcljxUPW1kSFHjhASWhzE05kEk0gBx3b/uf1ntYUClZROpC00/5IbZKmleIA el2WBLVKQb22KJsThYg9zkzmrTcwBe/lv6mAFVSR9obIc+Ud0sYxBKGug00UdAB5bXAqU6NPrMB+ O37HeRaCDQCnMsvWGKzrLDJ+1dITE/wNHLW5+MG9OtdHr1CjOv+VJdqrO7OighDd8G7dt0svMRv7 AGkJRQaRO7Fl93AGcP6ceDV3HM2rnldAcBAcf5+3haSa8CtXrnBXDJq/64Z4QROzNaoZ0LjsNmbe CT5VAjNXvKcOef7X3A1z3JKJb2+B9Fe5NCzyueBgxSHnsuHe3njt+X9XVF0JpWygEEx/eJNff8CG 3hUYtehFCaPSEI/eOOziuzhoLvFbWYsEH33Y29QuPM55Mr65deoit35OSVQweSuL3eE0WP/Jy6Lq yKN+jNSlZrr8qRCRHZzGOtQDBaf92AJgF3+kPUMu0DdB8cO5gUX15M7NYuyzvkUtETpSByZFPwAq dei+w4bGpc3qI4Do+qc5yC0T5CbpnFYUpPRiZ6PLI/7ICMb+0l4H0mdPfIYJAfS5hT6uIrXlYzl1 dTmgFqvmAQwhjD80bnZrF8zsunz9SirPlsY70UsZ/4EyuErRBAXukQslRBr+8Z9NmWdtJZCGb3vg gieKcyEsuv1dYYqAcYL12ZBoYh2afFxQNpvzQrfcTo44amfBELxEc8DTHsEL1C8R6upQbY1Am3lv y/GAQ0zqytZltTgsWc0M/xws9INQE3aUW8r0zWEfsxtjtPwREPQwtaNlcZibVU0wVAyRVigEPvn2 WoWXGC+8w7J8UKzlmom3otSE9jAZ6MbPO6GJCmZqtRLth1X5LFaKWY14RTI3uxu45YFTVQ4ROftG 2+a15JHIO/Ps06r2o+pxmYbnDVw28jA14/V25wAgNuPQINKzmLWv8UZPrBhxVnj8evuWGmVbbOkX HY3L1H0FFj6fHFEqqLAkV/OwUNf6nnCwxQ1zHYSGB8HR1A4jMOoypF6KvoW+aRIcR8LVFU+lbrRl VYsCpjTQ42+OKer5ebgHnOoCwK9VYV/dq7ZevHZd8SyLK1X25O9e13Gk9EZNERYT/keWQkp0rjy7 bGK7pepoDqq+4BvAU1GQkRvCZ9C78Wt360pXnnxrkjVrLN0nIYQxiCtHGirWDgI5mBXbVqEqrk25 2m0EaP2bTh9fvoBm+hlYEWun10DvEQF0L/yjS3ZO9HjE5ytlILp6X5Rbuizlm9CBe2SJ9LuF3WeJ S8OSANGYk26Pkaq/cl8no99WmWTdsJcXL3PnTUMx9tEDz93E4+uN+NEBh94GiZHD2VMDT7vLP+Zd HnkrPg1vu8Xap1xLpuHMAGm/BJJKChwi+58xyT1ZYjOUcJbm6ZbZqkSmVP7J3GTI3U3/Do553sYF 7sC4Lakn6StT4+htaMJkgdZjAwXy0g6+8AsXGHrXr45E9u/O/PZW5k/EgKA0R6DC/3w+z4/iNcSL ozRXd5ZeCMFnTgT80/n9r6LByWmaDfzKxeN2xtcf2SaJSFWCFGKr8UGjfXSB/LFHq5+OSW3RGwMF 4D0gGipuTS4PJ3Gunju1PFgkiYXKuun2hwgZGiQlBIQ+5ed9tZpGm4uGAGLq5GEzdd/kdL0LsmDl y4bxnfwT48P8wwD0/o+PSoHjhXlEHnLv0y6BtbKXkP6blRFL4Ze2R7Y/8/j4veDRMecvts0qCupH s8VBaxKrfREtUFCaCRl5BzjoA/lYXlUHOVUTGiY7tklILBryCDSes43vBK/EVAMPS+BuXI3tfLWy RVYgdFiPYsxdUUveVLsiv/bs0C26GXMdqBadT+Aia7XM3dvDUcJ0VP7HIKaUC2N2TEI8mwFm2Ii/ JDwW/LnPsbgqetFbv2QG+0+g/4RyIaN+tM82Agl8kLGNStsXku+cxbIvb6zuZ6wBqdJNey1pcxG9 4++i5q+iAf+SXZPoPTRHEJW8U0VEag0gh+P7gAcAMXPWptHKL0W8ko/8YSwM3FFU9ILfGNcKVd8P luW35FVfs2rxozARHrcDSXoEptDqPG7jpAJVdp9FephBkqW+kUbwvRfcznw7mDnXbUsGPRDy7ftY ZXFQfT4trtFUJP3F/PzoBXEV2p25jXztwW5kO1iB+EEFXYRXtmwtZ2bl0+KnxU9NCXddFVIAWOXP LJeYlW6nByYn7WoOi1HPTp1li2aqlnEqbR9atc9DdlyfVov4IZnupBTFd1o5Kqmi4fR1XP2ziJ7N 0kGXkyn8LNPWKoQqg4NdyR1BTO1sMFCNXUHkLn/iMRwS+Uon6vcW4seDJ12+Ah6Kb62ruafqahWx H/9zfFCAKJ/vGa0eH1oN07x5y8kqq3rbBDw6eVT1y4DI6p2uZlk9gmQd4sd/eelPvqc3p3Oj9DJZ aEpsrtb2BTRYibhU2dB3KpE7oZLdtWwa6leD+XTJWVwobE5bEASp5w4wVDlA69qdtPmrN3ct5vDv cId7UIOf7l7aAwC3GuU/nKkjOFt8czR/7hNGihNUmEueQqyIQAdz0NJiwGW6s9tdhTF/B7NKF5SV 80pjLB5bn+ol6YZV6bO9LMCOHziuRRde7Ju0VR2ZP7YpnqEAO7g7EK+3KUbSHWacPfQY+1Oet271 Xl+0sI+YLtDYPsvM+wmG+kTFBwDBkHZ8KGuWcwf3M0wCYBrLIvo8BQNINA6nTJtFomPXt/oGOD/3 FWY5afMQVxocFHAj6Cy7Lb1o3M+ZqMULljELcId/zEqXoS3qbvnCjvfzTQSeNMR3N1N8rABU4NWG 5vfbzHmMjN8f1sHQBLa12zdA+j8mYBNvXnCcfWKyd2lCgWWo9SHrmuJjPcDgxfGpcdm4kWHmJ28e bPeByS3ajSryYpM9E+S0dKx3CM71zWKBvG/3Lsvh5JAn+nhvNlDTTj4rEuzlB1qrEG4VD3B5ApkH Payz22+pWXb5m+X2I9Q8GOr3Kheb/a6leLpMwUHlIkmD9kyE57CUvusSZk0uw4xxO/mYBWu24CVI dTvOL9oUAT8hFJzSZ9x9AA9VPFiApUyu2H7837MnCi4GB+/TDBYlsgM8tBQ/8LSD0lKRKvnZLDuV iNlQy+AtBOR27EwW1mVOA579A6lvc87ZU1pi88m22GJ/J2q8JReLY7NkXrDtdnNH+ps69m1oXE51 lF+R6aobA2tyBwSEf0d7KpJJwGKgGidS230qU7gutHqNIzVMVl3vaOCKq2rBM8YXwgACLtzHEvAI a4Usl3jUXRBq63gI6ek8cJaVlyACAxW2d+OdCqRF8Txn2yyRDXE6TkrY7aJRAMIVd9kWToQfPN5k EYwgmNtW3IdH2GuBmaKy+7dTVMQs4f+5+gCXNUx1hjWFc2nWTg8WAizs9mcQ+bp92jgONWyTGJEw 2kpo0TszJExZ7EK98cwi2gaG8gATEe39TLcx2XRnREZ2Ggj6o4/rWBWyuIqJ47vz4zPCBJFRGRRh bgqkkIuICqBBGYV1oAEYkGi3mVMkHn+7obVjwiFih8ORpFxxfnQaP4VlT2VKhFXEyBuq0W4BBYYy 6THjAb6+CCvp8hUKjgA/BnrTecVWGLhbYSXb7DF8AlIXVgRhrfQti/X+eTF4Ln7jJFkyzztogU3S 76L045w6lW2snhzr2xAAxyrv6Ev1Gpgx41swzEDTLGQzb5Yqzxr8URyJQIQGEXTEwm4lg4zGqqGd TraoQF2DTOGH2yh4Px1U8LDrM6eQH4ssIkevhvKg2+acMEQfQ0dtzFvQhj4iOhMAReJYvVY7Ce1J s4zTQ16nGq+G6+3JBuuoazkfW5u+CTf88SnFQ/3CIDt2C3vFi5J4BM1aq3E8pe+7/Pwbl8jNv7dG glh8cWY5BlHXbxa9iZekZ39IvCBr7bZrUcDqTnlcsuO1UU2idg1ucnTVpd/+I8CphO8BO6JKZ/12 fHZVWW1FdT+JYcLnz38HKxnt3CcXQDOzyAnK9/QCLPkDgkyxU6CNTPnNEJDad0kRaOk6hJJZ8qpx TETz5co9XSKfvyJvKfQjTEAzaSWmE+I8/EItuYosg2JaBXrYDhWx8Whn1ViZ9qJGduGZS1hrPpdF +n/dEVQSfe3SruNN42AXymDOfu5fCOkAxkVyTHOc7W6GUFg+SJBT2zZjDHgILFyuFQ2UHMVi7smc ih30HPvtam9dwJDXERB8/aJ80jS1qGo1cHoRj4dr55+wkDUJPk58+24bl5Yv4feLKTR/biYgrYwe +Tye6EtSQJFn+NQ7aXPbuhsDViqndAfUo0QS03IV/yqM4dqenmzpfe6U8uhxFOAeidnfZl827cjE GdotP4j8w+i7pAsndSuonwq12xr9YVw8G8xRREt42lObfQDqvjZYYeOD84uGRGbnqtk/OfV8+yWT cwKTDg+KHYtohLbH9eL1F5LKXYm2tY8QNEHX/eOqC6mTGaDsdpqNEXYKBRmMEtjhZAScg1H/uNN9 ZeVOwAMiT648ueGzn+TLWFHZ/LVBbl4UoMI002RBYD0MtbwOcQrv3aTu7oF3RUHmvPhfPfhrletz tNYDJt4oKyA2o1cSg7WNAcXoooVH/2y45rIuyEUdsN7Otiikv+4AQ+jY+mT1h8DIvNFDTWtUHwon 2CpkC5xhjLhEaYUbaaHppxZq+FrQqxUZMvSjs8zdjB3BDQkWhVfx8bqfQ6e1ZwtwNNJjqF8dYKdD cEi46O9I9WvdmUHRip88QEhIqwdqEXOydhUnIqXP3yCoa7cOovkkb3YvW3bnDGfL+fj7gkoLSmPY dz83sKhsj2r9uCtkGvCYyxFpAf6aE57XB8djX5FG411Yk3POIDivUomEg7pEAiXeWwbqqRtDKEqF saVzF+NAC+l64JzSmPrPYrXl/tdnI/DC6dP+2Twh6g0Xv53oKAKhx1Pl10ftTs+Kw89H++jmi+WJ 5LWknleVBWfsM+2y7dg1wm0POklHpU6q10nzhlnSMZiaHfVRDw8E6RPPs/fWs0PHL4O9ZOL/BKtV 0Rf5qly6dy7ZgOpsWUDMQOqOyFVvnqQUJ2G8JLotPzJ9dNqElKaKplHKZHSLg980yVMtAFkOSlir AIr7I7ctwmiTOYGILK8VjXOqY5y6MyM6+N2QIkWnT9ZwQQGCG421kpWyDAgwpprbkEhUrNE7bpqU 9EHni2TU7tOpHtBJ6eBVX9B0mgbtbxEiNRt9n6ztmPEHBAVKfzarQzuCYxSwQRcFQjCwnwKGGP7J 3ZrNNHDLuUYSkBmi1kM4H51eIIW9VyF3stcUaw7A6N3Qe4gkzCEAVReHX+iE7uu1nO/neLTEPQSI UN2q6fDtTH9+F224NNnoeZ5Sr1xAqf7f7OfWCxyS3wfT9yL+t997o8g1ynoaE6bM7qQ9uATmLdmf lFM0Q14xorJty+aohwPqam+souzvm1vk0gecSkrobGyi+iGgpX4ocPiImkWmrTwDx4ySnkn0FEeS JJWixlfvLDGHPG8J097wPQO+4FpVxRy4pzkB1ewAn0Bp+2X5Xo5Pnw6HwisrR6uH6T9wIQoc++Gs cF3vKBmEBnOEY3+NB+sni8HSJ5FamIF2KZ4EkP48ZV32VL0dMGIYa2qhG2pTzeMpsjrJkYI0lLaN EPmCLPeMD9X0I0Q+oUs4RjTbflt9rYFvcS7GgF/1ptqNKF8RXBbH+oWMA389Ch/s7dtEM1P93yon it+NppZFFCF/kpbyV2VBLaqt3L34VqLJkIxgr3/yjxJQec3C6ZcFB1La5uRpk03XikbsHerLHy/3 ZMvxCU/RHCvhiE+XjatQ/LMqj7y62O1Z6loCjqvs8Pe2vfmQZ+X9p5L+iaDG7F3KxoIKf+deGKrt txmKDEaI+ieQUtSvUTrI3X77ZFW8dn+PgKnt0O9VQwk5Lf9a5ptydhmY8llOD+WCDMmHkldttonN IZXEG3XLaIaqM8XKawqffkcR0PgKSHY3/IJT9iD2E6JisF+dNVxm+pKZG7C3MkaBgDRqIlgRjHmJ CIckR9zlam/R2ZPs3t7qSFgSVyuF5lnucW2+JwjqJw61pnyeF7N+D/APsdtzkMhjK1T/5MY/nMXm 00tY14uIk5v5CuWiZXILCPkxgDRmgCxh/Tyr9eYJrHnUhk19TNtCJqK275Wlbz6sqV9Z8BJmoXDB i1kJWuf4J4TbV9YTLMHaYtsOzVvo3cr5hG2sPnpO+dQZMUHKRaMgXyLDWAfGKZGLwdo4LbXfEpO8 PYFOEZp662W6lV2uInm36DbCkjF/f6l/CEBm4NGooICGNOn7Lylr/lpXQDAwpqkhoEqYUC8BonYk WyN410to1uoTi0JVt3DKyfiHABivOtNAyOdNlEad1QFnQIVbVHOUzLSoaR9ktyDQT7vMQf+4kDRK YD6VP7Gb5Ibq6eX6XyNmphF/5Bdi8emHZqz9x87ilIWmjL+aR+RL9dtvkcPhqBrBAn28K+5TeJTV 4niLNdHlkpupbMyhlsivcU+i3L5+2OCYPOGR4vjzuuBY4gbM1enpTmUE4OSn2VS36IWzp2LqzUkX nu8U4ZSMMWqSnmDSaaRaJBI/1ounboQFwcGrgp3ST7p0a9smx9QpaEwJ9RCkokDqN3bL9FgTuRUt UH6AnYNagrJKD0yxK54ur5b49EnXu4quehB0An3pEnmclZP5ejtbRNrleVrhhfgyEj/EtACCswT5 A7pViJqKLTPYNao1GKIMHDCM1geEadLiumdN5DFEdTK38m99Nem1TXrEUdBae+6solXaCrNVBcNU KaZW8QLHnMrHTBAM/lwq8oz6r2GkYzDiQtINyC9RHWW4IXIdLtzclKL2iJ1kD9j8hvQ+ml8TTSyG J/FvzTsOegotiDjovciRsdsGzjwCgG6tPZT7eo/zok2iPfZekamMEqgF8qfaw1sZ2fPvaucFC233 L0z8vCfzp8DCfuakxjUIKxg7kOdx/kePuS7LvcnAS9UoUQYeDjnLWLVTYItWdvmtEFzs/USTkPu6 qyWSmM5hiF57WhkJCCjgKU0mbnms/5ogdXGFF5MAyndMKujTZBTyxt74bWUA3nTKrjJqJBwbzI1z diCOViI6LiFyaVvUROJnw3VLopqP/oROrhrKeulXDQv2WFimj4eiLa9zKpbouT15I/RS4ptmvRbM 3NZNitjh6RDj3hc/WIxA67dmkZyfHEUb+HBQBEoNT3mBswNOV+z8CAsIGW7W7L/3aQO4DbBs1clD kaKyd2o0QDRjNRpNCP56pVCJF1nBjrfIdbTUz6GOV3udMTQFktOouad3aiPUbGQoqx+yJMgHC5sC LCF6Mgy8kD8MyH1SMOh1lJNfJEyqy97tExZUnWro0GWDqcHt8MOzgO3ZVb5tq0Odcui48k/lIoEQ 0MClL5BGNGHznpJl1cVdbDUo7xtagiurOVyqDoyhspNy1CIhCLdUGloiiPaZs/eYw9G2Wt8cqv4u v0TlpCfuf6vrxsMEkKhE31iXrm95waKXHpjflHl3zB3VKN8SCt2YfyOIq/5LT1A71C7v0qt8rGMS tkKxkFrHe13FKOfCSHI4XWWnlRP4Wr7lN2cfDbghfL0lZYiWsLY7zoBPBIBGS3SGrt7VSYG2zTTi wAVwhhKjfgso8vLRGAxxqKoOnBF5TtghFN407a6CGc9X/Eo78shrjuaJ1QGMXZrRNDngxPe+a/lq MTz+ddWwICXFxHELggc6Klz5vetF/uxDmws1JTRluk/VSfTBt4TfpRMY00gt4wB0prR0WGRXJ+EN GrMsW4Y/4/INmmWqVaTrywEwMhW9yQu+WDiDy7tl8HWI4nkidM2DcRUsaCrjhvX5wRZlczNwFFKd N6OpQ1SGpvMokCZLP8Kniwm2tsFgKGiAEtaf59OXy3IU1zunUiLorF2RVYr2DeAO36iWh/WTayxg B0gtkguKhyDYxXcPXEgb7wW9t0MqaybPsVXnnom1tWbJF5IdiwIZS1/vflVEY6YGCJjHdJZTCIa5 hkdTIMFpFEHjBx/jwGXRhtI2o5SuMVYsYKvd8ZJEB6O6pINgsDyqoatFEYUywLgknSvIEdYI4U7m tSN/+vhIOCVoUBfXobWh/PYMGyl2h5+t90q/GBIs+SJsGcagNe1FFWqtz6vyvmIiVqFXWSWcm722 Rz+OH01Hfub3kBjffX3u/eZQyh2Oro1zZ7AewIySHauAcA7AgTvtROoDvCPQGF0GtI+FqFjZ+zPI jqQsE3YcqLY/+TgpuIPwXQXxpri8YE98flgCBT8YcveNyMAohamL9ghZs6x/G7O2n8OuSx18feGz pmijHmtVXXL9mjZb+nKZmtW9F/X21ytbG03g6vVUvrvGLkOsZIJWSni6BA2D3TmjFKDxlGlidOQa xMEQ+3tfYMDrdFlsU+FY0k0BPUN+AOdYJ0iG+xkIDgpOjYK/ROpgUmpLzxlc7424uvv8HkYm3wD8 5Kou24yFVWBsQh5EYQX/n2/iUkUjHBC6Bzplg5Gvpe9Xlj/W5cKJWNrlP6kZMeq5Qq2fZl4HPHFa E8/yu7qlh8zpFHx5GMSrgXitQVOO+RrEfjx/0UGEecvCcqQN+CbIImZogleL71vZzvKclu5ZArzO IHlQp/26lNuFPo/rKJLa4tKcVao6x/Q3Ve5KmjXtHqqWPf7sfn5hy2Dg6uCR88CdT+PpoHhlKxPb KhlRHgeBJDdGAnyBAaYb7uKgIk0TtVOu4tucROi+Ir8ZQNrUwUnfVjfrYVrCxz18us6osOQUrKml Q5FDLrf7ADIZgq+JA1On0IMZmnK1C2GQZtBHKoHuLt+QY4IVa8EPNlZpYf1beqfK7qN44ZrtleAi bH96CFPJNFQnj46rMjAaf5diDrm+R3xYgH14VRXPa65u7YCmG5adNdJ0zgM9PmHaAboKEl4Ze24w o3dU70lut9iA3XQ5aUtJcaaV/Jmr31JyvKrEaCz8oU0jOnFd4jtuNLJahCeOUdMdbyI8Fem9vIyT OS3fjtdd1F+KiVsmrsPiCdwz4IFvvD9wQ/GMLDZ9eml1JQIBtwF/qhv1YvDZnnnBrlU+8X853sxF ym3DeJ1y81h7cAU0N8FMTz1JySGjZ6flnmeykXK0OB5fek74Jbexfrrk0Ok+MHtVS7Gq9rnyfi3Y RMoR4AYDSc5+B4RJfOJfpH0yBC++owvVlkT/qVgKJQLP5T1EZrWxa+BR4rDJ6oAznJVlPHjr487u NVPpaEFOBQKp8TW9ywCQAz4e3fYqeEm6omLaAaJO94Xqnlw6l85nLC7d+5zWwIVkS7kbILJrlT/6 EZdlks55fu4zYuA5gKyoekfTv9cwgTOuZPYu3JUf9sJef4EV2gbDypua1DUpS3SW1xu+n5IiGYyj MPtqUhTM9V7vj4KsCd79xdJYfsxepd68zSx9izvmmw/VsYe9jNpxkJhuG2iMH65BZRL+I8+gbDAw xK36PoD66OxgjZaC01vcu1ncKa94SS4IeXhle7VFUTTC+d04l28IPiLkdrkIa3+xwNGffbzJqDFi PZ9ZyVrUcMFbRDO0Dsm59b8GfbrpV4tvCG1vOAQsXsIkBZqLcNOzkdOU5ZPgX5CZEHLueWvlbhbF GStqwzVpaciaGaAowgWPPq9c3jjgRbnHORXLMlcQo+EZ8QSX5OodVvdKawQdrb8BBie4Op8RyHp9 8vYqVgN2FmF7GMIBTd3Yco4D7ZJj1JtOXpIF4vY4w63f7OLFI8clREilzLZj24yrihwld8gM81G6 l58v53DySew6OgCN7Xz2zrqF3h+oj76A0azuVJt4QLGMtiE43rFY2Wp2/VKK9fxsHNjiyKh+yOqZ 3ilAIO+6I0K9reScJ2iMloiiEShPHcB5aN46wuA6Xb9WEJgGoHl+w8ddu7ETTMCDCLs2SahO1URP rzh3uY2/JdO0lu6dPE2CeCdiTYBEdqL72C1AcM7FthcG5JxSps2J0TyMJThK/OQtsOkzQdCZHRCx CZP/TVVRQBM0eOc62pJ8I2ap6ZQ6v4SgqM8Yazxo7Faw12hTekndOAGWfNHRFCj9vbSFZomwYUnl o21m1Yk3cpSxmUaqykmYC/iFi/ZWfT7Xo/67hmWPbfp3KaJJHdKJNvHoc95ySmR2rKDAj5WFqGll 8gwwD5gETSE/Cf8aBLjimH9VQ0ZC0LDR4CanSS2PCxGQBoafns5gmrQcnjtQddDXqEnEd9kAZfaU jU7IrlTw+tV0JAj/r2xk+Vd21NvpkKxK0/4jF3gNEyDpEDkzhGXVB5J05l96wtRmqjUZATffWekH AeJGaaEcLa001jFD728z2Qxu6O1pi2XxhgmTbLJD2cgCbW0v1F0ENGZl4Qo6gThIkc8rmDe/OVxC eIr22NIXROTl3egH6kRMxyqBLBBEgiXK3RrQzx+9WvBJ4DtUIFOiBCh1F5LpFlfHwfObCIqhp31S u4x1ueOHqXDrz4ys9aZe3ZGg5+pUY7+6Y+SLqEKknl0p25o0f2G4JS18fctnsiLAptcTUQ1hXl7X LwuPnSBnsBCMtz5Xl8UB+kWBtuDw9uAtOgAG/wRMl18paV3rMLT774RIw/+Pg4oaK6ccC4Dangel H1bQiaS3DfYJvjlkgb5ueXdlmNvyCABa6ZQ1Jbq3frZEan70Ks/j57l1JjY4sd40txx6i5FXPTPM cJGCTNE/y2p8uZCQpT40dg0YhZZ/rS5uq1MzuOeHB+vNC7QPRg5hsgXCw0ZwdN2DSfYZz6tCbmkh gB34rINnadnUyAra98fv5arXqrxr95bXOfuqrMg0xMFMA4fIPk56hGHeknHE+AKweLGSYt3HQzxQ rlAUEbkl15ORjIbKVXJgkQ4mhmYtMWuZEKR2k5Dnu3Hi/wJM+3+JCh2vns45z7gVuWUKzMYooAHu LgLM+KH//WoXePaPL3WGQ7PCDB711Xu1KSiyDziPr55FYiDS2cbE40opfNPCYzmACNhdawr7M8BF t6QBWmVXD3JilJAqmihVgge1W2jpbN4yCcIsGAd5PpeRlCWmo1RZQmn76P1qFeb4G1Z/pkQv8Hey XFix4PMbF2sjcqd2Z41/XPTbvPAZf3Ubvo5jvExiO12lkHdcceSjoMQ1uQm41DpoAt6d998z3153 6kOqgachFE6YGBwZ9hT9REne1p9YWtaHr3led58wrafyBoC9fv7/mIG5yg286lbe09D709xGV2LI loatfqL6iLvaydxL09pIUY5f0nQzzaOOXHzZOuJXJ4+Xm2qLPvKS2VFQarSyoKVO2EquMDZ+Wly7 D2sCqWuwAIeOrWjgaG/r+7+5URlKi9G4nVuGqrYkBUjhqXYpunVU0eXypz+2EdyigWuu2sMBWkGu QQupN/rZj1H5EA5cENDjMMdpxeeluQjKUV6ZCAU5xMld+8MP5l6g3gzX6y22V4TXmliIKujwX9Dw p7z6DMmCD+PpSzfcjWagsdIdVLE/35Mg0GzXKfTTA4gOaasQkVLqIs9a8D7YSvpT2iPZ+ncXAKvO +t6vRlfkdAnXXTKtlR6RHPzbP94g6hrfzFd2/jb8qFso4Xjd6GOlbKX+PQYgQ4CQXIeN53b1nzFi rp8/VHrNadSlqBNkCXv5o8ZSrHYMLk20+BKvAdb2qw6iJvj9oQRtBByVpz0vY7XRGePmLV9wbdgQ 1AZnwlsUqHdeCuAYqrjjPez+dhD4XRcLwM/UO6rYH/CMu79myuWsglSKNK5K2bB3v5NDFGR+NgtK pEv1E2WxBpRgmZLwpJ4FHcWZ3U3AiCVnnJusAr+OyziWzPPshMnZx60oGsZpMS4X6txUVMwpWW/n EIUmoFmkqqBhCHf0dqXlOaqoOJG+uGpY7lvJzOPqri/xv/o8hPwO8hJjfhkIx2OLJcxalwZFhS+n 4ldHcPEREM4RATeLNxtkRUtS/qAgEMaPXP8mIcC2XK7MUo9v61pm+zYwPjbc4p/PZ57teWkl2R7N 7njTovqnoaWFJyCA3vC665EF/kJgoVEMqsNzMzXiKtK2jfYTgImu1pA/korxYsdAlVs0PbGj/vnX N8+URBjBRwOT3Ah8i/TzzeO71Z66q01lNmrfxSQJ9hQUMsHmYUDOZ5GGE+gMWL4U1y+Hv4BeNmoD UD9KLCJeZXPYcQwO9PfFyxAghOSDo/hEGHqIWAONC8eSxenIv8XTGt39B1z+RygMEAm+8jDAx6uR mnQg3URqPV8QHKvKqZeGGDY4zlrZAQspSvTe42kjyLK+8EKpZsoyZlq2fMCH7a/DnIHjizpo8dCZ VOXvtb1pYhiQslLmuAVgUyyha3U9GqxKD1F5qjbVEXJBd+g/fx/d8aSpPXvhdPpqBpMVfqvlll5G 2jhdGKtjeBkpbi0R3WlzXPCEQK8+fHNRDDFJ9olOJtlJgX54HnL8dFYSwcvlWZUOuXyp4VMoES+O vtr4GtLcNkBdVvB7ZjzQBYrMyW2AmNHIQQm6euPB0AMFvD+FBi3WhzTlbBz8YkEtyJuH1aBpjdsu h8g4eQr8FbBYvcbStlNSsswfUSJEZ2H0asXotu2S7ktoXe6speFnqDu1XN2A96KHa/tL/povuNjD hJD2A34LeGCb32+Yq51i7JmDHPHotHF3oilrioBPju2PUE+AnqWyPbmt7+tOxecgCIHNIEJzETXJ yXMjWE3VG0MYIv/k4m5aC1/Mh6DldfiWARkrxI0RA/THZqqvKn7gTZDMkBuokIVgEtZ6Yeo0FTxj kXn1N90eLMPWR7EXD1LtuJaq07ljM2J/U+0gl/A1sZBy2kT0pFdX7Mnao6GO0gI1dvzeIQqfdgKJ JzcrE6+WbG7TwZTBVWA8krvMT9rjS/ePnC9obkYZT68dM72m6arSVXDXYAzuh/yK52Ob+tKu1cPV NRu+D1K9lhlsjauFNB1yNlDF8gXlwU7gV2R+ZH22T28aMnohh2EaY645TEL9dFb24RiZCOqB+c68 i+R5X+MEu87ERsCoE7Y+fDydwt7HnOI8UBUbAFwMJlYWcwOZa3MeqrloqatghgnixWvvucOQOrfZ 0c0a9Rb6zJHp9+9VrhqV68ZeoZ8kvp7+3mOc3iuj0Tq/bEKrHVsbyevxJSMF99LEQZ7YE14T40du cOia5P/H8r23o+LE87RtXzws6kN1QLsnkYqSDzvj4yp8OX/ajaSP/oT1lyOcgIwaeQ9y3f1Tx79n sEFa3kguhrQEVmHMcUX2jjD07vpZH0cX40Eo+aBJSs6IdmBjo0jP2KCfc0RBLZIncf6ZFaf1H4fk +xZW2l6wG1DjdkVQNNJtitrAUPtIeslr7auVnRDHhcwttUOmQxSGPhvOY5aw4G+xqDWzaLejBdfY vmDpiaXe1LUmqd5sp1Juwe8fjk257pbnSyLyyMSbayAnAtJO2HWEBiSkdBEpYN6AvZH/bCsgOzxV 83X9jJLfRavCEACCiHWrMCZEDi5PampldYj6rxc51FefRlI5RMbaztQ6dant9As+MbvNpYyIYv9l /IUHlqk418+jw/V4DQs2iA2GTKKBQmps/YALK4pLB0nEp2PCII2UP+FVcgt3Wg44IhdVB55Ni+0H NccV6o1g6KyhrQKzf2K6PvMxTayJcWTIzWI+2VFCeUIVB/wZ8nAQ8aI5xShuMgYNpq4pTTetw/1x 4NVJZ5ItYQZFg3CE8lClX08At7GFU1NrDTl31TVPtIsIQTCS0ORlbHnmhjiyk14qwp9WSPQDn7yx jM4NHah5CxdfABO14B20GMLxvcIfrlcB/1WCz3TC/IpfI6WSQ+sc4mbdgP6t2Tc0V9hOnRMRCAkB hONrL4Z1BPoT3b3RQUypTaj6EYwrJlEupA3o33qERD8XJCwg1oflPt4ppf8iqJOczszGOPPQwWOJ 4zczNveV9mKSj7KbEooxB8FwpO6JFNEN3iW+8hbhZjTUSGuZoFj2VxtCZbnq2z4sDmjfdR6W5/Lo 116TYe7xGf2gFzECw4Fdv3rUxC5oIuZDQNV7RpuqQIXWZj8AbmH59APnEwFsite97EldYW04xLxH Hh18zIvtU/QFC/HE0Gm9wQlRu8o11mYrlEL6crkUE0wAj+9n2+gBN+iJ57X7bqiddgUcPlpYOME5 4xmOVVfXXh0WF3nzgLMMtDgNtDcujUevZv29wRHAD6YPn7nWfedkZNn7rEZ0Z0pktMladEswhXTY efor0zm0WiRLAHxHUVpGZGfnw4bgKaH0o2rV/fiFdDF577YB0IS0xNUmPPScCP+u3V1E+bcco1OM 2yNEj6Ni6mRL/S9iPAnEvi2a05THtTEZKb9apCsRGQfrhbX4CZ6w75R9jWKobT6VJhGUPetRxoq0 fYBpmmUo7hcQej418vGHKBqKnCPHxqK2+E2vIQ/BXs5DhZtUsX6IGaAwgB8gd7VRNLqDxHksvxS5 rqxjL4ZsQKDl0aFRzNlviIQyyTIcK7Bfqy6XV6khfQsjA8ngsbfQcgtNMwlK59IhlvEpIZuXfVgt nSm+h9EgafF0hHzhyBI3L7pBA16Qgyxjlnx7ifPc/gYgxWR9wDGo1zBmMMmHdaKS3sE1LLecjJ3o U+ls6T/L3znLbsP3am9TSA+XbOUvHZo/GKTCoXozmOKI5Zeh+KFabcrjEfk/Ay19W/L98fZP9pOy Jz1rr9f7Qhm0gy1qL1xhj7luv/QCk75l22QUTpR6wINYPzFe1GoLyR5z9tR9yo1KSpGIwDS6LIZ6 XvbC1BTU+1YbzyeMJAcJ/t0AhYjIH5x7TB+F4jiwYZz6HpucrV+8Dq2ma+AAxjGT0utCVtL0xddx hnYQAIEUxdjo56/8I9iX3jYoa5Mlxol4uLXQWazhD2rggsLu02ABfgKSA28mm4AOFX9Zfd7Csm6i IM1xgzP2M0HtiJX2/DWHZcJqxj6qUF0d43ePlH98BdLxGhpicGWxPPMpvqQHZ5tlcOM3SsOUhQCv GIcQ2xZSwLN64LKacu0UUp20EdpD5Z1nlC+OFZr0s1IXQpPyKpxArLGFR8EJFEflH1zEzk01o9K2 8LjNNilrHyafzRYwcX5+LqIFnONAkXdXJT69FRMY/4J6IJBHLWnY8vec3AScdYb3NTNE8sQXyZG/ 7NLPnxmYyokf6/F3mIMYM02SRuebtjFvIJzU2DW9pSgk+gXbFtnYX7aqDm91cQ6E6h3yCZhhK4cg 1QlXtaiICkPoMhzFeIE26ivXMn3k4DP/gKed82YUDVOUlr2MnGD7B194JvlpHbzJPn7vZ1w2Vgy4 78qNeGaB4Nuv3qJG7XCXgfjDjzQiqUTwSElMigpVP2CIxn+Cm6aHrE3wIu3zVXU7yaLYDwwNaKui rL3/X6zUtt2hDTOY+Mc4KSxJ6CUeC3E2octS1ea7xN2spx5o6tqUSzIsAyz8btQ3zVKodOg+41YB Hc0G004dyq44OMUpflVdPoMeAjtSHiR/h89b3degeFwHLpL9q7hE+ZGGGXV86zO3sS4wiSEuteBz TjNKILdQQlhLXT3YFjUxZ9e3MRDo2/GxHYqRMOR1IG9E6/BlOPDH3CeiXBFzgBZV8rKcVHFrx8gu yk7CT7p+OtzNiiVLp3zXOyDViXA8j8wfAGenEYimlAfiKAkGOJ67Qrs46CiutP6r3teolNQFCvHP hM7CK+yyyfvPK7SexC1nzJC5ZvgSfrAfYpH1UlF/1VRlHvyJiEiVtI/TbNd9x0ICPeJJlLdqYTil M+M0PspVC5OxI4h3P4LXjUtzVN4oVXVOVQrMZqLXnNs54PSAyU4o90CTvKRsgwSBbKAk5wetZgC7 kAzAgZqc3n4pb4kkNfTwYdDDPSdgJZa9OKGzOJ+NaaiuFHWdrKasV78+CnrQ9pB8igu897rr8kNe 3ugDo3X9IySSSAsbNGm+ZFX5jBrOo4V+cn28WS4EC/RLHfiTZ+51PFPzmDo9L5FzlXCtHinPmbDv LQ6Lyg98nWS/LXASEiInybhsOPO0v59mwVQeND+e71vVS7UV/I0zkMhs/9T97owHeL0U9pmEvPIT wF+QCmxV2LtDpv2BMCtVWI+w8G7tke+Hz5EOl/LfLBd+OsTe8qenMSwk2OlKwPjJ5Dfm3Eu6dsY0 DT0juCijQJHNPAlZ2xWG1x9caQVz6LAFIiV6HSQwlfGpX+yaZO6XTCisioe/qXhxdo1ywy1kvLNe rufGIuQyexWfXb7t2n54qwXEmzfAbPwEVu4frPbUlr8xBPSjQcXSxHiX1KNiwzmbFoWDY8eX0smv kTV/pn4vY4DsvAuyBbmmmsuN39aq7eIGpVbmgaBB8wxUVm3YVtYVX2Wj99x1vCXJ45W3Gk1BwSWw CoEUPuonRXPeBwBA35QpZxyMMjhLVcch89llIhBb4ZY4uaGxJ13f9+R6KSAcF4TX3SogKyYyp05y hzSk1qL+H63p/ARZIhmWgaBNtingWj7d3DU1PBSg71JEGIqKWbKgSWIff6DFINBFNNVOLXtYNezw iweuRTsylSicVFHIbZAAGVYtVqWY6PKI93JllUMejRzGJbcqFR03CgCTcE3CLF9DkXJUJJumsXpn bvViU/S4QWxGFaqbnYj1WH4IbE3NPd5f9Ys7Ok0QSE63qC0aWNpF2udTeYT9dYccUkDnYgxw2Nyl ushBxMEok8YQpo2eUqK9YhwiLkeGUar11r0aAeJ4ul7tJiO84cGj8Z1l/Kp4fJZIGONi2B10rfPp SzAOe5p/XYNJnF83oLSc+V8EvXEA6+L4jz9lWlxZoXPQa77rERsbq0L/iHyAMNMyYSA6aaP3vXiZ 7soCD29OnUC30mUsnZ1Nqqm8kcsm4aYAr0ykKTUUvCTI39a/XvXIFvIWJgejgN07VqNGQIZiXpuo kBf3/CqXYbLgPgppJu6+I7CdUuxTb+OOQhVA5/EhS6U3OwHah1vUaMox1N5I9tMho57MyOgiShg1 kEV8W3Wg56K9f0GQmISpFfITwZoF5qMdcJVRfVQQ3kqjgyJeMFCHnPxyGZuVO+NCbvC6enR4QQMq 7Lc74Iv1Mzcm4n4lfDyYhDHWxrRyt6V2jOi4UlhqB1aHnB3jxNIxfWHv6rlLkzl6irxMQ0HugUQi dBNFSezP3hxiEUKkwK/67IkTschSyvPdwj8av2YuGZU6EWK003oUylw9+6+BM6qKwyTL0Q3U99Bg RIc53H8KLDhsOCUlXOWmSoQO5J3l6ikti9FCh7cp4vPgpiTIp1tdu39lN5DznRZXfUHR0CW+56HV E1VJqxgdoEaWrpPL8XjF0+KmJRHIy84fMd2RBSCYXRNkQiHJVg2jHDPLTeGPePEf+fhS/jCCy2Xp 6XpGSsbifKf6VjKm8CfUcXwTmMsYcSlaZr0jN9ujvh4vGZOQwp/IyLhtgvwZPXrtUwffVVyZO6bA y4xhKxI8aZL5swrgA7hTHFMtarTeJivDkCqOJOxaBcgDqAyMfvr/J5KgLOs9HIAk4i7GRP8akL3E mhsaQ7edlwmLJ1+dErPjGuyB8phFlvjhQ7tzjRQWLEKB67yl1aozf8g8eC9uguGw3VIr+8J6uD2v FGo2N1K4Uuha8K+0vz2r2KryUusOUsDUL/bYM9DHfyg8uVxrG3jGoqPUunxe23kyO/qyQmZ/3rXG o9JBBO8dBDz1WWkhthb2kMBae4qVTjEDcNCr6OraIp/wMMrMehu3YvSexVfF/FEG+0JXFnwhacPq RoUcokUWznuhekYY6cXcvU9reCG4Qup0H2RayGD78UqoMduTLULHki/utlHVxL8jqnwbzOjFt9QF 8APSXv4HagFQYpmXK3FpYDgu1xBFT+msEhcFnGZiQQaPy7nnx61CbPkmJAlRznPrc+b5rhjV7aWN zIc3M8iivIBrpmhDfPqBTaz6fWOzidYWtRP61x9WGaylEvL1WbliJn/yvjY2PVFiTJ5Ti607+Gpf FGgGWt6Jb0nfO7xLoyUyPYikuBY/3y1upx52al6jRoT32CFoouG+Gd4P6fUuhQq/I1utHJbh1Oik fzmbdtlCp0JVw9gMZTvdRymdPkwf+2mY+kvksOO0mpAizhPna9DbLOBt4zqU5h2zhE0BzQaG3kTE L4MOvEPN+zcKr0i6hDhjcgsD/H5JEeouM7k8UWyxkg4Grh0575fCsdHi3OOZ5O2QfJz8vdVKq4Br uErUzizOKhx8AeyTOjTz7p6wtRvqyLbF1ZxdlMVuGcS63SvTixFW8QUezah3VhYF/mDQyMSkhzgd DOJ7Wp8VsIVKbwqOyFAFqS3d0g5fFVItJEwJcIylsC+ph5SwF5xRF6I6tinFg2kWnrM0QnOBrnit uNy3fIeQowsobS0Tghhc/wFissNZuvzsB5ZEBt4ojHOj0H0xsVdvfNS6ec5ZVx5kyxWnnn0s4D8T WPk0VfGlx0x4lc+Kg7SOahNcLCLgOZYMXljDr/tWeY9FJRXxTLtu0H9eAV4guIOMqWOPHgJti4ig UOnoAlDYlI7sV1Dl+FrybgYKFu3k/fkeUxCy737FoFr+1M80Czr4qNedETIuJ1r+q2nxmzO9D01y WEbU0mImgfVZOVrxF94IDq9fYD6C1/Xxvjkr4VKYY/AbfZB7dDQYEp2xDTf36vhQ3CSm7XHEOpwF dbEuZaPrviAq8kcwcRbFkAhAYh0uwsoBdkc1FDGK0Zgx1EWcZqrCGU0u+hb/39Ek8ewp/p8mG5Jb J3z7izHwWzQ1vIObjoqHSuIHvb6sH/wnGciEcD8a9bi3fGghezDERcJmnNXgU5gsnhpjshh5DPvA QA/i9n2hILtb5ikduh7lYp4/mgFEzjZotbY58JnzCjqtfw5uqPRPjxJ87F9cV71cCQjsB3gwvlM4 tbcjbqYXDCZi3uxqVUh5ggn4BSWA7CVXrRp1MynkTUcVjg4Kf0ONvfrhFdzHt+mw9FhKOw+60uVV e/mAdJvYbrHIe9IDlGlsPQYWRtKvpm6PuWpq8sC9PvsjoG3QG5KF/ADFZaIVutr4rSo0oU1pknOV 0s7EIU6G3BBNqWlMRLIjHBPIWn9160882Oe/HfCdMHijge5aWPvTEWraifR1hXTRwqbbFO2QVYsl 9k0eWn6BMdIXZcsJ8YCssd24XahOI90PkcLaT4xtC8O3nPMFeRcfkqMwePK/yQXD/Yb2xNkoWuLp mjHyjuwdtZTGrMe4v92u5WsFAv4JIIvbP1vsc+ht6e4JoaoQfVs/ot9ta6E5RC6Qc0cYamw6uJhB loB5/PddlU+XCDrXzkTGuPP5EMMwM0XL4RsoT0l2wWl6eqiyxI/tHIJcuKD1ZxbTwSt7o0PE75CW wQYdj455oKZZjM84WuJtUsFYhzb1MdWIOdbH0vaOEdb4wXOFxfn8pn26oChBHXVn31Fi97pOM+i2 DjMhQ1RGcGgvmDtLmcdrr1eBatHDKByemIra/C/NAliCzlRawa4kRYyEAnujeDNJjS6KzhCun6Cz dHGNXWESRBYERkeiajFqbxKlej1WutShTnE30YOBfVxmMyyRmOCn0bo1KlZtKJqDNoFCUH9yTIQ3 6JHcob48nUgRE5e1yfP6zP50It+uTKNeux5NEeI3FAmnFsvtv0jOYXf2zFbLRmMdqQa9SrBrAbyU EytYrtZip2sJGNOhQtHKmSJ+pi2dhhCZty1I/+l9QyTZ9vI0ROectPDC/ApUkc9NmWHuVPDCi4Rs Tdr8aSVHIwHx7b2d/sWUUOIJ+0rh1gjarILUR61G/XkTw0/UBF+MPxoYhz9weClLTqxqKvlFrNvY SCDPI7iQSn7oSBr+InVTgW8tFOEiPVYQROc+MDFU3XtxeePWydNaaGVPhD+wDzPjnder5cVzsp5e JjKm94RJe0CFfKWcgd3l+djvonQCOSlRiO2EOi1TvbkKncD85nN2QzTbb/TBUF6aWoOgyVl0plDm r1iSJePCO8I+scTXFEC0Ka+VYcUlGBA7iXAmOu66w0aZg2CqKlTUBe0mXnmge5x7VNxOT9SEat77 xElN4wd77LmJt3ZcgFxontm7Z9NAUw0GpWsQgT73EJzTBp/B9e3K3yJdw5Jqam+H60g8gnS/kVeE HqwhXaYOPWDsM519LgkunOae6Iep/VdD/ve9/q+MuiStNB2oYxUbM6OQN5MmjjpoKzfO2BFhAApe to7p53gb9BuEqN4Gi/Qb8FIbA9mlwn/0TzwLT/qB8ysz8+Od0wbl0rqhL8ibPZHX9r1iMFHkRnRk aqiLNuO79QdR+J9pxc1OZpBG6b4maZlf4I5EvuiUEQpUh7Piz/g1WDEC6unDDq2TRFoB4jm4BZBN rm+JQ8LW+APiEE1yhS+fy+9uTA1K56ZrwQ79hRlgNs5aKJLs3f4cR9P0ufEMmZO0SWc8SNCTop1J gltRg4WwHrSDsWJYq0AabdnvD0v3PVvNnQL1gq6rYCWNtJgYV2139lNOWqCch3AsPUl4rMmZ7Pj/ 8UQTWj+RRpQR+0y0VGbfUp0xbMZJVzUzeB2Yj+i8hv+PMcVA59Ezob98W8cCfB0WfWj0oRs3YJtg YRcb9aRxRsxGhJcKUVuaFCKrnk16hlQCWYpBz5UKlF0o+jEGwsdmfx7y/+NNlHp/Sx8DscPR8j6B iW7vrkUjrqkob46zXysWg4CYE/hAkhkJMupitRrSDgaN5KAi0vsUWL7qR9Il5wY5C7NRuu91ymYU ENdvilPAWF17eCCyj4UocKb0Y7hE9RXbSV3aTZ2fUXG3gSd9wJNCWLm/A0jyVKHYJYwm5O9EOavL uQfweGZRN58t+kON42bhpKI1PxT1HyNyVryi0udu2AqDay5lNkberB0QrQXIZMLRE8uNWFL/RWB9 di33yh3pylo9xVHtjtKYaJkYAgpJNdc8FJC3PZQLeeZzLbc5iDJJVDf2a9AVPgEQKIzMBW45dL0+ Z4BVcVoMckDXs7aLMlV/LlJEaxZKk8a9gaItp2J3oBJYV8VBGp7OoS24RnGGDNKI3JzKqPqWcSWt 2sJHRWBVkwDyRmnVI4uI+CKabveaZWLH+9KQRALCnXwW9Rd5nR/wZq/JZY3PMlfHlIJH4lf1tTEo inoYdTdbZuMzirTjOY626UhSWmV88h0fh+lFvVTL9CbB3A+Mhxt7OeFk3Kis3y2z7ikW+gNMlKsK Ddsyz3dm6TrwZNa0C4MU2afm/FeabCnL60io5V1FoHGY5G7emYcCIeCVSn8CbMDvhxWjKGQrdqdA tS+DfWzBVEUQl0rINBUFHl2vvny5rGjmsEp7Ljp6NiHijtQlHV/x52GCFgQUK8nwt6xctPzBFxE+ pgjEyDBcKU6q/mx8so5mRD8KUqgqc6Ve96G3YP9zP9C2IOa8idJLfnlWxLjsAnjVoIGWp7MVnS/j bbI4gMHvQKGpKnY2FRy0q3qrKae/t1WrwkLqhDMazrmZd9Qheipaa3wihi4W3tGzBwq9Pg/sSz3Y tyS+C/wSorhrTQpri+xD8342FGjpWNXJ0iRzqmav+iMySKMtQm6r/VrhoyRoLqW9hGHkeqGRvJMj H7o2aAjAmgut4Z4qVvYC0amI1pUzpNObHr1zpIws7REBTClPuBjge9FGOXG5wsjEEm/OtyU4iCz0 DQAA8T4J+gGU2YWDRgzC/OQm/YOG4PAFea7JnWYB7GfZ1Py8SxRIJpC45uI3SQY44QpNllL499NB ArCK6I9oKWYfDh4aA+YeBWwnhMi4Do5dDBEZUq+SpbgzCE3Hf4gbhzAZnsDNG/O6bGs/2JSIyU5V EeGRjN4b9VYRyiuAmsTI/hqUbiGhnH0jtta0Sm7UZ5q/Eb+sXjmd15P/rShs5DH3xxWIc2REl/m0 RhLbYFosnXJiXyXYJdOK0qj2wIga/SCenp/3e2+bdsgMOPAEyBifm+M9VCB5QxvSb1v6wV81X9BD 3xO/t3nqc38XcLs9vUFn9Zz86v5fY/P7u4Pnkho78cooqAB6JR4mmL3JejctwN81iBqXvP/QV+B8 I/w9Go4PZNLfDDKmaJOmLcxAOPQAxsk303acUIU+MCBHIlhytGEBqQYrdt+PQgj5Di413p0DTSwA 60mzvSPA22zD8w94y7YJDbZXX2dMuSxwvKVB/X4PjtestFU0pJ1+ent49BP0RHDmu9CJvukuDLCd nGtqM4JNNxZOW3jllBXORuxY18SAIvVgjn48Nj+xS1FQtCGfP4SNmds6AfK5uurcSUUrGlw/ERBZ WRTG/NEPEga3PU9tihmOWGrJkKGDXo6Ph0IlrhlksBZL8nI/EyAqpfcCM9vv5cuTUrbLodmpkl2E o0SqzodZIU+9iVyxAiIHvU6xsJBn8oL6pUs805WQVPl9X8W99tbP+BHT5lWSwemEdRtmOQiV2FWP dVtUcs2irR4sA+3xvsdrkY08A4W8te4mQW9PRtRqM7YLFoENN7t4lBu2b4Z6FV34Pi07EKRIh6Xh eHZQwxQmpaWgoiSpaKFsD021UcFeIUrxGKr8NE9xpNave9h5iXknQIXijUcdDJHAFR8LENspIBSS +j5WiW2volc/G5CoipD/K0zgcj7YtXI/3iuGOn4ENJb5/QHSSyJ+eSc7K+/HXWqDl0bVdP2SYOah fT0f7GWjldx3iVqt3Fow4I/fmu9H3ln2vEhUlXkFjCns3+YjvyhhAIiL7b6ja5lCx5S+3Vs7MNzh pULOEqfqPP1aBadwq4eHcbj//tKyAgn4sV9DiWczevcMNvzcA/s8Ik74dTQE5FdJ5S24dguvQoAZ 5BzMRUZCMve9AtjYRNfAMf3v2v2BJYMrIQAJsWBuTy7s7iMruV/mWsdKIT8222LFlT7N7VN5WAd1 o7HrkZ0buZN5AzO/ozn44Ki1tBnxa/2MbaAfDdx+qq9maww2X7dNQTRKjTZ3OEXDY0tH+rbnbNQ9 ZveeDhxzRVBcTQa8KH+Hts6flGA3yibvU89+qGxm4/rM4rpFpb/oGoM+1txkpvtUtUg4WnMqhNST UkOAF10ri5EX6Vvh2Mz6r/ng6+Cgk6xAOtTobAYGymYc1tBsLyKaJ1JUKgYkQ2dTBCSGhyhKHuWn CZXJlhRWj+xLlrdiKIW89p/GXGipr6yE8n9SIt4U59CPPrWuDCzo1PDqsXLhc7cp7HcOtMbED041 KAxNc/lm0j7UCGko3EPViReJQxcMJ37MXLhGIjlde2t2W7pNb8eVO8fbo+NEdZ0Jn7QsmvXhglGj i7Fkze6Lch2FlakY+Dk3MSvn3QKYKWgm10wZRf6QnJ7L8jGePC2rw5Gcib7VOHvAnQM4Pem90BTS btn0265yGKi6JdfYn6XvGuJoylnuntJSksunNdYH+pZfb2vs73wT+1SlBV61fSoorczN1YxI8oMU cNJoCwooxItw2OiWE80T/QSTGBR+kWXS49h44A1HF1NGxuuFFqeC3i8vg2bd1buYYPqzPglIY0SB Wxm7N/hPwixC7jeDdzfeN5QvhSFMaawMY3Rx2ZGXnKzSqq8mRJaC5aToRH55G5dUReS5WmMOu2Jb nhdY+8loPnxTWWjKHOhI2L9+I3ey9SDoZrfVJWLuV7g3iaDlvUX0AfkEy+h4vJ1OoUqeeI6ti2to 3ic8fKjR+CGwzhmWiYBboYjXz8JcQTD3fcm/5DeeY91UczxEKfw6dzP/aAKkNk7AMijE1w3198/c S5wTClzUvTbsBpV4s/U03AuUliqm9nJ2YcCwH24E7OyPx1yC6hEcCbZm1qNPemZ3mwB7Ebd4Ww9S 5i/BHix8Tl55D5hGBJHJT2mJUtRtN9SX41wec13XAF8G1TxZGEjU5EWw84gMiT2lq0/8XyNZgfJC zw67CCJIUZrBd9WJeRfVgBgEIvkceN5IwO/tvPIiRXMJvqPfOR/3O+RKPNGSTZdAeImjzlBU67Pv prrdtXdJgCnVUMrgGqi0cOp1EdNfmwrUsRhifK9nYYZeH93fs9m5c2WxaAbgXlDPAwUApMJSkflg uCIjaYI9gy+edYtoqCSsmJASOdNf67hPZVLGizIEk1FNOjmWUvOQG0DjoHklq48kCmyP0hNvV8Y4 F/1RpTuTlMz3FGfnAE9fCzoTjCYh59/OFyl/zccEyzKydBkEaPXCsXO08/EvTSqx4vHAtfbmjKbe UONeczpE46S4sleHxLb/qCtBwjVxGV7IzfzJARDCGJ7FRUyxWVAvJp7apxuTOJ4MChuWsGqXn6j4 3+NJrCc4p6ht4jCYALK3OMXu+bYXLjzkLhe3bbfcurjcucSvXpDLrY9Fs/etE+tOXtwzvvTNxodU 7s+sdULmdWbuQ5QyVGz3/+5CsEtxbL0vpo1PCoeAmvWK+teUls8PQ8KqOdNURHXj34hbAyT2E6Jk AkIlg7NWmazaGVcns7GqJoAxnlFuy9dBihVrjmpvTlGw3X3ILc7B4/uEt3s2NjsqPV983Y4dYsT1 hagYNNfpXqWdIqGyuvzwMHN8dzOP1mzofX03kRSU8n0L9CnzSZOVnEvWs92IVZQHO8WGEllmO1N3 2kMkq29qquEPZI+G7b2jIhBEpClZGRgFZLYNQYy9F3aP0K86g1nsJrYpl04oWCLwj9xnhgH599fb yoWUSmSote8xlaQs47Jd976aChH1UvpY+rUmIuk+OvjTgSztgsAxIp/DQXOGrlyaOIy7/Pj+54X9 SLTBs6kC/Q9P1V3bWsFny8wVC+EWv3vtEnYSuFS9pcIwOKJDWyNO8qmcmK1fVXBtEoDIdZFcFUSz VBdjswwxryk7/NQzIgrJ8k5saRoSRuy8/GH8mOi5+yf/IS1Ut0hw3n+V2DpXVRqOX2LUHLlKR9UX Ec6sjYih1gLSnQ0vm/DUhYWvJqeS0+bmvfb5qwCE22uMMJ7oFxZf3sUVouXqqtdzvt8BmyAMP/LI CkXDsC3ZHXASssq9UyJT3pCsx4EPNU4kNu6b8ot+Lk38eoPQAlBTDQ2d4G23Dnpk4p3hjm7ymkaB Kx/ozVJo7eEcXOaDb7kvLnS5q/dmarsmq4uePJ9vp3UJzQMPBTX0iZXBuhzTJ4EbWmyBuNJvexr5 HL6AN1Ijrsf8lvxL/seAgLnPJ7Y7WEp0+IFE/m6qgU7MRzXjFVyWk9Pi8yTPDKTzYQ/8jkjkjb5/ 18VosU4qPbdkruZ1UM15QUrKVqh8hfhpFZa+rMKZoYx482BX5bt5OIiLTNV0Qz07RQhvDFFTqvAO Qhg4paGThBsjnNZY1RNg8xpixrHfhI2iF/nLUHrt37QcnK/MfLheEKtv/EQ3orrsAbYGyI/ADf1B 1JThgnGDRE+oBBtQYTG9NtokyBcl1xHOPueeFzy8uBdAf0s4YJ5atU+f59oYh/h1zMKR/4KeVTkC 71tCKRsGH3tDLJXJzWi8J2Qdp41CoQcVhbDiYUZH2EZs0jPxOa4sG0dD/C/7y4Sp77fQQtUscVdU Nh+a+pHw6RMo+okz0MGyjaBVLmuUnUX4M9VgeBJAZZMBoKRF10FwCC+/cg1L9OMMhd97puDAGgqN 7l3ndoglcmFn7tHQZHJwCI7hJxGMeORWGxFaJpcKY/V2alb6y90bZSk3wh6Qpa/t09l6OSQYvdKd iVd4S0Je7Xx57yUBZfF9YmjGmxt7921gWk5ofEGT8Yig3vrHrkl6Y4R9LsuA6slNo+iLSsxV03yN yuHenotxEfn6OtfHX4pPDOgiRALMNTLZqV/RqI2fv/r2FdFTlAkVvkmrL08K70+f11b9umyYw8E5 R5S+yz0i2xvns95BJWvYF8Ft7F6A6tslfvvEyT7HBbir6w4mptVfhrIfM0mxF5+EzmsoA2BYiGT9 Y97Uro2f2XLvncwMIcXCJvgvztBJ5z3qQRnywx+Y7UX5HqIuyEEY180kKH9+lPIN4LLazooU9wkB mKTTJ9sIEDkTuYd7S52rIMxejvgdtzkDn1gEXXuLX4n5pz0q1UdKF4w/wcWfQMvuFrv9LeDtHgRO C9EOLM5BGtCvOkuDeF4JEweeyOyYOqP5UxlV0eywymimQpK6SwNOIo6UEOus7Y4j5/Sk9tYLPIIe 27QbGErb7R1rfOsst4OVz39aKb32qCG/cKXlZvT7kMHFGWl8snHVce3FUVwpIL+GiTBNDAuAaQ6D h+s6N8IblVuDWIoPczr+j9gxnM1tcWd3lRFCMOfRafl+p6v6aqO4blD7IfmgETijgkh4Eqm5ltWp Ww3yBfYA9uuaeByYMkLNV5HhjsUBcLooEj1+miHw5chr/ECoX/ch59FieSN6pOwJs3b1LX143BWD tXDS67GsrTbrqYnC96cXtgw4L8ylhNfI5dmuBn0qQTjmAWsZ/h4CHnDLv6iCXZ9zevswsac0iwaE qMd74uhIRNv0UxVnPwTfyS05DJ4oaVhN3xZpXGEty/lKOc/hlBfYcDcDSDg0AUzEVYBBw63Z/tqn d/gXh5VqPZRMPtfxqucWAAvmiRGnck0SULFTRrXxj7yrLxtFCf2shJ1PyjuakBcN8zY4OKLcR2Vi U2IGwzX5oMnkqNihp7W6KzWNrHDSSAbfdm+NZD9CDSy+M5EHV6kuZq5UdXwRaUHmyUVeCjQtMr5v cynjL6UWHQLB3QRgUEFpSIWmRl5TdwMeAfk63yaeVnq8+LUw2zS1a8/ruOAqD8sFn3HNklfGhvRC 5noydQui97XHjxax/S8DRNEQ+kmeJWkO2sULtYVXd1/7tfHEoR40npC8B4hWmfj/0gZb22EetCbc 1Wq7RaLEuuIETkt3Vj4zr0KHxKHPm66FzJMOqjcjFyl+VsjYTC67gsm5AEIxZIomDUbVySjPHsGv dPAKaILJCrk2AOEJxo0QBsnDSIYfB3sIXHtr4NVmDr/6sWxFINije9ni9M3oJnPnjeu0OFOUZCEY ZymkwZvDWoh89rzJP1pi2yCwZr0c5dyyNX2l+6iNoPuxls8eCKQsiOGHlwJGSljarDX/sf2OAHW/ 2klqot5MPlRAOcQtLicKCALXDIiLf5n18WYbAaIt2kBJcQlaUwtU1G3Jd8HQzkDFaI9dhpwkharZ kvmxS0VzpEjafMGQHEsW1oaWrswGL5jfpJvWzVGsSMe8fkldXOAyblBBzY3ydLlQLofCiP3cKipQ nkuEu44kw4VMl0mp+PvALEPQMLEEPoIagYhRaZYpymGBhwP+gkaYIGPPYEmFy9+O/oYzk4ZckFjl ndr3/j7Bc60yK/hEN2cV8uxF7XSDRAoDo3EUSi0nYPrIPAu+KNtA8ppsA4Hj9QFxkixteDSbTqV3 2V0d1v50Svzz9uYHo54vBt5+dKyin4q0J8AMsFoykdmEuT0LoOJbvxgXkJjJpqHzn2BPM2nN4oPz 3Vedg1swpEr34sE2L4IJaiMewgQf8reEKLJ1FCjeXvsEXhU3vV4CrN4T5jCoQ1wmqBQ4JHYlJmBt 8ZUf0tT3w0sgOt+k2wSpQCsHCMOKpSW6EQyOPF3orODGJoWVjCPNBajzDmURGPvQ4kWH9bev7GqQ Bh0EbbHpoy1TIaxrLsXnqIcSZUaQ4D/d4IshqSJ70LHVIOw4kwSz39+R3Gvha4baG/42yQrRef81 2tJ6mb/ADHyk4k3wMifkhUaTxc4pk5qe92QVZvSSgufDxodcn4dfHIXIvc1eJRCpy4PabuCqPaIR DUs3Jri3pVeHGk3Es5pNmUFQ72Pzb+hwpT0rEHhd/wNjY3HnWkjRjvycNfeCHd+pB6m1uv1s4/fq Vta69P31+y3SsY612wS4iMSvRseuSAOjjofU7wf5R6NMG8wLi9c+5hiU0R8fqmcbCDNFI89d3VVz kKM7TOAo6r+kQ43juoka8f6aRH+yHFgnC9coINySzykJHKAevCb+yClG1xHMdICqjgeAMbWgzG/l xH2ELNvzKRcJHoKXVeR5831VUR5EOknAP6QgS8DUbAoI8OB/0DkhWfDAD3bJrYJ6pzuW7ag63+vo 9KqNdiqK91aSI7lsVKDJ9j+vrH8gkYhqa4z6GsjnAc+B13a2zsJ42AkNobkF4ajlzYEZs5WZkbUG fEjKV4wh48VyEwYaWV/iAk7C9VdttMvtqNA4WfvRDAhUGAXcHg78iblhcVesjMVEg3Tl15VR2ejR ZkzmY+fhjvyJEa+jxXFfYgR41bUPDvu2R/bDXLOUiOjWMFmIV1JBJWFI53XoEqbGqvR8NXwCwm9F 3n+W198K+eMNrlfhHr1cmev5vtUVX97EfcY7zzOMTDqoyp2ovgPg7gc80O5jM81bG1IYg/jN4pfz IaL8u8snVga4s/h/+3iXWPEezFERhwEV9dS9nC05q43G+9WRQzi40bfTD0GtMmgAkRPmqdh5eEMg DsvgSgUpSMfXf1rOhozzuM/pAjEHl+FauU5sWJLSitxbcZ/QUFYz/LJCx6D3qDYdCeEstOFLylXP TIUNf/SEh4meRBjXHe6r4eNqzQjkCU/eKGT/ZjktecxdZoYVKQWKOi0foRXp5A5JtnF76VC04+62 3K9quWg/ntWUgRnG/yw1u9tiVd0XNTEj9QvN8FYzYwkYK8VoX6buenLYBoey5rRZ6qpHSTVtOM5r biUMhvtjaUUklPEuOJlqJQA+TJk5Mud+v28bK/cb6LkUy9i33yaJkAEB1OTDzOp1FrEi9KcrjDtE sjx1Ljo++vTLCuJuvdooUXrqeEvNS/sN9XoapfsjUR8bEr43clnyseLoBW7m5NvE+rI5oBVgpLXC LfugaZfmDlWfnqVgzfN4xNJw+EUhPTYIFlClY3XTHN0773oIiqGmrBJVwlq9gFVjwKVneIYfIOfX ORWctMKZAruHk7HgYc2NsYh6E6M9SSuSnw9J/oZqTTlGEnfxAqW+ui2a3GJbR1hk+iqm8RgBr3lR uD5RIfHJkwV9YgT86JmBRFbb4ndNXzqsYuadWPSLOVWMgcPiuKjUdq6cLXPKB9X2rKS+m3FwU2Es epuYf6oSGrxm2ML4hOFBOd3rLvYD6+1WeFBfqXXwHppUQ1KzvCR/spgmGM8Iq/RqsiapSlP/7zJY A+wS8LUCSE4IBILDHsvHe+j9uQWRQdNk/XL2vJQ30WFJPQbSat5tBcm4A6dV0dM/qr6gNsBEaAAD jlO4/D/uPt4Qa9XLtOMEvOffHqPqJHRtn570cp31FHjt3iGI7s+pqiHqrrX6krTd/ifWR0psk28o 3ZRtRZEzDxuhqNtVWuDXpBskl+AmjzdaffXFhOKpkKwel2KNeJqeQ10aXKa9ZcmVon0ZhxzzDInv hxUPoCJnjMGS5ItqL3vPIonS6VDxouZLYlX+9owiT/H+h4vJxIuL7FIyeEGh3cITTXxPiPkNmkls mvPZuxBC+PiZZyqkCJNsJ0sLYaqNPFdnRU7b/gTlvAzd9t7EdKo33qIrtT4BUSrHQg3ZFbMbw2zg rJuCbSj+/tFTDviy5qJXbC/sHmGK3wW7M0+D5NXWJbLMmWusypLL3+cJddS/yq9okLZerzN+v1Hb rcl4DEZLwgDjGjgdwbsUSCgfPTAVnnbfWuuuxX6kwtdkVjlB8oc3OufDV1WsXMdh+BkQLeGdJKmI nZD4Mv7gLSfDsYlWLBXPeD+KEUmJ866VqCEgdUbzAILlnGgIVD6bqrmb1GmBT65R6ovhQ7dwWUif /2fy1c/FNrZRMZ1xTY8LEPnC8RFwrr571Q7ygazgH42L223P3r7MDqcyfxF3T4TVbSQyq3FaHsXh vMV2Jt3ym2oX33YyPnbfVKftdvhR9OQOGiSa2dVy9NA7AHXXPIRgihgDNKvA0DsFuof44LSsNY01 3kXHwdvtDTEDRGhgZJIrFCvXr0ENLMjP+dk9yIpf1W3TjYujjVubL6R7kZ8mePEZtupDAolXcJGj LV1LcATmmZEO23QqRav5JYkiSbfQvFwVd5YqWx/QA2aUHHQDMxP80UNN3r2nlb28ZPofoJ4S86Qs 3UZoOtr9oedw23tlqX1nu9ED2MJxZi0GQyVWIh6EK3FEN7KLAMfe4n7M6WBZ0TzBPCl2Vph7uMv2 9y8adPbE5KagtKQWERDSXXiN9MIDMfrcdi2lDzuIHUMhxpoKlzvYSeRQr80NKOE/luIWHh1uJ9lU Q5f54fvd3sp0MAOfCOTsd7F4CIGfq8s5AZUDFBdSqfD1lOnzoixpHF33DbJ6GaAcCAhCe7o8T8QP chMI78XT+R4YO5+0f/cZTeZTUe+lFxZHb9KslJUNrv0KXlqKSJGiqovYFeKGwGxovx6TM2BmLb9m 7WNE1D0xiEwYmOgaIpbdi1YheYCVEFkqdHSu1BQeyXgEkpN0y+glr//ucKHGzVPh6BNf1AqJHuHz vtwd9yhjn2VAh6tE8sE0iq/LF+uZT6ztgD/e3xXzPUK+72IqjzDT0cev2xrAgPjq/9U2ZZ1bP2Mu qLcBi1UQ6X048/cC3QqvW27EaPcwFalIYQeyyqEbp9cM+DgxdCgz0r4v2VfHyMFZs6NLNwYhJ7VU nTqvJsbV6PRA7fJdaOcI2/+FudxWX6zGS8gDN2Wz5ieIISRBguwE/Knjuxd6+/L44pRMC3snkq94 6w0JJ5O7fkPjXdhNzW2q1Om77UCa8mO3wOfjKIrF73117HyEjRAO61p7Oc8aLisOV6hdvAGR7P/L rbiirGHxKTkgfpYSy2G2KB5+7nl8nDVyNBsEsi966KnCRYedWF0UTCaQES25UWDTDIVIMJ7NY3Dt t3JGPM+CR/bKEVebD3tM0OJxkvTdp7gsC+xcEP0XfZI1eywKysx4/TnS1LvVL/vbpijMRzTPIlJB QiVNfD9tpD+QLcypAAKKjgsQn7GqI+PnshfyzPEuhApO/mj4mMMc+6gbcQQg3+Oo7tlY8JJyp6Cx SH10YYTjhNTvIBTp+vr9qyti6zwDN8D7aQxqXvyI0E7PsrafpftmLKEfNA5pcLbuRJgQi5cf8Lof qM5klibUWdO5MlSUpTvxdLdqs2NdjYqFnRyxyGVA5C2KKvEWfitZCbRGkUByQZLZZI8a/XsIskAu XmojvqGpzCWeaXWYRGF6q5ucwdgD5rpFJvxZAHuhSRWbj7Ly/Hgf8c2Rtymb5/IbgG4nD/I5JMHx 4cz/fR+qDtOe+xfG6KezQMhsGHlJ4tlm0GCiTp2vq5CoV2jsSI/j/gMNkCw9Z6aB34TttoJJnXH4 Vc4vi40OdeUoW0ZZkAxgERJAXJObKlxGiOU90xdpKSkhJ8UwDM174Mt4Uo8UQnyCj+NHc0A9DdAj tvQlDq3Xa3K4m6+DwWJaia46J06bcCnZ3LZ7S9xHFDfpwkFbI2y2Zl2KKc07vnDOjFZzF+6CALPV +yH2shGhjEyqkgI/1vUlNsVYzH2hNGKH42quhqIKWJYINZMaEOlk5rs8KHQv68yoDu7XL8uRkmvB iC6B/O4xC4K49eHhsfJx7jzsJ82B9NV6Xs/gTFA3Z/BUynHUq2t+6ggL1fadVhcqHi8e6QIgO8wh 0LWWSlMA3/2a9J4myzqOwKx6NAXrLF79qrJBoTUCM4Dsfawp9AJ+iqx29iGzLuW0Id4bGCMqwc+i WrTqPOZmoDPOQHrybtEd/fIIb/j/esO3vHdx/Lbbs7hyDFonQ5YbOpYdxkznDYLuLPrwBYQVCoPZ oyjXxTC8FN9H7Hkk11AfHMA310OswYLheOhuLW3jDJbWyWIuEb5m1rCkvlaY0enesgSiCSctAEtx ssysr+N6qL4eEEDjm2I30N0NvWYIyzbxsmHrzMNq9KGfRQdXuSRK9ZzPkcfo+nTQXsIGeQUHdKtW 4qSu9tXT3BqG94rj9iRY0YEGESyz01XPKGxvraLmnCExqzEioG11ggqnNGoXMMX5+B1nEJkHYfly zpNFRXDKJIQOFwt1RXII08gYp4wIT4wFsfP5YBEdxwXUS+BFPhnZ0tpPVNZSSuamCPDzOX6JFuRW 5ER/ULHNe7RSMQ4dreIukSTxedXtwiWoHS9bpT5itlAh+rgFR6qPK1keZGfsRBne+nIcqsWxu8H0 6AChZwjSiw61r56OfDK33N0GfNVDNL6TrGgAe2Gu5+w6ET4vr++8vBPQqCOd20Dsq3/8xtlAo0yo QvtkP3/r+A9AvhxF39LvV4pxSae8N1YlJiBNXVjFe7SGZtKJyb+tP7NurjzrmFrQxAaFHCFvPGYa 03HU77KDxZ9dZp5l3mj444xMTJSvuwxKFoUJ3TN0Gfg76qjJGUoc7d/o2T+c3nA3Jlu0Y6iiWy74 eQpGMxE4zSFURl+284eYi/KtDj473RrKUmiKTLY563tA2ovly36irFLrYcVhJCfRwetefjl3be2Z R4XOtukOVf1pmKtIed7qhzlLLVOGtDGGMrDDNBOSeKMtvD+8FaWcS40GQINmEM8ePemEqeRmzSJL yvc0u5Oo8oAI0UMCrPtC2+hp38J+GJNiLkeYybflIc5yiDVlRW1NLCn3vEJO6e+6Oz7o8MJ11yfu PBie0K6lyXu4cLfPtztZvTH6scpSreLpiqo9fLPOyRJZN8l3gV2hKTTvDeQBY+a+4ityuVcV3v9b ooRaNzX/8vfCj/J6lpIriiozRku4avBxq2dSGr59MeV5hUjGeD70fd1pDoT6PYeWlRsMQgVXLdpy v71zm/tpYgtqZEg6iEzgfy1uQyVrLMTLhgGdF7AfIJrVae1Ye3kYfzOw40bZTileK3IaCBGV3Uo6 o+9KExq1yIS0XXonbKMcZJQkEg7sgaIK0K+NjmbevcOK5qhyzzfCRPfsgI9+3yGCzTpnnvrRwJ01 8VlTAmwiS4k245MTecsv9nY1v2Z3x+sa7f3GRiyPYXVcYJLnbbopWn9iMZO/QdhtMV+4nfaWPEEW oAk1MW+P9Bz0JEo8Bdq0UzhfpqkSAcnHmMImdgO2yT34VqwKOnjNlMkmN3WYtCckgk0nk29TqPvZ Gm1DJ9IbInNXSS6uOx2QL0+onVLgGszZyCPeWq3zv5yUST/ngAwEkXPmS1EMsrlGa5Kvf6iick9Z Zz4ulYb4Llr4OKgfzX03oKVQw0gfUdMjzxAwVbtoJZPTnP+GBBZl+C4D8l0sABrSBpzf3xdC+fa2 cWEIkuqc2Bh0jmUf2x4VPwQnkn/PneDaOqdsc2Mb0dLUdvzrJnoLg7ryj2Pi27dSvQnSDZ3WaVcz /VxLhrZY9pkGp3xbyfNAFv6hgBMan7SwCR29p5U25DfXr2inym0JvxS/dv3AlNRTpAC18Zbuv2PB ggA4eHElfsyVTO8DhuyNfJoy50vziRzfccie2NHKC7L1qcWOmvJXljryj/FHuBmSS7UQznV4wsO9 Si5i/TVKqHs90vM7l+BOQGwkSZ80U/clSJIrw62LzZd5dYkqvxujgND4XPR4WCQTA7ElJAE6pLpw uxBKieblWNvjVtVi9LsFS2rfQzqQrrA0V6vimHMiCj8dz0nqdqTXly5ntWGDQ9HBDRfb1MNp+z37 m9u6oLIWr+mDY66gG9OHhC7z9SPF69ni1y0cS+RBSyttt1MMiMwqxM7YlI42no6AVTDXGN3TOZLa 00iyKqhX3WfrkFpXIcSiph2dWzXQYVrImeFqaXJaeukY7TO3SpB7cXcs/9uBPfig+biJBOMij0Gz JvrDmgIR8Df7aJBPBE8ZCe87bQOi+5E8ybkFW5XQWOhXwOy2aCjoaR+0o3efmKvyj5NN63jkSe7w 6liqh0fsr4wFZfROw3SR7v1MqRX8Yu5NyuoO0hqpBsYQBZhwTWBqWpi1k4d0lQNBsfMIXJwh5JCp H46TPSR09ByJizSfeXMz/2PT80PEfWzP7Re/qbpYhV0VC/+RZPucUHCo06zbFE0s79kk8IF52VYb wxqPjz7w5o9ZxSIPGQjC+clkvRnJ/LoHqD835uMi3dHmQjhEfbgAhIA3Qa4ib/EhAnlLz0mE4wDv wYfCK/33agqdOO2lIdw7sb7PetFYpdGZSWdF2k9+MoeNdBgVIsPSWGUQfmtbNyKgoL2Hbcz5xrsM OxWRUp5SrRBukmUaRHEY0nRHnQaTBqHHZxR/V6rFQf/CZbEUP9+dk6s3hYBxVl9t7RLJOID8oyDd TKCviGwV4hQJ1pPJi3xojgKmboW94fGPmqHL7HywCoElfxsvYmee+VjpuefTaPKQNCZWv2+jEpj9 3rb1I1X1QYElO418iPZ417z37xzh0Xw8ms39Cajgx+hGLTrLqt2wPZnjjfvYw8EXL/1ocI/c2VFv gcosV+8MOIJCqj95WaZlfrGNsWqnqReCJ9AWwcRY8SD/qqEoRt3Gk62K//hOmn5NwJq7Y/WngeuG vNqmdmzaNcC1/HuAfF3imMHh7IIiK4xU3RhBrlHcb/aBXLG68azGW+nVYVPfxp0JJNsqgfiwivtx 3KiMN2XboWevUyq4ycDc26rIhea3jK2pHSaTZVzTSqFlG8hQhxRARGSDn46c12QojqLIBbbH8gzF D2G5f0ksxfhxE1Le1Sg2ERMtFBZ45jlP7wM9KYd3LI3ouq0gJ55Fs8m9PMW6MugxBqkErkUUSAhX K6wVibcJVLNS+Rh5xi8EQRt9diFKIyGS/l+2n5zDmFRQ67GoFzfWL5fZ0pWxHobrSa2nKOazR8gU xwjhtx1J2T1Y4bfudk8L/EYoy6lS9wEB1RyhH2vFON9ZXm4M1Nqat6v/kivAftLvyatgwwUt8CHg 2rKPyXKUPqie1R4j2UlsWbknE2Vt3AGKL9QMa3iuHcBVcwMnsYQJBJ97Z0k9WZRdTXD1rXzfVrY1 ko+Cta5EeedT2xKNwhvn3lwuS33+de5s2/HZxBn+aaFCHG03udJQujY9Dhc3psKi4uQfLYiKfQKj 17jyhCoLX75zb7cjatTmtwY9OxFvE7pyCSHShyGqApt8Webrwz4Lx4OH5gBJ/gya+sspYwHxErMh WKjCeQ3ZmK+kbPf1Nf7okfQogTEo6Ks3i3csGDFZMlcRAmfGzkAUonfR6gGr39yaRUXBc2rUz9oi v/ZcgdRSkFvVTqPwLzkaqGr0Xa3y69r4qlgVbkeS2RsYNWb4vW1IJ7YPa7Ac2af2snMdXpdfBLU6 eXbKRPMsnMjSaEnFaJydnvPRsXR0gZ7X2tc3xgZo30jdfrxZ90AJQmdRC46uUdCcXGhamkWun+ww OPP/ZfHzIx0WgdwSHc4f+oSoO7GL7IDyGF9P06Wjhjuif/9aemTZazpoFrXhcLBnQRWW+LaqU4a+ +Fxd3CXgy0MRngVU69W5SDckAM9Q6V4E8VaKb6TtuWyVlqCkpfyxRdeioFCLlxbTYLrN0DLbrYgc NIN5ietpvOHYAYYSvFnWVMdhNLxL2hmD4cIYL1J65npJCeulionfVnL9wJJCa2kYdvivKVTpeQvc f0EJSOEzzH79Iq1J4xf460EmbicyoZEo9rsgTiOjPEYBSdlj90wa43E0+8CAM/Qo5lqS7BwxfIpt eO4UO7FBsaXWiuP9InsXYpoVdS1SuHtjjWKqOtt7exzGc3fJPcXUdlFZvOGhl9LFR1Kt4x2LXlbl Ug32TgBXg/+Lx8KUMY3o43YRtISwMEs+/0nTmmrJu9JdobOssJ6mOZUh8XZ6ku3xOHs0iMgFNrZz b4SVPFPD2TFiccfNlnrfF+nGk4JPGRo17syo6vrr6ixc5sfKyXB5dXfI70zVtyynI+tkDX65cyR4 Le6lIlkKMZb1hRol98qMaq9m1wi5yrgNGRVhmQmemnyN2Mn89s89BjfZ0HTfpKMmRCn4PsPAeSYY vPv9YEz7owmQVRWyO2eCV9tl1YbexmuyF/73K8OhINFCtvTiueiogAGphy+NJQovcZoJxNbuGIF5 4mhDuIet4OTlhW9qlLaqgeZK5mlh6BY7M9wqjqrD1HzcWQ/vVzcOJPkJi5d4HCwgiMr8F+YpT84+ QoWC2hyAWTKLevRWMQjByanHHt1I0sVyKmo3++KqmtaXmdh071NdPsnzr0rYmv371ybr6+XFecmY li5wieu0v8ESEYak93c1u8KthrfBDWPjxb0FxyTflZbiuRUJAZ3vp7yI8X2jSQPExC9QeDnVglQc qS4dIS1vY3sgTWAskOyrNsVX6BmUCa5t56oN8HkJ2AQyQ6LYrzdW8hHHLxWmQnt8gmtzJ9WS98o9 r0h/JvBRVX7Dms+NzJtdd18xAxfFIw/c/ThQtYFLIg15XfF7PN5LCVfCcnB6WZvXZogQGKN5jcXJ IQDMat+seV0sa0xFNMxpGdopORfZo8eawa8HZBSlUEu529gE648U62t5Di3syzA9uHd8NcVQ147V ChcjwduMFp2UdmdekpjnzatcCiTei2xD67yIWj0u7KEW+f/tztNXJfDraDgMg2U62su0MagPWiJD oAqDfJLfXxCsrPgNA/Xk/cLqfvLNBqbvfulkOd2gjfEeSCteL7bjaeXCJZIuYZwyByPgrAUTljb6 /jaLp6hlkV9fnp2mPab1zn7odXqej3dHM2HFR6fSfk6h1SvP0GxE7ciK4/Je4ZnEwScA3lM4k7QM /9uZ3kA2VzsWL0amdFkO8aGNAryz7NPdDcsG2gnUGzEasFA3DKsPzx3pZ4WwbTFm+rYCaABChVe3 dJwhjPOEuJfH3iKrEBFP3gBufx2A/KkgDok2BeF+saOBRJ9c3W8rPhfAQZ04EWnbklLntrFOkhrR oADIvc7XlIw3aeF7I0SBllpiYfO+5n2l+kM+tt7Uwqn/0czFYyFdorz3eGMXKTe26q49cwm18c+u Sn4bSpTirCaDohtrWyyGyK6w5Q16HZ1H4XZoAaHXlV0XJ3KdFu+HUQ5uek7GqMVnLMqKXz8TgMqH xzp4EYPaOHWEjyfnSoohaluTpdLqiIcMUuqzIF23N5BVIwJYQLtpMUYu4rmDZuGYm9pPGntZOk13 Kn6PE1JFMMeejIBvc7BiaNAXs2X1BX/xjU8mEaXAcC4cZMPm0xndgovvWgaUCMPkGuC1XTpzloYV 9LhvaRJuXjUNeYsnaK+6nIOaKndBPyH2HBkSOnj2ipiqSsG5wD9zcqVlgaJMUIi68eutapAJHzUp JmtHHNzu/gQgkpIzReEe7K6w9nGZ8iA8lDqdK8R5EEvmu241HvMbEE6p2aJCk/yBQSIG4b83CG1R 8NA67rII8abZYUmJxkn2RQ0AIgQxFbk8b2Ur2o0JNYNb79BWyQEAze4ej/EthmDeRs/DllLneUDc Iz3q0Awy0iymbE5/a3MIhi15ibScmHWqhVAaaQI0gHLRI4I7aGaoY18a9u/M5rQhvrJvyE+ed1Xv XMjebqFtx9yrvhQiMu7yCWQ56HCYXoVgWAWHmaJdojbg4sTFViSpmMnuhjr8TcqBRjGeWT7Vbm3B mHmmFem5lRGTUl7TeudS2kSUNW/E5TN2dgmOnQtDwSOyGLE+YRi/8HRr7kUuQFqF5YJhNEn1Pfxd l0czWqwt/dfIIT3jKv31vhPOwDh7+czQuxGJJz4vHK+t1bYkqgB1BKRdEFZ5Yd22Veiv41ZSC9vb zccqsHPleCfrLLrbawj8VZAg8WvWRkv0TSWjA7XE51nJuztwfPpXymDok3ri2hRvtmQia/AG9L+P atN53ddkQRJUGj8LvRfeKd2nOQDMnbXyh9Dzxs1dgeEubYpsUj059JIuPhmk4HrL4nCVHqmDKh1C qwkVvZAYuDVWmCY1hHmfBFXvysxNYGtTwbAQgnksjO7WJD6UxKb7LH7Snuj5ESG5Vp+9oYAUZy+Q oGre9AmD8ko8qBYEyW6WM56Ym6rq/AphE53gi3Y17Az8qvkiFnlGaGJFsH8VJHvZFJHygY3Ae+X+ xuAItIEIo61ZxekIyypL5T6xNV92q1vDSSw7FJvk6+vq244E8yLeitWmPB1hMPW9/cf2dNDNo6at UR7Q5OI6+Nlny4EYeVhfaakO+TeUHaMGMpudeTfUGySdmdASWzJIqRxPaxpAqez7K8WQ3QesaySu s+cM34wknc5GOms6kIQFsgxqOsXFoBz9c4uChfrWdtn2gZmEKE0D7wJGbxARNdvaLwveoK0zTExq UN3ZZi76rtU2HaheUyOE+O7BqCK3MRNMqegxbQSn+KkliAVTWDgsdIpZC57BEi+8Ttmld2gk7sAp rPK2yQ/tFsdIcCZXCr0tLA2ZXeHpYkZ1RyLxEVUZ9l+S4ctjlWaCt9/RWcDutRCrxyQxRewqLufl xEGjpzxDnV4ZusxwWn8R7tu/edNTWiqZWbBON1+6Xmw8ogFDXBMxmK/Bb6N3yRhP9fx8VGfO3+Zx 4xoNEVS33vvIe3AMtEGbZVbkizRcJXlHO12m0Nyt4ZQAy17lTgm/4U5KOgU+VabiHOZnB+yGXm3e i8yj7Jd+cA8ygZ3hiyQfeDpn0jME/o+yslA2mcTuqdQ4VEOUEMzWx0bqG5fdTW9/jhs0MX1XwqSX h01FKIArZ9JUnSs2T9zlaUx6tdWVTF3uxJ/SyIMyw0qkVE29SNZDGIRSZJWkug1/gcst1ehLkNVH RJifZ3muu5o6XI35iFFh3ZuNtXQqhoIVuGAQRi4V00EO/z5YOx1pea8LdT5oFppRLVZbPPBmOnGq wvAjBVjtYFsNVtpJUgYKRar/1puWje6MYapEYgp55KNFWRxa2FRPsf/Jp/ieFy7tEIc/wFYkiGR4 Mv4i2LDeGwIbuMSaEUHeBnIrD3mn2KcljdSvc/nOr9CgfsbM174Fux0oBhRc3If3OxCF0A4csyxA rqDuon0ZiX2rAMIJpDtEO+iaQCYo1sCgS9HXxEvfKWfPWPErSIuTg6fXd2uivPfI346QKyfqcoh9 IuNWX+A0KAWaqta89QSYWzBQIZFI7hVyJ15YQfE87d5Jm9aLSbK1kD1UmvLh4KFpzwZ9FGUiBnHg TnTrEpBFY9yFwTNBPRnq8JvjJ+pXpn5KEVHh7E8EcxWR8KL/k0e81ORacWpS68xInTQhd944A2le ON/qrST0cqFD9AckT2UlqY/Nr3hAgCW86sXXzCuUOyDzenhdy4nEDStn0TW+XhCuhyq32xXVXyLa h7yGxw7OpPIQeHHiOiM8fjBD4Iyff9DRErJHztwyZH1ZEOwgwQg2GLQ48W2WILD33XGSl+vXUaFB Cf5bdKEEVDVsmY4XgDlwKoCVdCxHcjfQhCdt7AFpvc3BeRNWATqnYggEJj5ttxP6ze4qxY8hIMXm Zu1k1mIdYPF5OqiKq/ULAbm6Aq36MYl4zKCl7sTIURbY+8UdqjbM+YPVocCWhM726vxE7cslUF5O qHu6Tc9v3mLDtPYjkZYHyGNgIqp6jI/Pt6T2KH950l2BASYquJsHb0mhpuBj0tQStyO8DMh52IDD JgvN76J4kNXRz0Z0FZyup8+snHfsd69ZmY7IplnVbiOETcVActoG5zta9kyWvFwQmiaEZ0GS4nSQ QfyUhO+MwHnWeJ2p5ID2yeAWUDb1fMKuCS5gyS2Tfop8/zAMt08Lo0WILTYlnE7iFrSZ6r8l1xjV Ot9hYnjBtiedea0ksfe85CBKDW2vuI8kA1lWdFOJRrBIJPorkF3uYRUPmEAYMVnoda+jsJunqfz2 KwyKJN6uXG39hRty3RMMsmetVvAlry8Uprhht1X7ud4srsz7/bbYwvOkkpLyX3sXZ5tY1vS7ditm Tm5ylMBThkHM2UteordhWJ3u7OamBEttWBgjBWTWUKKZCVzcteNFRYipEhb8F8B0uUHp4qspMyqy Wk0rp8Mc0vEQLVZJlYtY9HR1PE0+b3QZX1YfPQL7G8YDLSnYmmssDnRIC7BjAoquhBcO8acyM3lC AfOcl5m06Lq7wI1WyPEmarLLhoT7MuEGZHa/ybcCws/tezxdIVrRBkLcRVqAC6rUFovSiyDEZcje ytLsJxW5E/RSNFA0EZPmBlbcJXIaQ5ba7CjFT6C+OT90pLxxjhPyK2K6qBcVxfUIFu8VQOAG+hHb Rw5rfDfOG4NSbGxNvFfaT9+uDByzev7J5n45IV0rMUeFujTwyc+LuF9h0URxLWXS7FlIETDccl+8 3QHbuVB7hC1KTGJRK97Vju/hatIlnbPo+xBA6kZtG1B+0Mycz4wGbXjb2NiDj+xbUxMBNa8Bdunc FWHZlUHqcV8I2smgqpnG741yRyv0a6S2jomOj1VUR0H1hZ8cZYSFHnUZWAhYZ4cn4lj7RkdeV3OU C7jXudxxr560kAl7JuEVkwgnzT5miqX1A9xr0E5h0ncmMjDagVfA8juNpLAaNy1k4XyvaqcL20+I Alt8D7w+9ZzTKaU+Hu8EYmqsDb3MgTGfvEGXvFkghgIvHDbkfJNd3RjGtaSHWyZ3m6WEGzA6X0oP 5Z+A1HPa3rWUPyOYWLJTWuRBbeDIFutrIkYniN5aSxYtXPpFQV4agR78zAThefXwLWdqHPtbodtm xmWyMGz+DysJ/yBSX0XpgCucgLZkrmDYgi5tVXBWslecy0NOvxJK17vtBtzaWzlzPhTlR852+OAy JmOskTnByyrZuYDldBd9e2hPTj3rM0q4fUoJbrrmZh4MUxvYU2eqbd82tPj0fuyqx+EOCrEhRUbA pZDicI28C6vlYL6atAqUmKy3vzpf0Bh+6IC9KECQiH3WkQDyMn54wNHwnrP2rjcmSdvfKIn1KFIa yWZitnFQWgBBTsB5R6FHLSG6jKFtcx7dv742oRX0bDZksztF0wgdoUeidumZnSIR5/xfh7d/3LUT DbsA2lzO/EanwsDwwPPDmU/Z8m8XBNgBzwOsJc1ULrwRZHRh4ZFIAyZbjPX4t+L2auXG+qJAgAr7 9h67QTIGN+WPqOWMg1gfeLW02xpDrWbbQqPxfOR6Sw2VWEr8dc5IIGcQ4+ojaRijKTsEuoXPDLlV Iw3TSOVQYDM6CGr2RcN5dbFqObDiL56G54Y3dbriI+7eh5keyLYIjvLLnqdFrAjnM4yKGjrtwsVx lCCnHQuRbYDY49DTLlnDlVV3MWrBrUPTK3JJXne7AVQyQpRzJXRZtpxH9KZ7lBRs7OQxJBZJtrQH 0tY74dyMOcyL386XZzUqooEA9KIbkMXWhnKIe+rFmkT+ceQl2G+GxcxF0d0nPux8jx/iqxfh4vcM JFxXkqJYN6G2bhy3bwcASbMliaQ5LFTgthXvrS/B4nCsmIL5ljh/+9nRwTQYBxtSua4mBoWfx4GK U8r7lr+wQz+GyWKuoBRsqtaKPcXSt7fsaZ8SN43ptlg/VJGl87U/OkjnYdRVC0bH2aR244US94F9 ZD76YHUIT7cwN7q1ZsN78lju8ZLQ3XQnkuxBJmVExjHQ28KiT4egRlRs+LUAxC4Om+vUouags1uu MiDpu3DWezJRVCw9QTl3d5ZD6ZfpleUn4MZriA1dmWu5aDuuJ3LikI0diG5N6dAs5exuU1Qz+iWT TNTdxFc+CTmUr2kxSDD4jVxo3JeDPpb+G9DgWma7eyAPSxYtpSPE2QTIsK4XFUn1uV1bi33pw0op l8fN2Ut41CV3yXISEivMNJqgVKDFx48WaX0XngscgvVvTUGjIacrf2az9oqy+io+6YOcluQjpcya 4j/pcZDcvCe/lKpR21KOpew/Sjk7Ejuxb5IH0ugTi0FtBY7q5b/abF8AMSrIyOM6fy2CJrv8klZD eDdDvzVeBPBGC+Ns4xGKtNTqeV3RMuPYAcibSWZwa09nJcq3yOfbEiXDP/JPQl91PONdujR6TqPo 2yM2zcMAAWFzdb1Ok6iNyOm73+5uZTyjPxNm4TSUs3JSX4Bw/O9am9kTj3HRa8cAwwxNFe54fky3 g18PMnqSNQ+kuCXZboqR36w41m+IMICFgssh72EP3Kjci8E3vgPOYT0HIa1FrVmpWF2z23SvF46D JOq7E1TlNKf3riP+kHz2sGcnao13UzewLpp6t1lFo5cxZJeQf0iUkZExgAaqkqcpKVacAxEGFudL 7cDF8JwTuq999Dt1AninWCAix9Vsq08ywQIqV38siuaPCc21oCSIdlILj/6y5cgoN99d3DJNwKr+ ++cf9nb69Kj6sIHR+aPbm2L0huLqJEsNjnt2mM1CuKH327EwXR3E0xzU1FgDlBLwqtKDAagCcng7 H14HFoJ8zBKctNDzO9fK34piPHG4Hf10R75VhJrR9eWJfvwSKOj6uFWmluCsi4WNe17jBZirHhJU n/UnBMxYzvMp3F6/rbfl29pgnwAbU7chpR6VZkQSkO4ruCmoXEsdKRj/m8y+fyIn2/yMU7tECBRe tEjhz4KJ6FGv45snrJeYd7a6heIOAKNfjUxsC6lGicmLN80TlEc1QGa9yJqq8617J/CovanKCBiZ 4e3BPWLsXUXOqiP2PSMYOHs3v9oYAtYj7WsQT8hFSjv8GRskXf5rN4JbyaLuM5n/4KHbMow62mwL Hz14mJld+bklhKnQMjzyg/C1LBpbhrIgr0TL6ospINSd5PgSIxki7DkoiVQCJq/FVE+JLExjutvJ J5Z879KWeSIDEkMkc22xWSFMA58SOexJ34z8vErDvxDbpuLzNmnR3aSuIaPuQjlr1EFGtyt/VQ4o axWs0c9IQVc6lh+Pzkc7KJw6iA+KJodjoCfPPXmbj6KsxnGoBSpw+95iQ35Hnj179BdxkkpSneU9 qSB6ZgVdb46fm5/M4FAc3e/fZzzCooBt7jTYEPV85rdjEHaOxuh3kqbQWggx28JwCXfP5Elycaou J+unhEijpWKiDfiMN1IvpEEcsuX1kHN07LiNcr+45cW6I7QJVoHuHKLcmV1T5AlmqwP6FQbVi1l7 ngDbjB1R5Ut9zC5V7wqnpmLHvHtsGJHHd9E/SMimUM3PXgJz+4Ls90DfsjXXEWO0Gj5lsNWtHBYE 1AQHGRygLd0ttXaT785jPwQ8cC++X0vAM0CzsRqNQjKI1uGw5S7o1VVrRnWW+MnHu254Y4kYLNlJ hP3+rHzJPINF3oyCgR9rCKgePoRkDkxAc4MwJ65cwIeKXPv8F2Vbh0wwtTPR2FnnDvC0eyodwiYb puVbaWBU6dyiqdy3JHKmIadyw5jPSZL8kz4BR19lpWJMS9LHgLo/ZAmVhxY6AQVM5oiq7GucwrIT rAeJBvXjtfxyn3pJumDup0egEGkeQw5m/Bh6Mc11c2IDYPk2pzy4njGR+ncw1dra1ZJ4HrTn3Bg+ CoI9znDwyBRUKS8QC1WiB4vmhtK699yCKXDGqW4iNKKuFOaUlhAOwi8pRu3uOndJmgUxSO+ZPnXL WxikMOnMxIKKKp8/rv2thuWPZNlneOBrTNqMo2yRe3qpGbwW4D3avBYJIefFqzZA/IR/W6m1EBhf 7IJtXy/Hwd8tQBHEzbxX8AG4FueQmp+GEOYUAgJ+uOm8D6fVsU1u8qWhtjwgqODEnM0rOiSNvJyd 8PrUxHBtult9Ns5D2BwVhUsqWaXOyBIENAS8rF3df7Bfs5Q+VsMF54MJYrWTHvnuXGt6pu0vRveL nzOz0nWhvnoxdLYDscu7pxvOLzyLTz4UoR77qoi97lQvkrAMHlH0JrrXS9lPeu7Sv+eINsQqnxu5 m4T6EvlJdGDViyZD+gkPzNdKLCidIjXpjOnmLAh937jNmI8fi7aG7nNhwbb8QjcApHHpUDzBHhqb hppndrK3KodydI1MpqmN5zsd6Rgv8ClDHOnUD+OKGl+PofLa2kFQOZoSnVCGpi/i2HYMJ6sIrzjj IQwamRKIOC4fBJQW5ZavT+QUCzNjgvcJTKjsd72QMRlhcsLBZ1s8od7Hd5Gf8JznMAHzVD0IhJs7 UjtKPqRDO3MABrYD71XO8XIMQMpqM5vT9bXhChl4Sz5p8TdY1V+kA6AWYH0ucYBYNGXPXkdjbVrc axveEv9grkO/soAMVec6hee3O/SGb8QJkxQZEoaZ/pmvYtkjC2q/8qXX2Ah13GhpS+eLQNNrjRkp Ipa/a6NVfl1aO+ajk922c0kfj8CJJ0Fm3PJKmRQyfDRk8ETxRtuUOqjXy7ZIHIIwekZMt9HLETNo up6WbNgfFlsVW/QSvPVofEktXLMHON8LjPESodN+c7Gbo5vKFoHgaV2HBT8NbkVhGHnzl97Ipp1/ 39+Rah02kiSL1cqfaKBDOxQFOUqgI1yGCf4sky9aa8yuy21e9hFZ6aH1ultDAdG/6P4fpTdolx3o 7Bh9+aje1Knh7a86eCziB7Jv/33Xa0zBFne/BTMIiP9x9utvxshrOgShphekR5Z1OYAV7bhT/Qt4 XznspGdvY/+IwyX4et71MJzuyE5BoSXMEkr+xDXWBo50V22pdkOUfY993Wcro42nDFVQLfcIoUx6 XTWkOu4LoqW9vO2h8TaVMtuY1qDd8BhoPjiZzjkP1Pmo1gnI8WB3MoWYfI85zTbveCDA5dLd2/s9 O428B3X0BQDmMvcFS4pQMi6b7VkJZJOCnzm+BullPgOd4LA+wLH/F8XNdRRSCchypERi+YWDMOus T2+168g+pdt00DRC7pHO4UHN/v9i6pjQ2WmcmjlIHGkeJ85MRubYe1bYNLyhOjXR3hE5c3GLWaAI fWL7wg2w8aTNhHb9Rs1LJ5nZs4XWbL6tZh3wnk4FJLFuXq8Ev1+eVppLjEmIzkH5EUJrO9rm690n BhRmYz5FyNIkTuc4aNBoMRV7AtklLEL1UsBliarYgGKmdBj2uzSaAKjYsCORyV50vhSz6ueGpy5C NX3bXaJX/gDRHruSQVQ1mRLzg33fN1/cfFrFzTykeuGy8MnMfI05C2SQmlkXNuHIDW1o8mipHBuz fR7mBFAIKPYWyjBtortg9Cv4BqGfxqOAtNd7qbgS23MNvvnrLNPEVatRUanuvK/8myJjT/YrrN8L LsSbFKbn1C1wm/nU10K6i4v0vUfQ5jugcPROcaINHQHgs35JvlADgGDw3+vOemEhNejexKaaKJgR zZGYOjod3pNjHu6FsAPL+JNcUjgQ48m8VT1yvzjw0/zV80W51SHbFR3IgsIooNDgj2phpvxbzmWP Vrm+oLe9nYaqVtIPo9HY70AlI2APQv8lTmxGH2EKVAGBNsf+j+joRfDz4NiniPVsC1xY3PnU12M/ mENdVshnGOAxPJFJT7WL5XLwFiuBGF0YlcAV7+Kww0K3N8ZlpfWSO0F6ccMhBMDdjUPSR7ERYV+m GFhXQuQKLqgrSQhGPeB87cP/GkRjJAh+OZnCDpbaxJquN/CrIYCmPlp4OKyXwpXyB8uh5vwgH08A 6y4ikFdTJKBj8Z8zNaeh6FHV9Y0Zv5SU3MNoirBP54c+6G4rvlk7M0u+565DCeDgj8CSPm1MBVGa 2Hk0uxmGN2q8ApJujNwcu2hf+qgMKJZKv7DqM2plxU/B7JZf+/OaRWntOtQPmmrJm6mvcQkvYvpo ixKLooi9KUUGc6qP6q4ljVPitf6h33s+FLq+SvJb+XISxrWRVWHyFvbc52zpHn4x32gDqzE290Of YDWJH4wIsBJRGZ8g5d9Eq4Qvmjwk4Aavgfxog4xKRJjd1YhxRFL0Qfxu58qqzDitFaT0GPkNqCxo BkU6Gs4gARhOHSaGfHscFsgi4yR9Bg5T+2As7abVh04hs4CBisfMkviuyeETFLC8o/xVzPsEKoZH cRMM38Su6kXdWZ6QaCvtWnMvxfxPrb4yWRRgpGNbNs7jkWdy8O6bYQsZpDVtjL7jEyCW8yZD5VDd 3ZhIIBvY6snhqwTfHbCGwSy5aQqZrG7Y8HO4m1zwFaDquTMNlm8FPDHvyv7k6trmygD78VMVFnBP PSki8aiPoI9lg6OHzKLzal5/rpU6250WaKQEIg9X+1NKXnGn/2Pmti7eLvnOMUZaZ88qxa/iFm+7 89Bl1GUgwbiOVm+a2QD7/nCNN5GdltKsyFVgG2EBPsL2uLowkWBVZx9borwtJAV4/0+/4zChxTYy b7tCwmu0A1u0LaB/S8B/EA9kVUzsOOWyxdDiNMHRM3JtcA+Pbw4pJM7pGLBgJPlAZIqt4uboCO2+ wgVmb5+RTNfFd+FR09h4fmRD+WHiFUQcHwnaUHKQu/7z1wuc9bQmpRurfHMIHtr56plHuVPuq3tc TAhRC9XWad61FbJnbO8Y2WlcZoRYhT2/uB7sGiHzGZRBoaDChyoJHBynO6Agsy85HTQq28St3xRh AVT4twajiGGTV9cDSzlFt4kp+Q0e9w2cJr4Ol6OqqtPLG23/8JG9M7FcNarN4lLDc3WglkAcl9cL kSbplCqN5H3MnvV8nacVlOpupp8/VQTct4bvxY8aAQWdiDZ7CNIGEmsJ4BvoD4gqevV2QWSeUXET 1Q25Ikk/MgATC0DpSxdTSoTp6xXNge+yIu3n+g71un/acWtF9x42juoXjlYDIxhkRT7tNA0Al6Fh 7Q4EkfehQ4bVGYKrOXC6lZGeTi2I9LLU1ynv0pfb/hRHOYJaGrSe9ZszarBsAJoM8KgJgEOCHPT5 w0uavtuM2oBbSy2a917H15YHnEHGp8lFu7sY6IDs4EA9ru/ehEnxY4d1klNoSW75lOqzLXFwg5Gm Vu8SMgB1uSVTxmC3T3zh/F+LPa3QbK5G2OSZmOm1jFuzQBhXPvulD7CP7GYNbfa2l4TmpKrq0ek3 dAH1pbECDOe55Eoc1Hf8A/ZGLcKlJcghQct13Vg7uJj+bnggTrLF4+NH+z/pQjRcZyK1RQGB46lY utCbdK+0IenaGzXz8+omrHhFWz1aoE70l+k4FytCqtkYsbMKDPYh18SlIwPfVjG3DfBD+SRVeIuC yjLKvrHRi+a/0MKV2P1vqVzneoKdwQ1eZhhehyY2gt7ldKPXBNP1p3OoZ9mVWgs/N2ywcR9tsJC2 iLPDzGqD9hbZo9pnPR7yEYKF+6rCb19CZYE+Wl1br7JALtByQYISOHf4ruQwmLKa3OdmND0yLwKF Qm5MkEzQqw4KqyPtQjcm6JP4jIiJnMVX6FT5msH0VEa2i6uboigFI3LxVL4h9wD1S6hyVMJdVHZl 5i+7SNmLgaowTwSZbQYHr894l64DZ/lPcx1g1I2IsggAOnFWu/U5dWg/9NU25O+p0kPu9cXOhtsI wRJMTFV0e5pknTdvLpGuSzj4ej5e+XJ+rqF6VlfyWFMk87BuauZe9Txdo6XUiy/gv3QfWaluli9c oVr6ycXOcLowqYxb+HOuzAsT+EQaaiqLXS5W6Uk+A8F0GmNiv1UH8q5gI72KZuOoTGqe950odVHL UYWlPtsRlbXePEmQ81d0c9lhW9VWUdDr1t6UKizfzyKX+dZghQPoI0aMSD3vgPSAmCjpI8RoNvSL jxN15B5fh+X6QDNNhXOvzJuB/jPDL0j6yV60YXpicutLEYaaX/VpvQ2ARZT/1z2xeP7OM8n8uhjh 6Yd3VKZUXfkTCa7g5GWVhTpGtHQzoiF7q+VRQ99M1f9CA6QyW6dh7quNe+A1MlVB/sTKyLXpEVJ9 xEZjvc5WgBUQR8gAm5ecuo3zOLOGJvvR+w9A0rNxu79mJT7oa9ewzvnQpCwBKXwEbx8LfzycKvBe jotTfxSCxcQN4SIOp3j8SoZLvtqeJxlgjz2ZP63ntKUPFya0YIrWIc4WFbaesfbtdAyY0BjjGzKD eSAXBSgBeaRZDniFKchzx2Dq7kNUhhUXqLfvIc5NLogOSuSTp/yBNa1gMDglb8DWXCGhJAgThbVK 48q1H74KzkazvvYppcKbw06YNRA4+2ejZc92Zk9KPydsV0NalrXxN0u5HnlzgyTvKoWjGNRpZN6R dChocn8D98nuRUIEucBWOQ2S11Ztpos4LBR2MXps2coV7Uy+qslUXe4FkzcjXHGbEI3d3I1oP7f6 YdmDDpOj9WadGVFU/1eEwd0RrZKMsstit+HrjccGz0SMrUiwbXHmUbGj0ro6HTMWwtdQ9gaetC8Q CzDrAwQdLc/+xgLKZtgkCcBOwr64wh/wRkS3eoI391z14PhDamXucPM2LbxYeWn7nNDfwS0svJ6I 6i9J1YiJGBYvhcOCfgsGHMFkpq5ZDq6z+yRGrD0SkUuy2v7zj3VCKnnNpkTLeHg8ibSj2p2FCasF kgTrZr74TiBtvR905RQgtTYEVGcvbzhCHJHjkEKDBvXQZ870uWsk9WdCIh9EKfJzyoM31xfaAIiJ kP2nL5s+Fnm2YLnrh5o1gL9EkcVE4uWWBtcWTUvjV6cY+veYt1AxHNitkQdlRv46AOhJzOGk9z2k C99nGeRMLs+vwFXTVu66HAsaDhv2iw/+WsS00aU85gEYi39aP+LVm2Z2wh+LN1bGxawbxATA8uXO dLDxZSMq6IPHGnsdSHjRiirkvTCuBtsdaR0En3KqFDwKZQhCpESEPBSALuvjluNWBhsMTWmhCwT1 ECQOTIJpWPEpl58N/HG/mEArRzytKfoQV24jbHjyUy/IfOqOv1p8W8vKWxyP5NKKNKfVGCZ0t0B/ K54pBVC8XDTWGZuSek6LkOdy3eP4qtFnsjVoL2X0WXST4PPXBoGHvqLNjxoo9PRK4gKEaHc/3PrG f+dizniJyaS6fv9DLuXB/lOwFz2F35HahnMHAb2dmcoMqnmYrrRoqgYT/uUdsQFVSFA1e69auMXJ WxelvhpZIcpapVo0HTc8slSxzwC1LgzXE4KNjvTnYAHN61QfhbP9THjfnlYVZSiefiXKU3qgVqJ+ OjGGw4XZqGM/Y6vVgSZiC4NKQS5de6fhuneA9u6vlUGcefv88NjvIcS7JEszfJjPcvjwOonzKzaO KDnOe/NdSkNIjVHsD9yHWTRkMB0mdmJ4sHbPnybGkrk5HIXujFUUmiYbSsYyNI1R8f2E4cB6xB5G aqj+97gD75BWVhJu/gQOS24d/B4U7SJlF7WXuVpfpeUerxr2njZ7itMEub7HN/VOEUQv4WjweAeX A38MBG+hsvyHq5UAF8HebL59q0wLiBZMSopMAGG65NJfzFpEngPqLhm4cXXCozJd0Y6qAcIXe/5u hkuBlvhjWeynHiBK5s4GVxv6GvdtY4qd2IcS9YPD0puDojFjS6/yXK8yvb7cuPEdWOK30/Ua1nq0 xLqYQzRsInb4pXWfBvx+bnONvruS8yiGmKzVx/Oa+OGi5wUgXiAxur48x2qPja/WjdP6zAAwh6Pn 6IKS+h9+6ONn0RGNsw8GlsDIy2kEHlYliCKecvu3ydFF6DIURRYhS817miRIF34ZD/2QxNPllfyf ltAah46GMotoXFr4n6B5sJhusXKUR31NJuNK0ebMOce2U5z3/OzlIASwVRg+t6Aj4P/9x1AemtoR Lt2l0X645/MKV3W4Kv5dxl8txWMf7sfJKA17Z1isPr84KGupsXP9VYxc1DfqPZvjbgVd9ShtAONj Jk6jwbtlEeuW+gr3DwsEJ0RRpW7J/wLAN2wwdVrXOP3xmicrgcrtcehAQa8/v76xTtzblLuJIxCt 5BCYwVxhFn2vWuf9QV/r8ouH3iq0a6buXjW+qGSyuoVLU9Nphgf47QFl21kasGovA5UjM6xGqnvl HQdE86ba26ceWKigZs9tTzIt0bIuhERU+LQst30SfSs4KchGHyP0cIiYmRwOAWINOxnkieqAkVJH Zt7kOcNuSfdWWVLW+VLK+BWKN3p0Q8hLlNDYjZTRv2M0k8K8DD4sNlSmmE8cgJZ2bWDD4/W/22EF zJioV2ljnDPxM+AqzgqCtReRnBjvUJBKh7yHZ0LHDtTiR7KYu5Yw9majfDpLndZtvFGWVXhdJ4UW uT5QPe/AVkKiqzG+lO3eyxbC7zEHhJU3DIsKspY2H1uu5MdG8in8fvK/VAOwq5rEOJheCUy/ppEU LITfdF8bkNivTXtYSprCOWdRq0ZYixDMHYN0damL+pllPDl1s4DujfZVdp5amUE8MYPBJRbZTF2L nPHAl8elYiYap0/B5dNEVc/m0oMRL31vc4heYUKOPGsnslsdqPd+sQb+QdFc0L9wr9gxe5FweWol Fp+4scOeW0ui9s8m67an0QbI0dFr/hf9a8IEQ3fYkCpeea0zynwETVhxcGHeUv6KpKVr+Cn4mny+ kXOVI8Tot5GgPdT3OiACFExf6H5N3LwVFgc5uSA3/ER2+nM0Kx/hJa2KG0OZD3Gs+alTcTge9/sT RQZ9xbFx0DVcMtKM34BZkog8X6vvbSXRFYtTQj37jfhO/LjFr2ZlFbetK9djvPGMuHqAwcayZ9V/ GznobLcv7Xhj30DaIOyBdY9PT/DwjGL4Js9x1wTHxxMp8lyHpwSiz5kCD3BbqdlAXrs/GysugJM/ XILDmkYIoKCzbJ9BtuvIEla/Q4+eUDHVE0ghKBtk0KgOcObBTPEOIY+AJKCWUz/uHhjPt1Cmnk4Q dYkL1Fx7h6Osru96cY1P1aVi4oIUkBbxNGUdGfIrs1yi+Uh9PHydGCwiBmOawhfVFwE3uyZfWKh3 LK3RcZs9QUqtjuxbZwA3Rk9OzY2Z73bl9+rSoothYZC/FUN/T7kNeHNZ5rJ8AgZVuYsY4Zf1fiyO MCMlcSyDVvpR3/LSsGuV3P6QXI47Yyv3PRg8vPdW74kSDc62Q6fyCXBb6lRBIl5YxyZ7KigTjEwr R5Q077H7w89En8sN2I7nk9jPs8HMCkvIgpFKBnYmCKAFxj3DLolygr8grTUq36BU113xpPDaEnUK CalqsFhPLLq7NQQ+WtDYTY5XdxzPTvacz9N4cfsA47L5KKRNlmjn3YjphzQPGoJKrMFQdiYN3bBE 6308A7SYtdml1UOOQm5iWboDuusvE1g6KMJ+gCR4maZJHosJYAQfaHAj0pbAhsPP6RHBoP9ynHLo SDKGYxuh8PCztbQUqFolGIJgpAD+Q+6pFZSnO3XQCt2WZsZaSL6VXY4HaEpBMomI7JYFX6tvErOr Li2tOKYtsu6p+y4oJMkVWGBxatgfLC7BBOZLFYF8+imTzaigeVoZ56PSPmwGtytsW9oNWL1lmbQB Vz/i4t0qW2cnIHZvqYGGFdtwYMxCKdzdFYcapIpfkwBGKzBtWlcRGsgFQkWTfi1gtiWObfIj37tM bRoCKfqxcMpMxKhuZP1cjakcp0v8b/LZqqBOMdzPprjKd81EEoY8uXYI/U3EeUqRNN3Qx7aTrjCc Ai0/MRi/6YKMinJbEm4dimYzQKQnfKlWg4GhrQGYUHe3C4AyePK/6qgB2uq+mGQCOJKlHMnccEWy 5oEOhrbSUWd0WPBelIiI5P2WHE1HLB3Z/6rUWwEd2wRi7qKHBPxpkX7NEgAZv6QYcdNrsHBaQhiL ww3Lua3U/YiXiv96A7QjwlQocAMyU8IZdbpUWckIgTA+Qx3e4+Fb042aUd+z0KHa4DvcVR0Yn07Q SjMommMFdGpfipDGLH0HH/gLAUsS8Fo/aV2sb5rYDQ0LqbiPGUAvjz+yNC6pfbFgkzHcYiMIX/IC eyXMYFMrUuFOz4bIPaFazgPtfkNEKhlBYwYJk0vrSCT95h9gvS7BAUHOwVKQANwwWnDKW3NOzIpN FUw2s5He3ATp976tbkjmulw6W2FtYIQ+kJjg6eZm4bp1O/FeeE8BHxmtcycat+v+9HKJhUtJiapn gOazbT/cSC9Mo4gl4ef7G4zVMcuXVQ7qq192OQTBZJErclSXjM7Wt7Vow/kei3Kgtuo8cdaMSMUW k5bPHN01XNQlHcLSG5qzTl09sUP0+k+NvY752oOMRtTgx2u57ogHvLUAr7uOPCVrh7HDa+7o6N4C HcL7RLIj7nuORkIa9ZYW/9hlPoMgn46BSqKYDvqBiHZGernYChe+bZd+Y7VZP1yD3Mc3oVEM5u9g kaxnOQzpety/r58UASAkDb7danO2OWWxOp15UXE1vpz9wcsWHhHjjAxm7X3B0rVblfdEAahwkS3f M41EmgwaIPsNXfyx2ft8PRzR9101aKf3bpcmgraFnt8ru6AtGREAJ0zrG4wwga+MtUHQrp0jA9KO z52cW3KyUqyATL57QM2zdDDrXkyIbfeYrLoTmx2n98mSShTlHzhtZ4kH8hntG5808hx1YJH8o5UZ K+3NrCQ46LHxLqgMHIkL+j1ca25YmhZbmpOTvlIpEDCzYczT2f7avXUi+e5zr2jaESgsLBL8h+/h AHslF+D5XdWcP25OHvOhPjEgTEU/pUlFhw8tZ56kkcJcG+PhkdYL8vxnhrHSC66pMg/RC5Vrf6d9 RT/GVXysOPr7bTi0gfvUuBKK41bdpXRx7uAw+nMYKMbSbLVTOXV1n2xhf7o/JTxt66OqMtZfEvfH XY8L2TvncvxdFQ0ZD4ReIcSsU57NV746HCD0eieSSEWUchMmHQp1vy6t/wT14gDDOtYx4110Ui4k hRZcD9cmQx2UN60I7xfEjx/ojPDgKcOp2b3drjbALtvDlWHdqLGOUGwVwvTdvDZ5hF18H1jbgyxy QCsHd/R7L7TwOwyx10Wtz9pVFQJYn+DS4hIk0VkGMkzmJ4E3pdtDRYQeQj/CrJwS2P/e4c0bknOR w8Us5eLhzzUM3XEY8HRZfEaGzNMoWOyvL6xUqXawi6appY8kcBscue5eYD0QWSMX3xHC18aS+6G2 qHeZCw0aao7GRKH8KwiWwxAAQxeQBFQ1/Wu/JrrJx4PK1Q7Cpv4MRnasZSxouuVrpWSLY0I2YzWm y12890b80BiB1JzSqIqccHEIeWj5+zeYGAHJdPZD1V8O9zFHagoDD6B7wl5JCoDUbs5TQOls1wDy tkshkBMKxIPR+79hGd03aeCKBoUEvWm/ec5oAQtd9YhaiALFPUK8QMLPxUIi6vpn5T1IDo7FGYny fb8Z0SoeVa8wUmnXsA63OG86XXdBX0DGxB6rC//d7jsxtPAKB7fFN2M6pwWC4mr7vgKXoNqdKQzK aR1PLEbbuCPGmmlN+1/pFlnftJsFNk+muLRwpmYBHxjbixt+YB9ur/pfI47sM8SqLVGtCsREIGc3 9b0bnYcM+V5bnRWUqwW3do6dsYG2BuvPhHypnnSxvqJ/K/udQub5fy9E7Uw3e+36m/N4HQLk1lP3 ZsS4rPhsthKF2FCtdsnJyX4aah20d4DT5wGW0T6FxnjUKTo19BUrDJr931jCc6rLjCW/FIE0lkrT JMBt7yMWY0NdsJvObwcYe+pe+007LLHm4pE2Gf4hNaW+kqoPAYynpGzicXYtVIWhv2m207pKYzUm I9eSzmUCFjyQa7yqwVLB353YzNFIDBJYRmhH6LBiQUkoj4viQXB9YW3+EaxqAEli1vwg8JJYtRun jtQVW5OeusdL8WR+1uhI0zQ9SIvjrSSqGNWEd1QRZ21ZnbBY7m1aBFZkFmMzB4yCvzqiLfxU2psG It+bUS/l+jCVT8h6udhIlJNoayVQB0n7vsTIP558EGrQsmFwtSjs/WIs/dinPJwTvhcGbEcKTt10 aigChx8ehLVs88KsKaAOJerAre/3I77hlWLaWUWQLrlbqU5beusR3XjB8iwDcABgqNiFoJrvsN93 9Us8mqbNJM5fZG4BkjrlNO4op6nyMHMgUBOpADf6Sdiv8hOR1O617ZdmYrKuRgjiJjipX9Wds3r2 4LqqHYfsKM2EQEsQpdIIuBp9PYFGcCdVL39ZA+vY4Csu+KxJXcPNe3RoETpOmWqsiMEEJtnhmicI E28T+5afSOOkkIEcaOXY167wV9LNg9u/NS0wYJqMb/E8lakvyy4NswamvVsM2lO9sSZIbPfdq74E C3Co5PO83lGVtiVp0bCKSb1MQXXdkMUPUR7AxKR8E5+d7Jy1xTd0bxwYui+XCxsyh24n1g0cYlUD lij+52DlRfSV1r9IM+eLy+J7/TWjFYLh3eVRZVUByQFUb+O5hYA5wwG7p//lRdsaHqL7+SLRiNgk evxyiTiELv1jEGOAoiIIfmrvEYPP9ZRB3tclnbcLrl8cCL61opO0DuIU8+c5gD6mK77eMLb7RLvh o7jwoQPSYI9nwj/8OBX7dhMcJjcj4XNsKUGnbhbcijKhgaYp9adi9EtPdYup3FEx0oSQa7FMIyRq 3/OYS3WP8yM0WBjEAuim2hzIwPSo0ydT7Q8ecfGbjFBoCs7fNh2bpHaG4PK0uBcyyrZbi0IaEaZa HoWIC3n+B5CPv4Xu5vgDTsD6QEH9Ai23hmb1Xt8G+tIIEsz5toJou6hznhzpJ/oDWv/jZV2ywo17 mYybtgOq3nZ2V/d87fm8/6oJ/rOtSyNcb+dAqJ1JaMKqUlxlVGtmNiuD+M91HzaHbcoG31mJrBrV ifuh9eiK7jM3it5qrvtRFNiLCLnTf56/8rwZ3ekBJhHvnlTvCqeCaUlKm2X8hywNbmKvlx1Pzgxv +jFU0JnPZDUMCvfqGHJr0ENo5qqeRPhERN0aavWxfXId1+2HvEmXTGjrx4f+itB2AVwovA5VebZQ hLmQRjM+iRsaWfO8krsNWShldJkE6WS9TP6hJMR2tLruLasaEl05zjwy93JOfwZSk6ApA64Ifi4g pIFnKSjDu82YFmMKZCUSGyd7hSjnfO3cNo6YMd5MmNRR1VrwQAps4CVz3zF4n/E3AOXR/kjKYwQa faJqLjJV00b1qCVUWixIlhq/03O+NWXDgyAUhMwRO15gPskh0MbqVqJnx8cWvIVTE5jOnMMLyvQd BjkpR2Xu/6O/hWFlcK4hpj/5aYLrqRYrYwxGXlE164yvzw2su881BwCfWvp3HvVRk9a8+Og/OPA6 L8L8zH7Dl2gYd+1QVmW6fHMk0O9HlnoIYSvU8mcf0r/kr+53IE5XHd1ws2oGmcMkmx10rCGQK2Dp yDe39ul+uUJdz7f+W3OVnz9BEA4KkOMz/X/ANwJ0tzy8Dj5utRkBsuXBHh5NSJB/qjEpmTbMMAb3 vtr/oj1QNr62M2Pr9CLq18qEZj3n+ont/E1FIuXLA3KQ+dcrnrNdibqF2izLQTAu5gq1nqLB9A0c J0loJW2/svGruBWj8rb18c4vJXo0kKOlnDFS8ah5/3F/Ej981U4Ykr/2AKtLMznbStNN1qib6+A4 dOTAdLCiGIxm1EGf82uwPyoLmVZR5R+eSU+FNyCSpcn0NY5wP2YgHy+tEIucTUXGZmh1sM5CRneB 2IbGNlWu2Ql3ZvxoVz4oF3/YFCPlsYrUtUn2kQ4PyFpa32Vn0/NZr4a8b8dEDfHuY1kKtUincMip 3TyPNwmeVTcLYMjx206lr6GjW/zFJPkfdCep8UKcOuz0PPqqtuTDY3eOQMEcNjVIBgUf0sBVGGNv ImOgWVI/N5SsJ0/Ao0/TabSBcKDbS1TEY9+W/fZBPJcr44XCrSm4Uedt4lX1e4AIzD8o0rqMarQe P+hYcP/7zXTIJeUwqIlsABu4Tnf8aIANu2hJMM4XgvngWo7PAerUnOpzQFcdMAL9BC/DJ7cUlszU Zq7nd0r/N0/3ziC7ixRlW7kc5ENgb9Eb9raz1MQx+wkuDxhDVzCHW3KTzlSlXA/cfDGwrrHAgOr8 CBIfGCWPLquMqLPiSCrFogcDHmALaW22ym22UkpNMx9GqZRswmnG0WcpoQDx7rw0TCjKmpnZbsb4 1NpFNbQy0juu3l9ng3krZ+64lfsgW4Oparupc/2kBWeUlTejgoqzq1n84wvkU9hx9/SM+NGcX4bv 9/EwK0vnqSZzj3+8Dk4IemV93MhvjsSYbJcfOMHrGbzhRyFtUs+oPTUbrbkTNHA9G76Tfsgl5bZn 74ylaj9kZqIJ8o+c06zRYqWgWDakSfR6F8StjPd4gXGwx/Cud/CjebpU7lLWy2Oxp7ZnL34dHdej T/hvminIjLnGYz6vCmsIJVPyHbMkuBLr/J/hn+5ooA0Dyd6c30AZ/GzCAEk4IL9hzHaroI/fxeVq c2pgzx5WlkN2dnA4N/uMxngnYsVWNe5LtdMYmFeQpVcCSs301422+fSplcSlQ2prpZiCd+zhO4I0 KYCjgsohjM/U4Ru2RJx0g+lc/u0L5uMK2kDEIU8vDrz0V5G8n0MD01vJSkYtF6XXYIYgLYtFYXyW 1nzn4SY6n12DOR4zzFYR6pNs7KyRxB1asIV7VUjqrJj5I+JpUhDyRlTBLbk61E+xaMEX9aKaRZDd 4rxlnFQtGHStFC4XQjEDAapxXNLTfpv1kEpJ7q7i7awUPZA1+FY7Sd3TFjIxFOo64MzIc5b1146y DKlK2rWN8+UtkscEro1xI8uFr7VgcsECgkocMqXbLyo43r1k/m4Rfnw9/X4SXuKWF8nsKU8kntYb vRApawmR2Agg5s77EkUXiCz5DDJTm5rbxvHgkPz+oM+AjZg95UCctxsOk3jdH41b1Cbo+NXTQeq5 9Bgy5A1W6yZUEP1D8pWysvpBXjr7uC7OgAfrAbsSrlDWPKY7WxdXJoxudpyAvQi7eV1v0kSZ2CaC kwKejG7zGEpXRsJAfVv7t2/cGnHJnZvJNXEhyaZZepplb0jzCbcuX29kd8K1zwpIoJtirmybRjR4 vT+7WTLYPGRH9iFoOylazvCNXGV00qXpUQl6RdKFYFQGVx93BFktpJGLd3k3BuwbgefX6jQsBGWk NHJ6tnJPlXwZ0PgwYz9eVWmh5vbL4H4Lqyb3EzNEjFgHBWcFHmn3lwV23cJkkXOBh1P8dA3+psqL RHsz9eaFvI3IQ1Mb++a5ur0hUuep1o8NELoOWdz6jynIRWZxgRYV9ngnWc4X6xM31qs0rxfo+bzD PUhKv/sT3wX50je7YHNeHyqbQ5mMAzCCTs6Bx8ce3yB9/m+s61tR+9vRf3OmAQf/9OZFD0vxqHli TgF/ihjm++A/679SJvwHt44N2Q9W1Q+oMIilADGfQM6bDtnTJAI74GTLIC/bVOyZSoxdT8mN8kfn LNTECwNIqqfYpeJuZXvrSwiV5jwmBbcWc+sf8ixA7KdzMV5C85hxD9xjg+7xOiFXWt0Q4z14mugO 70SEd+UsQv/fybqgg4YZRrbVUWqpkAd6JhqWhnK7oXqhg1tjwqqWqnO4T9hdGFNchk3BdkiaMOOW tz11clXzMxNqyxCVi8reXCu/spHbHi8MoarYRZn7qUWDAup43LFSucjKeAjOHZgBdnyN1dS3vnIu +JnLmtDGbHYlT+TH4JDsnKMyUqxGTwqimmy/qGewglc4NRW48S6ljp5wyNOQZKqWSokP0nLiaK7u eYUS9fVqKLuCnnxZ2ViR8WaEa0uqu+n+tS3UyHY9U8IfN6Z0qIneJHpH5eK6tOl0LRYYFhAhYAJz fbRQMn+UFEI5vX9s0OsfR6oOrvu1KfzqAuXy2rdDbQR5eWCWkScONpXrxGmvb+2tPsbuMecaBfd3 lUFyEt6tEA0g4kDQ0JQjyM/c/s50oZMuimXq7RMzmOWEBkF/ZNK9lpHSKp++RZDETb8zWgSxVRpa tmaj4hY9WmbBR1HMs3TgT427coR+BR4bCEt5OaMn13mcwcAKxGUfOPuLlyKKrQMdswodP0ukrA3U pEjfjVrepl3r/NK8Jjih1sLDy3U7qg/Ae/FpDixEueIoEy/7oVziIVx6MH2Pv8p2L7+2o/8wGi9C DDIWMFgMat2KOHfWddlAiMmHH6RRMi2GnWukwun6c/NoIYT9+WKhGJfqnuD/5F1YloCPKqL/29Xd +BckZ16lnFqAPvD6nBNurq/CQn6X5/UqhKPc9jxNNJ2QB0KHP/Zp7dkk4xxuPpriHG8Z8Xi51OwZ Lvjb7KH/FOddGraFtCnTK95Nywxc7wZ0Uyc4lAfFkWvuQOkqnFin7ZO4q6BY/IdhYrAh75SOrHDl 20tIvlNd8I2xuQQqzwtgC+sLDUuVOh3+kIhjBS5b9S37KVj5M3rWTrtzp+umW240aHkzQpgBgD2L N/M/JVTv1djmu6uIr4REH+eWkFSUpwi3lwMxjmMy9rr2Dwsy10A96HDppBOKwlD1Uceefv7189Vr ffQPxnyDJXrA+PrpGDamWElhqYnKe8GId4DT2IAp2C16jfVQPRFnv99EztaK3WR4HtoFMEVEL7wD hyOdQSeHPgBdsQrSZGOj1mb346SaU25AAxHKBI5EWIy4isFFo7o2yMGmEE9vp2jIOADI+jFity0w 6K3cnYeV8MuYJhsFt0Cxx1Xe8TorJZ527ul+d7RgyidOZeER15o4XrXghCxe0k/3u9iQCkiJbG0d jKRaFBkc5FWChtVUXCCHZwV7iSXPmoSmFXV9sA5OE014//tE1+Ha0xn2ABkoHrDd/iO9gVc60z2H pB2ok1x4Pbp62F2Lwz7HE9B1dZi1QS96BsyieYKOHf1nJ3iKCdv8TytWg45MYgXbMySAIDOtLDIP HJJl3NfqvajyxqHhK289mlJkzDkfc0spn4aM2hXIpcKXG+IXAv3Cn++DxF3sqMXbPUQM+mh5Nm1O rvjGInW4kqRSXhSVYtYETNaHWg6sFCGnZ/pt7qNCVR2UnSBDkePxjqMVEVPModaVtrlzOy7DxepQ dmko6yWugRo95nqnpMglgXzLcAm2DiLhbIwf7/IZCWz/gIYwMAo7sUtZJ6vR6CQsk1OfJAfNMxav CobSl+YEOaiYdG5fnDaOW+DRV4TLiWxQUj5JwqkuQKBP5a6GB49zWattEUen/0uy53c6pfhpy74B Bt7EPaeH257KqtJaXGgx5OqN8zb89Z404s7T2R+HObbzHnJbWTvLz/H/CdFaZW7lxptHpAYoMqqH XO/MwV1oihJzMkOoMKPuzF6/aKs3d2tplFvnol4WF/32StGbSUkoOLXaNz4odYzxraUSgjA+vzUs Z8VHXFjbnRk9U8RAufAFVEymZ/ldLN2p4MPMfmlVnkl/U19lMhTsaQNOfbbbpJuJfnbi2cT4FkzY Sanp8tRNgvoM4oU9Gh73qDyzjxTUXJMlb15ympQ/Jn8imcqgjAX7X8PgsxxCqc20cnyi7vOxypjs JtB4W/g9wzyiWPcb9G3ZGdqthUCkPSHzbVvBle4jgcEuHZoDt3wecJY2rNQw3CT+XzHLw8T5Pgky Qj2tS5Yj7O/WuYMY20ESRmrlt0W5Txq03HkvmNR84eoOPFhne5lRJK508ekMOZRoUgJfF9SScYgq Y7DtXfNVXCc52dbaYvpXwX3SJUtcoTzqZ0ieQ0Iwb/qXBB1hI/GibTDT+TXh4vaz2y9V1vNZtWSw EltDEMx721+UKiCc7ViKprjCcwxzOrxS+lhZ7ctNxBhcMfsgTW78tlnpaYVmRcKX1tn3//PyGlba QH+W8Sr3vvF2QDLfdav1OJ6umwjyQ9SS6xpeRu5thCiibClVQnhyqhi0Ye81XsqDcVz9ea25Q7Wr N4j37/iRcDS+PgxTdGIiwQ6HYVj2orCx0Ug4PxYoVgwMnXX73RkFlEeefgRMMt7FptirXv7/zHtu v/hQy4olXuSQ23wy4AL355bNBPnDCIr+Aqy/QkVuNTa17dqQ8C0KjaQOynJtmNAN6BZ9mYBibZrs Z2Kht5B7BV0wLuOQMj+JhynMXEwly8SiFcNq2OIVT/J9pSopDWXOhf3WF9UPcKk7TQEH8/bJNZqu ZMH0cyYieLX2P8/v/fe1EEdcsCed3tWJ8EZdW7bWLv1FAfy0qwz2hdxj3JW77oQ9jmFoa1jLVtYo TsXdAlFipAmFyTWsEEPMgLl5VysrWGXEfh7QaHHsIcDwX9TeJU1GVp8bCf7xe9LdQHpTCepfDg2I cOYoX/B5lGbyrh5zTj+4pY59bA5U+E4xr6odsXMvvyOoQgr1QYpl2QixvN7VGq7x34XEWu3JyblH YHs1lJxgCnaLcHGmAzLfbjs3pTOKu70QAiQ4XhyvSwvDJBte1VwSnKiKdfJ5xfA5Ls5hvnJXMbMn GklcP2oLNaIXbV3IMsU0ptnD9n2fGzi3KyxYyNBTjD/nLiHc/MfXP6gDBkGegCME4PJ5hhU+9Usp YpZ+FdnJi3aT2TlRHoSIwdpYb6q3iJrg+kWuD7VzHYs38AwoII0+z70W8GlLI3CmsRB4MSYhCS0F 8NrZ+IcgN85AFAw8JF0c22Om3gs1VhkxqFV5ABwjcTPPoh4fn2ArqRVqv98qWf1VrNLWVoqB1ai3 /117XUOuRxIL54dHTxFbK9j7FFXd3H1FauGk6/UZrz4dp1xVfrY97o8SnKcXxeO9Vom3f+bSqiOs jV9C4Oj5L1/pruGHAvBG3qzK6v7vw8qCtQuVAt4C2a7XxfyCvB7yiCjpGqL60/aTTDatGfrBsmk0 XMHJCVnoFKOxbX7bza6YUt854Nd8IBtH1NSf3VvD2FD1Os0jpwRislOW3iCrful1KCuvAgdymhPU BTYIxL8F8Hs0N9hZGO4Z1t8tjiMsUZRLnGdAxiOGO494JIiqXmLwP6RUbVJFBkj4iBPW+7EL4OSA smvg9zWfg9JBt3tFx7ol6uiQlBlBdZrsLwAlykaxeOJe2TddHMILz/5Au3rvw5fSh011vyiQyKPI //HUTRPM8fqSpZa3QBx4FV9bpBitmymNLWSgingaHcRc7WSKbq94+kMJs1LMpGH0a/uW2ond+Ama jSG0nk4rQcGwgRVQAy0wSDwV1Y1JAZn1AyG3fOOe+Vt6FIiGg3Z/iq3asz75auZJ7kCokOpxyF/8 EfQHNmUOEnt7tDONdt7ElXn2zs00caFsFJo7Moz+kGK8Tvba3Ls1fSuW0noMgs6obPFmYsnHXGCj GlbgYzffKkFr1DApb5yYTXvS77boFNcKLpu4GlMBp9n/I0ytrUQVD2VLpQ03f/53BSSGvkshq9oJ PIZSzz5faSpV8+ruOCMkwMedcsH3Mvug+jCZcMpeVCPkFuhmFqjo1aMybbbL7xkU3Jlo/eeGTu16 QMXLp3cwPamrztbS4Gc7C4N9ixCy39AZ1QrTAhRlbus++HGkW11+KX/eZ1GyLhBqqZwop7hHZfz7 XNV7gfO+/kXqdfBCaOqakwFHzm8+vpQZZJfRsk2eWhE2ccCtSIz+dqR73yQwtiqMZJo/Gw6ATAwR 8XXsdoH40XRIyZ/dgfV8souDclcwJKFz1F6Ef+TdFe4GbVi31O/vU0tG8lt6tCYuROFixUjFi3is gdTUbi+sBs7Br7sWFGuzRziJUFJoTVZ6SMe71uUYaBM8RSbyEj3NZgpFY1tt+cMCNnMSQ/tu8Aib vi14JT/Hy3jzuUMby2uiV6mv2Wv6VNX4Mc0stEErPxgRVn+eTUcM0KHdrydL0oIvURhi3EHlLK9+ h60wwfLf+nv5L4Pshctqcw7JVmkcwwQTJHWNNjxRIe7L91tWkLvN4/HGVDx5/Xv1ZZENrVMA+MnQ dsNCEXYfM5FbMAop85cKS4wVMEmXacBNnO0xu++uj/oMud3U8e8Mfw2ngEXq87h/jdki0NsyXjSx RBBPZgZdWOmn/5ICa2zdHwlvNYsXnieyWm7Lr9R9RF7B/gg3dhK5q5iD1Ql+raLD2dkBao8MM6Bs DZIqA9obxA9tqbWJcdRZyx6Qu8x6Jfk+Ps237Kzgc93EoHbW6lpfjCn8RsCEw5/jwyveOeytVyRS 6FSwnrDEJMCAA4w0yh9oGT/MGARvDVF4bUJgXUmZpo+k49ke4w3YzN+G1RQV6W7v1Lw/Mw08N6Z7 mxpAqEtaKW+15u0HSOrWROezZ8oHw70N0wOKlVZXQqhgUauAPb1/aU2Z64ReCGLRbUP+YljYAXfT O/zTwh9QalXhEIjFSVS45jfl+Kln5YlF/TkoEpGqLJtWaJjpn47g84X8ESkgoPPjYyq5RFxaoPUe m79sq8DvWx3l/vtASTlc/rh44TNSyGgkW6VEct4fflLrA7RKTyaB5jBi/cnk2p44A7QR/OF45DV0 S/GgaZW0ayPOwjYIy7brTSAcwhiqMyxmLW9TJTdXASSGbAf8y7IDIATgVZUgQXDFkBg+q1LGGzd3 1UHTRRkCQwduPqOzgFKYM+IsgwecNN6D44kXBT99lJ29FhWDaVRyfXvLwBWEH1zn8RrBd0qiJP3a 8pfdSiHbbzoU1DbHvs30Gizmsm3vO/3JVC4XYoLUXGAzmAPHdiIMcwQsLYwAHeo7bPovhkQKDTvT HzdDwrjY4eh+wPxPempoW+X9v7iK7TrKXrf96oLJvITF46NXI+9FNmpHAPSrbOO/+pHNZPC0T4Hw W6LdiraBt3KHPoNQxZAot2BS9evc1w0qfpl3aikIRvsaxllc8IEzRjP4Uwxnwba13PxOdhf4nucA iahCMfCvO5N+GDwEx62hYLS5CaYUH0f/MNOtJHN7UCz7W9qyXKdC5PP0PlGBgPva2NHgmuzONaho 7j7P+QRpDiFGqWjE1ZNr9Cl4YS/CCaWQed1pXZ8HWwQJA75xzU+My9wa7SjkL4PemJOQIkSeasvN hPnZRgFxzcaehqwqCsazm+gtgZTar+0EyQgPNF67pUcK4YDOq1Lx5meekEFYFT6aBNGM91wLkP1N RQaNg8b8gX35P1wlG8fwvA6YSGEYl+AXLjIOE8rLonVuzUeUxUCMVOVKhfGKRM3AtmfM/vRhcXFa rh24eFhThQ5W1brNLpvOiYWC1x+pjCG6gISnlrsRbuxIGDM2ga0YA7wP95b848sjODu3oU8Gl/8O q68HySRfkOWQwXF6nCUNNyxV3sb/2tM1UM2DPTE349SPTs0VmHWd3+XmPdnlJAT+q7epF8I888SF PQsV5I69QuawbEVBxStEnU9RJG92lI10VgiTejmdcCy0Vdfm1BR8H9sb2EbhQOZ9MJGzCL9+Qqhk qSuh+SSKgXepLrcPEj3TJ2r0dWv9He41qMzdWVNgTKcFpjAjoldF9GTRFPo0wgbP3DEVQtc/rzL7 HJXUia8wk/cnyG/3qE3VWmiYX52DzHNE/TeFML5bZgq40TIfcPkFDLTBETJEXkZXWK2TjiErx9+U 7qy4s9u8YWs436r+gsGPBGMch61XA37uuQNzJv2NwKwyyapbTtN1MLAny2dcFv5sbZsEBNmfelgD VhOTNFmTvZ2dy1GPf6zizjU2IGyxOJH3/ltqt1eaxTxCmykYn1JZzauXxxYgWg2R004u6cRB9X1p hcWy5oQPBH3q8DUr5Bp8VEOznVNTaD1+sbByNkyWpo+q/pd7S3r6o5hKNEPySpKahq1UdDEm+leE lPMMnVofBPbAYzQ2OaJW7s7+kes4ZTjrwB/xG2nE42YwhVbMZglzW+ThrsdYjGudLzkqugz19FBT r6BIdU/w8uSSq/jA8rtsaaHzuB/2QTk7yiRzmoD9yA7NoXKebx9WuykReGsOjH0Eiyg5mQxoiAoG j2zSxtuEIUcPIQo7xZ6hsLDWA4rwGIZuJMopixbofXUEZUUzyF+5+ZVQf+977luXGMmUgp3GIaN6 jAA8HynLakcXnX4I1EFumzdh7o3yICCH5YvZ8ZzJJKoEBOjvlCL60YGr710ZDVRM5bgisP240gvp QmnNw2kDVkMXOElaNecHN57oeC4FQE0AAcCm+dApG0gDI4mI8pe0I3Ts7Fx5hPaiI9pZV9ipp017 7tg1iEzB/DsGrTcwsBo+Hr/CZVjbbAUpJt26B/BIT9R8fq540bvJNKhDJ2SoTKgwGOAbjJLAq6yx YWh/y2XRADl4lUouZIN8L/5Fu1iIJhvXCO40GQVvOR8RTiCn0HNpvHsd5kcWPq0xMzG7hPWryt91 rwXm3idbeVXZ5166okzodEkmfslT0qPQeJoQJmtUPqS9+w19unk/2ueRwfV2Iq1s46C6Bflbj5bE erxHx2JNBwhMsYrd0Czl45G94InXpR8qEuyoJVeTW1gPEeRuqvuR/65Fg3W6OZNppH7cEw87EBTu xMxv9xdvO+w2T4NK1ZH5wJ8eVanil3wdSY0ZxfOm15lGe2eRBWi6hU/Oi2G5oFmZ7QnMMafCilIw nUIwF7jcLUJnNGmHcn18Cg9Mde1FS8jCIjUslWAx0yXykfErPGXDAYFzX2CcB19m/7VbJ6r0HIAd XDtHPIniCP8k4ddnHuvvtgXw2SLR21wssZ541TpSnIW3F/WOi3pK/HELgbcE/EMd6kWuIz6So6qk mDA66bPB1pLMHo01DZN6hTH+4UmTfu1u5Z6lm4gnhCQnR1hHbMTPPthnG+mNJbgfDu1OZX2IAVMb L6Df15R1oUSB+jsUfX+OlkZeQezj7NO6Mqfxu7ihkzZfcv8C1Rkbb5QAWtGQj45cCLj4JyfA1CwR kPb8i/wJHkFaG31nO2mPn0Zy1SeNNWg+W7t3dsFJTotK7i+gBApeYjU8QcJjh7/tywPG1SVZLMpw BO2e4smZI9t0wTadM0Zzy6PDj7DI+bGLJsg+vJbLsMaFhkCLEW+yU04oDU1pKTs+tRUdE/6p91Gr S5kSyK6nRlZ3OABgALiYKu6SarSID/m1BDuPHYgk66l4hJnII8VZXeuCmCvw9DIIlQ3fltISFfKK DlLpZvY8InxGAnatusjxGEBwhU8RI2MR8t05ea2zEBy/EtYFHaw15914zg3gzU5xplR4ck7/sKhW wwRyT75K5mRRN1oCiUstW5exGChrfQvZF9FTlssJ/BWdic7gqUSHm/yd/aOONTDX4+OPxQVxkGig 9ek4y0lN5AcWMkyaYDBcbBP6sKyQWT50xPvdOwp01eXv5L4JkiHFE4l2DkuplCUTd2TZ1ze3GOi0 d9cwTlU0wKyNAoMgAfqRnImR+2Tc/URUOo30Y80VbM472r7WP6fFPZSbveS7xq/QUg3TdRTRzD8K xxpOwG+8DG/56aTekBVJhjpjV/SG3kL3+2MPfpZRLO/koq4qIhxWEJvVfubisn1Us0ZQPT4xDRK9 6C+zVdWv2i3fxdjE7B8eon1CHYze+/JfBjEs8n1Cu5zzUjJOz9RR038f+UO1TjQulxowsvwwtCKF zOOJR8nnrJSk50qg4OCgzwbGguEvbCJ4C3T9hQy6jLFJlJE4aMJY+jZ+BJPyRPd/A3DyFH2jCMsv Dvh/aQ+rD4okFV/gulkTKkhWkPq8RTHFkfqKz0j2vKp/tQDMgZ7qPngJV8QghnEQcupfRnn4C5F+ WMWVrmvVxaSK7KvLOu1Ie+iYkK7gjskm/TrsqEpduTvG6y5NVxIzAXtMAmYlaRtPyJvEHtJzCNtM bzLiYJ/CAKtYo/5tSf0cgmV/OdMah27lcox2AOkVosbd0uJvBcYHbmD8yJhd7W0DqF/JYUFcWXR8 rm4ke4z59Wcq1WmqUkoTJJpPiA4CnCT8XaGo5a4Pr/cneoljU8kWwbBTe4OO5NhG6QrPjpnunkXT YxPvmeo6vBCWJS68X5ibrmsBINCOkAX63PU3i70IIRaxYJf3q4bolcvaHMlKsDNjVo2QekxmJgK4 BMJVldH/LEbAnuGQp/PqeWDuwu3V1Ph0JXwG2Ad/fMKDJ1GS1bW0vdFSa5mjfCzd09eKtFrQ2vPQ 6CObFaskwFY3KklfVHg9uLH1r6wQ3NhUEyMy27/hRplkZnOgFRVxJaoArzTyF4Wd+yO/LyWhxEtV ymVQnV9U1qsqlfF0nDLdCn3+2+zA1UIbRk/g/uxTDiphLe1ycZ10mOuEJlt5IT2qAmDs9abYdT08 lyDCJPwe/cC63JoGPmfHgsEBklVlVZ84/Nfu0DDbS7Yv5wYU+Nq3OF8pgS4FNbH3zh+3h4g/iFsk mswzb7eoemN3DbEDW/JnqucnafJZILXMsmQAp/boRUG2c17hCNYtVDtueAwmerdbnhnQaGybLq9P 88Mmf9z+PrPJZYEwjcb6YVjvuDr0xYlIhJncDHu/aZAobYrQI14CPPxnxJ5xf7zgdCvQluo0Lqp0 f13O8wj0ATky8TnnYOf0aDFxPt+5O376m1pDnC6hq44WgbC/zirsebQlPnyf8uIpNoR64Moqgnuj 4hU+sotUZoqnaFK/nQPcgtsdN0mlWGMC7v31/WT39P7KRtCay4P0/A3zwmcv1kQEdqUoOaiMoqW4 bllPXnwXh0VxhsSj4zGYjKMKJparhO0hoKYIypvJgVVIdznWC8gNy+8IF4yKeVy8s9cImJwIOjOO ySiNOD7UFV3dZJKW8IFsF3EQiFDtAYHtjcDdcCTVbBLMY/gNHLb8IxIof6AggkvATj/Fws79vuLs lQiu6Ou3EjTOf4KKrgXZXztS4mBhSwXQmPC03QQX0JlRH2gLdCmtF1g7j4Sz49civmdgcbVGOHKI KdaAH+os499Vvpgw1KOpnCsvfD4l54SW40jmAqGMlwTZWAE0QZXzJFTlzjwT3xqmR18mhDLwvudm FTkukPLioVBLhEjvXEFB+lviW7GpD7AGCPb+rtDdsGAcLPxhTqJHzdJRDf963kaMyFY5ou6LDBJO ls8OanivMJwjHZZ93BtgyDdaVBnjYNWI2zaAgD+hVYqIfVTz6w/5t30W0+4eH5auQYaMWsh6wJQ1 UocD2oYMR1KaWD/9cCl+D1YdaLHhO7+D/4jomYYF1ZmWvB0pMz24someasInzGipMXk9OS4r16BQ fcGzY6X/0QiGbkSEmiCx2whuX5WeEPMcB8UZw7mw/tfM+Ig46QAQS75ZpRsdCoYVhvaaRFDoamgO thzQq5bibv+Qadj1tXeDS4pO6dkJcpu0wNdXZFfFKiTMXtMoWKWusby6Kfy2Q+9ngnGklURovd46 wNyFPoeRCUECuGyisjbK3jb6N0LkR+JAns4ULLEYtGSu6/SkQRx+/F5vhh3cP3jB5f/OcAzKaDQ0 c2mnvDqjnrIF2o71myfg0bgqFo26Teys81fy2/wEN+iWUNk1FE+veG2u62VtFrwhRCQWTl6qPW/e hvTOEvNdBHwiUgYgKwslIuYdOkzBylqC/2WUOzRHOxMH1tWTvW1mZ3BU4PjEsh/mJ6xhz8V8odTs FN7w6bqo1IaMAxCIB9ln0nl09faE3Qff8BHCaHV78gdWVsja0m3ISQQl7pkY3ZYnA4i03e7idFjU F0ZiX4ZnURANrFD3Li+hEMU98YYPxzfq27iURpId42kQxE7FSuFLpeTBfsEDomUMXvCJebNiRFkC MthSwAQWuXdFrjEGE5mkQjmALKPuIsltB3KfEFSfMtU4eYFhMaoql0JjiqBYxjS6tSfqtT6Lc0ed 3TGAJyeNtVl3aG3W+D97XGxvCbq/ZJHjMFPXAy4KG2vY39OcSMyjuKd1DlhMccpo6nWw3sOPr9OI 6sTnSKZ1sm3+WiDJ/YkKAYIs6LLTyzo14AgD1TujTCuwxizhAvZ8n5jdHAdpcbDJAMaaGPACyC68 dtRfPrq/W4psXIqyhs4eAJC9S8sMGxwXriW8iFU8fWAWCLh4kHUqKW3rNYm1gCxSztrIyURYzDIG ijq5uKjXHiRN5YKmga/iUmjFw2ddgwd6zKFjYikCm6pelpnnno6GxrCK7o14CMPh4fRq1RYnrTv4 z/6tZf3Y1ajn9TZ9EWzuUv0pPKJYD3oObx+aAZUOhCm2k3cnEjzd6QYVbmkJ0oBJzp6oDGySBWMh fWTjqb0KfmAB1GZMj3hQEU5hD1fYRpp1ma/IpAiyVcKgWVnkK+4Qbw0+Gw/YOKvPe39hXCbWl9Ec DkQ0Q380WEcnObPxhm3wLfO911l7hXWkD0vj8kdNi2cqsjwZ8hgN8u7isAAvR4HSCXYpAV5xGUCo 8JAQhqITyCUfEbBrbb+bt9K2g1gq1YQsF4HQRcRZ85eBmAkXU5Ch1+OHjF7zTadBGV2Tl1s/CapU 3mJV7enomdLLzuKE9jyVfnOqGc3RpOmLyROf+vnH+JMttuEY40TysZT2mV4+L8iM9DgHgEn04gmy ZsJhZds0RtgTVmwLpmCtwD7APdvR2tnyvdu7eGApiBO1DHwexRqZ04QPRHLoVY3SjYofvMn7+Jsp 7fbSdy1DIB5H6Y93Tti7Yf5wVEF6JSSGOvJ8elwWCHFarLjwRuS/XDuzkOCBdT4FVyOxfa3Ud8Vg AKTACTRtX7LyG5JFxc+ssj/r1n+XTfv5CFQWKCVyHTRoVkDsbVXYQwx4G/vVqt82gkmUh1/48uFr 9BsGC6BG/lH9VH+KGGPKLo8SoMy7MgyadNbepdr7KZNSRcyiOnjpold7+MlKBnoSH8+TyX6ObeYw 7WjOryzDoazQ8UhIoGVILqwu9CIn6b6ASzyskXoDqLsCZXkeZ0IDVREAfdc0BR1HwjPk1J5YXFjG KCO8x7qG4Yyacf296yqcChuqBgfPFmihdGbRrYqzF2L4wElSAzjE28/gC0/XSGNmqhgwxfwaSclu ZxgV1RFmjQs8Bzyns2Lxe3VuvsAMBbVg4a3Q/zLDI24TO+4vsTie85LL8Lg7uWMSg+G/jrUe7pkG REBlN7abcAyLBMK2rV4unKwHLheyLd5Ox8sqVLH6mIDpKmITafq5GNKCJVXMz7mx/uqlLYGAdo4h fmAoAqO4VdGqz9mil3GXiYRpDbF5l20MwdJrelleAxMSdeydSywgmWEP0FogCF8/tj7qeYyAjkUN P35erbzPbAXFrZ+uFeyqC2wZLc36TFeT5hgBd+1Ntb0BQFqvFZTm0ZOl/MnvAf9vsMESR+dGjDfY AX3irSiADbMxetDCpw8MOEDAMwZJkxdf0uqdTcbAFqKKy2P+7uZPkUV8LPaUVuaSF/V3i2OLjja2 vVPgyhMoambUok5oB7KhnqTzA9lImLNkM8+gVXzKjZ0NlNz8HdSriTx98mskUdLdI5O5IpUNdP4C Pd8OTIszJ3imQZo2VnDoy5wmuVUCZU+4vPVfeFEbHmK+oRnwrdK6hLAzncMIn1Nj2wC6uyFrMidq gB6kqSuHEdbi9DmjeHE/EZC36TR6zM6TI6Bw+nDoSWal7V8vciUO39IhB5RBX0VASCBnykvv8v8g Ybzg0rc9xMTanD2Fr8u7vcNfZrbfe+OrN0QV2dMAmKmG9IP6TaBv6yPmWbzE/Z1BDFmP9nMF8YYH S21bOzVLwHm0zqtqShahsK8hmwlhd4bttNqtcNebM6j0FPQUpcSlPdxIBWPNMPC2qvkI36kDcjKw XzmEZnLWS//s8eItzeTbJf2isw2eaAyfWE5e5t8v0mXgEvBJ2h2A4LBpCwX7WJwZBqMsGQweRRgj DdY4IADJoFMJlIX+EyMIGR9jY3EINQR7TXlfZmzPdAcVpAxtmMkcO4QRdZJ9lNoYE8ydTtGIGDrz HIpnsmAdbIbNQDijwXxSZBD4fP6gy7ioBJFRt5Q2e+1KNPjuWi3jvUAxubPPx3XTcOGyAHl47jnj no3bCyVQ29Z5bod/POKVjC9iV3P6xP1CkD2b5FS79wLV+Bmy23+1wcIDdl2Q8G97aJUOx3T3xzjJ BaDxMlnWrvyPykSF8lBKZ+QWboR6vKgywoHxgkEri9pJFSAWdBWlPEHI4vGhEyi6NF4N/iEUeLQt Pys4MMxImrhsyUCuLh5o5prS1fm8uowN6ROsab/U3JlHSk89LrGgCJYPA/O4Rx4OECddGX88kBJf HKa/8LUQ+HbMYWP4TixmERliUPzagVhpK/RR9UtgCqCrlqEy1bMWjrvYehlTFXYeKir78nSpC1sU m0q0TDZdVnwVEOpWv1q6Kp8GzBsBgTwq2Z9UvGn0or3XgvCFXFIGh+vl2OSsGR8vySjORDsCkb04 FwY9H5FG0V0eeshEEHQD6D7/RpS20CvIFpF3g+1npk/2V/vD+kLJkeUT3nj2sF60EUR2ArqyB5L7 W4X4EH40IP4tQBFc6jfRcBjrjh4JI44ehr6+Hy2XoPrVWfaOn6ZVLC/ODP/iWaPN0LaeM/z0NVhK L5I+bfTNNrQqZ52dXdGD2T11RYts7P9q7HPwAQdFUtOxE3iDiFJvjwmJhM/pVM04KDZ1FlDvxgPc YzIqbVFscziPqN7Weg7WLhKi6uQ7l4IFUC3Rz6v2tEaw1KB2NSn9Ud8P4qC/5RdyxYWlU8AbkEea b4ukyGaaNKCEUoUtMFSwxugFjPZv9NBOLNc0fIKnEv+o5pCQ4N1/GMwUPeMdG06wQ+NVxMC5LgrQ /aJWoDMwd8hk8eBLDWwo7P4nKfdIHlWEpbzxQwmmTEjgih3qd76dGt1wAS+5csu7xiegLAaD+NXa 8qrBd5fXFyEhc5xkX0JmhFP6F9+UAkP5iuA249iQsAOl/9T56SHqnd+7beyTimmizzLbLu8eSfyN expMuL3FApcjAe8pH6jM0pc8805ao/6HB82btr+68QTFdJPrPLBeG2C9hsVaAdJtcBcUKt4T9cW2 BjQCKm0cabHNeaIQCC29kIKJH0NpUvv1C0egO1n8Dh6xFPvg86CmQbTsjiNp4Q5NR9xWeaJqvy+B hE/iVK9nv24m99PZvWVoVGPHJzf+Gkak+m4ppIRrwJ4a6UQ9peG2CcjzdssaEVP+7OnjnY+73Ynp RVg+wT5L5NTVs/7Lu81K7sD9KUQojm9XwNA0bxtU0cL65CIxqk3EMjL69Zvj6NBaE+5LhNsLz5Eo 0gWbea4zT5aZBMqiavEL2uLlB0/1wOkpQiRI9UuBhm/srfTitQxA9s5HrZSaOPgLED9/CwW0fMa5 YVi8BtkIQqELEQ3HjJHdYKhAn1a/YlhoOGgzoij/F8kn1bwijHo2Hq2gSa2Ia6pqlv4KF1uL52Rb DD0Xxsl3XvBQGuOvTaJ6oyGqGm1pIWWdUEwpdgyAevH7+G2Tcap+2pAZbzdLmbXPeV2yFvJsuNqx kgSAHtiliR6PA/sGmZ5E5VUzKwlNTWj8gmhA1MQNGHOlfZ1RiftL6WJ20NvHXCNBekP8cm/DIkq0 B15iA3FSNuLLutHjKNsgk3O3RQd0/zheHJCYbF4M0aBL+B+L8Ov0ME/BVMDQjwGCcLM/+f72o99/ ksGjO/jPyXy6F9kP3d/tEnaY2euzd6myFi0TmNfXn8c4hy4L43UZd5ksvIs3K+6EXcOmvEIxaBTs lDnRqAIOVmOxleXhyTwJB+Fo2KTYCFKTC1QmWPQ1bVAvbHxzWBz8reF9cvYVOh0LvwPNT8zVd6mb N+pEKItQhMAuzKsBrOXN4ISc9rW52W/7t//sqeRwCP8NNrRG/0D5j1KXPgjSu4tfrYleyAR+IK5s wkoXyYZi6p7hKGlMVYxUNMu44GGRl0HILK86jOPu97n3QueobJMjNwVGfv+dNE0gxkxXeNI5294L oh2aTTfkmwfgXGRkrySSByi3K6BBAu12MOQJDsJaJxoOILp27+LdHLxc2tRua1pwGvvv0N9RJ+1t LdWxAcHQmScgsHYAzPFnzUra4WRLdlTOy7F8cigoQcvXNB4XOmoIvJEg/WAuUl8HDeLtc+3sVPtg NEEfjMNvn/7HWozL70KCTtLdg2wekTEkrHE8UqaFXENZ/Mw36TnZ185s/LeWOkzeLKBwUDLPKV2p AtveaShn5iCZG4wCMAOdzcw16bl9wEolRVBtEg4zyxNMXWJEsEG5VTvdrsxeg54HlYPWIt7Gcvj5 fexu+haVwMtDN/sCYhE/LqrtdMdd79g8Ntk/QpJ/EzC3cpJZZ9uV0md4yWJLIBU09v9fmD8BcSnE C9K/cC/tWHfSC8SyNxk/RN9uaLiMsG9wxwxEJBhZ/DnC6YozFb/dWVLguyYK151RCBKkg9CSFsl6 d/sc2BzdLTungXquC16L/9pPFs1Y2SSAxSeiNuBekAC86FxO6ArO/XNnzguNqbZNaFioyXwh4g0y ZDJVxWvunicEgX9Yi0InVWYya7tDToRJxyr3KQHW4frGhTZFLuxjBO1J9AETjYNBEPR30TbxkkFz 8vR2N4DRwHj1zjgE/fi76GeoAtS47rzh0SI7x4n36EHre1rB/cOiDdq1ysUJ5WEYgDByxOT/qEGF 8dkXrT2NeWGED32AG54B+n6g8AOHE9x+56862C0eN79U0nA16HlQgNoWa1bE2IdWmXEGBl4Bv9ml KDdK7EkLJur9HAqDe2fzh4IFz6ZNT0S6e18fh2S9vOKA7DV9a3DIdsLk22RLFI9N1G+6xnpXTgWX 4BbsuKFgaH/VEpxkoyaqp3EkIeBvIm+CQH5dxDJlOo84m40+Ewd001KnyTI6erswYIK9LrnOaazV 2kgNs0ETDkvgByXzpqWokwshYdo4+MqewLm0ocT1xCVDg2j5gkE+EJJrjGi/3ryeiKnZmsazgckL 5s6iGmxaI5GBYdnGi8r3Fohr3mczMa46HI7+Qa/N+JlzDbq+gzbexIZ59BujEQlUkVWGKzF7cZaQ ekEtEnFm2xFZJJ9nkgkM/1mPddFRzTBcaixbOslsjZW1Q5wc7PBTJrklSSn4oOwOM7Ti72rPkfs5 eRaNdWFXlLGxxEO3ar1JDSmAOC71YQj9YJ8iLWk+cTwEIYzhuU52LjUrm6+AXnwa4EOS5YdlRLG2 5SLWRElpm4K0I1gKFMtD11qGqf223cJkOoUjjwEwknG19PWBB6q1jSvgMHsEre6cgLPyDJUMZsNZ 9NHaG4RAaroPX+IiZ1MOUVCi6mMPtgRW5ql5FsLk5aFanFZzHq7bb7yCK4GVWW3P8ofidQTGaUpY 1GV5wAr4wnqV77HrK9PnqE+rfnQt2TlLKg+aVQ1tEpKk4IwQrMD/AlcE8tyY8dmeYNJ4Mse/fn7K eVHqTk52ZGA5dvfx8KL2hJ3OTkV2UW78ooTsf57O68v2MeGbz7prfjn6al/uLDNN01eTMC7FVosc RQUeLDGfXvTmJLY+WyfV5Ts69hTLsZGy6d5tDBr5EjczcmXG5fbSGYk58kh1+mag7SfG3nBFXAOC yi4Iu733apwP9Mj/3WzRMpbmlRH8UmX8iO5osTnDUqhrH0SCiy2aoL3qD7AawdNhZAA2UNIXbtjR 4cDQ9+HJ1K2UGJ7fg+qr38TbFChCFhegoGD4JvQz0ZyB2Ez18qd3pXLzIG3oWPxRrRBINFiUBOty chBNFxoqyH05lN6wLtBsgiTbmxb6TruvNob22b77LxMkF4bLc5kx6nADfVryjJAT3pgIU7xsRyDh 0aEGOJSueg8b0Vh1m8PBVH5gZsYbBU8qcARe8S9F2tQQgNV0V1kNS+SQhiHZAhEBa2GvM3lBVAcY pxPho6JLU1tQVIu0KIgKA0hB7gU1RM4vckXhZubzXqKS0O+4TzxrNOMXlSyvCH3MdZD+kPJ246fN nwu79reRsTVhl+YBERB3aoJZXOXe3xOSeiTqy6IiXlH0DnZMd7Lyt2T4KLUljotdWjdrbCPo9V0o u4Cx0uTxK8jJygcADcAgj24PP6pr3rGQC3E6fFncClOVEQmJL3vCBIByzpD4mVCIz5v6uQDQ7SY7 JoVymcZKU/gWLFbKJ95ZEI4g4qBs78lj3Zq621Yo0iqYWFOu9gVlDKwobneaXw39w67/q6eyrRJQ AJUpzvvnduiENdzCmhAwnO02l6xF/TLSST+DcGKPo6ubGsTq0zaHJZDkRDozlMC1H+Zz6aU6TrcB vNniFqiNf7AK1q2KBRqwhRQ2E2hAuK9P0eApRpzAQVGLOrQo0iHQ3jh28k3WNCH8yGcjCI0t6RwD Gloha6qDCcq2X33+bMC9WVGyC9LG4OMtPREb7JYOg+8y//+juv3X2Uq1vu3dZaiSz67XgQB/dHcj WkkEJOCvvzzBLjybuJbSzRWfFshaRNv7atz58RgMDHMd75tg3Yn0ooUPUG0umVQ8aOtqdJopNT7E hdTvQhy1DdKLeTqSPW5KMZboZyTAXDsPX2K/so7L+XNYnsdaZloLEF+zqHnf8c637qGp7IOc/x08 5YSxBpDvzjS7q45MrTrQlowDytxMQU9Q/0n4G+Av90sfu1mf6Pj3CwOmcm7VyWF6i3LyhYOMk3xP abtR3bZKFCjevDU9GZ/ii6RZBCvj1uIqPl51ZUvHgIAFF61mUozZhALwvhH861EGmF7xnGZ6Secp GkYh9aK6gKVGg+oqGVhgdDtebEWSOLkinwVycbCe2GkV3qDFa9/ksUCtSNgTbp9dlM/xelSIuNeD SS9A/HpQFmUITwIAQGOgQPddWhsckmaXyh+B4HWoVeuLL4dfEjXHtZjU33lvonNc1rl9JFFpb80z qdg84M7HiE1XgTV/xryPwl2rLB5W1+W1RxiUVwdoRoloyyEYNfGTCFlsvqNB0MdWqh+MeYBbdkHD It4nDZTE7DUaY0f7cpd45JdvS5VZaqYRYV1u0bdtr3kEt1M1y5mltSnwk35s1sXvzBbsnp5lhDbB B/miq4EBkm7/Y1UuRAs05o4dsKXrcxurN5U83Hy6pdRGdatdW5hRiwuD9Q47DV1LqJ/SGyC37EFH 249jiM03XXWqyDx0zlYFEs81v2ZLVzPFRRtUy6TKf2P/egTEQF2diXeu2xCbxu+P6/2933W/SyzV W4pwxzr8SQzGAIy3AltuMCQC6kx3f/8KszjBTLpiuEQAVtxEwhlNobWlYrCGPPRxrDpKPD5pNlCH +SKf8l4u6TXyUX5dSwJokEDcI6pPFQwDNyAyYKmTjr8Z2csNstDD04CUH/gr5EeNRHzjDDtTEnmU Gp+r/CqZJipej5tZ+KstQnkfwOJ9hX/KxUBoBDK3Low5Ad+gnfGtSWcVvVSEnEvI0YJqnUlVFst7 7HQRB86oMHrjVghI8Lx662YJ9h/+y8LGQBPnOQtows6WId7jtbFyJcdtZgo/3S2DggUh0PIesoAw 9hep67X4Hf8ibxfJXNTDWW6YIdSpwGVD+3YHcd6TeIkepMnaTXtXTleddO2/sIjP1VcOPJkgHPNY X1pb1CpPo69E17CWNdfcUmMsz6DTA6ze5rgud2ZTP05rqRmkvUG68DFVwz/jI92F9jK6S23jv0vu 7xqxrD4EeAE0IgCjZXJTm8WDlMy743MoAfApAcCQxZZgBd9VJj2bDfeIIRExNgM/sTuYh+UHPiW8 f8EIbPPan36nz7MOiImkwZP/7+Vx4Flap3/oJH3UndVYwugl7NAgpC23Ua6Fo8s+5T29IOVSgSGg fR1mGw/I2c+8Zk7p+FF4aYMl0NRg5Vk4Whi2fy2GtQx9bUcKdHVy9pOQfp55uryHbvFww74UhUJL iq7biyowQ/oKc8UFSmJ5whyijSce/YeNaY43AeddzGU7hDgVfAcO/d6B+6AD7KBR2Ley7BY1Ay47 hqt9p5enfqQPzGDQsDTsjKHABQVUxutc+cvCV/1mbEBfjAvLlahWHW1YFp8dEnb6XXaLNVBz9FLL gW802//UCQam8YB9DLioYRgyJbdakn1sy9TQNee3uIomEe9iixohd9XHT8IOadd2bZTLJkluYtvH Zw9pd8V/2HycrnC86S6ffThlp8hSrfYC3K/3VypVCvsTROwXH3z+yk2Ul4SatU+GIsx1sVGN33jE btmjd8u1ZxWGw6o2rVpq4xQM5jp2g3ErLnnbYNBxj/0ON+3OJvzykrhimBs4qgTH93PhCwvGdeUj 7KWunoSFdOenDYnM6igjde8gVdETdtxfYBAKCFvAPCtRSvuXLAXuj3VAjZdJfbboJ9zTLz2ZT2NH a37QXl2HTfqc9zBcGl9Z5xHBB5NhshBhkQZNNC5HmdwCg0Chqhkle3rGoKOOSTydF6TvFjvamXOI WIPEg4bnPAzRReipoXR9S4SEd5ia6rCRNbzvq94LsSJIO2dvZbKlP6IFEF1IHAApYtFdLb6V3DPo nONLqeCeaL+PDuN9ZPh7YGbymI/nCYrPx5tcNsi0mGmHIfsK4/baY/l2yMlg82iGgo3+xd2LIs99 bdAzmBCGIAG3N7NYAzpxbwZWXBOtnmSzMMCfdY5p+OfhDj37roFRfoGwJX492nWOTH0JNPeueraC TrqHtbbYtTaDf002yqhICYo9xRS0TbTNVheEnMw+Vez5JgXtrA3OIekhJj/QHwo2BETL3JYzkXY2 F2589d6b3SBCWI7pnVsLqLRyLPj2uoZFdF2I6iUhDeASxqLqjQPXA8atOcgowkmzFbFM/CR2ao24 hacOCgV24Jzq4QDU2tImpP+UrMckbkfJg8ewB0hQYae7mZ1zOnCFodbZiRQSEaw5FmjqvYa+OYXb pCL189bWUZDpnyf3KXQTaNzCFZdZIYM5pUgBV5ehHjDzWgHJDxTcPib32c8Mow19oVpgxQydTK2L 3MbW4YBgCGYzcY2pQ6islHDJ3uOT3C9Cu1po5khjvFJFSKx+/gT2lxQ1oBP/1FUW874+Xcr6Nh4X /KTx9ls9qkyzaIt3HBaTEO9Vn5cMrb2bOzDDerFwvUAfJa71we+yQaxUi7pIGSMiJT7SjVaw5JI+ Z8AglvsZDypvIsjXgbIgqXDfbcF64jpj5f+umTTlWYrLg1WJ5WuZvHqwVuXV/HVZAbmPVqYd2DhQ DPcA6C8elV5GhuXjdQOUeeY/ZM1Qmj6/F1LCk4fEz+VHSkpUKWeWjwVYESHrPM+x1HwUAGoFeE7z VK3L5aNjFPyM+fTvrw+D8U4KvWPfNbpTn3HuNw5R98/N7vtLvrLhMHvF55R++EF2fu4FY9OatDEX 0nUuP4h7Ys5AqM/DZfxuNl1XqhklMYUbV7KeGHBuLqNFSWiD4n4DwEdBGj7UAmJq7jvTEzdUu+Qo H8++lnTWgTmj1TY/yVU+K24QDBBAZgaswpOVJnQN5qcL/4tMHNKS2HIxyz8z76gtu20o0Rq6YMe0 EJfL+z9mwxCpJZOzKLwBNPAkuiF1LrieHpMPeQduyLDr64DohWaurJ60PYaJAnHe1xFyurPWxJgK FxGToBQsSdIqqZLM6NC9WWBN8zCFYW6XUkEPn3dUOrXC9w4b99yoUsvyyy4FPoBpiHwsiAdDgwXo heMUijlfJ4Oa6wEOCaQ1dQDLBzvfjTuS/dK/+DTG22rtkLr2i1iBI1vYImeTTX5xmVhGr3Ds0Ucr NacgTYb2rHDzdknDPVtD6lTSK5b2P5LLB9jQoiGiMTYkQPE4/eUFhwHKwv2I1E8BHIVR44C6igNP 2QRDyNX3VeKRSsypwcezFRazGx42q6DNMuNQA/Eo3fkiGemqsKofG0Uc3GNsk+yhUUgf8IidHyoL WLipH+7oG80LZLrou7fLrI4OmUYASuuPdPhUeggDQ1GMBOdZbJHLg5MnR6iQev2G2JVWPX9yrWW2 aowEr8Y9++Kx8Zd0HbXGmcXyVdQObGmRAhbEguoiuGeVqfvKM9ebsIpHDpOuHkizNhtT0xsKXS3Y 5nMiH14o9OOFzpw3bbVqBDX/xXLZ7to5AeZnI6u/cko+MPHqxs5ZwyD8PVBZrDsonkKmq/9sBjeH 3ChHLx74/k/sphwuQXY8ULH/nVvwZWcXw72W6Xv0cu719Cf5AJM5kUpxaNJ3Pi+9d/I5VH9Ov6i+ fCBBUWwCVl+YUJUIAvOR3igyF3ovUtiHt0kaRA8EquSZ/WeUFBJDMd8VOHLNBDLgROa37pv1oqtN evOUw5FaXLL07gHmcSffqk7XXdCNEnYWgRguqPt67R8xV4Z+kDzgi1SEky4J13UrUvjhTxhS/Y/u NU4ipkpKgYmC5DivC0fWV3dQEy21BPjFcV04k5mpQ771d+WeecjvkWsAw93cR/kxertoez8JZ6Ee QMIs1DtftM5fGVgQveBLBPxdZ4E9uD5cqiO0QqBCO2loxSAcd0jMuDVmufaAyEtYirwjwmK1qHpm DHkwlrh8QCoP0DmapPYA+OrhM9HJjVLTcv5sZNUsaapMixfh/I+L09BKu9+sx0Ytq2zdEfW/qfE5 FWfvnwdXS85bMHffS1svJthREiF/U5UU75KdqkeXNlb6hedvpt2YRbyxL/nkuxW4B97exnc80kEl ehyTFdywk5HG5n9SrpLlrjMXvQ3COYcgseOUom12YxZmbgKt6xo8WXLapTHXb1jMzTgr/zx71qkq o5c/8Dld+GKJhLmwgfRer8jAzZVxpJs9Lh0aJQiVVdvxOrt+Y07ZiVE93NyLB/a/qZGf7ROcHiQJ Tf3cj/zIGdnPQ//OqagsK/dEdlEo0p1qwCjIl+mROR1Hb5YWvSxzGA/d58EqoYZupTQvp4tYzenb m+NrgQlpInUzxF/eBXIFgOwXIX2/oy4WfBtlFb3uXoIAfQQieEWP2AxQB+bsXbCvKTyC5CXrIguO 8S0EoAkf7VesxOBj1isPJIQr0d9upAyiQE1Ljws1hEHyrE9sOofYWx34vutBL3L/L2G2wCFpUvzn v6+PucpE9smvnRuXXCDoo6tHn+boFIqvgh/LZYGRyGdisG5I+0oU5AzIDkZHbNcALllypwmjSZtA lwwLQAnFj/MPu2vOvL+MoLhr30uvTZiqzluQxET+AGWOS/LetPdqcmwfa/R+dz3evjL5TSNv9vCg Tyi3dI6hVakMTPGFj6ywSuAT4VejGzTJhZK24jET3fKEJm8EGKXvUMrDv3oE+AyIED99sBU9YC6L xCpuNJqFU25SJu+DzAEiK1ONrvPXllxi95mMQ5WpEDpi7aTDV6eYQt1ioBNl4yEqPF6ST8lipeH3 qSqqvHADK0y4kR0eg0SWZ9l97eujKVHIG7O4sEuhqK3LbEJe8d/BUTyieJqvbjuVbt6FtsoeYlQn mr1AvZC521g9THtLMDflV3zxEdbvFiFs9K2GqxzdRp1OjYEbUruQ/hrWd38E097hpdCWoq/Kbfun oNKTqYfopRmrerbGll1NWYvH9u4cg7GVXYPpqldDgRYQVielWvLlBzJz89Nngkd/pLcsE0ihY+uF jGH23P5V2JGNqP/Pa7MnLp935bU6tsmeQF6CwfUGQtTK5JVukwSOmUKweQQ1ovAqL3Z17+6in3PG +9M0/Qrq8ym2qlpamzqDJ1sAEPaPez6yEKzt98chjhJDS7i33zdd8kU8w5JQpypXMZ3aPhctr3CP +Vmpvs9FqjnsA5nV0LNs4YLTUeWnTwgjLQm9Sns33LGrNAa9Jqb/TjGBc9vUOdVpfo/CDVZKFujA m8lEEFXQZSPrY86fP9HIECbqFNhV3C2wS4NsoQXwgBXGeVxPdxys16iAvQTO2JMQEoCkTLeay1V1 7PPM5uZHiLEzth4GaniglFiw1XCm+oX3m7XPQRz5ISajED2sHaUYpgTWlYs6rLrfyEmDPObegJP2 LHlip00KGW5ABRsqWZcNn7UnBgcsvTgsChkn64L1Oeggs3iaLMH4g5OhZUpkI3AyKRm9rD1mY7nv 5tTssvCDbuaK+Osn6iTCTJ4grQJdKOYmDfd6U4fB4rsXjeVKQ041ZdvPiuVb7dJzIK8IMYJkfv5O yBhgY/mCUlJtO02Iedl5dE2at5plUXsXGJcl3dS3bhuON5PzdKJPzXwQ9AI673WVpWs0oPG/8B5o VhX3VSg3DLNyOhBc02UsX59tfYjzvUYlbeVcISJfTqXnLsUZ0bBKU0RNqevuE2nFWl5BTKLyIxOA pGG14GqwH4WhI9r1xuOi+bPNZGx1yxpQQPIMXRUuWwuiSDrurHyiQmqLwowj3mg62PY/pItp/mUI WtWFPfukeCqfhP7OLArEnMQEhzdw05PIpD/bwDtLYihWus3spJRJir3HzmghKlRJBY1y44nGLyGl AIekM/R1Asg6yQem4GdbceQkvVlwkcYNn40nFSluakDyxbQ3OJdcLI5KUe3LtJ3MsMp9YxIhPUMW XYQc7ZnLJ54hddNo2DgM9rr0MdMznIcSnyX3iMKEwLnDmpwnwU6QawMTMKpaY+xlLgtSr2Xhz2v4 PRArXQUwlr7jj/z0nkhDf+WHe69/3UwK9gX+tSM1c9ktU6mwiUv+pCxQbO7qGpmaS/9fUcYE7Uqu avJb6jqrPmPUjXCX7vl8htszdkJqG5h7AMPWeurTmzqqswPRiN/UGiS8pPReruhfDozgbMtM0dfp Xclx+V5f7zrycaCeCLvljgCDgUNkYHUnKmWwgfp2YsZDStnMBd8rc9MYC+TOHToToKQQtz77h0AU +flAW+oe1kEuhEo9HmK6vFgubCm0YQtQKDgLiyRczOpLHisFoGnpTOdRZFDD7p/ZDCjZyxY3m7um poqcoHiVhRPbmZr24D9NK/6FQRYmjQYxDrEANVVPDhAW3vGFQ1SYUIfTIHcuWV53Tmh6EhAGCSlF COaGQgr0Vs29V9qRHKv/dP+h+O7tKOOrfwwRij+h74x5CR9lY3x57zzXVlV+tdCefthAAIjUC39N jNQ2/2tY9T3umM8XkFiE3d8NqZZyfry9uTIDeQb9mf5VfuPim1lKLrPwFxeSgfwx7Wf1PT9/YlLG oPE0kJNnCl9NKGWqVbedyuzW8ct/6I1oKJIY5vsOF3ZEqompNyKs/PXfvqmviVGB11Vm/NXDWwSJ ylCAcwNRkEGIvRGpkBd3TfO8Lfdv0ZahcL5pXgqWgTHebynYDhiZtWNR9YIVxARMsGPL+5UmpFd0 fYQee58CL9IYYrrUAzDlTsagQVHda68l41pbAFTdVa/sUkR+NhFPqU74zaKrs8YNk6JqEI5Wd7Kz 4CoTMtibc6nZQ9grx5ZbVyGZEsce/Pzp7cdE4rbVbLJ5EyCMbahIRwUpfLknsJYqhOa4qHJFx7g1 3TXqvs2n3lTJiFF2oqeIevxUAsglTtMAiih5RBYiX9aEKNeawZNy15f5hzARPpUatDLpEnHTbjWc uCg5A6ISBryLyCwGQSMfahQint6ySfmoHm/fygTHZ6b4n6uLrf8wL/Y6PRVKXFKUwnxE1YnH+CE5 WwUpPJ0kkmwEQCg8b9iYE79vFAi36uGnShiosXldQWTrnxSwQQnaeQ8ux9EgDYgsLu+301fc2Gvw +bfUsHepILk3wjPAJTaX/UF/Czm7h1vgMlFDEji7zY0YJ8O5gvAIb3uS65G9A2Zn1vpcTeY/Bukv DG3zGCCL9mjcLdDhkf5yfdj4CEStHCX5Ui+EE2OHG34q9wMeSsh/zSie4oL3OT6ZByK11CCbDHk/ mqp/8twcatDf+UVY7Yj3Z2jhXuS2hPM9JlmQyT3ERKy405uzSA72OXEaZwOxzQzaPmlwlAQlEIIx HsMY/x7FcX680uMQJhVqX2RuBQheewmwLpBp4CK1p+F4HlZamTmlrWxdbIX4Fp7KVbK27fajdh6f Kv7CDYFV2Lq0TQwmToal6z88S8jFI8SAWVfBDG2wdgicb8R7/2OGxOJ5c4gzddfjWFWaLGpXuiOY BA9tJ4q6a8px8xhgR9uoR8GP6+VacvxPtMC/gVcoLcQh9/ElLqsKKdknGmukWOltCzrTrUCxRoc8 G7dV6+gKYIizwglsuIjHvWCBbd1QMFPDMtCu7eR8NWng7qrQGCSB8de8PyCCU9jAUVO3ALawknBG PzHyAnsfl+5S4Vz7jTU5OdbQ2FAXpZpuDfy0jNUZQw3rAGa1/wFzIAtmDSKlw86aMDYPVQbCrJ30 el/vX43YvLPQeRr1Q9I+PNA9yvezXR2Pn6KbS+zpdt53IoUkrRI090I12vQO0NvO0RimVIz8R8yB Hh0P17o430CltSrqZ35U+XIf2wV5lw/rtxtIMj22/x/NFdK37iV7fT+ION7AsqxLMy8IW/yJVSh+ XjEtk1oFN2oLxjtHuaSLMn4nLnVaA2U9hRaBjW9bpcVwfxm2Q/vYLTSsq2CbGLSFkeWZPLCAxamI 9olZcIA5x2smBgZ68DsJzTCd/InP1QybnsCutoplv59wlRyA226Dh5M05PaORHRxwT4wquXrWXuB Tq7ujMDDTTB6iZ3cR6dfhugPFi3DsgpPLP7IZ6QHbqSo44kXf4hmh+v/90XSGc+l9gO84RoxLcBU RiSQExxdD/fVejEQTjJUvL0eQs8Ei2Ds1uOnV13mB6yxHt5/y1YGArdC3Wj9StKzRGRQ9jY64y5y kTAE9oll2GxPuMqcDaeyydGpNQZy8U8hYpom033t/Q+Vr8MV9kvi0gMTauAcwRA8z58lm7oTVsQQ ZkXq2WdN58JUWFbKXlkKLoji5jdvORf3dFc6y8ppZJt3gA1CPcOmFmQxi70Oe6Ab9t+LF7THhG9L cRSxBPPAUWzNtAZRufS9hIqAA8vYSR+nCOgmg5+fFTtP7DTHQZH6srx5dIpkIwLHxD3xcWNJq7n2 u5zIy5JdksNE+Cajz32WjgrXJkPq8A9kTOsKcb3KEeVOXrwT2sGFJxItNU2KgJDhs9w8TG+yGiQc lsoNfcCle6gU6FfvXJfT1TFteaJ9Dm5oX/h0711xCOO2Hte2cKW2RxpR0z1xD5bWUax3GBc1TiQc iyXtkxTabbV4E1L9yQAxKsZpU0GCyaoDC8zvrpvbqwPTKfBC4qGBQlU+K23SiLNV8rpgy9qbHOXg 5QT2DDipbQPOGSVU/12EOL9mNUQf6EJfFE6nyk8w99FHLc/enSQDDjnD/ogB+AlcJULAXcjqcWYj L1hAn+JP/ZCZTzx3kL75BesAPbvpZ3PDrKOJNdAZmrnoM5fnlUs9Pb2iVTJwL04bmuyc8in0w8rT ep0mDlpzeVpD6MxGQCS+ULhbusyKvM5BJ8ygLuEPaN1JIpERge7Ttr9ZioXem3oSGzvLhBQ9YAB8 LB3ToOhhWRTugY0kQzu1lirjtH+jzQ9QSs4+pffCNPE2YTQUtBfekJfgBVQS/lwgxvTQ+MnbUTPp ZJjc8MQQGRCALZ811nfoyf22bZ7b67azU+55rI2JmTdZeGMo8ngdWefm8LXIu+3b3KA4UYet3F5m v1Z+1Eo4g/Lovy8+XZCXJ+JXV34qwP6DD1/UGOUJw4YYpGAo8pDxOjDX4V7KucTDgHqNo9WrkO69 gs88v/kFproAnLNo2ubm1bwghYxDdxQKsxGE+fdlifbXrJWKEH18whd5FKhcG/7tQDBUdrkNbkXR fIj9PqU5hGhKdCRkj460HBTm4B05YqjFxX3MhhJ9dErTzBHzNGgoXV0QScXIGfMR6hCWcwcQzc07 xByMkvNXaxKGlbdrHU4TGdkfNBa9///txPZUyGbZLtBftZ9WX2T2JiYDRjRrNyDxxmQ64RmoCMgw QOFjVIQ7SMrp0LritV3vprE7f5VUpmkjrQ/A1DlyewSr2Y7Ko7+29dplebNQrp6OWuxrpmS7dy75 9afzr+BddFXhYmBixE0vcdugkVj5C3srUbeeiYIngHiMF4j67UdBuBRnvE5KwuQclFbw2D2CIHgN JYOpp0fFEJvCHxxdhFtldbnOpnfeSAumnWK8w6HtM1NoLmiBxJdgst27klndadE3yYW85A8bYmZo 5awZDc2xCTkOYye1SQ5F0JBq02yZ7pf/0tWNiwEnQ//H+M/71jilW/aKPbCo8Ufk3V7FRIRreNSx smcshRUip/9PxNpZH8xwGrNt+4MhGr2YNDFcvImonGYFT9Z4IzPvPdf1Fb8LUCzfqP6a8BLAsK6j EFrl7RuWancDPI03vtFhnHfpR44igMkW9cOrf47bKvmCU9iTJogwzA9Lkn+eAdJsbW8Tq2M3N0Nc sR6JKcCIG+t+UhF8aknsZ4C4GYUMYCaqkh/mMW0zkGO2utFqtKBGdKIC9ctDomvQn8I4RNdD8wWV DVTyVaSYnIaJkmEtINv/yNkA+JP1OjnDdRsm+fuutXITXop5YfDbqdkuSWbicwHngyxereppNddp QCBEjxpbjW9Rd2Px9cXLh/0OcR7Mszw+VzOSZzP1DB07/9aXWzcYusof46uFgnAjt8g6UhngFRq/ Qj535tDW6EEAlWsoW3gtp6+zLWqSOAy2lPf9csqvLRlSYVlVNQzdI7fWtEjE51IinjRmCaQHqrdk TFJFUz7q2SoHYPYb3v7MLJOdmJ8AVVcNSHIm9M763LdX/63ADkI2IYVwPF7+jfACL76jPqeB3smE mgAv0uEdPHYeFkmS9gQC84vUYewoxQy6hsRLGPVnDR4IiyNtNxwtY5+doomVutjl1TUn3L+YHVeN n34niX7+ihBXUXVnttIGZk3MOVPpxEPgr29rolvWJ+lIeYb6ShSQQbuvGrkSyG6hnBJmKl8GCrCx h1CKXqsEKXOqJ4v3t+hrOLUKa3V/fd458QM6otCcYihEDmkBtKFeRHcNcbvxPiMIbNRKYeZapXsz 0/j03y7jiqMCWjCgMO/keB2HLIdw16ie+wTu1M06ABX7gZQH3OxQhsi8hqT9raNK9lPAEt2UuI9z y7gyqzbQmH4cGU9aeqwXQ8+LUXmge6Jt9oJ+xvm2eezbU9q/G4FXZMP31iMRo9iue71C1EjS6+VZ aBEdi0sGPjBGSEy/JAb62diyvnlieIKLga9EIXio1hYNkLoQToNgl5VAJPwnkanXCogC8G06obwV YWcudtVB6SH4G9DXjUp3beOEE4Ziwg6AjV/+R/cWNjfeoCSpipu64i8gupePPdsykp/X+w+OFHI4 fIDwlLrhAs+9YPVh381LobSD7dRSR8+64Hhid4zEHykb1t7F67FSX2PmVk4XY/CIEUnA2bVGn8Il MbjBWokGrmtM5A/xvbHxPnruvEoOXFtumSaoBNiDZCS+JSFqIoxN3Xpmf4wuye5BSmdwWBo/6mVj D1UE+wt4jBmiZaR4PH0UbfvXirZd1XXxJzzWndFLEpzk91HUXw14bBcaO+pGDTiAVhPFHPIbEMp7 nExmpGc7pgc+d8Or0PRztoA6XKPH6XwNoJUJYzwF0GHcPog1RuYinWKqDknjBGlxibY0MBuRM4sy YjwILomZU0eI4L+GSuKGgXOckxlo2hgxouLMzJG7FuAua4Ou7t7guvxcUOpIsy609aNzPp7LrlLh TStUm6PNh33jYTrC0Ebo9uzMbAoM8uEAD0wgU1sbj4GDzspvBuJtDvPPaHL7pQ/f3TTE3bDZsX8w LjLQTqbR0uXr6i/4FLgn5mlqKWd2G/9QfvNgZJv319DTsq26q7OP9sYaOu1qbd0ebQPwLh3HW03P XXCxQcO2RxYxqMUvICDP1/shifXDCYBgk/YIyjRF3GxqymiJt4JKP1ZIKFl8laBRQ83DtxWYqzzB pwIMXR/OnZoqgsaUriR/mYuCOb8eoFhUEsSCnWu/+dNpvYforYBj/PmlQXbEn3T0jUlxOhnTcOnG CRNVfFIADy2pep93hDE3LNB/lcO3Aq+kZiZ/PstPLLbkNpl3m8c+1CqJmDg4V2s4Ojf60n3tbhID zhTnomXPTzDPZFR3437BMfC7P16u/DiNNdW4W1q7BCSk21+zCxOCE5lclqFuBDqLzskeDsGIn09n 02VuVWV3aXhfdZnsfya2/tsg8vELjoW7TDFvpB+rlDgTgk3lV/F5GlM7eSjJCgojbfQmrrJzDM4F IxpNQ9FAV+nBurVCAW7YXGTx7riGTUMwinRW8UFJGHh58RO63H3+XngXcd0kF8J+0yJR8JT7+do1 QcLbIrpY2cjrfnfBycTWd2kW/eg7ZSF+hoRQOH2weQPqn6yGXrIDqHa3rMFH3cA/TOkd9URtRy4/ b2ZonzhOV4rSRmi91aVV0YIQicyawCUDdg8D954flIN4AgT0zCYtBo9DWUsFEUH3aDu413R0K/K/ MvB980WnqNo2P/lmqgo4Oi9QTWZkatpgkL63FnAZKfpha3vAVvQCliVn+Ih+weYTitMn4S2tYMs8 NyBKoQ5abafVThQ1H5MfIALk8PBumfqW5znRL49BnDj+4aNFe5AuoDFVvHagIYPhkz3Smn28iG8o 3v0UOLFPczt3fs2I8qsX6+6cXjvCkKdoVOPWXd4dUOaxIFA/rV4p6HooBodXKtwgXjgwL0lDi+hY Cujpsy/2O589EWH5lT9Un8yMRRDJoB92X0dg27ugeeUINEN30hYAyoF3PpPOKDap/NKKt3sXvb+E oRaEI5TRqM7QbwGkXKkJLJkeI/Fd9Ii7zips6hOO75uglX50DHHOmQKGPrLGjRhJQ9SC/G6yEl/C t/aacoiSmU7f4qUynETRN+ywHDkF/n9mZJfts9kCVMZiyQboiPCXADNKUKUkh/aaPXjavlX5F8wz A4Fqh03dJML5GA8WYcv/ipJ3+WyC8XvYSXOugWKfn0KPrkhma6CbE4ffz9+Jxx5UwghAGC4GqyG1 O/es70FhUAl00tw5ITc91W2nbYHyq1MMQz9EbvAxYpfJV9Oy4MSkEjkffUhX `protect end_protected
mit
897e3b7a6e560d4e19109ebd8bdee870
0.955071
1.809284
false
false
false
false
ILoveSpeccy/Aeon-Lite
cores/korvet/src/chram/chram.vhd
1
15,415
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.numeric_std.all; entity chram is Port ( CLK : in std_logic; CHRAM_A : in std_logic_vector(9 downto 0); CHRAM_WR : in std_logic; CHRAM_DI : in std_logic_vector(8 downto 0); CHRAM_DO : out std_logic_vector(8 downto 0); CHRAM_VA : in std_logic_vector(9 downto 0); CHRAM_VD : out std_logic_vector(8 downto 0) ); end chram; architecture rtl of chram is subtype word_t is std_logic_vector(8 downto 0); type memory_t is array(0 to 1023) of word_t; shared variable ram : memory_t := ( "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "001000011", "001010000", "000101111", "001001101", "000101101", "000111000", "000110000", "000100000", "000100000", "001110110", "000101110", "000100000", "000110010", "000101110", "000110010", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "011101111", "011100110", "011110000", "000100000", "000100000", "011101110", "011101001", "011101001", "011110001", "011100110", "000100000", "000100000", "011101101", "011100111", "011110101", "000100000", "000100000", "001000010", "001001001", "001001111", "001010011", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "001010110", "001100101", "001110010", "000101110", "000100000", "000110001", "000101110", "000110010", "000100000", "000101000", "001100011", "000101001", "000100000", "001001001", "001001001", "001001001", "000100000", "000110001", "000111001", "000111000", "000111000", "000100000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "001000001", "000111110", "001000100", "001001001", "001010010", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "001000001", "000111010", "000100000", "001000010", "001001001", "001001110", "001000001", "001001100", "001000101", "001001110", "001000100", "000100000", "001000011", "001001111", "001001101", "000100000", "000111010", "000100000", "001000011", "001001000", "001000001", "001010011", "001000101", "001010010", "000100000", "000100000", "000100000", "001000011", "001001111", "001001101", "000100000", "000111010", "000100000", "001001010", "001000001", "001001101", "001010000", "001000101", "001010010", "000100000", "000100000", "000100000", "001000011", "001001111", "001001101", "000100000", "000111010", "000100000", "001001011", "001001100", "001000001", "001000100", "000110001", "000100000", "000100000", "000100000", "000100000", "001000011", "001001111", "001001101", "000000000", "000000000", "000000000", "000000000", "001000001", "000111010", "000100000", "001001101", "001000001", "001000110", "001001001", "001000001", "000100000", "000100000", "000100000", "000100000", "001000011", "001001111", "001001101", "000100000", "000111010", "000100000", "001010000", "001000001", "001000011", "001001101", "001000001", "001001110", "000100000", "000100000", "000100000", "001000011", "001001111", "001001101", "000100000", "000111010", "000100000", "001010000", "001001111", "001010000", "001001011", "001001111", "001010010", "001001110", "000100000", "000100000", "001000011", "001001111", "001001101", "000100000", "000111010", "000100000", "001010011", "001001111", "001001011", "001001111", "000100000", "000100000", "000100000", "000100000", "000100000", "001000011", "001001111", "001001101", "000000000", "000000000", "000000000", "000000000", "001000001", "000111010", "000100000", "001010011", "001010100", "001000001", "001001100", "001001011", "001000101", "001010010", "000100000", "000100000", "001000011", "001001111", "001001101", "000100000", "000111010", "000100000", "001011000", "001011001", "001011010", "001001111", "001001110", "000100000", "000100000", "000100000", "000100000", "001000011", "001001111", "001001101", "000100000", "000111010", "000100000", "001011010", "001010111", "000100000", "000100000", "000100000", "000100000", "000100000", "000100000", "000100000", "001000011", "001001111", "001001101", "000100000", "000111010", "000100000", "001000110", "001000001", "001001001", "001010010", "000100000", "000100000", "000100000", "000100000", "000100000", "001000011", "001001111", "001001101", "000000000", "000000000", "000000000", "000000000", "001000001", "000111010", "000100000", "001000010", "001001111", "001001101", "001000010", "001000101", "001010010", "000100000", "000100000", "000100000", "001000011", "001001111", "001001101", "000100000", "000111010", "000100000", "001000011", "001001111", "001001100", "001001111", "001010010", "001000010", "001000001", "001001100", "000100000", "001000011", "001001111", "001001101", "000100000", "000111010", "000100000", "001000110", "001001001", "001010110", "001010100", "001000101", "001000101", "001001110", "000100000", "000100000", "001000011", "001001111", "001001101", "000100000", "000111010", "000100000", "001010011", "001000001", "001001101", "001001111", "001010110", "001000001", "001010010", "000100000", "000100000", "001000011", "001001111", "001001101", "000000000", "000000000", "000000000", "000000000", "001000001", "000111010", "000100000", "001011000", "001000001", "001010010", "001010100", "000100000", "000100000", "000100000", "000100000", "000100000", "001000011", "001001111", "001001101", "000100000", "000111010", "000100000", "001000001", "001001110", "001010100", "001001111", "001001110", "000100000", "000100000", "000100000", "000100000", "001000011", "001001111", "001001101", "000100000", "000111010", "000100000", "001010010", "001000001", "001001100", "001001100", "001011001", "000100000", "000100000", "000100000", "000100000", "001000011", "001001111", "001001101", "000100000", "000111010", "000100000", "001010100", "001000101", "001010100", "001010010", "001001001", "001010011", "000100000", "000100000", "000100000", "001000011", "001001111", "001001101", "000000000", "000000000", "000000000", "000000000", "001000001", "000111010", "000100000", "001000010", "001000001", "001010010", "001010011", "000100000", "000100000", "000100000", "000100000", "000100000", "001000011", "001001111", "001001101", "000100000", "000111010", "000100000", "001000011", "001001001", "001010010", "001000011", "001010101", "001010011", "000100000", "000100000", "000100000", "001000011", "001001111", "001001101", "000100000", "000111010", "000100000", "001000011", "001001001", "001010010", "001000011", "001010101", "001010011", "000100000", "000100000", "000100000", "001000100", "001000001", "001010100", "000100000", "000111010", "000100000", "001000011", "001001001", "001010010", "001000011", "001010101", "001010011", "000100000", "000100000", "000100000", "001010000", "001000011", "000110001", "000000000", "000000000", "000000000", "000000000", "001000001", "000111010", "000100000", "001000011", "001001001", "001010010", "001000011", "001010101", "001010011", "000110000", "000100000", "000100000", "001010010", "001000101", "001011010", "000100000", "000111010", "000100000", "001000011", "001001001", "001010010", "001000011", "001010101", "001010011", "000110001", "000100000", "000100000", "001010010", "001000101", "001011010", "000100000", "000111010", "000100000", "001000011", "001001001", "001010010", "001000011", "001010101", "001010011", "000110100", "000100000", "000100000", "001010010", "001000101", "001011010", "000100000", "000111010", "000100000", "001000011", "001001001", "001010010", "001000011", "001010101", "001010011", "000110101", "000100000", "000100000", "001010010", "001000101", "001011010", "000000000", "000000000", "000000000", "000000000", "001000001", "000111010", "000100000", "001000011", "001001001", "001010010", "001000011", "001010101", "001010011", "000111001", "000100000", "000100000", "001010010", "001000101", "001011010", "000100000", "000111010", "000100000", "001010000", "001000001", "001000111", "001000001", "001001110", "001001001", "001001110", "001001001", "000100000", "001000011", "001001111", "001001101", "000100000", "000111010", "000100000", "001010011", "001010000", "001001111", "001010010", "001010100", "000100000", "000100000", "000100000", "000100000", "001000011", "001001111", "001001101", "000100000", "000111010", "000100000", "001000111", "001000001", "001001100", "001000001", "001011000", "001001001", "001000001", "001001110", "000100000", "001000011", "001001111", "001001101", "000000000", "000000000", "000000000", "000000000", "001000001", "000111010", "000100000", "001010011", "001001111", "001001111", "000100000", "000100000", "000100000", "000100000", "000100000", "000100000", "001000011", "001001111", "001001101", "000100000", "000111010", "000100000", "001001110", "001001001", "001001110", "001001010", "001000001", "000100000", "000100000", "000100000", "000100000", "001000011", "001001111", "001001101", "000100000", "000111010", "000100000", "001001011", "000110010", "000100000", "000100000", "000100000", "000100000", "000100000", "000100000", "000100000", "001000011", "001001111", "001001101", "000100000", "000111010", "000100000", "001010100", "001010010", "001000101", "001000001", "001010011", "000100000", "000100000", "000100000", "000100000", "001000011", "001001111", "001001101", "000000000", "000000000", "000000000", "000000000", "001000001", "000111110", "100000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000", "000000000" ); begin process(CLK) begin if rising_edge(CLK) then if CHRAM_WR = '1' then ram(to_integer(unsigned(CHRAM_A))) := CHRAM_DI; else CHRAM_DO <= ram(to_integer(unsigned(CHRAM_A))); end if; end if; end process; process(CLK) begin if rising_edge(CLK) then CHRAM_VD <= ram(to_integer(unsigned(CHRAM_VA))); end if; end process; end rtl;
gpl-3.0
f4750163f94012519928e1553117bf29
0.637561
4.352061
false
false
false
false
ILoveSpeccy/Aeon-Lite
cores/atari800xl/src/components/delay_line.vhdl
1
1,449
--------------------------------------------------------------------------- -- (c) 2013 mark watson -- I am happy for anyone to use this for non-commercial use. -- If my vhdl files are used commercially or otherwise sold, -- please contact me for explicit permission at scrameta (gmail). -- This applies for source and binary form and derived works. --------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.all; use ieee.numeric_std.all; ENTITY delay_line IS generic(COUNT : natural := 1); PORT ( CLK : IN STD_LOGIC; SYNC_RESET : IN STD_LOGIC; DATA_IN : IN STD_LOGIC; ENABLE : IN STD_LOGIC; -- i.e. shift on this clock RESET_N : IN STD_LOGIC; DATA_OUT : OUT STD_LOGIC ); END delay_line; ARCHITECTURE vhdl OF delay_line IS signal shift_reg : std_logic_vector(COUNT-1 downto 0); signal shift_next : std_logic_vector(COUNT-1 downto 0); BEGIN -- register process(clk,reset_n) begin if (reset_N = '0') then shift_reg <= (others=>'0'); elsif (clk'event and clk='1') then shift_reg <= shift_next; end if; end process; -- shift on enable process(shift_reg,enable,data_in,sync_reset) begin shift_next <= shift_reg; if (enable = '1') then shift_next <= data_in&shift_reg(COUNT-1 downto 1); end if; if (sync_reset = '1') then shift_next <= (others=>'0'); end if; end process; -- output data_out <= shift_reg(0) and enable; END vhdl;
gpl-3.0
920fe628399c96d88e3890ede91c69cb
0.599034
3.22
false
false
false
false
APastorG/APG
rotator/rotator.vhd
1
6,127
/*************************************************************************************************** / / Author: Antonio Pastor González / ¯¯¯¯¯¯ / / Date: / ¯¯¯¯ / / Version: / ¯¯¯¯¯¯¯ / / Notes: / ¯¯¯¯¯ / This design makes use of some features from VHDL-2008, all of which have been implemented in / Xilinx's Vivado / A 3 space tab is used throughout the document / / / Description: / ¯¯¯¯¯¯¯¯¯¯¯ / **************************************************************************************************/ library ieee; use ieee.numeric_std.all; use ieee.std_logic_1164.all; use ieee.math_real.all; library work; use work.common_pkg.all; use work.common_data_types_pkg.all; use work.fixed_generic_pkg.all; use work.fixed_float_types.all; use work.complex_const_mult_pkg.all; /*================================================================================================*/ /*================================================================================================*/ /*================================================================================================*/ entity rotator is generic( SPEED_opt : T_speed := t_exc; --exception: value not set ROUND_STYLE_opt : T_round_style := fixed_truncate; --default ROUND_TO_BIT_opt : integer_exc := integer'low; --exception: value not set MAX_ERROR_PCT_opt : real_exc := real'low; --exception: value not set MIN_OUTPUT_BIT : integer_exc := integer'low; --exception: value not set MAX_OUTPUT_BIT : integer_exc := integer'low; --exception: value not set ANGLE_DEGREES : real --compulsory ); port( input_real : in std_ulogic_vector; input_imag : in std_ulogic_vector; clk : in std_ulogic; valid_input : in std_ulogic; output_real : out std_ulogic_vector; output_imag : out std_ulogic_vector; valid_output : out std_ulogic ); end entity; /*================================================================================================*/ /*================================================================================================*/ /*================================================================================================*/ architecture rotator_1 of rotator is --assumed that both real and imag inputs have the same size constant NORM_IN_HIGH : integer := input_real'high - SULV_NEW_ZERO; constant NORM_IN_LOW : integer := input_real'low - SULV_NEW_ZERO; --constant CHECKS : integer := real_const_mult_CHECKS(input'high, -- input'low, -- UNSIGNED_2COMP_opt, -- ROUND_TO_BIT_opt, -- MAX_ERROR_PCT_opt, -- MULTIPLICANDS); constant NORM_OUT_HIGH : integer := complex_const_mult_OH(ROUND_STYLE_opt, ROUND_TO_BIT_opt, MAX_ERROR_PCT_opt, MAX_OUTPUT_BIT, (1 => cos(ANGLE_DEGREES*MATH_PI/180.0), 2 => sin(ANGLE_DEGREES*MATH_PI/180.0)), NORM_IN_HIGH, NORM_IN_LOW, is_signed => true); constant NORM_OUT_LOW : integer := complex_const_mult_OL(ROUND_STYLE_opt, ROUND_TO_BIT_opt, MAX_ERROR_PCT_opt, MIN_OUTPUT_BIT, (1 => cos(ANGLE_DEGREES*MATH_PI/180.0), 2 => sin(ANGLE_DEGREES*MATH_PI/180.0)), NORM_IN_LOW, is_signed => true); constant OUT_HIGH : natural := NORM_OUT_HIGH + SULV_NEW_ZERO; constant OUT_LOW : natural := NORM_OUT_LOW + SULV_NEW_ZERO; signal aux_input_real_S : u_Sfixed(NORM_IN_HIGH downto NORM_IN_LOW); signal aux_input_imag_S : u_Sfixed(NORM_IN_HIGH downto NORM_IN_LOW); signal aux_output_real_S : u_Sfixed(NORM_OUT_HIGH downto NORM_OUT_LOW); signal aux_output_imag_S : u_Sfixed(NORM_OUT_HIGH downto NORM_OUT_LOW); /*================================================================================================*/ /*================================================================================================*/ begin aux_input_real_s <= to_sfixed(input_real, aux_input_real_s); aux_input_imag_s <= to_sfixed(input_imag, aux_input_imag_s); rotator_s_1: entity work.rotator_s generic map( SPEED_opt => SPEED_opt, ROUND_STYLE_opt => ROUND_STYLE_opt, ROUND_TO_BIT_opt => ROUND_TO_BIT_opt, MAX_ERROR_PCT_opt => MAX_ERROR_PCT_opt, MIN_OUTPUT_BIT => MIN_OUTPUT_BIT, MAX_OUTPUT_BIT => MAX_OUTPUT_BIT, ANGLE_DEGREES => ANGLE_DEGREES ) port map( input_real => aux_input_real_s, input_imag => aux_input_imag_s, clk => clk, valid_input => valid_input, output_real => aux_output_real_s, output_imag => aux_output_imag_s, valid_output => valid_output ); output_real <= to_std_ulogic_vector(aux_output_real_s); output_imag <= to_std_ulogic_vector(aux_output_imag_s); end architecture;
mit
4281e4d514d3db24279abeaa604f18d0
0.389135
4.506657
false
false
false
false
ILoveSpeccy/Aeon-Lite
cores/lvov-pk02-mips/src/DP_SRAM/dp_sram.vhd
1
8,009
library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_unsigned.all; use IEEE.numeric_std.ALL; entity dp_sram is port ( -- CLOCK CLK : in std_logic; -- 32MHz nRESET : in std_logic; -- PORT A DI_A : in STD_LOGIC_VECTOR(7 downto 0); DO_A : inout STD_LOGIC_VECTOR(7 downto 0); ADDR_A : in STD_LOGIC_VECTOR(17 downto 0); nWE_A : in std_logic; nCS_A : in std_logic; nOE_A : in std_logic; nWAIT_A : out std_logic; -- PORT B DI_B : in STD_LOGIC_VECTOR(31 downto 0); DO_B : inout STD_LOGIC_VECTOR(31 downto 0); ADDR_B : in STD_LOGIC_VECTOR(31 downto 2); nWE_B : in std_logic; nCS_B : in std_logic; nOE_B : in std_logic; WAIT_B : out std_logic; MEM_MASK_B : in STD_LOGIC_VECTOR(3 downto 0); -- SRAM SRAM_A : out std_logic_vector(17 downto 0); SRAM_D : inout std_logic_vector(15 downto 0); SRAM_WE : out std_logic; SRAM_OE : out std_logic; SRAM_CE0 : out std_logic; SRAM_CE1 : out std_logic; SRAM_LB : out std_logic; SRAM_UB : out std_logic ); end dp_sram; architecture dp_sram_arch of dp_sram is --"00" = big_endian; "11" = little_endian constant ENDIAN_MODE : std_logic_vector(1 downto 0) := "11"; -- FSM States type STATE_TYPE is ( IDLE, ST_READ1_A, ST_WRITE1_A, ST_READ1_B, ST_READ2_B, ST_WRITE1_B, ST_WRITE2_B, ST_WRITE3_B ); signal STATE : STATE_TYPE := IDLE; signal A_LOCK : std_logic; signal B_LOCK : std_logic; signal nWAIT_B : std_logic; signal nCS_B_L : std_logic; signal nWE_B_L : std_logic; signal MEM_MASK_B_L : STD_LOGIC_VECTOR(3 downto 0); signal DI_B_L : STD_LOGIC_VECTOR(31 downto 0); signal ADDR_B_L : STD_LOGIC_VECTOR(31 downto 2); begin nWE_B_L <= nWE_B when falling_edge (nCS_B); MEM_MASK_B_L <= MEM_MASK_B when falling_edge (nCS_B); DI_B_L <= DI_B when falling_edge (nCS_B); ADDR_B_L <= ADDR_B when falling_edge (nCS_B); WAIT_B <= (not nCS_B) or (not nWAIT_B); CS_B_LATCH: entity work.D_Flip_Flop PORT MAP( rst => not nCS_B, pre => not nRESET, ce => nWAIT_B, d => '1', q => nCS_B_L ); process (CLK) begin if rising_edge(CLK) then if nRESET = '0' then STATE <= IDLE; nWAIT_A <= '1'; nWAIT_B <= '1'; A_LOCK <= '0'; B_LOCK <= '0'; SRAM_WE <= '1'; SRAM_OE <= '1'; SRAM_CE0 <= '1'; SRAM_CE1 <= '1'; SRAM_LB <= '1'; SRAM_UB <= '1'; SRAM_D <= (OTHERS=>'Z'); else if nCS_A = '1' then A_LOCK <= '0'; end if; if nCS_B = '1' then B_LOCK <= '0'; end if; if A_LOCK = '0' and nCS_A = '0' and STATE /= IDLE then nWAIT_A <= '0'; end if; if B_LOCK = '0' and nCS_B_L = '0' and (STATE /= IDLE or (STATE = IDLE and nCS_A = '0') ) then nWAIT_B <= '0'; end if; case STATE is when IDLE => if A_LOCK = '0' and nCS_A = '0' then nWAIT_A <= '0'; A_LOCK <= '1'; SRAM_CE0 <= '1'; SRAM_CE1 <= '0'; SRAM_LB <= '0'; SRAM_UB <= '1'; SRAM_A <= ADDR_A; if nWE_A = '1' then -- Read SRAM_OE <= '0'; STATE <= ST_READ1_A; else -- Write SRAM_WE <= '0'; SRAM_D(7 DOWNTO 0) <= DI_A; STATE <= ST_WRITE1_A; end if; else if nCS_B_L = '0' then nWAIT_B <= '0'; B_LOCK <= '1'; SRAM_CE0 <= '0'; SRAM_CE1 <= '1'; SRAM_A <= ADDR_B_L(18 downto 2) & '0'; if nWE_B_L = '1' then -- Read SRAM_UB <= '0'; SRAM_LB <= '0'; SRAM_OE <= '0'; STATE <= ST_READ1_B; else -- Write if (ENDIAN_MODE = "11" and (MEM_MASK_B_L(0) = '1' or MEM_MASK_B_L(1) = '1') ) or (ENDIAN_MODE = "00" and (MEM_MASK_B_L(2) = '1' or MEM_MASK_B_L(3) = '1') )then if ENDIAN_MODE = "11" then SRAM_D <= DI_B_L(15 downto 0); SRAM_UB <= not MEM_MASK_B_L(1); SRAM_LB <= not MEM_MASK_B_L(0); else SRAM_D <= DI_B_L(23 downto 16) & DI_B_L(31 downto 24); SRAM_UB <= not MEM_MASK_B_L(2); SRAM_LB <= not MEM_MASK_B_L(3); end if; SRAM_WE <= '0'; if (ENDIAN_MODE = "11" and (MEM_MASK_B_L(2) = '1' or MEM_MASK_B_L(3) = '1') ) or (ENDIAN_MODE = "00" and (MEM_MASK_B_L(0) = '1' or MEM_MASK_B_L(1) = '1') ) then STATE <= ST_WRITE1_B; else STATE <= ST_WRITE3_B; end if; else SRAM_A <= ADDR_B_L(18 downto 2) & '1'; if ENDIAN_MODE = "11" then SRAM_D <= DI_B_L(31 downto 16); SRAM_UB <= not MEM_MASK_B_L(3); SRAM_LB <= not MEM_MASK_B_L(2); else SRAM_D <= DI_B_L(7 downto 0) & DI_B_L(15 downto 8); SRAM_UB <= not MEM_MASK_B_L(0); SRAM_LB <= not MEM_MASK_B_L(1); end if; SRAM_WE <= '0'; SRAM_CE0 <= '0'; SRAM_CE1 <= '1'; STATE <= ST_WRITE3_B; end if; end if; end if; end if; when ST_READ1_A => DO_A <= SRAM_D(7 DOWNTO 0); nWAIT_A <= '1'; SRAM_D <= (OTHERS=>'Z'); SRAM_WE <= '1'; SRAM_OE <= '1'; SRAM_CE0 <= '1'; SRAM_CE1 <= '1'; SRAM_LB <= '1'; SRAM_UB <= '1'; STATE <= IDLE; when ST_WRITE1_A => nWAIT_A <= '1'; SRAM_D <= (OTHERS=>'Z'); SRAM_WE <= '1'; SRAM_OE <= '1'; SRAM_CE0 <= '1'; SRAM_CE1 <= '1'; SRAM_LB <= '1'; SRAM_UB <= '1'; STATE <= IDLE; when ST_READ1_B => if ENDIAN_MODE = "11" then DO_B(15 downto 0) <= SRAM_D; else DO_B(31 downto 16) <= SRAM_D(7 downto 0) & SRAM_D(15 downto 8); end if; SRAM_A <= ADDR_B(18 downto 2) & '1'; STATE <= ST_READ2_B; when ST_READ2_B => if ENDIAN_MODE = "11" then DO_B(31 downto 16) <= SRAM_D; else DO_B(15 downto 0) <= SRAM_D(7 downto 0) & SRAM_D(15 downto 8); end if; nWAIT_B <= '1'; SRAM_WE <= '1'; SRAM_OE <= '1'; SRAM_CE0 <= '1'; SRAM_CE1 <= '1'; SRAM_LB <= '1'; SRAM_UB <= '1'; SRAM_D <= (OTHERS=>'Z'); STATE <= IDLE; when ST_WRITE1_B => SRAM_UB <= '1'; SRAM_LB <= '1'; SRAM_WE <= '1'; SRAM_CE0 <= '1'; SRAM_CE1 <= '1'; STATE <= ST_WRITE2_B; when ST_WRITE2_B => SRAM_A <= ADDR_B_L(18 downto 2) & '1'; if ENDIAN_MODE = "11" then SRAM_D <= DI_B_L(31 downto 16); SRAM_UB <= not MEM_MASK_B_L(3); SRAM_LB <= not MEM_MASK_B_L(2); else SRAM_D <= DI_B_L(7 downto 0) & DI_B_L(15 downto 8); SRAM_UB <= not MEM_MASK_B_L(0); SRAM_LB <= not MEM_MASK_B_L(1); end if; SRAM_WE <= '0'; SRAM_CE0 <= '0'; SRAM_CE1 <= '1'; STATE <= ST_WRITE3_B; when ST_WRITE3_B => nWAIT_B <= '1'; SRAM_D <= (OTHERS=>'Z'); SRAM_WE <= '1'; SRAM_OE <= '1'; SRAM_CE0 <= '1'; SRAM_CE1 <= '1'; SRAM_LB <= '1'; SRAM_UB <= '1'; STATE <= IDLE; when OTHERS => STATE <= IDLE; end case; end if; end if; end process; end dp_sram_arch;
gpl-3.0
9074e11168ca367287c3f9f84674a7c0
0.424273
2.647603
false
false
false
false
APastorG/APG
counter/counter_tb.vhd
1
10,474
/*************************************************************************************************** / / Author: Antonio Pastor González / ¯¯¯¯¯¯ / / Date: / ¯¯¯¯ / / Version: / ¯¯¯¯¯¯¯ / / Notes: / ¯¯¯¯¯ / This design makes use of some features from VHDL-2008, all of which have been implemented by / Altera and Xilinx in their software. / A 3 space tab is used throughout the document / / / Description: / ¯¯¯¯¯¯¯¯¯¯¯ / This is a testbench generated for the counter module. / **************************************************************************************************/ library ieee; use ieee.math_real.all; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.fixed_pkg.all; library work; use work.common_data_types_pkg.all; use work.common_pkg.all; use work.counter_pkg.all; use work.tb_pkg.all; /*================================================================================================*/ /*================================================================================================*/ /*================================================================================================*/ entity counter_tb is end entity; /*================================================================================================*/ /*================================================================================================*/ /*================================================================================================*/ architecture counter_tb1 of counter_tb is /* types */ /**************************************************************************************************/ type T_overflow_behavior_tb is record value : T_overflow_behavior; is_defined : boolean; end record; type T_count_mode_tb is record value : T_count_mode; is_defined : boolean; end record; type T_set_reset_priority_tb is record value : T_set_reset_priority; is_defined : boolean; end record; /* constants */ /**************************************************************************************************/ constant UNSIGNED_2COMP_opt : boolean_tb := (true, true); constant OVERFLOW_BEHAVIOR_opt : T_overflow_behavior_tb := (t_wrap, false); --t_saturate, t_wrap constant COUNT_MODE_opt : T_count_mode_tb := (t_up, true); --t_up, t_down, t_input_signal constant COUNTER_WIDTH_dep : positive_exc_tb := (1, false); constant TARGET_MODE : boolean := true; constant TARGET_dep : integer_exc_tb := (1, true); constant TARGET_WITH_COUNT_opt : boolean_exc_tb := (t_false, true);--t_true, t_false constant TARGET_BLOCKING_opt : boolean_exc_tb := (t_false, true);--t_true, t_false constant USE_SET : boolean := true; constant SET_TO_dep : integer_exc_tb := (1, true); constant USE_RESET : boolean := true; constant SET_RESET_PRIORITY_opt : T_set_reset_priority_tb := (t_set, true);--t_set, t_reset constant USE_LOAD : boolean := false; constant used_UNSIGNED_2COMP_opt : boolean := ite(UNSIGNED_2COMP_opt.is_defined, UNSIGNED_2COMP_opt.value, false); constant used_OVERFLOW_BEHAVIOR_opt : T_overflow_behavior := ite(OVERFLOW_BEHAVIOR_opt.is_defined, OVERFLOW_BEHAVIOR_opt.value, t_wrap); constant used_COUNT_MODE_opt : T_count_mode := ite(COUNT_MODE_opt.is_defined, COUNT_MODE_opt.value, t_up); constant used_COUNTER_WIDTH_dep : positive_exc := ite(COUNTER_WIDTH_dep.is_defined, COUNTER_WIDTH_dep.value, 0); constant used_TARGET_dep : integer_exc := ite(TARGET_dep.is_defined, TARGET_dep.value, integer'low); constant used_TARGET_WITH_COUNT_opt : boolean_exc := ite(TARGET_WITH_COUNT_opt.is_defined, TARGET_WITH_COUNT_opt.value, t_exc); constant used_TARGET_BLOCKING_opt : boolean_exc := ite(TARGET_BLOCKING_opt.is_defined, TARGET_BLOCKING_opt.value, t_exc); constant used_SET_TO_dep : integer_exc := ite(SET_TO_dep.is_defined, SET_TO_dep.value, integer'low); constant used_SET_RESET_PRIORITY_opt : T_set_reset_priority := ite(SET_RESET_PRIORITY_opt.is_defined, SET_RESET_PRIORITY_opt.value, t_reset); /* signals */ /**************************************************************************************************/ --IN-- signal clk : std_ulogic := '1'; signal enable : std_ulogic := '0'; signal count_mode_signal : std_ulogic := '0'; signal set : std_ulogic := '0'; signal reset : std_ulogic := '0'; signal load : std_ulogic := '0'; signal value_to_load : std_ulogic_vector(counter_CIW(used_UNSIGNED_2COMP_opt, used_COUNTER_WIDTH_dep, TARGET_MODE, used_TARGET_dep, USE_SET, used_SET_TO_dep) downto 1); --OUT-- signal count : std_ulogic_vector(counter_CW(used_UNSIGNED_2COMP_opt, used_COUNTER_WIDTH_dep, TARGET_MODE, used_TARGET_dep, used_TARGET_WITH_COUNT_opt = t_true, USE_SET, used_SET_TO_dep) downto 1); signal count_is_target : std_ulogic_vector(ite(TARGET_MODE, 1, 0) downto 1); constant test1 : std_ulogic_vector := "101101"; constant test2 : std_ulogic_vector := "010010"; /*================================================================================================*/ /*================================================================================================*/ begin counter1: entity work.counter generic map( UNSIGNED_2COMP_opt => used_UNSIGNED_2COMP_opt, OVERFLOW_BEHAVIOR_opt => used_OVERFLOW_BEHAVIOR_opt, COUNT_MODE_opt => used_COUNT_MODE_opt, COUNTER_WIDTH_dep => used_COUNTER_WIDTH_dep, TARGET_MODE => TARGET_MODE, TARGET_dep => used_TARGET_dep, TARGET_WITH_COUNT_opt => used_TARGET_WITH_COUNT_opt, TARGET_BLOCKING_opt => used_TARGET_BLOCKING_opt, USE_SET => USE_SET, SET_TO_dep => used_SET_TO_dep, USE_RESET => USE_RESET, SET_RESET_PRIORITY_opt => used_SET_RESET_PRIORITY_opt, USE_LOAD => USE_LOAD ) port map( clk => clk, enable => enable, count_mode_signal => count_mode_signal, set => set, reset => reset, load => load, value_to_load => value_to_load, count => count, count_is_target => count_is_target ); -- pragma translate_off process (clk) begin clk <= not clk after 2 ps; end process; process (enable) begin enable <= not enable after 5 ps; end process; process begin count_mode_signal <= '1'; reset <= '1'; set <= '0'; load <= '0'; value_to_load <= (others => '1'); value_to_load(value_to_load'left) <= '0'; value_to_load(value_to_load'right) <= '0'; wait for 25 ps; reset <= '1'; set <= '1'; wait for 25 ps; reset <= '0'; set <= '0'; wait for 25 ps; reset <= '0'; set <= '1'; wait for 10 ps; reset <= '0'; set <= '0'; wait for 35 ps; count_mode_signal <= '0'; wait for 30 ps; load <= '1'; wait for 10 ps; load <= '0'; wait for 200 ps; wait; end process; -- pragma translate_on end architecture;
mit
20e2a04374e074458f7ecad2317d2712
0.345785
5.267407
false
false
false
false
sonologic/gmzpu
vhdl/testbenches/small1_tb.vhdl
1
6,355
------------------------------------------------------------------------------ ---- ---- ---- Testbench for the ZPU Small connection to the FPGA ---- ---- ---- ---- http://www.opencores.org/ ---- ---- ---- ---- Description: ---- ---- This is a testbench to simulate the ZPU_Small1 core as used in the ---- ---- *_small1.vhdl ---- ---- ---- ---- To Do: ---- ---- - ---- ---- ---- ---- Author: ---- ---- - Salvador E. Tropea, salvador inti.gob.ar ---- ---- ---- ------------------------------------------------------------------------------ ---- ---- ---- Copyright (c) 2008 Salvador E. Tropea <salvador inti.gob.ar> ---- ---- Copyright (c) 2008 Instituto Nacional de Tecnología Industrial ---- ---- ---- ---- Distributed under the BSD license ---- ---- ---- ------------------------------------------------------------------------------ ---- ---- ---- Design unit: Small1_TB(Behave) (Entity and architecture) ---- ---- File name: small1_tb.vhdl ---- ---- Note: None ---- ---- Limitations: None known ---- ---- Errors: None known ---- ---- Library: work ---- ---- Dependencies: IEEE.std_logic_1164 ---- ---- IEEE.numeric_std ---- ---- zpu.zpupkg ---- ---- zpu.txt_util ---- ---- work.zpu_memory ---- ---- Target FPGA: Spartan 3 (XC3S1500-4-FG456) ---- ---- Language: VHDL ---- ---- Wishbone: No ---- ---- Synthesis tools: N/A ---- ---- Simulation tools: GHDL [Sokcho edition] (0.2x) ---- ---- Text editor: SETEdit 0.5.x ---- ---- ---- ------------------------------------------------------------------------------ library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library zpu; use zpu.zpupkg.all; use zpu.txt_util.all; library work; use work.zpu_memory.all; entity Small1_TB is end entity Small1_TB; architecture Behave of Small1_TB is constant WORD_SIZE : natural:=32; -- 32 bits data path constant ADDR_W : natural:=18; -- 18 bits address space=256 kB, 128 kB I/O constant BRAM_W : natural:=15; -- 15 bits RAM space=32 kB constant D_CARE_VAL : std_logic:='0'; -- Fill value constant CLK_FREQ : positive:=50; -- 50 MHz clock constant CLK_S_PER : time:=1 us/(2.0*real(CLK_FREQ)); -- Clock semi period constant BRATE : positive:=115200; component ZPU_Small1 is generic( WORD_SIZE : natural:=32; -- 32 bits data path D_CARE_VAL : std_logic:='0'; -- Fill value CLK_FREQ : positive:=50; -- 50 MHz clock BRATE : positive:=115200; -- RS232 baudrate ADDR_W : natural:=16; -- 16 bits address space=64 kB, 32 kB I/O BRAM_W : natural:=15); -- 15 bits RAM space=32 kB port( clk_i : in std_logic; -- CPU clock rst_i : in std_logic; -- Reset break_o : out std_logic; -- Break executed dbg_o : out zpu_dbgo_t; -- Debug info rs232_tx_o : out std_logic; -- UART Tx rs232_rx_i : in std_logic; -- UART Rx gpio_in : in std_logic_vector(31 downto 0); gpio_out : out std_logic_vector(31 downto 0); gpio_dir : out std_logic_vector(31 downto 0) -- 1 = in, 0 = out ); end component ZPU_Small1; signal clk : std_logic; signal reset : std_logic:='1'; signal break : std_logic; signal dbg : zpu_dbgo_t; -- Debug info signal rs232_tx : std_logic; signal rs232_rx : std_logic; begin zpu : ZPU_Small1 generic map( WORD_SIZE => WORD_SIZE, D_CARE_VAL => D_CARE_VAL, CLK_FREQ => CLK_FREQ, BRATE => BRATE, ADDR_W => ADDR_W, BRAM_W => BRAM_W) port map( clk_i => clk, rst_i => reset, rs232_tx_o => rs232_tx, rs232_rx_i => rs232_rx, break_o => break, dbg_o => dbg, gpio_in => (others => '0')); trace_mod : Trace generic map( ADDR_W => ADDR_W, WORD_SIZE => WORD_SIZE, LOG_FILE => "small1_trace.log") port map( clk_i => clk, dbg_i => dbg, stop_i => break, busy_i => '0'); do_clock: process begin clk <= '0'; wait for CLK_S_PER; clk <= '1'; wait for CLK_S_PER; if break='1' then print("* Break asserted, end of test"); wait; end if; end process do_clock; do_reset: process begin wait until rising_edge(clk); reset <= '0'; end process do_reset; end architecture Behave; -- Entity: Small1_TB
bsd-3-clause
ab7bd77893d0f920ceab51f475644d64
0.348387
4.781791
false
false
false
false
ILoveSpeccy/Aeon-Lite
cores/atari800xl/src/a8core/gtia_priority.vhdl
1
4,492
--------------------------------------------------------------------------- -- (c) 2013 mark watson -- I am happy for anyone to use this for non-commercial use. -- If my vhdl files are used commercially or otherwise sold, -- please contact me for explicit permission at scrameta (gmail). -- This applies for source and binary form and derived works. --------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.all; use ieee.numeric_std.all; ENTITY gtia_priority IS PORT ( CLK : in std_logic; colour_enable : in std_logic; PRIOR : in std_logic_vector(7 downto 0); P0 : in std_logic; P1 : in std_logic; P2 : in std_logic; P3 : in std_logic; PF0 : in std_logic; PF1 : in std_logic; PF2 : in std_logic; PF3 : in std_logic; BK : in std_logic; P0_OUT : out std_logic; P1_OUT : out std_logic; P2_OUT : out std_logic; P3_OUT : out std_logic; PF0_OUT : out std_logic; PF1_OUT : out std_logic; PF2_OUT : out std_logic; PF3_OUT : out std_logic; BK_OUT : out std_logic ); END gtia_priority; ARCHITECTURE vhdl OF gtia_priority IS signal P01 : std_logic; signal P23 : std_logic; signal PF01 : std_logic; signal PF23 : std_logic; signal PRI01 : std_logic; signal PRI12 : std_logic; signal PRI23 : std_logic; signal PRI03 : std_logic; signal PRI0 : std_logic; signal PRI1 : std_logic; signal PRI2 : std_logic; signal PRI3 : std_logic; signal MULTI : std_logic; signal SP0 : std_logic; signal SP1 : std_logic; signal SP2 : std_logic; signal SP3 : std_logic; signal SF0 : std_logic; signal SF1 : std_logic; signal SF2 : std_logic; signal SF3 : std_logic; signal SB : std_logic; signal SP0_next : std_logic; signal SP1_next : std_logic; signal SP2_next : std_logic; signal SP3_next : std_logic; signal SF0_next : std_logic; signal SF1_next : std_logic; signal SF2_next : std_logic; signal SF3_next : std_logic; signal SB_next : std_logic; signal SP0_reg : std_logic; signal SP1_reg : std_logic; signal SP2_reg : std_logic; signal SP3_reg : std_logic; signal SF0_reg : std_logic; signal SF1_reg : std_logic; signal SF2_reg : std_logic; signal SF3_reg : std_logic; signal SB_reg : std_logic; begin -- Use actual GTIA logic... P01 <= P0 or P1; P23 <= P2 or P3; PF01 <= PF0 or PF1; PF23 <= PF2 or PF3; PRI0 <= prior(0); PRI1 <= prior(1); PRI2 <= prior(2); PRI3 <= prior(3); MULTI <= prior(5); PRI01 <= PRI0 or PRI1; PRI12 <= PRI1 or PRI2; PRI23 <= PRI2 or PRI3; PRI03 <= PRI0 or PRI3; SP0 <= P0 and not (PF01 and PRI23) and not (PRI2 and PF23); SP1 <= P1 and not (PF01 and PRI23) and not (PRI2 and PF23) and ( not P0 or MULTI); SP2 <= P2 and not P01 and not (PF23 and PRI12) and not (PF01 and not PRI0); SP3 <= P3 and not P01 and not (PF23 and PRI12) and not (PF01 and not PRI0) and ( not P2 or MULTI); SF0 <= PF0 and not (P23 and PRI0) and not (P01 and PRI01) and not SF3; SF1 <= PF1 and not (P23 and PRI0) and not (P01 and PRI01) and not SF3; SF2 <= PF2 and not (P23 and PRI03) and not (P01 and not PRI2) and not SF3; SF3 <= PF3 and not (P23 and PRI03) and not (P01 and not PRI2); SB <= not P01 and not P23 and not PF01 and not PF23; -- register process(clk) begin if (clk'event and clk='1') then SP0_reg <= SP0_next; SP1_reg <= SP1_next; SP2_reg <= SP2_next; SP3_reg <= SP3_next; SF0_reg <= SF0_next; SF1_reg <= SF1_next; SF2_reg <= SF2_next; SF3_reg <= SF3_next; SB_reg <= SB_next; end if; end process; -- need to register this to get same position as GTIA modes - i.e. two colour clocks after AN data received process(colour_enable,SP0_reg,SP1_reg,SP2_reg,SP3_reg,SF0_reg,SF1_reg,SF2_reg,SF3_reg,SB_reg,SP0,SP1,SP2,SP3,SF0,SF1,SF2,SF3,SB) begin SP0_next <= SP0_reg; SP1_next <= SP1_reg; SP2_next <= SP2_reg; SP3_next <= SP3_reg; SF0_next <= SF0_reg; SF1_next <= SF1_reg; SF2_next <= SF2_reg; SF3_next <= SF3_reg; SB_next <= SB_reg; if (colour_enable = '1') then SP0_next <= SP0; SP1_next <= SP1; SP2_next <= SP2; SP3_next <= SP3; SF0_next <= SF0; SF1_next <= SF1; SF2_next <= SF2; SF3_next <= SF3; SB_next <= SB; end if; end process; -- output P0_OUT <= SP0_reg; P1_OUT <= SP1_reg; P2_OUT <= SP2_reg; P3_OUT <= SP3_reg; PF0_OUT <= SF0_reg; PF1_OUT <= SF1_reg; PF2_OUT <= SF2_reg; PF3_OUT <= SF3_reg; BK_OUT <= SB_reg; end vhdl;
gpl-3.0
d4c7b0b9829cdec228574f6459194767
0.609305
2.429421
false
false
false
false
APastorG/APG
general/common_pkg.vhd
1
50,613
/*************************************************************************************************** / / Author: Antonio Pastor González / ¯¯¯¯¯¯ / / Date: / ¯¯¯¯ / / Version: / ¯¯¯¯¯¯¯ / / Notes: / ¯¯¯¯¯ / This design makes use of some features from VHDL-2008, all of which have been implemented in / Xilinx's Vivado / A 3 space tab is used throughout the document / / Description: / ¯¯¯¯¯¯¯¯¯¯¯ / This package contains general types, constants and functions oftenly used in other vhdl files / **************************************************************************************************/ library std; use std.textio.all; library ieee; use ieee.numeric_std.all; use ieee.std_logic_1164.all; use ieee.math_real.all; library work; use work.common_data_types_pkg.all; use work.fixed_generic_pkg.all; /*================================================================================================*/ /*================================================================================================*/ /*================================================================================================*/ package common_pkg is /* constants 0 */ /**************************************************************************************************/ --bit used as the first integer bit when representing a fixed point in a std_ulogic_vector. This -- value should belong to the interval [0, 2**30]. It is also the value of the maximum number -- of fractional values that will be representable constant SULV_NEW_ZERO : integer := 2**30; --maximum number of fractional bits that will be used when calculating a number like 1/3 in binary constant FRACTIONAL_LIMIT : natural := 15; --used paths: --directory where the vhdl sources are constant SOURCES_DIRECTORY : string := "C:\Users\Antonio\Desktop\Vivado_workspace\PFdC_vivado_project\PFdC_vivado\PFdC_vivado.srcs"; --directory where the solution .txt files are going to be stored constant DATA_FILE_DIRECTORY : string := "C:\Users\Antonio\Desktop\Vivado_workspace\PFdC_vivado_project\PFdC_vivado\PFdC_vivado.srcs\data_files"; --same as the later but with double backslash \\ constant DATA_FILE_DIRECTORY_M : string := "C:\\Users\\Antonio\\Desktop\\Vivado_workspace\\PFdC_vivado_project\\PFdC_vivado\\PFdC_vivado.srcs\\data_files"; --double backslash for Matlab --Active-HDL project directory constant ACTIVE_HDL_PROJECT_PATH : string := "C:\Users\Antonio\Active_HDL\Active_HDL_workspace\PFdC_activeHDL"; --the length of the names used to name the real_const_mult solution files constant FILE_NAME_LENGTH : positive := 16; --to control when to show debugging messages constant DEBUGGING : boolean := false; constant SEPARATOR_STR : string := "*************************"; /* if then else functions 1 */ /**************************************************************************************************/ function ite(cond : boolean; if_true, if_false : integer) return integer; function ite(cond : boolean; if_true, if_false : boolean) return boolean; function ite(cond : boolean; if_true, if_false : real) return real; function ite(cond : boolean; if_true, if_false : character) return character; function ite(cond : boolean; if_true, if_false : string) return string; function ite(cond : boolean; if_true, if_false : std_ulogic) return std_ulogic; function ite(cond : boolean; if_true, if_false : std_ulogic_vector) return std_ulogic_vector; function ite(cond : boolean; if_true, if_false : bit) return bit; function ite(cond : boolean; if_true, if_false : bit_vector) return bit_vector; function ite(cond : boolean; if_true, if_false : unsigned) return unsigned; function ite(cond : boolean; if_true, if_false : signed) return signed; function ite(cond : boolean; if_true, if_false : positive_exc) return positive_exc; function ite(cond : boolean; if_true, if_false : natural_exc) return natural_exc; function ite(cond : boolean; if_true, if_false : boolean_exc) return boolean_exc; function ite(cond : boolean; if_true, if_false : T_round_style) return T_round_style; function ite(cond : boolean; if_true, if_false : T_overflow_style) return T_overflow_style; function ite(cond : boolean; if_true, if_false : u_ufixed) return u_ufixed; function ite(cond : boolean; if_true, if_false : u_sfixed) return u_sfixed; function ite(cond : boolean; if_true, if_false : boolean_v) return boolean_v; /* math 2 */ /**************************************************************************************************/ --returns the ceiling of the log2 function log2ceil( number : positive) return integer; --returns the floor of the log2 function log2floor( number : positive) return integer; --returns the maximum of the two integers function maximum( a, b: integer) return integer; --returns the minimum of the two integers function minimum( a, b: integer) return integer; --reduces the numbe to an odd one via dividing by two until odd function reduce_to_odd( arg : positive) return positive; /* debugging 3 */ /**************************************************************************************************/ --shows a message, for debugging purposes procedure msg_debug(arg: in positive_v; separator: string); procedure msg_debug(arg: in string); /* image extraction 4 */ /**************************************************************************************************/ procedure separator; --returns the 'image attribute of integer type function image( sca: integer) return string; function real_rightest_dec_bit( number: real) return integer; function real_image_length( number : real) return integer; --returns the 'image attribute of positive_exc type function image( number : positive_exc) return string; --returns the 'image attribute of natural_exc type function image( number : natural_exc) return string; --returns the 'image attribute of boolean_exc type function image( bool : boolean_exc) return string; --returns the 'image attribute of T_round_style type function image( bool : T_round_style) return string; --returns the 'image attribute of real type function image( number: real) return string; --returns the 'image attribute of boolean type function image( sca: boolean) return string; --returns the 'image attribute of std_ulogic type function image( sca: std_ulogic) return string; --returns the 'image attribute of bit type function image( sca: bit) return string; --returns the 'image attribute of bit_vector type function image( vec : bit_vector) return string; --returns the 'image attribute of std_ulogic_vector type function image( vec : std_ulogic_vector) return string; --returns the 'image attribute of a T_csd type in the 0,1,-1 form function image( csd: T_csd) return string; --returns the 'image attribute of a u_ufixed type function image( u_u: u_ufixed) return string; --returns the 'image attribute of a u_sfixed type function image( u_s: u_sfixed) return string; /* vector manipulation 5 */ /**************************************************************************************************/ --calculates the absolute value of each real of the vector function "abs"( arg : real_v) return real_v; --returns the maximum positive in the vector function maximum( arg : positive_v) return positive; --returns the maximum integer in the vector function maximum( arg : integer_v) return integer; --returns the minimum integer in the vector function minimum( arg : integer_v) return integer; --returns the maximum real in the vector function maximum( arg : real_v) return real; --uses bubble sort in a vector of reals to order it from low to high function order( arg : real_v) return real_v; /* integer/binary conversion 6 */ /**************************************************************************************************/ --returns the minimum number of bits necessary to represent the number in signed/unsigned function min_bits( number : integer; is_signed : boolean) return natural; --returns the minimum number of bits necessary to represent the number assuming the use of -- unsigned for natural numbers and signed for negative function min_bits( number : integer) return natural; ---------------------------------------------------------------------------------------------------- function error_pct( A : real; B : real) return real; --returns the smallest representation of a real number in u_ufixed form. The fractional bits are -- limited by the constant FRACTIONAL_LIMIT. function to_ufixed( number : real; max_error_pct : real := 0.0; round_style : T_round_style := fixed_truncate) return u_ufixed; --returns the smallest representation of a real number in u_sfixed form. The fractional bits are -- limited by the constant FRACTIONAL_LIMIT. function to_sfixed( number : real; max_error_pct : real := 0.0; round_style : T_round_style := fixed_truncate) return u_sfixed; ---------------------------------------------------------------------------------------------------- --returns the std_ulogic_vector of the desired length that represents the number in signed/ -- unsigned. It asserts the desired length is enough, and that it is signed if number negative function sulv_from_int( number : integer; is_signed : boolean; length : positive) return std_ulogic_vector; --returns the std_ulogic_vector of minimum length that represents the number in signed/unsigned function sulv_from_int( number : integer; is_signed : boolean) return std_ulogic_vector; --returns the the std_ulogic_vector of minimum length that represents the number, assuming -- signed for negative numbers and unsigned for the rest function sulv_from_int( number : integer) return std_ulogic_vector; --returns the canonical signed digit of the input vector. It returns a type T_csd which is an -- array of length 2-slv. It encodes three values 0, 1, and -1 as "00","01",and "11" -- respectively. If the parameter is_signed is ignored it is assumed the vector represents a -- positive value. function to_csd( vector : u_ufixed) return T_csd; function to_csd( vector : u_sfixed) return T_csd; ---------------------------------------------------------------------------------------------------- --returns the maximum signed that can be represented function max_vec( vector : signed) return signed; --returns the minimum signed that can be represented function min_vec( vector : signed) return signed; --returns the maximum unsigned that can be represented function max_vec( vector : unsigned) return unsigned; --returns the minimum unsigned that can be represented function min_vec( vector : unsigned) return unsigned; --returns the maximum signed(as slv) that can be represented function max_vec( vector : signed) return std_ulogic_vector; --returns the minimum signed(as slv) that can be represented function min_vec( vector : signed) return std_ulogic_vector; --returns the maximum unsigned(as slv) that can be represented function max_vec( vector : unsigned) return std_ulogic_vector; --returns the minimum unsigned(as slv) that can be represented function min_vec( vector : unsigned) return std_ulogic_vector; --returns the maximum std_ulogic_vector that can be represented function max_vec( vector : std_ulogic_vector; signed_data : boolean) return std_ulogic_vector; --returns the minimum std_ulogic_vector that can be represented function min_vec( vector : std_ulogic_vector; signed_data : boolean) return std_ulogic_vector; /* arithmetic operators 7 */ /**************************************************************************************************/ --several functions to complete the functionality of the numeric_std package's -- operators "+" and "-" so they return std_ulogic_vectors as well function "+"( vector : unsigned; number : integer) return std_ulogic_vector; function "+"( vector : signed; number : integer) return std_ulogic_vector; function "+"( vector1 : unsigned; vector2 : unsigned) return std_ulogic_vector; function "+"( vector1 : signed; vector2 : signed) return std_ulogic_vector; function "-"( vector : unsigned; number : integer) return std_ulogic_vector; function "-"( vector : signed; number : integer) return std_ulogic_vector; function "-"( vector1 : unsigned; vector2 : unsigned) return std_ulogic_vector; function "-"( vector1 : signed; vector2 : signed) return std_ulogic_vector; /* other operators 10 */ /**************************************************************************************************/ --several functions to complete the functionality of operators +, -, *, /, ** so they accept an -- integer and a real as parameters. It converts the integer to real function "+"( i : integer; r : real) return real; function "+"( r : real; i : integer) return real; function "-"( i : integer; r : real) return real; function "-"( r : real; i : integer) return real; function "*"( i : integer; r : real) return real; function "*"( r : real; i : integer) return real; function "/"( i : integer; r : real) return real; function "/"( r : real; i : integer) return real; function "**"( i : integer; r : real) return real; function "**"( r : real; i : integer) return real; /* pipeline functions 11 */ /**************************************************************************************************/ --generates a vector of booleans which indicates in which position to place the pipelines out of --all the possible ones depending on the parameter SPEED function generate_pipelines( positions : natural; speed : T_speed) return boolean_v; function is_pipelined( positions : natural; speed : T_speed; position : natural) return boolean; function number_of_pipelines( positions : natural; speed : T_speed) return natural; end package; /*================================================================================================*/ /*================================================================================================*/ /*================================================================================================*/ package body common_pkg is /********************************************************************************************** 1 */ function ite(cond: boolean; if_true, if_false: integer) return integer is begin if cond then return(if_true); else return(if_false); end if; end function ite; function ite(cond: boolean; if_true, if_false: boolean) return boolean is begin if cond then return(if_true); else return(if_false); end if; end function ite; function ite(cond: boolean; if_true, if_false: real) return real is begin if cond then return(if_true); else return(if_false); end if; end function ite; function ite(cond: boolean; if_true, if_false: character) return character is begin if cond then return(if_true); else return(if_false); end if; end function ite; function ite(cond: boolean; if_true, if_false: string) return string is begin if cond then return(if_true); else return(if_false); end if; end function ite; function ite(cond: boolean; if_true, if_false: std_ulogic) return std_ulogic is begin if cond then return(if_true); else return(if_false); end if; end function ite; function ite(cond: boolean; if_true, if_false: std_ulogic_vector) return std_ulogic_vector is begin if cond then return(if_true); else return(if_false); end if; end function ite; function ite(cond: boolean; if_true, if_false: bit) return bit is begin if cond then return(if_true); else return(if_false); end if; end function ite; function ite(cond: boolean; if_true, if_false: bit_vector) return bit_vector is begin if cond then return(if_true); else return(if_false); end if; end function ite; function ite(cond: boolean; if_true, if_false: unsigned) return unsigned is begin if cond then return(if_true); else return(if_false); end if; end function ite; function ite(cond: boolean; if_true, if_false: signed) return signed is begin if cond then return(if_true); else return(if_false); end if; end function ite; function ite(cond: boolean; if_true, if_false: positive_exc) return positive_exc is begin if cond then return(if_true); else return(if_false); end if; end function ite; function ite(cond: boolean; if_true, if_false: natural_exc) return natural_exc is begin if cond then return(if_true); else return(if_false); end if; end function ite; function ite(cond: boolean; if_true, if_false: boolean_exc) return boolean_exc is begin if cond then return(if_true); else return(if_false); end if; end function ite; function ite(cond: boolean; if_true, if_false: T_round_style) return T_round_style is begin if cond then return(if_true); else return(if_false); end if; end function ite; function ite(cond: boolean; if_true, if_false: T_overflow_style) return T_overflow_style is begin if cond then return(if_true); else return(if_false); end if; end function ite; function ite(cond: boolean; if_true, if_false: u_ufixed) return u_ufixed is begin if cond then return(if_true); else return(if_false); end if; end function ite; function ite(cond: boolean; if_true, if_false: u_sfixed) return u_sfixed is begin if cond then return(if_true); else return(if_false); end if; end function ite; function ite(cond: boolean; if_true, if_false: boolean_v) return boolean_v is begin if cond then return(if_true); else return(if_false); end if; end function ite; /********************************************************************************************** 2 */ function log2ceil(number: positive) return integer is begin return integer(ceil(log2(real(number)))); end function; function log2floor(number: positive) return integer is begin return integer(floor(log2(real(number)))); end function; --returns the maximum of the two integers function maximum( a, b: integer) return integer is begin return ite(a>b, a, b); end function; --returns the minimum of the two integers function minimum( a, b: integer) return integer is begin return ite(a<b, a, b); end function; --reduction to odd number function reduce_to_odd( arg : positive) return positive is variable aux : positive := arg; begin if arg mod 2 = 1 then return arg; else return reduce_to_odd(arg/2); end if; end function; /********************************************************************************************** 3 */ procedure msg_debug(arg: in positive_v; separator: string) is constant left : integer := arg'left; constant right : integer := arg'right; variable message : line; begin write(message, image(arg(left))); if left /= right then for i in arg'range loop if i /= left then write(message, separator); write(message, image(arg(i))); end if; end loop; end if; writeline(output, message); end procedure; procedure msg_debug( arg : in string) is variable message : line; begin if DEBUGGING then write(message, arg); writeline(OUTPUT, message); end if; end procedure; /********************************************************************************************** 4 */ procedure separator is begin assert false report SEPARATOR_STR severity warning; end procedure; function image( sca: integer) return string is begin return integer'image(sca); end; function real_rightest_dec_bit( number: real) return integer is variable copy : real := "mod"(number, 1.0); variable counter : integer := 0; begin --for i in -FRACTIONAL_LIMIT to 0 loop while copy /= 0.0 and counter > -FRACTIONAL_LIMIT loop copy := "mod"(10.0*copy, 1.0); counter := counter - 1; end loop; return counter; end function; function real_image_length( number : real) return integer is constant rightest_frac_bit : integer := real_rightest_dec_bit(number); constant fractional_bits : natural := -rightest_frac_bit; constant integer_bits : integer := 1+integer(floor(log10(realmax(abs(number),1.0))));--at least 1 variable result : positive; begin if number = 0.0 then --'0' result := 3; elsif abs(number)<1.0 then --'0,f..f' result := 2+fractional_bits; -- +2 for the leading '0.' elsif fractional_bits=0 then --'i..i' result := integer_bits; else --'i..i,f..f' result := integer_bits+1+fractional_bits; -- +1 because of the comma end if; if number<0.0 then --add the minus sign return result+1; else return result; end if; end function; function image( number: real) return string is constant rightest_frac_bit : integer := real_rightest_dec_bit(number); variable remain : real := abs(number); constant fractional_bits : natural := -rightest_frac_bit; constant integer_bits : natural := 1+integer(floor(log10(realmax(remain,1.0))));--at least 1 constant string_length : integer := real_image_length(number); variable result : string(1 to string_length); variable j : integer := 1; --string index begin if number = real'low then return "real'low"; elsif number = real'high then return "real'high"; end if; --minus sign if number < 0.0 then result(j) := '-'; j := j + 1; end if; --integer bits result(j to j+integer_bits-1) := real'image(floor(remain))(1 to integer_bits); j := j+integer_bits; remain := "mod"(remain, 1.0); --fractional bits with the '.' if fractional_bits > 0 then result(j) := '.'; j := j + 1; for i in 1 to fractional_bits loop remain := remain * 10; result (j) := image(integer(floor(remain)))(1); remain := "mod"(remain, 1.0); j := j + 1; end loop; end if; return result; end; function image( number : positive_exc) return string is begin return integer'image(integer(number)); end function; function image( number : natural_exc) return string is begin return integer'image(integer(number)); end function; function image( bool : boolean_exc) return string is begin return boolean_exc'image(bool); end function; function image( bool : T_round_style) return string is begin return T_round_style'image(bool); end function; function image( sca: boolean) return string is begin return boolean'image(sca); end; function image( sca: std_ulogic) return string is begin return std_ulogic'image(sca); end; function image( sca: bit) return string is begin return bit'image(sca); end; function image( vec: bit_vector) return string is variable result : string(1 to vec'length); variable iterator : integer; begin iterator := vec'left; for i in result'range loop if (vec(iterator) = '1') then result(i) := '1'; else result(i) := '0'; end if; iterator := ite(vec'ascending, iterator+1, iterator-1); end loop; return result; end; function image( vec: std_ulogic_vector) return string is variable result : string(1 to vec'length); variable iterator : integer; begin iterator := vec'left; for i in result'range loop if (vec(iterator) = '1') then result(i) := '1'; else result(i) := '0'; end if; iterator := ite(vec'ascending, iterator+1, iterator-1); end loop; return result; end; function image( csd: T_csd) return string is variable message : string(1 to 2*csd'length+1); variable i, j : positive := 1; begin for i in csd'range loop if csd(i) = "00" then message(j) := '0'; j := j+1; elsif csd(i) = "01" then message(j) := '1'; j := j+1; elsif csd(i) = "11" then message(j to j+1) := "-1"; j := j+2; else assert false report "ERRROR on function image(T_csd): received illegal value ""10""." severity error; end if; if i = 0 then message(j) := '.'; j := j + 1; end if; end loop; return message; end function; function image( u_u: u_ufixed) return string is constant string_length : positive := 2+1+maximum(1+u_u'high, 1)-u_u'low; --+2:quotes, +1:comma variable message : string(1 to string_length); variable i : integer; variable j : positive := 1; --string index begin message(j) := '"'; j := j + 1; if u_u'high < 0 then message(j to j+1) := "0."; if u_u'high < -1 then message (j+2 to j -u_u'high) := (others =>'0'); end if; end if; for i in u_u'range loop message(j) := image(u_u(i))(2); j := j+1; if i=0 then message(j) := '.'; j := j + 1; end if; end loop; message(j) := '"'; return message; end function; function image( u_s: u_sfixed) return string is constant string_length : positive := 2+1+maximum(1+u_s'high, 1)-u_s'low; --+2:quotes, +1:comma variable message : string(1 to string_length); variable i : integer; variable j : positive := 1; --string index begin message(j) := '"'; j := j + 1; if u_s'high < 0 then message(j to j+1) := "0."; if u_s'high < -1 then message (j+2 to j -u_s'high) := (others =>'0'); end if; end if; for i in u_s'range loop message(j) := image(u_s(i))(2); j := j+1; if i=0 then message(j) := '.'; j := j + 1; end if; end loop; message(j) := '"'; return message; end function; /********************************************************************************************** 5 */ function "abs"( arg : real_v) return real_v is variable result : real_v(arg'range); begin for i in arg'range loop result(i) := abs(arg(i)); end loop; return result; end function; function maximum( arg : positive_v) return positive is variable result : positive := positive'low; begin for i in arg'range loop if arg(i) > result then result := arg(i); end if; end loop; return result; end function; function maximum( arg : integer_v) return integer is variable result : integer := integer'low; begin for i in arg'range loop if arg(i) > result then result := arg(i); end if; end loop; return result; end function; function minimum( arg : integer_v) return integer is variable result : integer := integer'high; begin for i in arg'range loop if arg(i) < result then result := arg(i); end if; end loop; return result; end function; function maximum( arg : real_v) return real is variable result : real := real'low; begin for i in arg'range loop if arg(i) > result then result := arg(i); end if; end loop; return result; end function; function order( arg : real_v) return real_v is variable result : real_v(1 to arg'length) := arg; variable aux : real; begin for i in 1 to result'high-1 loop for j in 1 to result'high-i loop if result(i) > result(i+1) then aux := result(i); result(i) := result(i+1); result(i+1) := aux; end if; end loop; end loop; return result; end function; /********************************************************************************************** 6 */ function min_bits( number : integer; is_signed : boolean) return natural is begin if number=0 then return 1; end if; if number=-1 then return 1; end if; if is_signed then if number<0 then if number=integer'low then return 32; else return 1+log2ceil(abs(number)); end if; elsif number=integer'high then return 32; else return 1+log2ceil(number+1); end if; else if number<0 then assert false report "ERROR in function min_bits: trying to represent negative numbers in unsigned" severity error; return 0; --trying to represent negative numbers in unsigned elsif number=integer'high then -- in unsigned return 31; else return log2ceil(number+1); end if; end if; --added the condition number<0 when is_signed is false -- because when using ite to control which value of -- is_signed will be sent to min_bits some errors will -- appear even though the condition is never reached end function; function min_bits( number : integer) return natural is begin if number>=0 then return min_bits(number, false); else return min_bits(number, true); end if; end function; ---------------------------------------------------------------------------------------------------- function error_pct( A : real; B : real) return real is begin if B = 0.0 then return real'high; else return abs(100*(A-B)/B); end if; end function; function to_ufixed( number : real; max_error_pct : real := 0.0; round_style : T_round_style := fixed_truncate) --fixed_truncate, fixed_round return u_ufixed is constant number_int : natural := integer(floor(abs(number))); constant number_uf : u_ufixed := to_ufixed(abs(number), -1, -FRACTIONAL_LIMIT, round_style => fixed_truncate, overflow_style => fixed_wrap); constant int_bits : integer := min_bits(number_int); constant frac_bits : integer := -find_rightmost(number_uf, '1'); variable result : u_ufixed(int_bits-1 downto -frac_bits); variable new_low : integer := result'low; begin result := to_ufixed(number, int_bits-1, -frac_bits, round_style => fixed_truncate, overflow_style => fixed_wrap); assert number >= 0.0 report "ERROR in function to_ufixed: real number must be natural" severity error; --check if the number is either real'low, real'high as their real'image is not a number representation, but "#INF" -- Also with 0.0, 1.0, and -1.0 as their representation is 0 downto 0 and this generates errors with functions from -- the fixed_generic_pkg if number = real'high then return to_ufixed(number, int_bits-1, -1, round_style => round_style, overflow_style => fixed_wrap); elsif number = 0.0 then return to_ufixed(0.0, 0, -1); elsif number = 1.0 then return to_ufixed(1.0, 0, -1); end if; --in this part the fractional bits that are unnecesary are discarded accordingly with the error_pct if result'low <0 then for i in result'low to minimum(result'high, -1) loop if error_pct(to_real(resize(result, result'high, i)), number)<= max_error_pct then new_low := i; end if; end loop; --number 0 causes an error because (0 downto 0) is not liked by the function cleanvec from the -- fixed point package. So the first(-1) fractional bit is only discarded when the number -- of integer bits is greater than 1 if result'high > 0 then if error_pct(to_real(resize(result, result'high, 0)), number)<= max_error_pct then new_low := 0; end if; end if; end if; return resize(result, result'high, new_low); end function; function to_sfixed( number : real; max_error_pct : real := 0.0; round_style : T_round_style := fixed_truncate) --fixed_truncate, fixed_round return u_sfixed is constant number_int : integer := integer(floor(number)); constant number_uf : u_ufixed := to_ufixed(abs(number), -1, -FRACTIONAL_LIMIT, round_style => round_style, overflow_style => fixed_wrap); constant int_bits : integer := min_bits(number_int, is_signed=>true); constant frac_bits : integer := -find_rightmost(number_uf, '1'); constant result : u_sfixed := to_sfixed(number, int_bits-1, -frac_bits, round_style => round_style, overflow_style => fixed_wrap); variable new_low : integer := result'low; begin --check if the number is either real'low, real'high as their real'image is not a number representation, but "-1.#INF00" and "1.#INF00" -- Also with 0.0, 1.0, and -1.0 as their representation is 0 downto 0 and this generates errors with functions from -- the fixed_generic_pkg if number = real'low then return to_sfixed(number, int_bits-1, -1, round_style => round_style, overflow_style => fixed_wrap); elsif number = real'high then return to_sfixed(number, int_bits-1, -1, round_style => round_style, overflow_style => fixed_wrap); elsif number = 0.0 then return to_sfixed(0, 0, -1); elsif number = 1.0 then return to_sfixed(1.0, 1, 0); elsif number = -1.0 then return tO_sfixed(-1.0, 0, -1); end if; --in this part the fractional bits that are unnecesary are discarded accordingly with the error_pct if result'low <0 then for i in result'low to minimum(result'high, -1) loop if error_pct(to_real(resize(result, result'high, i)), number)<= max_error_pct then new_low := i; end if; end loop; --number 0 causes an error because (0 downto 0) is not liked by the function cleanvec from the -- fixed point package. So the first(-1) fractional bit is only discarded when the number -- of integer bits is greater than 1 if result'high > 0 then if error_pct(to_real(resize(result, result'high, 0)), number)<= max_error_pct then new_low := 0; end if; end if; end if; return resize(result, result'high, new_low); end function; ---------------------------------------------------------------------------------------------------- function sulv_from_int( number : integer; is_signed : boolean; length : positive) return std_ulogic_vector is begin if is_signed then return std_ulogic_vector(to_signed(number, length)); else return std_ulogic_vector(to_unsigned(abs(number), length)); end if; end function; function sulv_from_int( number : integer; is_signed : boolean) return std_ulogic_vector is begin return sulv_from_int(number, is_signed, min_bits(number, is_signed)); end function; function sulv_from_int( number : integer) return std_ulogic_vector is begin return sulv_from_int(number, number<0); end function; ---------------------------------------------------------------------------------------------------- function to_csd( vector : u_ufixed) return T_csd is constant aux_vector : u_ufixed := resize(vector, vector'high+1 , vector'low); variable flag : integer := vector'low-1; variable result : T_csd(aux_vector'range) := (others => "00"); begin for i in result'reverse_range loop --from low to high if aux_vector(i)='0' then if flag = i-1 then flag := i; elsif flag = i-2 then result(i-1) := "01"; -- 1 flag := i; else result(flag+1) := "11"; -- -1 result(i) := "01"; -- 1 flag := i-1; end if; end if; end loop ; return result(result'high-1 downto result'low); --discard higher bit as it is the "sign"(in csd the values of a number in its --positive and negative form can be represented in the same amount of bits) end function; function to_csd( vector : u_sfixed) return T_csd is constant is_negative : boolean := to_real(vector) < 0.0; constant aux_vector : u_sfixed := "abs"(vector); --to_csd of the absolute value and then invert the values variable result : T_csd(aux_vector'high+ 1 downto aux_vector'low); begin result := to_csd(to_ufixed(to_real(aux_vector), aux_vector'high, aux_vector'low)); --invert values: -1 to 1, and 1 to -1 if is_negative then for i in result'range loop case result(i) is when "11" => result(i) := "01"; when "01" => result(i) := "11"; when others => null; end case; end loop; end if; return result; end function; ---------------------------------------------------------------------------------------------------- function max_int( bits : positive; signed_data : boolean) return integer is begin if signed_data then return 2**(bits-1)-1; else return 2**bits-1; end if; end function; function min_int( bits : positive; signed_data : boolean) return integer is begin if signed_data then return -2**(bits-1); else return 0; end if; end function; ---------------------------------------------------------------------------------------------------- function max_vec( vector : signed) return signed is variable aux : signed(vector'length downto 1); begin aux := (others => '1'); aux(vector'length) := '0'; return aux; end function; function min_vec( vector : signed) return signed is variable aux : signed(vector'length downto 1); begin aux := (others => '0'); aux(vector'length) := '1'; return aux; end function; function max_vec( vector : unsigned) return unsigned is variable aux : unsigned(vector'range); begin aux := (others => '1'); return aux; end function; function min_vec( vector : unsigned) return unsigned is variable aux : unsigned(vector'range); begin aux := (others => '0'); return aux; end function; function max_vec( vector : signed) return std_ulogic_vector is variable aux : signed(vector'range); begin aux := max_vec(vector); return std_ulogic_vector(aux); end function; function min_vec( vector : signed) return std_ulogic_vector is variable aux : signed(vector'range); begin aux := min_vec(vector); return std_ulogic_vector(aux); end function; function max_vec( vector : unsigned) return std_ulogic_vector is variable aux : unsigned(vector'range); begin aux := max_vec(vector); return std_ulogic_vector(aux); end function; function min_vec( vector : unsigned) return std_ulogic_vector is variable aux : unsigned(vector'range); begin aux := min_vec(vector); return std_ulogic_vector(aux); end function; function max_vec( vector : std_ulogic_vector; signed_data : boolean) return std_ulogic_vector is begin if signed_data then return max_vec(signed(vector)); else return max_vec(unsigned(vector)); end if; end function; function min_vec( vector : std_ulogic_vector; signed_data : boolean) return std_ulogic_vector is begin if signed_data then return min_vec(signed(vector)); else return min_vec(unsigned(vector)); end if; end function; /********************************************************************************************** 7 */ function "+"( vector : unsigned; number : integer) return std_ulogic_vector is variable aux : unsigned(vector'range); begin aux := vector + number; return std_ulogic_vector(aux); end function; function "+"( vector : signed; number : integer) return std_ulogic_vector is variable aux : signed(vector'range); begin aux := vector + number; return std_ulogic_vector(aux); end function; function "+"( vector1 : unsigned; vector2 : unsigned) return std_ulogic_vector is variable aux : unsigned(vector1'range); begin aux := vector1 + vector2; return std_ulogic_vector(aux); end function; function "+"( vector1 : signed; vector2 : signed) return std_ulogic_vector is variable aux : signed(vector1'range); begin aux := vector1 + vector2; return std_ulogic_vector(aux); end function; function "-"( vector : unsigned; number : integer) return std_ulogic_vector is variable aux : unsigned(vector'range); begin aux :=vector - number; return std_ulogic_vector(aux); end function; function "-"( vector : signed; number : integer) return std_ulogic_vector is variable aux : signed(vector'range); begin aux := vector - number; return std_ulogic_vector(aux); end function; function "-"( vector1 : unsigned; vector2 : unsigned) return std_ulogic_vector is variable aux : unsigned(vector1'range); begin aux := vector1 - vector2; return std_ulogic_vector(aux); end function; function "-"( vector1 : signed; vector2 : signed) return std_ulogic_vector is variable aux : signed(vector1'range); begin aux := vector1 - vector2; return std_ulogic_vector(aux); end function; /********************************************************************************************* 10 */ function "+"( i : integer; r : real) return real is begin return real(i) + r; end function; function "+"( r : real; i : integer) return real is begin return real(i) + r; end function; function "-"( i : integer; r : real) return real is begin return real(i) - r; end function; function "-"( r : real; i : integer) return real is begin return r - real(i); end function; function "*"( i : integer; r : real) return real is begin return real(i) * r; end function; function "*"( r : real; i : integer) return real is begin return real(i) * r; end function; function "/"( i : integer; r : real) return real is begin return real(i) / r; end function; function "/"( r : real; i : integer) return real is begin return r / real(i); end function; function "**"( i : integer; r : real) return real is begin return real(i) ** r; end function; function "**"( r : real; i : integer) return real is begin return r ** real(i); end function; /********************************************************************************************* 11 */ function generate_pipelines( positions : natural; speed : T_speed) return boolean_v is variable pipelines : natural; variable aux : natural := positions; variable result : boolean_v(1 to positions) := (others => false); begin case speed is when t_min => return result; when t_low => pipelines := integer(ceil(0.25 * real(aux))); when t_medium => pipelines := integer(ceil(0.5 * real(aux))); when t_high => pipelines := integer(ceil(0.75 * real(aux))); when t_max => result := (others => true); return result; when t_exc => return result; --no value assigned end case; if pipelines>0 then result(aux) := true; if pipelines>1 then for i in pipelines downto 2 loop aux := aux - aux/pipelines; result(aux) := true; end loop; end if; end if; return result; end function; function is_pipelined( positions : natural; speed : T_speed; position : natural) return boolean is variable indexes : boolean_v(1 to positions) := generate_pipelines(positions, speed); begin return indexes(position); end function; function number_of_pipelines( positions : natural; speed : T_speed) return natural is begin case speed is when t_min => return 0; when t_low => return integer(ceil(0.25 * real(positions))); when t_medium => return integer(ceil(0.5 * real(positions))); when t_high => return integer(ceil(0.75 * real(positions))); when t_max => return positions; when others => return 0; --no value assigned end case; end function; end package body;
mit
b0bf07b083c99c7598b067f491474237
0.522292
4.378376
false
false
false
false
ILoveSpeccy/Aeon-Lite
cores/atari800xl/src/components/syncreset_enable_divider.vhd
1
2,225
--------------------------------------------------------------------------- -- (c) 2013 mark watson -- I am happy for anyone to use this for non-commercial use. -- If my vhdl files are used commercially or otherwise sold, -- please contact me for explicit permission at scrameta (gmail). -- This applies for source and binary form and derived works. --------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.all; use ieee.numeric_std.all; ENTITY syncreset_enable_divider IS generic(COUNT : natural := 1; RESETCOUNT : natural := 0); PORT ( CLK : IN STD_LOGIC; SYNCRESET : in std_logic; RESET_N : IN STD_LOGIC; ENABLE_IN : IN STD_LOGIC; ENABLE_OUT : OUT STD_LOGIC ); END syncreset_enable_divider; ARCHITECTURE vhdl OF syncreset_enable_divider IS function log2c(n : integer) return integer is variable m,p : integer; begin m := 0; p := 1; while p<n loop m:=m+1; p:=p*2; end loop; return m; end log2c; constant WIDTH : natural := log2c(COUNT); signal count_reg : std_logic_vector(WIDTH-1 downto 0); -- width should depend on count signal count_next : std_logic_vector(WIDTH-1 downto 0); signal enabled_out_next : std_logic; signal enabled_out_reg : std_logic; BEGIN -- register process(clk,reset_n) begin if (reset_n = '0') then count_reg <= (others=>'0'); enabled_out_reg <= '0'; elsif (clk'event and clk='1') then count_reg <= count_next; enabled_out_reg <= enabled_out_next; end if; end process; -- Maintain a count in order to calculate a clock circa 1.79 (in this case 25/14) -> 64KHz -> /28 process(count_reg,enable_in,enabled_out_reg,syncreset) begin count_next <= count_reg; enabled_out_next <= enabled_out_reg; if (enable_in = '1') then count_next <= std_logic_vector(unsigned(count_reg) + 1); enabled_out_next <= '0'; if (unsigned(count_reg) = to_unsigned(COUNT-1,WIDTH)) then count_next <= std_logic_vector(to_unsigned(0,WIDTH)); enabled_out_next <= '1'; end if; end if; if (syncreset='1') then count_next <= std_logic_vector(to_unsigned(resetcount,width)); end if; end process; -- output enable_out <= enabled_out_reg and enable_in; END vhdl;
gpl-3.0
c0587a0ef9b621ecc1c35b71797148b9
0.628764
3.094576
false
false
false
false
APastorG/APG
adder/adder_tb.vhd
1
6,227
/*************************************************************************************************** / / Author: Antonio Pastor González / ¯¯¯¯¯¯ / / Date: / ¯¯¯¯ / / Version: / ¯¯¯¯¯¯¯ / / Notes: / ¯¯¯¯¯ / This design makes use of some features from VHDL-2008, all of which have been implemented in / Vivado by Xilinx / A 3 space tab is used throughout the document / / / Description: / ¯¯¯¯¯¯¯¯¯¯¯ / This is a testbench generated for the adder module. / **************************************************************************************************/ library ieee; use ieee.numeric_std.all; use ieee.std_logic_1164.all; use ieee.math_real.all; library work; use work.common_data_types_pkg.all; use work.common_pkg.all; use work.fixed_generic_pkg.all; use work.adder_pkg.all; use work.tb_pkg.all; /*================================================================================================*/ /*================================================================================================*/ /*================================================================================================*/ entity adder_tb is end entity; /*================================================================================================*/ /*================================================================================================*/ /*================================================================================================*/ architecture adder_tb1 of adder_tb is /* constants */ /**************************************************************************************************/ constant UNSIGNED_2COMP_opt : boolean_tb := (true, false); --default constant DATA_IMM_AFTER_START_opt : boolean_tb := (false, true); --default constant SPEED_opt : T_speed_tb := (t_medium, true); --exception: value not set constant MAX_POSSIBLE_BIT_opt : integer_exc_tb := (2, false); --exception: value not set constant TRUNCATE_TO_BIT_opt : integer_exc_tb := (-2, false); --exception: value not set constant S : positive := 2; --compulsory constant used_UNSIGNED_2COMP_opt : boolean := value_used(UNSIGNED_2COMP_opt, false); constant used_DATA_IMM_AFTER_START_opt : boolean := value_used(DATA_IMM_AFTER_START_opt, false); constant used_SPEED_opt : T_speed := value_used(SPEED_opt); constant used_MAX_POSSIBLE_BIT_opt : integer_exc := value_used(MAX_POSSIBLE_BIT_opt); constant used_TRUNCATE_TO_BIT_opt : integer_exc := value_used(TRUNCATE_TO_BIT_opt); /* signals */ /**************************************************************************************************/ constant P : positive := 4; constant NORMALIZED_HIGH : integer := 1; constant NORMALIZED_LOW : integer := 0; constant IN_HIGH : natural := NORMALIZED_HIGH+SULV_NEW_ZERO; constant IN_LOW : natural := NORMALIZED_LOW+SULV_NEW_ZERO; constant OUT_HIGH : natural := SULV_NEW_ZERO+adder_OH(used_MAX_POSSIBLE_BIT_opt, S, P, NORMALIZED_HIGH); constant OUT_LOW : natural := SULV_NEW_ZERO+adder_OL(used_TRUNCATE_TO_BIT_opt, NORMALIZED_LOW); --IN-- signal input : sulv_v(1 to P)(IN_HIGH downto IN_LOW); signal clk : std_ulogic := '1'; signal start : std_ulogic; signal valid_input : std_ulogic; --OUT-- signal output : std_ulogic_vector(OUT_HIGH downto OUT_LOW); signal valid_output : std_ulogic; ---------------------------------------------------------------------------------------------------- signal aux_counter1 : unsigned(0 to 29) := to_unsigned(1, 30); signal aux_counter2 : unsigned(0 to 29) := to_unsigned(2, 30); /*================================================================================================*/ /*================================================================================================*/ begin msg_debug("adder_tb_IN_HIGH: " & image(IN_HIGH)); msg_debug("adder_tb_IN_LOW: " & image(IN_LOW)); msg_debug("adder_tb_OUT_HIGH: " & image(OUT_HIGH)); msg_debug("adder_tb_OUT_LOW: " & image(OUT_LOW)); adder1: entity work.adder generic map( UNSIGNED_2COMP_opt => used_UNSIGNED_2COMP_opt, DATA_IMM_AFTER_START_opt => used_DATA_IMM_AFTER_START_opt, SPEED_opt => used_SPEED_opt, MAX_POSSIBLE_BIT_opt => used_MAX_POSSIBLE_BIT_opt, TRUNCATE_TO_BIT_opt => used_TRUNCATE_TO_BIT_opt, S => S ) port map( input => input, clk => clk, start => start, valid_input => valid_input, output => output, valid_output => valid_output ); --pragma translate off process (clk) begin if rising_edge(clk) then aux_counter1 <= aux_counter1 + to_unsigned(1, 30); aux_counter2 <= aux_counter2 + to_unsigned(1, 30); end if; end process; process (clk) begin clk <= not clk after 2 ps; end process; --generates pseudorandom values for the input process (clk) variable real_number : real; variable seed1 : positive := to_integer(aux_counter1); variable seed2 : positive := to_integer(aux_counter2); begin if rising_edge (clk) then for i in 1 to P loop uniform(seed1, seed2, real_number); input(i) <= to_sulv(to_ufixed(real_number, -1, -1 -IN_HIGH + IN_LOW)); end loop; end if; end process; --pragma translate on end architecture;
mit
0c7b542b286e77cda68944ccd88c36e7
0.419667
4.4458
false
false
false
false
ILoveSpeccy/Aeon-Lite
cores/speccy/src/dac/dac.vhd
2
1,149
library ieee; use ieee.std_logic_1164.all; entity dac is generic ( msbi_g : integer := 9 ); port ( clk_i : in std_logic; res_n_i : in std_logic; dac_i : in std_logic_vector(msbi_g downto 0); dac_o : out std_logic ); end dac; library ieee; use ieee.numeric_std.all; architecture rtl of dac is signal DACout_q : std_logic; signal DeltaAdder_s, SigmaAdder_s, SigmaLatch_q, DeltaB_s : unsigned(msbi_g+2 downto 0); begin DeltaB_s(msbi_g+2 downto msbi_g+1) <= SigmaLatch_q(msbi_g+2) & SigmaLatch_q(msbi_g+2); DeltaB_s(msbi_g downto 0) <= (others => '0'); DeltaAdder_s <= unsigned('0' & '0' & dac_i) + DeltaB_s; SigmaAdder_s <= DeltaAdder_s + SigmaLatch_q; seq: process (clk_i, res_n_i) begin if res_n_i = '0' then SigmaLatch_q <= to_unsigned(2**(msbi_g+1), SigmaLatch_q'length); DACout_q <= '0'; elsif clk_i'event and clk_i = '1' then SigmaLatch_q <= SigmaAdder_s; DACout_q <= SigmaLatch_q(msbi_g+2); end if; end process seq; dac_o <= DACout_q; end rtl;
gpl-3.0
d4926ec262895db646faed9c649a30a7
0.558747
2.735714
false
false
false
false
ComputerArchitectureGroupPWr/SimulationCore
src/heatersLogic.vhd
1
2,957
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; entity heatersLogic is generic( heatNumber : natural := 20 ); port( rsDataIn : in std_logic_vector(7 downto 0); rsRdy : in std_logic; rst : in std_logic; clk50Mhz : in std_logic; readyOut : out std_logic ); end heatersLogic; architecture Behavioral of heatersLogic is component Heater port( rst : IN std_logic; clk50Mhz : IN std_logic; pulseWidth : IN std_logic_vector(7 downto 0); heaterEnable : OUT std_logic ); end component; attribute keep_hierarchy : string; attribute keep_hierarchy of Heater: component is "TRUE"; type registers is array (1 to heatNumber) of std_logic_vector(7 downto 0); signal reg : registers := (others => X"00"); type state_type is (start, number, value); signal state, next_state : state_type; signal save : std_logic := '0'; signal hNumber : integer range 0 to heatNumber := 0; type enableSignal is array (1 to heatNumber) of std_logic; signal heaterEnableSignal : enableSignal := (others => '0'); attribute keep : string; attribute keep of heaterEnableSignal: signal is "true"; attribute S: string; attribute S of heaterEnableSignal: signal is "yes"; begin readyOut <= '1'; Heaters_instances: for I in 1 to heatNumber generate InstHeater: Heater port map( rst => rst, clk50Mhz => clk50Mhz, pulseWidth => reg(I), heaterEnable => heaterEnableSignal(I) ); end generate; SYNC_PROC: process (clk50Mhz) begin if (clk50Mhz'event and clk50Mhz = '1') then if (rst = '1') then state <= start; reg <= (others => X"00"); else state <= next_state; for I in 1 to heatNumber loop if I = hNumber and save = '1' then reg(I) <= rsDataIn; else reg(I) <= reg(I); end if; end loop; end if; end if; end process; OUTPUT_DECODE: process (state, rsDataIn, rsRdy, hNumber) begin if (state = number and rsRdy = '1') then hNumber <= to_integer(unsigned(rsDataIn)); save <= '0'; elsif (state = value and rsRdy = '1') then hNumber <= hNumber; save <= '1'; else hNumber <= hNumber; save <= '0'; end if; end process; NEXT_STATE_DECODE: process (state, rsDataIn, rsRdy) begin next_state <= state; case (state) is when start => if rsRdy = '1' and rsDataIn = X"55" then next_state <= number; end if; when number => if rsRdy = '1' then next_state <= value; end if; when value => if rsRdy = '1' then next_state <= start; end if; when others => next_state <= start; end case; end process; end Behavioral;
mit
08ec552c362072d2a62fa253c2368a44
0.56882
3.584242
false
false
false
false
ILoveSpeccy/Aeon-Lite
cores/korvet/src/rom/rom.vhd
1
5,405
-------------------------------------------------------------------------------- -- This file is owned and controlled by Xilinx and must be used solely -- -- for design, simulation, implementation and creation of design files -- -- limited to Xilinx devices or technologies. Use with non-Xilinx -- -- devices or technologies is expressly prohibited and immediately -- -- terminates your license. -- -- -- -- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY -- -- FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY -- -- PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE -- -- IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS -- -- MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY -- -- CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY -- -- RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY -- -- DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE -- -- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR -- -- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF -- -- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A -- -- PARTICULAR PURPOSE. -- -- -- -- Xilinx products are not intended for use in life support appliances, -- -- devices, or systems. Use in such applications are expressly -- -- prohibited. -- -- -- -- (c) Copyright 1995-2013 Xilinx, Inc. -- -- All rights reserved. -- -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- -- You must compile the wrapper file rom.vhd when simulating -- the core, rom. When compiling the wrapper file, be sure to -- reference the XilinxCoreLib VHDL simulation library. For detailed -- instructions, please refer to the "CORE Generator Help". -- The synthesis directives "translate_off/translate_on" specified -- below are supported by Xilinx, Mentor Graphics and Synplicity -- synthesis tools. Ensure they are correct for your synthesis tool(s). LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- synthesis translate_off LIBRARY XilinxCoreLib; -- synthesis translate_on ENTITY rom IS PORT ( clka : IN STD_LOGIC; addra : IN STD_LOGIC_VECTOR(14 DOWNTO 0); douta : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) ); END rom; ARCHITECTURE rom_a OF rom IS -- synthesis translate_off COMPONENT wrapped_rom PORT ( clka : IN STD_LOGIC; addra : IN STD_LOGIC_VECTOR(14 DOWNTO 0); douta : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) ); END COMPONENT; -- Configuration specification FOR ALL : wrapped_rom USE ENTITY XilinxCoreLib.blk_mem_gen_v6_3(behavioral) GENERIC MAP ( c_addra_width => 15, c_addrb_width => 15, c_algorithm => 1, c_axi_id_width => 4, c_axi_slave_type => 0, c_axi_type => 1, c_byte_size => 9, c_common_clk => 0, c_default_data => "0", c_disable_warn_bhv_coll => 0, c_disable_warn_bhv_range => 0, c_enable_32bit_address => 0, c_family => "spartan6", c_has_axi_id => 0, c_has_ena => 0, c_has_enb => 0, c_has_injecterr => 0, c_has_mem_output_regs_a => 0, c_has_mem_output_regs_b => 0, c_has_mux_output_regs_a => 0, c_has_mux_output_regs_b => 0, c_has_regcea => 0, c_has_regceb => 0, c_has_rsta => 0, c_has_rstb => 0, c_has_softecc_input_regs_a => 0, c_has_softecc_output_regs_b => 0, c_init_file_name => "rom.mif", c_inita_val => "0", c_initb_val => "0", c_interface_type => 0, c_load_init_file => 1, c_mem_type => 3, c_mux_pipeline_stages => 0, c_prim_type => 1, c_read_depth_a => 32768, c_read_depth_b => 32768, c_read_width_a => 8, c_read_width_b => 8, c_rst_priority_a => "CE", c_rst_priority_b => "CE", c_rst_type => "SYNC", c_rstram_a => 0, c_rstram_b => 0, c_sim_collision_check => "ALL", c_use_byte_wea => 0, c_use_byte_web => 0, c_use_default_data => 0, c_use_ecc => 0, c_use_softecc => 0, c_wea_width => 1, c_web_width => 1, c_write_depth_a => 32768, c_write_depth_b => 32768, c_write_mode_a => "WRITE_FIRST", c_write_mode_b => "WRITE_FIRST", c_write_width_a => 8, c_write_width_b => 8, c_xdevicefamily => "spartan6" ); -- synthesis translate_on BEGIN -- synthesis translate_off U0 : wrapped_rom PORT MAP ( clka => clka, addra => addra, douta => douta ); -- synthesis translate_on END rom_a;
gpl-3.0
ca4fd5b729a29e20a2fe60bec1124a28
0.512673
3.980118
false
false
false
false
benjmarshall/hls_scratchpad
hls_cmd_line_testing/hls_gui_proj/hls_sin_proj/solution1/syn/vhdl/power.vhd
4
8,722
-- ============================================================== -- RTL generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2017.1 -- Copyright (C) 1986-2017 Xilinx, Inc. All Rights Reserved. -- -- =========================================================== library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity power is port ( ap_clk : IN STD_LOGIC; ap_rst : IN STD_LOGIC; ap_start : IN STD_LOGIC; ap_done : OUT STD_LOGIC; ap_idle : OUT STD_LOGIC; ap_ready : OUT STD_LOGIC; x : IN STD_LOGIC_VECTOR (63 downto 0); y : IN STD_LOGIC_VECTOR (4 downto 0); ap_return : OUT STD_LOGIC_VECTOR (63 downto 0) ); end; architecture behav of power is constant ap_const_logic_1 : STD_LOGIC := '1'; constant ap_const_logic_0 : STD_LOGIC := '0'; constant ap_ST_fsm_state1 : STD_LOGIC_VECTOR (7 downto 0) := "00000001"; constant ap_ST_fsm_state2 : STD_LOGIC_VECTOR (7 downto 0) := "00000010"; constant ap_ST_fsm_state3 : STD_LOGIC_VECTOR (7 downto 0) := "00000100"; constant ap_ST_fsm_state4 : STD_LOGIC_VECTOR (7 downto 0) := "00001000"; constant ap_ST_fsm_state5 : STD_LOGIC_VECTOR (7 downto 0) := "00010000"; constant ap_ST_fsm_state6 : STD_LOGIC_VECTOR (7 downto 0) := "00100000"; constant ap_ST_fsm_state7 : STD_LOGIC_VECTOR (7 downto 0) := "01000000"; constant ap_ST_fsm_state8 : STD_LOGIC_VECTOR (7 downto 0) := "10000000"; constant ap_const_lv32_0 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000"; constant ap_const_lv32_1 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000001"; constant ap_const_lv1_0 : STD_LOGIC_VECTOR (0 downto 0) := "0"; constant ap_const_lv32_6 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000110"; constant ap_const_lv64_3FF0000000000000 : STD_LOGIC_VECTOR (63 downto 0) := "0011111111110000000000000000000000000000000000000000000000000000"; constant ap_const_lv6_1 : STD_LOGIC_VECTOR (5 downto 0) := "000001"; constant ap_const_lv32_7 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000111"; constant ap_const_boolean_1 : BOOLEAN := true; signal ap_CS_fsm : STD_LOGIC_VECTOR (7 downto 0) := "00000001"; attribute fsm_encoding : string; attribute fsm_encoding of ap_CS_fsm : signal is "none"; signal ap_CS_fsm_state1 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state1 : signal is "none"; signal tmp_2_fu_80_p2 : STD_LOGIC_VECTOR (5 downto 0); signal tmp_2_reg_102 : STD_LOGIC_VECTOR (5 downto 0); signal i_1_fu_91_p2 : STD_LOGIC_VECTOR (5 downto 0); signal i_1_reg_110 : STD_LOGIC_VECTOR (5 downto 0); signal ap_CS_fsm_state2 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state2 : signal is "none"; signal exitcond_fu_86_p2 : STD_LOGIC_VECTOR (0 downto 0); signal grp_fu_71_p2 : STD_LOGIC_VECTOR (63 downto 0); signal ap_CS_fsm_state7 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state7 : signal is "none"; signal result_int_reg_48 : STD_LOGIC_VECTOR (63 downto 0); signal i_reg_60 : STD_LOGIC_VECTOR (5 downto 0); signal tmp_cast_fu_76_p1 : STD_LOGIC_VECTOR (5 downto 0); signal ap_CS_fsm_state8 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state8 : signal is "none"; signal ap_NS_fsm : STD_LOGIC_VECTOR (7 downto 0); component sin_taylor_seriesbkb IS generic ( ID : INTEGER; NUM_STAGE : INTEGER; din0_WIDTH : INTEGER; din1_WIDTH : INTEGER; dout_WIDTH : INTEGER ); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; din0 : IN STD_LOGIC_VECTOR (63 downto 0); din1 : IN STD_LOGIC_VECTOR (63 downto 0); ce : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR (63 downto 0) ); end component; begin sin_taylor_seriesbkb_U1 : component sin_taylor_seriesbkb generic map ( ID => 1, NUM_STAGE => 6, din0_WIDTH => 64, din1_WIDTH => 64, dout_WIDTH => 64) port map ( clk => ap_clk, reset => ap_rst, din0 => result_int_reg_48, din1 => x, ce => ap_const_logic_1, dout => grp_fu_71_p2); ap_CS_fsm_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_CS_fsm <= ap_ST_fsm_state1; else ap_CS_fsm <= ap_NS_fsm; end if; end if; end process; i_reg_60_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_const_logic_1 = ap_CS_fsm_state7)) then i_reg_60 <= i_1_reg_110; elsif (((ap_const_logic_1 = ap_CS_fsm_state1) and (ap_start = ap_const_logic_1))) then i_reg_60 <= ap_const_lv6_1; end if; end if; end process; result_int_reg_48_assign_proc : process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if ((ap_const_logic_1 = ap_CS_fsm_state7)) then result_int_reg_48 <= grp_fu_71_p2; elsif (((ap_const_logic_1 = ap_CS_fsm_state1) and (ap_start = ap_const_logic_1))) then result_int_reg_48 <= ap_const_lv64_3FF0000000000000; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_CS_fsm_state2) and (exitcond_fu_86_p2 = ap_const_lv1_0))) then i_1_reg_110 <= i_1_fu_91_p2; end if; end if; end process; process (ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (((ap_const_logic_1 = ap_CS_fsm_state1) and (ap_start = ap_const_logic_1))) then tmp_2_reg_102 <= tmp_2_fu_80_p2; end if; end if; end process; ap_NS_fsm_assign_proc : process (ap_start, ap_CS_fsm, ap_CS_fsm_state1, ap_CS_fsm_state2, exitcond_fu_86_p2) begin case ap_CS_fsm is when ap_ST_fsm_state1 => if (((ap_const_logic_1 = ap_CS_fsm_state1) and (ap_start = ap_const_logic_1))) then ap_NS_fsm <= ap_ST_fsm_state2; else ap_NS_fsm <= ap_ST_fsm_state1; end if; when ap_ST_fsm_state2 => if (((ap_const_logic_1 = ap_CS_fsm_state2) and (exitcond_fu_86_p2 = ap_const_lv1_0))) then ap_NS_fsm <= ap_ST_fsm_state3; else ap_NS_fsm <= ap_ST_fsm_state8; end if; when ap_ST_fsm_state3 => ap_NS_fsm <= ap_ST_fsm_state4; when ap_ST_fsm_state4 => ap_NS_fsm <= ap_ST_fsm_state5; when ap_ST_fsm_state5 => ap_NS_fsm <= ap_ST_fsm_state6; when ap_ST_fsm_state6 => ap_NS_fsm <= ap_ST_fsm_state7; when ap_ST_fsm_state7 => ap_NS_fsm <= ap_ST_fsm_state2; when ap_ST_fsm_state8 => ap_NS_fsm <= ap_ST_fsm_state1; when others => ap_NS_fsm <= "XXXXXXXX"; end case; end process; ap_CS_fsm_state1 <= ap_CS_fsm(0); ap_CS_fsm_state2 <= ap_CS_fsm(1); ap_CS_fsm_state7 <= ap_CS_fsm(6); ap_CS_fsm_state8 <= ap_CS_fsm(7); ap_done_assign_proc : process(ap_start, ap_CS_fsm_state1, ap_CS_fsm_state8) begin if ((((ap_const_logic_0 = ap_start) and (ap_const_logic_1 = ap_CS_fsm_state1)) or (ap_const_logic_1 = ap_CS_fsm_state8))) then ap_done <= ap_const_logic_1; else ap_done <= ap_const_logic_0; end if; end process; ap_idle_assign_proc : process(ap_start, ap_CS_fsm_state1) begin if (((ap_const_logic_0 = ap_start) and (ap_const_logic_1 = ap_CS_fsm_state1))) then ap_idle <= ap_const_logic_1; else ap_idle <= ap_const_logic_0; end if; end process; ap_ready_assign_proc : process(ap_CS_fsm_state8) begin if ((ap_const_logic_1 = ap_CS_fsm_state8)) then ap_ready <= ap_const_logic_1; else ap_ready <= ap_const_logic_0; end if; end process; ap_return <= result_int_reg_48; exitcond_fu_86_p2 <= "1" when (i_reg_60 = tmp_2_reg_102) else "0"; i_1_fu_91_p2 <= std_logic_vector(unsigned(i_reg_60) + unsigned(ap_const_lv6_1)); tmp_2_fu_80_p2 <= std_logic_vector(unsigned(tmp_cast_fu_76_p1) + unsigned(ap_const_lv6_1)); tmp_cast_fu_76_p1 <= std_logic_vector(IEEE.numeric_std.resize(unsigned(y),6)); end behav;
mit
675ae5226e3ddf25e2c11f5dbf61e88f
0.5626
3.134028
false
false
false
false
sonologic/gmzpu
vhdl/devices/txt_util.vhdl
1
18,082
------------------------------------------------------------------------------ ---- ---- ---- Text Utils ---- ---- ---- ---- http://www.opencores.org/ ---- ---- ---- ---- Description: ---- ---- Utils to handle text. Used for the testbenches. ---- ---- ---- ---- To Do: ---- ---- - ---- ---- ---- ---- Author: ---- ---- - Øyvind Harboe, oyvind.harboe zylin.com ---- ---- ---- ------------------------------------------------------------------------------ ---- ---- ---- Copyright (c) 2008 Øyvind Harboe <oyvind.harboe zylin.com> ---- ---- ---- ---- Distributed under the BSD license ---- ---- ---- ------------------------------------------------------------------------------ ---- ---- ---- Design unit: txt_util (Package) ---- ---- File name: txt_util.vhdl ---- ---- Note: None ---- ---- Limitations: None known ---- ---- Errors: None known ---- ---- Library: zpu ---- ---- Dependencies: IEEE.std_logic_1164 ---- ---- IEEE.numeric_std ---- ---- std.textio ---- ---- Target FPGA: N/A ---- ---- Language: VHDL ---- ---- Wishbone: No ---- ---- Synthesis tools: Xilinx Release 9.2.03i - xst J.39 ---- ---- Simulation tools: GHDL [Sokcho edition] (0.2x) ---- ---- Text editor: SETEdit 0.5.x ---- ---- ---- ------------------------------------------------------------------------------ library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; use std.textio.all; library zpu; package txt_util is -- prints a message to the screen procedure print(text: string); -- prints the message when active -- useful for debug switches procedure print(active: boolean; text: string); -- converts std_logic into a character function chr(sl: std_logic) return character; -- converts std_logic into a string (1 to 1) function str(sl: std_logic) return string; -- converts std_logic_vector into a string (binary base) function str(slv: std_logic_vector) return string; -- converts boolean into a string function str(b: boolean) return string; -- converts an integer into a single character -- (can also be used for hex conversion and other bases) function chr(int: integer) return character; -- converts integer into string using specified base function str(int: integer; base: integer) return string; -- converts integer to string, using base 10 function str(int: integer) return string; -- convert std_logic_vector into a string in hex format function hstr(slv: std_logic_vector) return string; function hstr(slv: unsigned) return string; -- functions to manipulate strings ----------------------------------- -- convert a character to upper case function to_upper(c: character) return character; -- convert a character to lower case function to_lower(c: character) return character; -- convert a string to upper case function to_upper(s: string) return string; -- convert a string to lower case function to_lower(s: string) return string; -- functions to convert strings into other formats -------------------------------------------------- -- converts a character into std_logic function to_std_logic(c: character) return std_logic; -- converts a string into std_logic_vector function to_std_logic_vector(s: string) return std_logic_vector; -- file I/O ----------- -- read variable length string from input file procedure str_read(file in_file: TEXT; res_string: out string); procedure str_write(file out_file: TEXT; new_string: in string); -- print string to a file and start new line procedure print(file out_file: TEXT; new_string: in string); -- print character to a file and start new line procedure print(file out_file: TEXT; char: in character); end package txt_util; package body txt_util is -- prints text to the screen procedure print(text: string) is variable msg_line: line; begin --synopsys translate off write(msg_line, text); writeline(output, msg_line); --synopsys translate on end procedure print; -- prints text to the screen when active procedure print(active: boolean; text: string) is begin if active then print(text); end if; end procedure print; -- converts std_logic into a character function chr(sl: std_logic) return character is variable c: character; begin case sl is when 'U' => c:= 'U'; when 'X' => c:= 'X'; when '0' => c:= '0'; when '1' => c:= '1'; when 'Z' => c:= 'Z'; when 'W' => c:= 'W'; when 'L' => c:= 'L'; when 'H' => c:= 'H'; when '-' => c:= '-'; end case; return c; end function chr; -- converts std_logic into a string (1 to 1) function str(sl: std_logic) return string is variable s: string(1 to 1); begin s(1):=chr(sl); return s; end function str; -- converts std_logic_vector into a string (binary base) -- (this also takes care of the fact that the range of -- a string is natural while a std_logic_vector may -- have an integer range) function str(slv: std_logic_vector) return string is variable result : string (1 to slv'length); variable r : integer; begin r:=1; for i in slv'range loop result(r) := chr(slv(i)); r:=r+1; end loop; return result; end function str; function str(b: boolean) return string is begin if b then return "true"; else return "false"; end if; end function str; -- converts an integer into a character -- for 0 to 9 the obvious mapping is used, higher -- values are mapped to the characters A-Z -- (this is usefull for systems with base > 10) -- (adapted from Steve Vogwell's posting in comp.lang.vhdl) function chr(int: integer) return character is variable c: character; begin case int is when 0 => c := '0'; when 1 => c := '1'; when 2 => c := '2'; when 3 => c := '3'; when 4 => c := '4'; when 5 => c := '5'; when 6 => c := '6'; when 7 => c := '7'; when 8 => c := '8'; when 9 => c := '9'; when 10 => c := 'A'; when 11 => c := 'B'; when 12 => c := 'C'; when 13 => c := 'D'; when 14 => c := 'E'; when 15 => c := 'F'; when 16 => c := 'G'; when 17 => c := 'H'; when 18 => c := 'I'; when 19 => c := 'J'; when 20 => c := 'K'; when 21 => c := 'L'; when 22 => c := 'M'; when 23 => c := 'N'; when 24 => c := 'O'; when 25 => c := 'P'; when 26 => c := 'Q'; when 27 => c := 'R'; when 28 => c := 'S'; when 29 => c := 'T'; when 30 => c := 'U'; when 31 => c := 'V'; when 32 => c := 'W'; when 33 => c := 'X'; when 34 => c := 'Y'; when 35 => c := 'Z'; when others => c := '?'; end case; return c; end function chr; -- convert integer to string using specified base -- (adapted from Steve Vogwell's posting in comp.lang.vhdl) function str(int: integer; base: integer) return string is variable temp : string(1 to 10); variable num : integer; variable abs_int : integer; variable len : integer:=1; variable power : integer:=1; begin -- bug fix for negative numbers abs_int:=abs(int); num :=abs_int; while num>=base loop -- Determine how many len:=len+1; -- characters required num:=num/base; -- to represent the end loop; -- number. for i in len downto 1 loop -- Convert the number to temp(i):=chr(abs_int/power mod base); -- a string starting power:=power*base; -- with the right hand end loop ; -- side. -- return result and add sign if required if int<0 then return '-'& temp(1 to len); else return temp(1 to len); end if; end function str; -- convert integer to string, using base 10 function str(int: integer) return string is begin return str(int, 10) ; end function str; -- converts a std_logic_vector into a hex string. function hstr(slv: std_logic_vector) return string is variable hexlen: integer; variable longslv : std_logic_vector(67 downto 0):=(others => '0'); variable hex : string(1 to 16); variable fourbit : std_logic_vector(3 downto 0); begin hexlen:=(slv'left+1)/4; if (slv'left+1) mod 4/=0 then hexlen := hexlen + 1; end if; longslv(slv'left downto 0) := slv; for i in (hexlen-1) downto 0 loop fourbit:=longslv(((i*4)+3) downto (i*4)); case fourbit is when "0000" => hex(hexlen-I):='0'; when "0001" => hex(hexlen-I):='1'; when "0010" => hex(hexlen-I):='2'; when "0011" => hex(hexlen-I):='3'; when "0100" => hex(hexlen-I):='4'; when "0101" => hex(hexlen-I):='5'; when "0110" => hex(hexlen-I):='6'; when "0111" => hex(hexlen-I):='7'; when "1000" => hex(hexlen-I):='8'; when "1001" => hex(hexlen-I):='9'; when "1010" => hex(hexlen-I):='A'; when "1011" => hex(hexlen-I):='B'; when "1100" => hex(hexlen-I):='C'; when "1101" => hex(hexlen-I):='D'; when "1110" => hex(hexlen-I):='E'; when "1111" => hex(hexlen-I):='F'; when "ZZZZ" => hex(hexlen-I):='z'; when "UUUU" => hex(hexlen-I):='u'; when "XXXX" => hex(hexlen-I):='x'; when others => hex(hexlen-I):='?'; end case; end loop; return hex(1 to hexlen); end function hstr; function hstr(slv: unsigned) return string is begin return hstr(std_logic_vector(slv)); end function hstr; -- functions to manipulate strings ----------------------------------- -- convert a character to upper case function to_upper(c: character) return character is variable u: character; begin case c is when 'a' => u:='A'; when 'b' => u:='B'; when 'c' => u:='C'; when 'd' => u:='D'; when 'e' => u:='E'; when 'f' => u:='F'; when 'g' => u:='G'; when 'h' => u:='H'; when 'i' => u:='I'; when 'j' => u:='J'; when 'k' => u:='K'; when 'l' => u:='L'; when 'm' => u:='M'; when 'n' => u:='N'; when 'o' => u:='O'; when 'p' => u:='P'; when 'q' => u:='Q'; when 'r' => u:='R'; when 's' => u:='S'; when 't' => u:='T'; when 'u' => u:='U'; when 'v' => u:='V'; when 'w' => u:='W'; when 'x' => u:='X'; when 'y' => u:='Y'; when 'z' => u:='Z'; when others => u:=c; end case; return u; end function to_upper; -- convert a character to lower case function to_lower(c: character) return character is variable l: character; begin case c is when 'A' => l:='a'; when 'B' => l:='b'; when 'C' => l:='c'; when 'D' => l:='d'; when 'E' => l:='e'; when 'F' => l:='f'; when 'G' => l:='g'; when 'H' => l:='h'; when 'I' => l:='i'; when 'J' => l:='j'; when 'K' => l:='k'; when 'L' => l:='l'; when 'M' => l:='m'; when 'N' => l:='n'; when 'O' => l:='o'; when 'P' => l:='p'; when 'Q' => l:='q'; when 'R' => l:='r'; when 'S' => l:='s'; when 'T' => l:='t'; when 'U' => l:='u'; when 'V' => l:='v'; when 'W' => l:='w'; when 'X' => l:='x'; when 'Y' => l:='y'; when 'Z' => l:='z'; when others => l:=c; end case; return l; end function to_lower; -- convert a string to upper case function to_upper(s: string) return string is variable uppercase: string (s'range); begin for i in s'range loop uppercase(i):=to_upper(s(i)); end loop; return uppercase; end to_upper; -- convert a string to lower case function to_lower(s: string) return string is variable lowercase: string (s'range); begin for i in s'range loop lowercase(i):=to_lower(s(i)); end loop; return lowercase; end to_lower; -- functions to convert strings into other types -- converts a character into a std_logic function to_std_logic(c: character) return std_logic is variable sl : std_logic; begin case c is when 'U' => sl:='U'; when 'X' => sl:='X'; when '0' => sl:='0'; when '1' => sl:='1'; when 'Z' => sl:='Z'; when 'W' => sl:='W'; when 'L' => sl:='L'; when 'H' => sl:='H'; when '-' => sl:='-'; when others => sl:='X'; end case; return sl; end function to_std_logic; -- converts a string into std_logic_vector function to_std_logic_vector(s: string) return std_logic_vector is variable slv : std_logic_vector(s'high-s'low downto 0); variable k : integer; begin k:=s'high-s'low; for i in s'range loop slv(k):=to_std_logic(s(i)); k :=k-1; end loop; return slv; end function to_std_logic_vector; ---------------- -- file I/O -- ---------------- -- read variable length string from input file procedure str_read(file in_file: TEXT; res_string: out string) is variable l : line; variable c : character; variable is_string : boolean; begin readline(in_file, l); -- clear the contents of the result string for i in res_string'range loop res_string(i):=' '; end loop; -- read all characters of the line, up to the length -- of the results string for i in res_string'range loop read(l,c,is_string); res_string(i):=c; if not is_string then -- found end of line exit; end if; end loop; end procedure str_read; -- print string to a file procedure print(file out_file: TEXT; new_string: in string) is variable l: line; begin write(l,new_string); writeline(out_file,l); end procedure print; -- print character to a file and start new line procedure print(file out_file: TEXT; char: in character) is variable l: line; begin write(l,char); writeline(out_file,l); end procedure print; -- appends contents of a string to a file until line feed occurs -- (LF is considered to be the end of the string) procedure str_write(file out_file: TEXT; new_string: in string) is begin for i in new_string'range loop print(out_file,new_string(i)); if new_string(i)=LF then -- end of string exit; end if; end loop; end str_write; end package body txt_util;
bsd-3-clause
85d27af693a937169625d72f7b3245fe
0.427386
4.368688
false
false
false
false
VHDLTool/VHDL_Handbook_STD
Extras/VHDL/STD_03300_good.vhd
1
2,954
------------------------------------------------------------------------------------------------- -- Company : CNES -- Author : Mickael Carl (CNES) -- Copyright : Copyright (c) CNES. -- Licensing : GNU GPLv3 ------------------------------------------------------------------------------------------------- -- Version : V1 -- Version history : -- V1 : 2015-04-13 : Mickael Carl (CNES): Creation ------------------------------------------------------------------------------------------------- -- File name : STD_03300_good.vhd -- File Creation date : 2015-04-13 -- Project name : VHDL Handbook CNES Edition ------------------------------------------------------------------------------------------------- -- Softwares : Microsoft Windows (Windows 7) - Editor (Eclipse + VEditor) ------------------------------------------------------------------------------------------------- -- Description : Handbook example: Buffer port type: good example -- -- Limitations : This file is an example of the VHDL handbook made by CNES. It is a stub aimed at -- demonstrating good practices in VHDL and as such, its design is minimalistic. -- It is provided as is, without any warranty. -- This example is compliant with the Handbook version 1. -- ------------------------------------------------------------------------------------------------- -- Naming conventions: -- -- i_Port: Input entity port -- o_Port: Output entity port -- b_Port: Bidirectional entity port -- g_My_Generic: Generic entity port -- -- c_My_Constant: Constant definition -- t_My_Type: Custom type definition -- -- My_Signal_n: Active low signal -- v_My_Variable: Variable -- sm_My_Signal: FSM signal -- pkg_Param: Element Param coming from a package -- -- My_Signal_re: Rising edge detection of My_Signal -- My_Signal_fe: Falling edge detection of My_Signal -- My_Signal_rX: X times registered My_Signal signal -- -- P_Process_Name: Process -- ------------------------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; --CODE entity STD_03300_good is port ( i_Clock : in std_logic; -- Clock input i_Reset_n : in std_logic; -- Reset input i_A : in std_logic_vector(3 downto 0); -- Data to add o_B : out std_logic_vector(3 downto 0) -- Data output ); end STD_03300_good; architecture Behavioral of STD_03300_good is signal B : std_logic_vector(3 downto 0); begin -- Adding the input to the output using an internal signal to read from P_Add : process(i_Reset_n, i_Clock) begin if (i_Reset_n = '0') then B <= (others => '0'); elsif (rising_edge(i_Clock)) then B <= std_logic_vector(unsigned(i_A) + unsigned(B)); end if; end process; o_B <= B; end Behavioral; --CODE
gpl-3.0
cec84146a78a59a4a2938b2b6f3dc7b9
0.484089
4.509924
false
false
false
false
ILoveSpeccy/Aeon-Lite
cores/service/src/boot.vhd
1
13,585
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.all; entity boot is port ( CLK50 : in std_logic; SRAM_A : out std_logic_vector(18 downto 0); SRAM_D : inout std_logic_vector(15 downto 0); SRAM_WE : out std_logic; SRAM_OE : out std_logic; SRAM_UB : out std_logic; SRAM_LB : out std_logic; SRAM_CE0 : out std_logic; SRAM_CE1 : out std_logic; KEYB_CLK : in std_logic; KEYB_DATA : in std_logic; COMM_CSA : in std_logic; COMM_CSD : in std_logic; COMM_SCK : in std_logic; COMM_MOSI : in std_logic; COMM_MISO : out std_logic; COMM_RDY : out std_logic; SD_MOSI : out std_logic := '1'; SD_MISO : in std_logic; SD_SCK : out std_logic := '1'; SD_CS : out std_logic; FL_CS : out std_logic; VGA_R : out std_logic_vector(3 downto 0); VGA_G : out std_logic_vector(3 downto 0); VGA_B : out std_logic_vector(3 downto 0); VGA_VSYNC : out std_logic; VGA_HSYNC : out std_logic ); end boot; architecture rtl of boot is -- SPI COMMANDS constant CMD_VIDEO_MODE : std_logic_vector(7 downto 0) := X"00"; -- sequental or swapped read/write attr/char constant CMD_VIDEO_X_POS : std_logic_vector(7 downto 0) := X"01"; constant CMD_VIDEO_Y_POS : std_logic_vector(7 downto 0) := X"02"; constant CMD_VIDEO_ATTR : std_logic_vector(7 downto 0) := X"03"; constant CMD_VIDEO_CHAR : std_logic_vector(7 downto 0) := X"04"; constant CMD_RAM_MODE : std_logic_vector(7 downto 0) := X"10"; -- 1/2 bytes address increment constant CMD_RAM_H_ADDR : std_logic_vector(7 downto 0) := X"11"; constant CMD_RAM_M_ADDR : std_logic_vector(7 downto 0) := X"12"; constant CMD_RAM_L_ADDR : std_logic_vector(7 downto 0) := X"13"; constant CMD_RAM_DATA : std_logic_vector(7 downto 0) := X"14"; constant CMD_KEYBOARD : std_logic_vector(7 downto 0) := X"20"; signal CLK : std_logic; signal VGA_CLK : std_logic; signal LOCKED : std_logic; signal TICK : unsigned(3 downto 0) := "0000"; signal RESET : std_logic := '1'; signal SRAM_DI : std_logic_vector(15 downto 0); signal SRAM_DO : std_logic_vector(15 downto 0); signal POS_X : unsigned(6 downto 0); signal POS_Y : unsigned(4 downto 0); signal VA : std_logic_vector(11 downto 0); signal VDI : std_logic_vector(7 downto 0); signal VDO : std_logic_vector(15 downto 0); signal VWR : std_logic; signal VATTR : std_logic_vector(7 downto 0); signal VRG : std_logic_vector(7 downto 0); signal RAM_A : std_logic_vector(19 downto 0); signal RAM_DI : std_logic_vector(7 downto 0); signal RAM_DO : std_logic_vector(7 downto 0); signal RAM_RW : std_logic; signal RAM_REQ : std_logic; signal RAM_ACK : std_logic; signal RAM_RG : std_logic_vector(7 downto 0); signal COMM_AO : std_logic_vector(7 downto 0); signal COMM_AI : std_logic_vector(7 downto 0); signal COMM_A_REQ : std_logic; signal COMM_A_ACK : std_logic; signal COMM_DO : std_logic_vector(7 downto 0); signal COMM_DI : std_logic_vector(7 downto 0); signal COMM_D_REQ : std_logic; signal COMM_D_ACK : std_logic; signal COMM_RG : std_logic_vector(7 downto 0); signal COMM_MA : std_logic_vector(19 downto 0); signal KB_DATA : std_logic_vector(7 downto 0); type STATES is (ST_IDLE, ST_READ_DATA, ST_WRITE_DATA, ST_READ_VRAM_A, ST_READ_VRAM_D, ST_READ, ST_WRITE, ST_INC); signal STATE : STATES; begin SD_CS <= '1'; FL_CS <= '1'; u_CLOCK : entity work.clock port map( CLK50 => CLK50, CLK => CLK, VGA_CLK => VGA_CLK, LOCKED => LOCKED ); u_VIDEO : entity work.video port map( CLK => CLK, VGA_CLK => VGA_CLK, RESET => RESET, VA => VA, VDI => VDI, VDO => VDO, VWR => VWR, VATTR => VATTR, VGA_R => VGA_R, VGA_G => VGA_G, VGA_B => VGA_B, VGA_HSYNC => VGA_HSYNC, VGA_VSYNC => VGA_VSYNC ); u_COMM_SPI : entity work.spi_comm port map( CLK => CLK, RESET => RESET, SPI_CS_A => COMM_CSA, SPI_CS_D => COMM_CSD, SPI_SCK => COMM_SCK, SPI_DI => COMM_MOSI, SPI_DO => COMM_MISO, ADDR_O => COMM_AO, ADDR_I => COMM_AI, ADDR_REQ => COMM_A_REQ, ADDR_ACK => COMM_A_ACK, DATA_O => COMM_DO, DATA_I => COMM_DI, DATA_REQ => COMM_D_REQ, DATA_ACK => COMM_D_ACK ); u_RAM : entity work.memctrl port map( CLK => CLK, RESET => RESET, MEM_A => RAM_A, MEM_DI => RAM_DI, MEM_DO => RAM_DO, MEM_RW => RAM_RW, MEM_REQ => RAM_REQ, MEM_ACK => RAM_ACK, SRAM_A => SRAM_A, SRAM_D => SRAM_D, SRAM_CE0 => SRAM_CE0, SRAM_CE1 => SRAM_CE1, SRAM_OE => SRAM_OE, SRAM_WE => SRAM_WE, SRAM_UB => SRAM_UB, SRAM_LB => SRAM_LB ); u_KEYBOARD : entity work.keyboard port map( clk => CLK, reset => RESET, PS2_Clk => KEYB_CLK, PS2_Data => KEYB_DATA, Key_Data => KB_DATA ); reset_generator : process(CLK) begin if rising_edge(CLK) then if LOCKED = '1' then if TICK /= "1111" then TICK <= TICK + 1; else RESET <= '0'; end if; end if; end if; end process; p_state_machine : process(CLK) begin if rising_edge(CLK) then if RESET = '1' then STATE <= ST_IDLE; COMM_A_ACK <= '0'; COMM_D_ACK <= '0'; COMM_RDY <= '0'; VWR <= '0'; RAM_REQ <= '0'; else COMM_A_ACK <= '0'; COMM_D_ACK <= '0'; VWR <= '0'; RAM_REQ <= '0'; case STATE is when ST_IDLE => if COMM_A_REQ = '1' then COMM_A_ACK <= '1'; COMM_RG <= COMM_AO; if COMM_AO(7) = '0' then STATE <= ST_READ_DATA; end if; elsif COMM_D_REQ = '1' then COMM_D_ACK <= '1'; if COMM_RG(7) = '0' then -- ### READ ### STATE <= ST_READ_DATA; else STATE <= ST_WRITE_DATA; end if; end if; when ST_READ_DATA => case ('0' & COMM_RG(6 downto 0)) is when CMD_VIDEO_MODE => COMM_DI <= VRG; STATE <= ST_IDLE; when CMD_VIDEO_ATTR => VA <= std_logic_vector(POS_Y) & std_logic_vector(POS_X); STATE <= ST_READ_VRAM_A; when CMD_VIDEO_X_POS => COMM_DI <= '0' & std_logic_vector(POS_X); STATE <= ST_IDLE; when CMD_VIDEO_Y_POS => COMM_DI <= "000" & std_logic_vector(POS_Y); STATE <= ST_IDLE; when CMD_VIDEO_CHAR => VA <= std_logic_vector(POS_Y) & std_logic_vector(POS_X); STATE <= ST_READ_VRAM_D; when CMD_RAM_MODE => COMM_DI <= RAM_RG; STATE <= ST_IDLE; when CMD_RAM_DATA => RAM_A <= COMM_MA; RAM_RW <= '0'; RAM_REQ <= '1'; if RAM_RG(0) = '0' then COMM_MA <= std_logic_vector(unsigned(COMM_MA) + 1); else COMM_MA <= std_logic_vector(unsigned(COMM_MA) + 2); end if; STATE <= ST_READ; when CMD_KEYBOARD => COMM_DI <= KB_DATA; STATE <= ST_IDLE; when OTHERS => STATE <= ST_IDLE; end case; when ST_WRITE_DATA => case ('0' & COMM_RG(6 downto 0)) is when CMD_VIDEO_MODE => VRG <= COMM_DO; STATE <= ST_IDLE; when CMD_VIDEO_ATTR => VATTR <= COMM_DO; if VRG(2) = '1' then STATE <= ST_INC; else STATE <= ST_IDLE; end if; when CMD_VIDEO_X_POS => POS_X <= unsigned(COMM_DO(6 downto 0)); STATE <= ST_IDLE; when CMD_VIDEO_Y_POS => POS_Y <= unsigned(COMM_DO(4 downto 0)); STATE <= ST_IDLE; when CMD_VIDEO_CHAR => VDI <= COMM_DO; VWR <= '1'; VA <= std_logic_vector(POS_Y) & std_logic_vector(POS_X); if VRG(0) = '1' then STATE <= ST_INC; else STATE <= ST_IDLE; end if; when CMD_RAM_MODE => RAM_RG <= COMM_DO; STATE <= ST_IDLE; when CMD_RAM_H_ADDR => COMM_MA(19 downto 16) <= COMM_DO(3 downto 0); STATE <= ST_IDLE; when CMD_RAM_M_ADDR => COMM_MA(15 downto 8) <= COMM_DO; STATE <= ST_IDLE; when CMD_RAM_L_ADDR => COMM_MA(7 downto 0 ) <= COMM_DO; STATE <= ST_IDLE; when CMD_RAM_DATA => RAM_A <= COMM_MA; RAM_DI <= COMM_DO; RAM_RW <= '1'; RAM_REQ <= '1'; if RAM_RG(0) = '0' then COMM_MA <= std_logic_vector(unsigned(COMM_MA) + 1); else COMM_MA <= std_logic_vector(unsigned(COMM_MA) + 2); end if; STATE <= ST_WRITE; when OTHERS => STATE <= ST_IDLE; end case; when ST_READ_VRAM_A => COMM_DI <= VDO(15 downto 8); if VRG(3) = '1' then STATE <= ST_INC; else STATE <= ST_IDLE; end if; when ST_READ_VRAM_D => COMM_DI <= VDO(7 downto 0); if VRG(1) = '1' then STATE <= ST_INC; else STATE <= ST_IDLE; end if; when ST_WRITE => if RAM_ACK = '1' then STATE <= ST_IDLE; end if; when ST_READ => if RAM_ACK = '1' then COMM_DI <= RAM_DO; STATE <= ST_IDLE; end if; when ST_INC => POS_X <= POS_X + 1; if POS_X = 79 then POS_X <= "0000000"; POS_Y <= POS_Y + 1; if POS_Y = 29 then POS_Y <= "00000"; end if; end if; STATE <= ST_IDLE; when OTHERS => STATE <= ST_IDLE; end case; end if; end if; end process; end rtl;
gpl-3.0
074aac5c57b9ccfcb49d007464d3a47d
0.371071
4.120412
false
false
false
false
benjmarshall/hls_scratchpad
hls_cmd_line_testing/hls_gui_proj/hls_sin_proj/solution1/syn/vhdl/Block_sin_taylor_ser.vhd
4
7,114
-- ============================================================== -- RTL generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2017.1 -- Copyright (C) 1986-2017 Xilinx, Inc. All Rights Reserved. -- -- =========================================================== library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity Block_sin_taylor_ser is port ( ap_clk : IN STD_LOGIC; ap_rst : IN STD_LOGIC; ap_start : IN STD_LOGIC; ap_done : OUT STD_LOGIC; ap_continue : IN STD_LOGIC; ap_idle : OUT STD_LOGIC; ap_ready : OUT STD_LOGIC; p_read : IN STD_LOGIC_VECTOR (63 downto 0); p_read1 : IN STD_LOGIC_VECTOR (63 downto 0); ap_return : OUT STD_LOGIC_VECTOR (63 downto 0) ); end; architecture behav of Block_sin_taylor_ser is constant ap_const_logic_1 : STD_LOGIC := '1'; constant ap_const_logic_0 : STD_LOGIC := '0'; constant ap_ST_fsm_state1 : STD_LOGIC_VECTOR (4 downto 0) := "00001"; constant ap_ST_fsm_state2 : STD_LOGIC_VECTOR (4 downto 0) := "00010"; constant ap_ST_fsm_state3 : STD_LOGIC_VECTOR (4 downto 0) := "00100"; constant ap_ST_fsm_state4 : STD_LOGIC_VECTOR (4 downto 0) := "01000"; constant ap_ST_fsm_state5 : STD_LOGIC_VECTOR (4 downto 0) := "10000"; constant ap_const_lv32_0 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000000"; constant ap_const_lv32_4 : STD_LOGIC_VECTOR (31 downto 0) := "00000000000000000000000000000100"; constant ap_const_lv64_0 : STD_LOGIC_VECTOR (63 downto 0) := "0000000000000000000000000000000000000000000000000000000000000000"; constant ap_const_boolean_1 : BOOLEAN := true; signal ap_done_reg : STD_LOGIC := '0'; signal ap_CS_fsm : STD_LOGIC_VECTOR (4 downto 0) := "00001"; attribute fsm_encoding : string; attribute fsm_encoding of ap_CS_fsm : signal is "none"; signal ap_CS_fsm_state1 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state1 : signal is "none"; signal ap_block_state1 : BOOLEAN; signal grp_fu_18_p2 : STD_LOGIC_VECTOR (63 downto 0); signal grp_fu_18_ce : STD_LOGIC; signal ap_CS_fsm_state5 : STD_LOGIC; attribute fsm_encoding of ap_CS_fsm_state5 : signal is "none"; signal ap_return_preg : STD_LOGIC_VECTOR (63 downto 0) := "0000000000000000000000000000000000000000000000000000000000000000"; signal ap_NS_fsm : STD_LOGIC_VECTOR (4 downto 0); component sin_taylor_seriesfYi IS generic ( ID : INTEGER; NUM_STAGE : INTEGER; din0_WIDTH : INTEGER; din1_WIDTH : INTEGER; dout_WIDTH : INTEGER ); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; din0 : IN STD_LOGIC_VECTOR (63 downto 0); din1 : IN STD_LOGIC_VECTOR (63 downto 0); ce : IN STD_LOGIC; dout : OUT STD_LOGIC_VECTOR (63 downto 0) ); end component; begin sin_taylor_seriesfYi_U12 : component sin_taylor_seriesfYi generic map ( ID => 1, NUM_STAGE => 5, din0_WIDTH => 64, din1_WIDTH => 64, dout_WIDTH => 64) port map ( clk => ap_clk, reset => ap_rst, din0 => p_read, din1 => p_read1, ce => grp_fu_18_ce, dout => grp_fu_18_p2); ap_CS_fsm_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_CS_fsm <= ap_ST_fsm_state1; else ap_CS_fsm <= ap_NS_fsm; end if; end if; end process; ap_done_reg_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_done_reg <= ap_const_logic_0; else if ((ap_const_logic_1 = ap_continue)) then ap_done_reg <= ap_const_logic_0; elsif ((ap_const_logic_1 = ap_CS_fsm_state5)) then ap_done_reg <= ap_const_logic_1; end if; end if; end if; end process; ap_return_preg_assign_proc : process(ap_clk) begin if (ap_clk'event and ap_clk = '1') then if (ap_rst = '1') then ap_return_preg <= ap_const_lv64_0; else if ((ap_const_logic_1 = ap_CS_fsm_state5)) then ap_return_preg <= grp_fu_18_p2; end if; end if; end if; end process; ap_NS_fsm_assign_proc : process (ap_start, ap_done_reg, ap_CS_fsm, ap_CS_fsm_state1) begin case ap_CS_fsm is when ap_ST_fsm_state1 => if (((ap_const_logic_1 = ap_CS_fsm_state1) and not(((ap_const_logic_0 = ap_start) or (ap_done_reg = ap_const_logic_1))))) then ap_NS_fsm <= ap_ST_fsm_state2; else ap_NS_fsm <= ap_ST_fsm_state1; end if; when ap_ST_fsm_state2 => ap_NS_fsm <= ap_ST_fsm_state3; when ap_ST_fsm_state3 => ap_NS_fsm <= ap_ST_fsm_state4; when ap_ST_fsm_state4 => ap_NS_fsm <= ap_ST_fsm_state5; when ap_ST_fsm_state5 => ap_NS_fsm <= ap_ST_fsm_state1; when others => ap_NS_fsm <= "XXXXX"; end case; end process; ap_CS_fsm_state1 <= ap_CS_fsm(0); ap_CS_fsm_state5 <= ap_CS_fsm(4); ap_block_state1_assign_proc : process(ap_start, ap_done_reg) begin ap_block_state1 <= ((ap_const_logic_0 = ap_start) or (ap_done_reg = ap_const_logic_1)); end process; ap_done_assign_proc : process(ap_done_reg, ap_CS_fsm_state5) begin if ((ap_const_logic_1 = ap_CS_fsm_state5)) then ap_done <= ap_const_logic_1; else ap_done <= ap_done_reg; end if; end process; ap_idle_assign_proc : process(ap_start, ap_CS_fsm_state1) begin if (((ap_const_logic_0 = ap_start) and (ap_const_logic_1 = ap_CS_fsm_state1))) then ap_idle <= ap_const_logic_1; else ap_idle <= ap_const_logic_0; end if; end process; ap_ready_assign_proc : process(ap_CS_fsm_state5) begin if ((ap_const_logic_1 = ap_CS_fsm_state5)) then ap_ready <= ap_const_logic_1; else ap_ready <= ap_const_logic_0; end if; end process; ap_return_assign_proc : process(grp_fu_18_p2, ap_CS_fsm_state5, ap_return_preg) begin if ((ap_const_logic_1 = ap_CS_fsm_state5)) then ap_return <= grp_fu_18_p2; else ap_return <= ap_return_preg; end if; end process; grp_fu_18_ce_assign_proc : process(ap_start, ap_done_reg, ap_CS_fsm_state1) begin if (((ap_const_logic_1 = ap_CS_fsm_state1) and ((ap_const_logic_0 = ap_start) or (ap_done_reg = ap_const_logic_1)))) then grp_fu_18_ce <= ap_const_logic_0; else grp_fu_18_ce <= ap_const_logic_1; end if; end process; end behav;
mit
91e243a908e97aef5f1632dc14f22dcd
0.545825
3.28288
false
false
false
false
VHDLTool/VHDL_Handbook_STD
Extras/VHDL/STD_06200_good.vhd
1
2,894
------------------------------------------------------------------------------------------------- -- Company : CNES -- Author : Mickael Carl (CNES) -- Copyright : Copyright (c) CNES. -- Licensing : GNU GPLv3 ------------------------------------------------------------------------------------------------- -- Version : V1 -- Version history : -- V1 : 2015-04-20 : Mickael Carl (CNES): Creation ------------------------------------------------------------------------------------------------- -- File name : STD_06200_good.vhd -- File Creation date : 2015-04-20 -- Project name : VHDL Handbook CNES Edition ------------------------------------------------------------------------------------------------- -- Softwares : Microsoft Windows (Windows 7) - Editor (Eclipse + VEditor) ------------------------------------------------------------------------------------------------- -- Description : Handbook example: Management of numeric values: good example -- -- Limitations : This file is an example of the VHDL handbook made by CNES. It is a stub aimed at -- demonstrating good practices in VHDL and as such, its design is minimalistic. -- It is provided as is, without any warranty. -- This example is compliant with the Handbook version 1. -- ------------------------------------------------------------------------------------------------- -- Naming conventions: -- -- i_Port: Input entity port -- o_Port: Output entity port -- b_Port: Bidirectional entity port -- g_My_Generic: Generic entity port -- -- c_My_Constant: Constant definition -- t_My_Type: Custom type definition -- -- My_Signal_n: Active low signal -- v_My_Variable: Variable -- sm_My_Signal: FSM signal -- pkg_Param: Element Param coming from a package -- -- My_Signal_re: Rising edge detection of My_Signal -- My_Signal_fe: Falling edge detection of My_Signal -- My_Signal_rX: X times registered My_Signal signal -- -- P_Process_Name: Process -- ------------------------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; --CODE entity STD_06200_good is port ( i_D1 : in std_logic; i_D2 : in std_logic; i_D3 : in std_logic; i_D4 : in std_logic; i_Sel : in std_logic_vector(1 downto 0); o_Q : out std_logic ); end STD_06200_good; architecture Behavioral of STD_06200_good is constant c_Sel_D1 : std_logic_vector(1 downto 0) := "00"; constant c_Sel_D2 : std_logic_vector(1 downto 0) := "01"; constant c_Sel_D3 : std_logic_vector(1 downto 0) := "10"; constant c_Sel_D4 : std_logic_vector(1 downto 0) := "11"; begin o_Q <= i_D1 when i_Sel = c_Sel_D1 else i_D2 when i_Sel = c_Sel_D2 else i_D3 when i_Sel = c_Sel_D3 else i_D4; end Behavioral; --CODE
gpl-3.0
4b8da4ea95e7e6cd7847d033a3c1ddd1
0.489288
4.170029
false
false
false
false
ILoveSpeccy/Aeon-Lite
cores/atari800xl/src/zpu/zpu_core.vhd
1
50,374
------------------------------------------------------------------------------ ---- ---- ---- ZPU Medium ---- ---- ---- ---- http://www.opencores.org/ ---- ---- ---- ---- Description: ---- ---- ZPU is a 32 bits small stack cpu. This is the medium size version. ---- ---- Supports external memories. ---- ---- ---- ---- To Do: ---- ---- - ---- ---- ---- ---- Author: ---- ---- - Øyvind Harboe, oyvind.harboe zylin.com ---- ---- - Salvador E. Tropea, salvador inti.gob.ar ---- ---- ---- ------------------------------------------------------------------------------ ---- ---- ---- Copyright (c) 2008 Øyvind Harboe <oyvind.harboe zylin.com> ---- ---- Copyright (c) 2008 Salvador E. Tropea <salvador inti.gob.ar> ---- ---- Copyright (c) 2008 Instituto Nacional de Tecnología Industrial ---- ---- ---- ---- Distributed under the BSD license ---- ---- ---- ------------------------------------------------------------------------------ ---- ---- ---- Design unit: ZPUMediumCore(Behave) (Entity and architecture) ---- ---- File name: zpu_medium.vhdl ---- ---- Note: None ---- ---- Limitations: None known ---- ---- Errors: None known ---- ---- Library: zpu ---- ---- Dependencies: IEEE.std_logic_1164 ---- ---- IEEE.numeric_std ---- ---- zpu.zpupkg ---- ---- Target FPGA: Spartan 3 (XC3S400-4-FT256) ---- ---- Language: VHDL ---- ---- Wishbone: No ---- ---- Synthesis tools: Xilinx Release 9.2.03i - xst J.39 ---- ---- Simulation tools: GHDL [Sokcho edition] (0.2x) ---- ---- Text editor: SETEdit 0.5.x ---- ---- ---- ------------------------------------------------------------------------------ -- -- write_en_o - set to '1' for a single cycle to send off a write request. -- data_o is valid only while write_en_o='1'. -- read_en_o - set to '1' for a single cycle to send off a read request. -- mem_busy_i - It is illegal to send off a read/write request when -- mem_busy_i='1'. -- Set to '0' when data_i is valid after a read request. -- If it goes to '1'(busy), it is on the cycle after read/ -- write_en_o is '1'. -- addr_o - address for read/write request -- data_i - read data. Valid only on the cycle after mem_busy_i='0' -- after read_en_o='1' for a single cycle. -- data_o - data to write -- break_o - set to '1' when CPU hits break instruction library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library work; use work.zpupkg.all; entity ZPUMediumCore is generic( WORD_SIZE : integer:=32; -- 16/32 (2**wordPower) ADDR_W : integer:=16; -- Total address space width (incl. I/O) MEM_W : integer:=15; -- Memory (prog+data+stack) width D_CARE_VAL : std_logic:='X'; -- Value used to fill the unsused bits MULT_PIPE : boolean:=false; -- Pipeline multiplication BINOP_PIPE : integer range 0 to 2:=0; -- Pipeline binary operations (-, =, < and <=) ENA_LEVEL0 : boolean:=true; -- eq, loadb, neqbranch and pushspadd ENA_LEVEL1 : boolean:=true; -- lessthan, ulessthan, mult, storeb, callpcrel and sub ENA_LEVEL2 : boolean:=false; -- lessthanorequal, ulessthanorequal, call and poppcrel ENA_LSHR : boolean:=true; -- lshiftright ENA_IDLE : boolean:=false; -- Enable the enable_i input FAST_FETCH : boolean:=true); -- Merge the st_fetch with the st_execute states port( clk_i : in std_logic; -- CPU Clock reset_i : in std_logic; -- Sync Reset enable_i : in std_logic; -- Hold the CPU (after reset) break_o : out std_logic; -- Break instruction executed dbg_o : out zpu_dbgo_t; -- Debug outputs (i.e. trace log) -- Memory interface mem_busy_i : in std_logic; -- Memory is busy data_i : in unsigned(WORD_SIZE-1 downto 0); -- Data from mem data_o : out unsigned(WORD_SIZE-1 downto 0); -- Data to mem addr_o : out unsigned(ADDR_W-1 downto 0); -- Memory address write_en_o : out std_logic; -- Memory write enable (32-bit) read_en_o : out std_logic; -- Memory read enable (32-bit) byte_read_o : out std_logic; byte_write_o : out std_logic; short_write_o: out std_logic); -- never happens end entity ZPUMediumCore; architecture Behave of ZPUMediumCore is constant BYTE_BITS : integer:=WORD_SIZE/16; -- # of bits in a word that addresses bytes constant WORD_BYTES : integer:=WORD_SIZE/OPCODE_W; constant MAX_ADDR_BIT : integer:=ADDR_W-2; -- Stack Pointer initial value: BRAM size-8 constant SP_START_1 : unsigned(ADDR_W-1 downto 0):=to_unsigned((2**MEM_W)-8,ADDR_W); constant SP_START : unsigned(ADDR_W-1 downto BYTE_BITS):= SP_START_1(ADDR_W-1 downto BYTE_BITS); -- Update [SP+1]. We hold it in b_r, this writes the value to memory. procedure FlushB(signal we : out std_logic; signal addr : out unsigned(ADDR_W-1 downto BYTE_BITS); signal inc_sp : in unsigned(ADDR_W-1 downto BYTE_BITS); signal data : out unsigned(WORD_SIZE-1 downto 0); signal b : in unsigned(WORD_SIZE-1 downto 0)) is begin we <= '1'; addr <= inc_sp; data <= b; end procedure FlushB; -- Do a simple stack push, it is performed in the internal cache registers, -- not in the real memory. procedure Push(signal sp : inout unsigned(ADDR_W-1 downto BYTE_BITS); signal a : in unsigned(WORD_SIZE-1 downto 0); signal b : out unsigned(WORD_SIZE-1 downto 0)) is begin b <= a; -- Update cache [SP+1]=[SP] sp <= sp-1; end procedure Push; -- Do a simple stack pop, it is performed in the internal cache registers, -- not in the real memory. procedure Pop(signal sp : inout unsigned(ADDR_W-1 downto BYTE_BITS); signal a : out unsigned(WORD_SIZE-1 downto 0); signal b : in unsigned(WORD_SIZE-1 downto 0)) is begin a <= b; -- Update cache [SP]=[SP+1] sp <= sp+1; end procedure Pop; -- Expand a PC value to WORD_SIZE function ExpandPC(v : unsigned(ADDR_W-1 downto 0)) return unsigned is variable nv : unsigned(WORD_SIZE-1 downto 0); begin nv:=(others => '0'); nv(ADDR_W-1 downto 0):=v; return nv; end function ExpandPC; -- Program counter signal pc_r : unsigned(ADDR_W-1 downto 0):=(others => '0'); -- Stack pointer signal sp_r : unsigned(ADDR_W-1 downto BYTE_BITS):=SP_START; -- SP+1, SP+2 and SP-1 are very used, these are shortcuts signal inc_sp : unsigned(ADDR_W-1 downto BYTE_BITS); signal inc_inc_sp : unsigned(ADDR_W-1 downto BYTE_BITS); -- a_r is a cache for the top of the stack [SP] -- Note: as this is a stack CPU this is a very important register. signal a_r : unsigned(WORD_SIZE-1 downto 0); -- b_r is a cache for the next value in the stack [SP+1] signal b_r : unsigned(WORD_SIZE-1 downto 0); signal bin_op_res1_r : unsigned(WORD_SIZE-1 downto 0):=(others => '0'); signal bin_op_res2_r : unsigned(WORD_SIZE-1 downto 0):=(others => '0'); signal mult_res1_r : unsigned(WORD_SIZE-1 downto 0); signal mult_res2_r : unsigned(WORD_SIZE-1 downto 0); signal mult_res3_r : unsigned(WORD_SIZE-1 downto 0); signal mult_a_r : unsigned(WORD_SIZE-1 downto 0):=(others => '0'); signal mult_b_r : unsigned(WORD_SIZE-1 downto 0):=(others => '0'); signal idim_r : std_logic; signal write_en_r : std_logic; signal byte_read_r : std_logic; signal byte_write_r : std_logic; signal short_write_r : std_logic; signal read_en_r : std_logic; signal addr_r : unsigned(ADDR_W-1 downto BYTE_BITS):=(others => '0'); signal addrl_r : unsigned(BYTE_BITS-1 downto 0):=(others => '0'); signal fetched_w_r : unsigned(WORD_SIZE-1 downto 0); type state_t is(st_load2, st_popped, st_load_sp2, st_load_sp3, st_add_sp2, st_fetch, st_execute, st_decode, st_decode2, st_resync, st_store_sp2, st_resync2, st_resync3, st_loadb2, st_mult2, st_mult3, st_mult5, st_mult4, st_binary_op_res2, st_binary_op_res, st_idle); signal state : state_t:=st_resync; -- Go to st_fetch state or just do its work procedure DoFetch(constant FAST : boolean; signal state : out state_t; signal addr : out unsigned(ADDR_W-1 downto BYTE_BITS); signal pc : in unsigned(ADDR_W-1 downto 0); signal re : out std_logic; signal busy : in std_logic) is begin if FAST then -- Equivalent to st_fetch if busy='0' then addr <= pc(ADDR_W-1 downto BYTE_BITS); re <= '1'; state <= st_decode; end if; else state <= st_fetch; end if; end procedure DoFetch; -- Perform a "binary operation" (2 operands) procedure DoBinOp(result : in unsigned(WORD_SIZE-1 downto 0); signal state : out state_t; signal sp : inout unsigned(ADDR_W-1 downto BYTE_BITS); signal addr : out unsigned(ADDR_W-1 downto BYTE_BITS); signal re : out std_logic; signal dest : out unsigned(WORD_SIZE-1 downto 0); signal dest_p : out unsigned(WORD_SIZE-1 downto 0); constant DEPTH : natural) is begin if DEPTH=2 then -- 2 clocks: st_binary_op_res+st_binary_op_res2 state <= st_binary_op_res; dest_p <= result; elsif DEPTH=1 then -- 1 clock: st_binary_op_res2 state <= st_binary_op_res2; dest_p <= result; else -- 0 clocks re <= '1'; addr <= sp+2; sp <= sp+1; dest <= result; state <= st_popped; end if; end procedure DoBinOp; -- Perform a boolean "binary operation" (2 operands) procedure DoBinOpBool(result : in boolean; signal state : out state_t; signal sp : inout unsigned(ADDR_W-1 downto BYTE_BITS); signal addr : out unsigned(ADDR_W-1 downto BYTE_BITS); signal re : out std_logic; signal dest : out unsigned(WORD_SIZE-1 downto 0); signal dest_p : out unsigned(WORD_SIZE-1 downto 0); constant DEPTH : natural) is variable res : unsigned(WORD_SIZE-1 downto 0):=(others => '0'); begin if result then res(0):='1'; end if; DoBinOp(res,state,sp,addr,re,dest,dest_p,DEPTH); end procedure DoBinOpBool; type insn_t is (dec_add_top, dec_dup, dec_dup_stk_b, dec_pop, dec_add, dec_or, dec_and, dec_store, dec_add_sp, dec_shift, dec_nop, dec_im, dec_load_sp, dec_store_sp, dec_emulate, dec_load, dec_push_sp, dec_pop_pc, dec_pop_pc_rel, dec_not, dec_flip, dec_pop_sp, dec_neq_branch, dec_eq, dec_loadb, dec_mult, dec_less_than, dec_less_than_or_equal, dec_lshr, dec_u_less_than_or_equal, dec_u_less_than, dec_push_sp_add, dec_call, dec_call_pc_rel, dec_sub, dec_break, dec_storeb, dec_insn_fetch, dec_pop_down); signal insn : insn_t; type insn_array_t is array(0 to WORD_BYTES-1) of insn_t; signal insns : insn_array_t; type opcode_array_t is array(0 to WORD_BYTES-1) of unsigned(OPCODE_W-1 downto 0); signal opcode_r : opcode_array_t; begin -- the memory subsystem will tell us one cycle later whether or -- not it is busy write_en_o <= write_en_r; read_en_o <= read_en_r; byte_read_o <= byte_read_r; byte_write_o <= byte_write_r; short_write_o <= short_write_r; addr_o(ADDR_W-1 downto BYTE_BITS) <= addr_r; addr_o(BYTE_BITS-1 downto 0) <= addrl_r; -- SP+1 and +2 inc_sp <= sp_r+1; inc_inc_sp <= sp_r+2; opcode_control: process (clk_i) variable topcode : unsigned(OPCODE_W-1 downto 0); variable ex_opcode : unsigned(OPCODE_W-1 downto 0); variable sp_offset : unsigned(4 downto 0); variable tsp_offset : unsigned(4 downto 0); variable next_pc : unsigned(ADDR_W-1 downto 0); variable tdecoded : insn_t; variable tinsns : insn_array_t; variable mult_res : unsigned(WORD_SIZE*2-1 downto 0); variable ipc_low : integer range 0 to 3; -- Address inside a word (pc_r) variable inpc_low : integer range 0 to 3; -- Address inside a word (next_pc) variable not_lshr : std_logic:='1'; begin if rising_edge(clk_i) then break_o <= '0'; if reset_i='1' then if ENA_IDLE then state <= st_idle; else state <= st_resync; end if; sp_r <= SP_START; pc_r <= (others => '0'); idim_r <= '0'; write_en_r <= '0'; byte_read_r <= '0'; byte_write_r <= '0'; short_write_r <= '0'; read_en_r <= '0'; mult_a_r <= (others => '0'); mult_b_r <= (others => '0'); dbg_o.b_inst <= '0'; -- Reseting add_r here makes XST fail to use BRAMs ?! else -- reset_i='1' if MULT_PIPE then -- We must multiply unconditionally to get pipelined multiplication mult_res:=mult_a_r*mult_b_r; mult_res1_r <= mult_res(WORD_SIZE-1 downto 0); mult_res2_r <= mult_res1_r; mult_res3_r <= mult_res2_r; mult_a_r <= (others => D_CARE_VAL); mult_b_r <= (others => D_CARE_VAL); end if; if BINOP_PIPE=2 then bin_op_res2_r <= bin_op_res1_r; -- pipeline a bit. end if; read_en_r <='0'; write_en_r <='0'; byte_read_r <= '0'; byte_write_r <= '0'; short_write_r <= '0'; -- Allow synthesis tools to load bogus values when we don't -- care about the address and output data. addr_r <= (others => D_CARE_VAL); data_o <= (others => D_CARE_VAL); addrl_r <= "00"; if (write_en_r='1') and (read_en_r='1') then report "read/write collision" severity failure; end if; ipc_low:=to_integer(pc_r(BYTE_BITS-1 downto 0)); sp_offset(4):=not opcode_r(ipc_low)(4); sp_offset(3 downto 0):=opcode_r(ipc_low)(3 downto 0); next_pc:=pc_r+1; -- Prepare trace snapshot dbg_o.opcode <= opcode_r(ipc_low); dbg_o.pc <= resize(pc_r,32); dbg_o.stk_a <= resize(a_r,32); dbg_o.stk_b <= resize(b_r,32); dbg_o.b_inst <= '0'; dbg_o.sp <= (others => '0'); dbg_o.sp(ADDR_W-1 downto BYTE_BITS) <= sp_r; case state is when st_idle => if enable_i='1' then state <= st_resync; end if; -- Initial state of ZPU, fetch top of stack (A/B) + first instruction when st_resync => if mem_busy_i='0' then addr_r <= sp_r; read_en_r <= '1'; state <= st_resync2; end if; when st_resync2 => if mem_busy_i='0' then a_r <= data_i; addr_r <= inc_sp; read_en_r <= '1'; state <= st_resync3; end if; when st_resync3 => if mem_busy_i='0' then b_r <= data_i; addr_r <= pc_r(ADDR_W-1 downto BYTE_BITS); read_en_r <= '1'; state <= st_decode; end if; when st_decode => if mem_busy_i='0' then -- Here we latch the fetched word to give one full clock -- cycle to the instruction decoder. This could be removed -- if using BRAMs and the decoder delay isn't important. fetched_w_r <= data_i; state <= st_decode2; end if; when st_decode2 => -- decode 4 instructions in parallel for i in 0 to WORD_BYTES-1 loop topcode:=fetched_w_r((WORD_BYTES-1-i+1)*8-1 downto (WORD_BYTES-1-i)*8); tsp_offset(4):=not topcode(4); tsp_offset(3 downto 0):=topcode(3 downto 0); opcode_r(i) <= topcode; if topcode(7 downto 7)=OPCODE_IM then tdecoded:=dec_im; elsif topcode(7 downto 5)=OPCODE_STORESP then if tsp_offset=0 then -- Special case, we can avoid a write tdecoded:=dec_pop; elsif tsp_offset=1 then -- Special case, collision tdecoded:=dec_pop_down; else tdecoded:=dec_store_sp; end if; elsif topcode(7 downto 5)=OPCODE_LOADSP then if tsp_offset=0 then tdecoded:=dec_dup; elsif tsp_offset=1 then tdecoded:=dec_dup_stk_b; else tdecoded:=dec_load_sp; end if; elsif topcode(7 downto 5)=OPCODE_EMULATE then tdecoded:=dec_emulate; if ENA_LEVEL0 and topcode(5 downto 0)=OPCODE_NEQBRANCH then tdecoded:=dec_neq_branch; elsif ENA_LEVEL0 and topcode(5 downto 0)=OPCODE_EQ then tdecoded:=dec_eq; elsif ENA_LEVEL0 and topcode(5 downto 0)=OPCODE_LOADB then tdecoded:=dec_loadb; elsif ENA_LEVEL0 and topcode(5 downto 0)=OPCODE_PUSHSPADD then tdecoded:=dec_push_sp_add; elsif ENA_LEVEL1 and topcode(5 downto 0)=OPCODE_LESSTHAN then tdecoded:=dec_less_than; elsif ENA_LEVEL1 and topcode(5 downto 0)=OPCODE_ULESSTHAN then tdecoded:=dec_u_less_than; elsif ENA_LEVEL1 and topcode(5 downto 0)=OPCODE_MULT then tdecoded:=dec_mult; elsif ENA_LEVEL1 and topcode(5 downto 0)=OPCODE_STOREB then tdecoded:=dec_storeb; elsif ENA_LEVEL1 and topcode(5 downto 0)=OPCODE_CALLPCREL then tdecoded:=dec_call_pc_rel; elsif ENA_LEVEL1 and topcode(5 downto 0)=OPCODE_SUB then tdecoded:=dec_sub; elsif ENA_LEVEL2 and topcode(5 downto 0)=OPCODE_LESSTHANOREQUAL then tdecoded:=dec_less_than_or_equal; elsif ENA_LEVEL2 and topcode(5 downto 0)=OPCODE_ULESSTHANOREQUAL then tdecoded:=dec_u_less_than_or_equal; elsif ENA_LEVEL2 and topcode(5 downto 0)=OPCODE_CALL then tdecoded:=dec_call; elsif ENA_LEVEL2 and topcode(5 downto 0)=OPCODE_POPPCREL then tdecoded:=dec_pop_pc_rel; elsif ENA_LSHR and topcode(5 downto 0)=OPCODE_LSHIFTRIGHT then tdecoded:=dec_lshr; end if; elsif topcode(7 downto 4)=OPCODE_ADDSP then if tsp_offset=0 then tdecoded:=dec_shift; elsif tsp_offset=1 then tdecoded:=dec_add_top; else tdecoded:=dec_add_sp; end if; else -- OPCODE_SHORT case topcode(3 downto 0) is when OPCODE_BREAK => tdecoded:=dec_break; when OPCODE_PUSHSP => tdecoded:=dec_push_sp; when OPCODE_POPPC => tdecoded:=dec_pop_pc; when OPCODE_ADD => tdecoded:=dec_add; when OPCODE_OR => tdecoded:=dec_or; when OPCODE_AND => tdecoded:=dec_and; when OPCODE_LOAD => tdecoded:=dec_load; when OPCODE_NOT => tdecoded:=dec_not; when OPCODE_FLIP => tdecoded:=dec_flip; when OPCODE_STORE => tdecoded:=dec_store; when OPCODE_POPSP => tdecoded:=dec_pop_sp; when others => -- OPCODE_NOP and others tdecoded:=dec_nop; end case; end if; tinsns(i):=tdecoded; end loop; insn <= tinsns(ipc_low); -- once we wrap, we need to fetch tinsns(0):=dec_insn_fetch; insns <= tinsns; state <= st_execute; -- Each instruction must: -- -- 1. increase pc_r if applicable -- 2. set next state if applicable -- 3. do it's operation when st_execute => -- Some shortcut to make the code readable: inpc_low:=to_integer(next_pc(BYTE_BITS-1 downto 0)); ex_opcode:=opcode_r(ipc_low); if (mem_busy_i = '0') then -- MWW, do not move on until mem is not busy! insn <= insns(inpc_low); -- Defaults used by most instructions if insn/=dec_insn_fetch and insn/=dec_im then dbg_o.b_inst <= '1'; idim_r <= '0'; end if; case insn is when dec_insn_fetch => -- Not a real instruction, fetch new instructions DoFetch(FAST_FETCH,state,addr_r,pc_r,read_en_r,mem_busy_i); when dec_im => -- Push(immediate value), IDIM=1 -- if IDIM=0 Push(signed(opcode & 0x7F)) else -- Push((Pop()<<7)|(opcode&0x7F)) if mem_busy_i='0' then dbg_o.b_inst <= '1'; idim_r <= '1'; pc_r <= pc_r+1; if idim_r='1' then -- We already started an IM sequence -- Shift left 7 bits a_r(WORD_SIZE-1 downto 7) <= a_r(WORD_SIZE-8 downto 0); -- Put the new value a_r(6 downto 0) <= ex_opcode(6 downto 0); else -- First IM, push the value sign extended FlushB(write_en_r,addr_r,inc_sp,data_o,b_r); a_r <= unsigned(resize(signed(ex_opcode(6 downto 0)),WORD_SIZE)); Push(sp_r,a_r,b_r); end if; end if; when dec_store_sp => -- [SP+Offset]=Pop() if mem_busy_i='0' then write_en_r <= '1'; addr_r <= sp_r+sp_offset; data_o <= a_r; Pop(sp_r,a_r,b_r); -- We need to fetch B state <= st_store_sp2; end if; when dec_load_sp => -- Push([SP+Offset]) if mem_busy_i='0' then FlushB(write_en_r,addr_r,inc_sp,data_o,b_r); Push(sp_r,a_r,b_r); -- We are flushing B cache, so we need more time to -- read the value. state <= st_load_sp2; end if; when dec_emulate => -- Push(PC+1), PC=Opcode[4:0]*32 if mem_busy_i='0' then FlushB(write_en_r,addr_r,inc_sp,data_o,b_r); state <= st_fetch; a_r <= ExpandPC(pc_r+1); Push(sp_r,a_r,b_r); -- The emulate address is: -- 98 7654 3210 -- 0000 00aa aaa0 0000 pc_r <= (others => '0'); pc_r(9 downto 5) <= ex_opcode(4 downto 0); end if; when dec_call_pc_rel => -- t=Pop(), Push(PC+1), PC=PC+t if mem_busy_i='0' and ENA_LEVEL1 then state <= st_fetch; a_r <= ExpandPC(pc_r+1); pc_r <= pc_r+a_r(ADDR_W-1 downto 0); end if; when dec_call => -- t=Pop(), Push(PC+1), PC=t if mem_busy_i='0' and ENA_LEVEL2 then state <= st_fetch; a_r <= ExpandPC(pc_r+1); pc_r <= a_r(ADDR_W-1 downto 0); end if; when dec_add_sp => -- Push(Pop()+[SP+Offset]) if mem_busy_i='0' then -- Read SP+Offset state <= st_add_sp2; read_en_r <= '1'; addr_r <= sp_r+sp_offset; pc_r <= pc_r+1; end if; when dec_push_sp => -- Push(SP) if mem_busy_i='0' then FlushB(write_en_r,addr_r,inc_sp,data_o,b_r); pc_r <= pc_r+1; a_r <= (others => '0'); a_r(ADDR_W-1 downto BYTE_BITS) <= sp_r; Push(sp_r,a_r,b_r); end if; when dec_pop_pc => -- PC=Pop() (return) if mem_busy_i='0' then FlushB(write_en_r,addr_r,inc_sp,data_o,b_r); state <= st_resync; pc_r <= a_r(ADDR_W-1 downto 0); sp_r <= inc_sp; end if; when dec_pop_pc_rel => -- PC=PC+Pop() if mem_busy_i='0' and ENA_LEVEL2 then FlushB(write_en_r,addr_r,inc_sp,data_o,b_r); state <= st_resync; pc_r <= a_r(ADDR_W-1 downto 0)+pc_r; sp_r <= inc_sp; end if; when dec_add => -- Push(Pop()+Pop()) [A=A+B, SP++, update B] if mem_busy_i='0' then state <= st_popped; a_r <= a_r+b_r; read_en_r <= '1'; addr_r <= inc_inc_sp; sp_r <= inc_sp; end if; when dec_sub => -- a=Pop(), b=Pop(), Push(b-a) if mem_busy_i='0' and ENA_LEVEL1 then DoBinOp(b_r-a_r,state,sp_r,addr_r,read_en_r, a_r,bin_op_res1_r,BINOP_PIPE); end if; when dec_pop => -- Pop() if mem_busy_i='0' then state <= st_popped; addr_r <= inc_inc_sp; read_en_r <= '1'; Pop(sp_r,a_r,b_r); end if; when dec_pop_down => -- t=Pop(), Pop(), Push(t) if mem_busy_i='0' then -- PopDown leaves top of stack unchanged state <= st_popped; addr_r <= inc_inc_sp; read_en_r <= '1'; sp_r <= inc_sp; end if; when dec_or => -- Push(Pop() or Pop()) if mem_busy_i='0' then state <= st_popped; a_r <= a_r or b_r; read_en_r <= '1'; addr_r <= inc_inc_sp; sp_r <= inc_sp; end if; when dec_and => -- Push(Pop() and Pop()) if mem_busy_i='0' then state <= st_popped; a_r <= a_r and b_r; read_en_r <= '1'; addr_r <= inc_inc_sp; sp_r <= inc_sp; end if; when dec_eq => -- a=Pop(), b=Pop(), Push(a=b ? 1 : 0) if mem_busy_i='0' and ENA_LEVEL0 then DoBinOpBool(a_r=b_r,state,sp_r,addr_r,read_en_r, a_r,bin_op_res1_r,BINOP_PIPE); end if; when dec_u_less_than => -- a=Pop(), b=Pop(), Push(a<b ? 1 : 0) if mem_busy_i='0' and ENA_LEVEL1 then DoBinOpBool(a_r<b_r,state,sp_r,addr_r,read_en_r, a_r,bin_op_res1_r,BINOP_PIPE); end if; when dec_u_less_than_or_equal => -- a=Pop(), b=Pop(), Push(a<=b ? 1 : 0) if mem_busy_i='0' and ENA_LEVEL2 then DoBinOpBool(a_r<=b_r,state,sp_r,addr_r,read_en_r, a_r,bin_op_res1_r,BINOP_PIPE); end if; when dec_less_than => -- a=signed(Pop()), b=signed(Pop()), Push(a<b ? 1 : 0) if mem_busy_i='0' and ENA_LEVEL1 then DoBinOpBool(signed(a_r)<signed(b_r),state,sp_r, addr_r,read_en_r,a_r,bin_op_res1_r, BINOP_PIPE); end if; when dec_less_than_or_equal => -- a=signed(Pop()), b=signed(Pop()), Push(a<=b ? 1 : 0) if mem_busy_i='0' and ENA_LEVEL2 then DoBinOpBool(signed(a_r)<=signed(b_r),state,sp_r, addr_r,read_en_r,a_r,bin_op_res1_r, BINOP_PIPE); end if; when dec_load => -- Push([Pop()]) if mem_busy_i='0' then state <= st_load2; addr_r <= a_r(ADDR_W-1 downto BYTE_BITS); read_en_r <= '1'; pc_r <= pc_r+1; end if; when dec_dup => -- t=Pop(), Push(t), Push(t) if mem_busy_i='0' then pc_r <= pc_r+1; -- A is dupped, no change Push(sp_r,a_r,b_r); FlushB(write_en_r,addr_r,inc_sp,data_o,b_r); end if; when dec_dup_stk_b => -- Pop(), t=Pop(), Push(t), Push(t), Push(t) if mem_busy_i='0' then pc_r <= pc_r+1; a_r <= b_r; -- B goes to A Push(sp_r,a_r,b_r); FlushB(write_en_r,addr_r,inc_sp,data_o,b_r); end if; when dec_store => -- a=Pop(), b=Pop(), [a]=b if mem_busy_i='0' then state <= st_resync; pc_r <= pc_r+1; addr_r <= a_r(ADDR_W-1 downto BYTE_BITS); data_o <= b_r; write_en_r <= '1'; sp_r <= inc_inc_sp; end if; when dec_pop_sp => -- SP=Pop() if mem_busy_i='0' then FlushB(write_en_r,addr_r,inc_sp,data_o,b_r); state <= st_resync; pc_r <= pc_r+1; sp_r <= a_r(ADDR_W-1 downto BYTE_BITS); end if; when dec_nop => pc_r <= pc_r+1; when dec_not => -- Push(not(Pop())) pc_r <= pc_r+1; a_r <= not a_r; when dec_flip => -- Push(flip(Pop())) pc_r <= pc_r+1; for i in 0 to WORD_SIZE-1 loop a_r(i) <= a_r(WORD_SIZE-1-i); end loop; when dec_add_top => -- a=Pop(), b=Pop(), Push(b), Push(a+b) pc_r <= pc_r+1; a_r <= a_r+b_r; when dec_shift => -- Push(Pop()<<1) [equivalent to a=Pop(), Push(a+a)] pc_r <= pc_r+1; a_r(WORD_SIZE-1 downto 1) <= a_r(WORD_SIZE-2 downto 0); a_r(0) <= '0'; when dec_push_sp_add => -- Push(Pop()+SP) if ENA_LEVEL0 then pc_r <= pc_r+1; a_r <= (others => '0'); a_r(ADDR_W-1 downto BYTE_BITS) <= a_r(ADDR_W-1-BYTE_BITS downto 0)+sp_r; end if; when dec_neq_branch => -- a=Pop(), b=Pop(), PC+=b==0 ? 1 : a -- Branches are almost always taken as they form loops if ENA_LEVEL0 then sp_r <= inc_inc_sp; -- Need to fetch stack again. state <= st_resync; if b_r/=0 then pc_r <= a_r(ADDR_W-1 downto 0)+pc_r; else pc_r <= pc_r+1; end if; end if; when dec_mult => -- Push(Pop()*Pop()) if ENA_LEVEL1 then if MULT_PIPE then mult_a_r <= a_r; mult_b_r <= b_r; state <= st_mult2; else mult_res:=a_r*b_r; mult_res1_r <= mult_res(WORD_SIZE-1 downto 0); state <= st_mult5; end if; end if; when dec_break => -- Assert the break_o signal --report "Break instruction encountered" severity failure; break_o <= '1'; pc_r <= pc_r+1; when dec_loadb => -- Push([Pop()] & 0xFF) (byte address) if mem_busy_i='0' and ENA_LEVEL0 then state <= st_loadb2; addr_r <= a_r(ADDR_W-1 downto BYTE_BITS); addrl_r <= a_r(BYTE_BITS-1 downto 0); --read_en_r <= '1'; byte_read_r <= '1'; pc_r <= pc_r+1; end if; when dec_storeb => -- [Pop()]=Pop() & 0xFF (byte address) if mem_busy_i='0' and ENA_LEVEL1 then state <= st_resync; sp_r <= inc_inc_sp; addr_r <= a_r(ADDR_W-1 downto BYTE_BITS); addrl_r <= a_r(BYTE_BITS-1 downto 0); --write_en_r <= '1'; byte_write_r <= '1'; pc_r <= pc_r+1; --data_o(WORD_SIZE-1 downto 8) <= (others=>'0'); --data_o(7 downto 0) <= b_r(7 downto 0); data_o(WORD_SIZE-1 downto 0) <= b_r(7 downto 0)&b_r(7 downto 0)&b_r(7 downto 0)&b_r(7 downto 0); end if; when dec_lshr => -- a=Pop(), b=Pop(), Push(b>>(a&0x3F)) if ENA_LSHR then -- This instruction takes more than one cycle. -- We must avoid duplications in the trace log. dbg_o.b_inst <= not_lshr; not_lshr:='0'; if a_r(5 downto 0)=0 then -- Only 6 bits used -- No more shifts if mem_busy_i='0' then state <= st_popped; a_r <= b_r; read_en_r <= '1'; addr_r <= inc_inc_sp; sp_r <= inc_sp; not_lshr:='1'; end if; else -- More shifts needed b_r <= "0"&b_r(WORD_SIZE-1 downto 1); a_r(5 downto 0) <= a_r(5 downto 0)-1; insn <= insn; end if; end if; when others => -- Undefined behavior, we shouldn't get here. -- It only helps synthesis tools. sp_r <= (others => D_CARE_VAL); report "Illegal decode instruction?!" severity failure; --break_o <= '1'; end case; end if; -- The followup of operations that takes more than one execution clock when st_store_sp2 => if mem_busy_i='0' then addr_r <= inc_sp; read_en_r <= '1'; state <= st_popped; end if; when st_load_sp2 => if mem_busy_i='0' then state <= st_load_sp3; -- Now we can read SP+Offset (SP already decremented) read_en_r <= '1'; addr_r <= sp_r+sp_offset+1; end if; when st_load_sp3 => if mem_busy_i='0' then -- Note: We can't increment PC in the decode stage -- because it will modify sp_offset. pc_r <= pc_r+1; -- Finally we have the result in A state <= st_execute; a_r <= data_i; end if; when st_add_sp2 => if mem_busy_i='0' then state <= st_execute; a_r <= a_r+data_i; end if; when st_load2 => if mem_busy_i='0' then a_r <= data_i; state <= st_execute; end if; when st_loadb2 => if mem_busy_i='0' then a_r(WORD_SIZE-1 downto 8) <= (others => '0'); a_r(7 downto 0) <= data_i(7 downto 0); state <= st_execute; end if; when st_fetch => if mem_busy_i='0' then addr_r <= pc_r(ADDR_W-1 downto BYTE_BITS); read_en_r <= '1'; state <= st_decode; end if; -- The following states can be used to leave cycles free for -- tools that can automagically decompose the multiplication -- in various stages. Xilinx tools can do it to increase the -- multipliers performance. when st_mult2 => state <= st_mult3; when st_mult3 => state <= st_mult4; when st_mult4 => state <= st_mult5; when st_mult5 => if mem_busy_i='0' then if MULT_PIPE then a_r <= mult_res3_r; else a_r <= mult_res1_r; end if; read_en_r <= '1'; addr_r <= inc_inc_sp; sp_r <= inc_sp; state <= st_popped; end if; when st_binary_op_res => -- BINOP_PIPE=2 state <= st_binary_op_res2; when st_binary_op_res2 => -- BINOP_PIPE>=1 read_en_r <= '1'; addr_r <= inc_inc_sp; sp_r <= inc_sp; state <= st_popped; if BINOP_PIPE=2 then a_r <= bin_op_res2_r; else -- 1 a_r <= bin_op_res1_r; end if; when st_popped => if mem_busy_i='0' then -- Note: Moving this PC++ to the decoder seems to -- consume more LUTs. pc_r <= pc_r+1; b_r <= data_i; state <= st_execute; end if; when others => -- Undefined behavior, we shouldn't get here. -- It only helps synthesis tools. sp_r <= (others => D_CARE_VAL); report "Illegal state?!" severity failure; --break_o <= '1'; end case; -- state end if; -- else reset_i='1' end if; -- rising_edge(clk_i) end process opcode_control; end architecture Behave; -- Entity: ZPUMediumCore
gpl-3.0
e083c20d574d6c8d29a289b0e564c10c
0.356594
4.546529
false
false
false
false
ILoveSpeccy/Aeon-Lite
cores/lvov-pk02-mips/src/keyboard/keyboard.vhd
1
12,741
library IEEE; use IEEE.numeric_std.all; use IEEE.std_logic_1164.all; entity keyboard is port( CLK : in std_logic; RESET : in std_logic; PS2_CLK : in std_logic; PS2_DATA : in std_logic; CONTROL : out std_logic_vector(7 downto 0); KEYB_A : in std_logic_vector(7 downto 0); KEYB_A2 : in std_logic_vector(3 downto 0); KEYB_D : out std_logic_vector(7 downto 0); KEYB_D2 : out std_logic_vector(3 downto 0); VGA_SEL : in std_logic; -- 0 = Lvov, 1= MIPS KEYB_DATA : out std_logic_vector(7 downto 0) -- MIPS ); end keyboard; architecture Behavioral of keyboard is signal CODE : std_logic_vector(7 downto 0); signal DONE : std_logic; signal ERROR : std_logic; signal KEY_REL : std_logic; signal KEY_EXT : std_logic; type Matrix_Image is array (natural range <>) of std_logic_vector(7 downto 0); signal Matrix : Matrix_Image(0 to 7); type Matrix2_Image is array (natural range <>) of std_logic_vector(3 downto 0); signal Matrix2 : Matrix2_Image(0 to 3); begin u_PS2 : entity work.ps2 port map( CLK => CLK, RESET => not RESET, PS2_CLK => PS2_CLK, PS2_DATA => PS2_DATA, CODE => CODE, DONE => DONE, ERROR => ERROR ); DECODER : process(CLK) variable KEY : std_logic_vector(10 downto 0); variable KEY2 : std_logic_vector(5 downto 0); begin if rising_edge(CLK) then if RESET = '0' then Matrix <= (others => (others => '0')); KEY_REL <= '0'; KEY_EXT <= '0'; CONTROL <= "00000000"; else CONTROL <= "00000000"; if VGA_SEL = '0' then -- Lvov if DONE = '1' then -- ScanCode Readed if CODE = X"F0" then -- Key Released KEY_REL <= '1'; elsif CODE = X"E0" then -- Extended Key KEY_EXT <= '1'; else KEY := (others => '0'); KEY2 := (others => '0'); case CODE is -- PS2 Set 2 Scancodes when x"1C" => KEY := "11000010000"; -- A when x"32" => KEY := "01100000010"; -- B when x"21" => KEY := "10110000000"; -- C when x"23" => KEY := "01010000000"; -- D when x"24" => KEY := "10100010000"; -- E when x"2B" => KEY := "11010000000"; -- F when x"34" => KEY := "00100000001"; -- G when x"33" => KEY := "00101000000"; -- H when x"43" => KEY := "11100100000"; -- I when x"3B" => KEY := "10100000100"; -- J when x"42" => KEY := "10100100000"; -- K when x"4B" => KEY := "01000000100"; -- L when x"3A" => KEY := "11101000000"; -- M when x"31" => KEY := "10100001000"; -- N when x"44" => KEY := "01000000010"; -- O when x"4D" => KEY := "11000001000"; -- P when x"15" => KEY := "11100000010"; -- Q when x"2D" => KEY := "01000000001"; -- R when x"1B" => KEY := "11110000000"; -- S when x"2C" => KEY := "11100010000"; -- T when x"3C" => KEY := "10101000000"; -- U when x"2A" => KEY := "01001000000"; -- V when x"1D" => KEY := "11000100000"; -- W when x"22" => KEY := "11100001000"; -- X when x"35" => KEY := "11001000000"; -- Y when x"1A" => KEY := "00110000000"; -- Z when x"16" => KEY := "10010000000"; -- 1 when x"1E" => KEY := "10001000000"; -- 2 when x"26" => KEY := "10000100000"; -- 3 when x"25" => KEY := "10000010000"; -- 4 when x"2E" => KEY := "10000001000"; -- 5 when x"36" => KEY := "00000000001"; -- 6 when x"3D" => KEY := "00000000010"; -- 7 when x"3E" => KEY := "00000000100"; -- 8 when x"46" => KEY := "00010000000"; -- 9 when x"45" => KEY := "00001000000"; -- 0 when x"29" => KEY := "01100000001"; -- SPACE when x"66" => KEY := "01000001000"; -- PACKSPACE | ZB when x"5A" => KEY := "00100001000"; -- ENTER | WK when x"58" => KEY := "11000000100"; -- CAPS LOCK | SU when x"12" => if KEY_EXT = '1' then -- Print Screen if KEY_REL = '0' then -- Lvov Reset CONTROL(0) <= '1'; end if; else KEY := "11100000001"; -- LEFT SHIFT | NR end if; when x"59" => KEY := "01100001000"; -- RIGHT SHIFT | WR when x"0D" => KEY := "00000010000"; -- TAB when x"41" => KEY := "01110000000"; -- , when x"49" => KEY := "01000010000"; -- . when x"4E" => KEY := "00000100000"; -- - when x"5D" => KEY := "01000100000"; -- \ when x"55" => KEY := "11100000100"; -- = | ^ when x"0E" => KEY := "00100100000"; -- ' | : when x"54" => KEY := "00100000010"; -- [ -- when x"76" => KEY := "10000000001"; -- | STR -- when x"76" => KEY := "10000000001"; -- | @] when x"5B" => KEY := "00100000100"; -- ] when x"1f" => if KEY_EXT = '1' then -- Left Win if KEY_REL = '0' then CONTROL(2) <= '1'; -- Switch to Host Console end if; end if; when x"27" => if KEY_EXT = '1' then -- Right Win if KEY_REL = '0' then CONTROL(3) <= '1'; -- Switch to Lvov Screen end if; end if; when x"4C" => KEY := "11000000001"; -- ; when x"4A" => KEY := "01101000000"; -- / when x"0B" => KEY := "10000000010"; -- F6 | [G] when x"83" => KEY := "10000000100"; -- F7 | [B] when x"11" => if KEY_EXT = '1' then KEY := "00100010000"; -- RIGHT ALT | PS else KEY := "00000001000"; -- LEFT ALT | GT end if; when x"14" => if KEY_EXT = '1' then KEY := "01100100000"; -- RIGHT CTRL | LAT else KEY := "11000000010"; -- LEFT CTRL | RUS end if; when x"76" => KEY2 := "010010"; -- ESC | F0 when x"05" => KEY2 := "010100"; -- F1 when x"06" => KEY2 := "011000"; -- F2 when x"04" => KEY2 := "101000"; -- F3 when x"0C" => KEY2 := "100100"; -- F4 when x"03" => KEY2 := "100010"; -- F5 when x"0A" => KEY2 := "001000"; -- F8 | [R] when x"01" => KEY2 := "000100"; -- F9 | DIN when x"09" => KEY2 := "000010"; -- F10 | CD when x"78" => KEY2 := "000001"; -- F11 | P4 when x"07" => KEY2 := "010001"; -- F12 | P/D when x"74" => if KEY_EXT = '1' then KEY2 := "110001"; -- RIGHT end if; when x"75" => if KEY_EXT = '1' then KEY2 := "110010"; -- UP end if; when x"6B" => if KEY_EXT = '1' then KEY2 := "110100"; -- LEFT end if; when x"72" => if KEY_EXT = '1' then KEY2 := "111000"; -- DOWN end if; when x"71" => if KEY_EXT = '1' then KEY2 := "100001"; -- DEL | DIA end if; when x"7e" => -- ScrollLock if KEY_REL = '0' then -- Reset to Standard Lvov ROM CONTROL(0) <= '1'; end if; when OTHERS => NULL; end case; if KEY_REL = '0' then Matrix(to_integer(unsigned(KEY(10 downto 8)))) <= Matrix(to_integer(unsigned(KEY(10 downto 8)))) or std_logic_vector(unsigned(KEY(7 downto 0))); Matrix2(to_integer(unsigned(KEY2(5 downto 4)))) <= Matrix2(to_integer(unsigned(KEY2(5 downto 4)))) or std_logic_vector(unsigned(KEY2(3 downto 0))); else Matrix(to_integer(unsigned(KEY(10 downto 8)))) <= Matrix(to_integer(unsigned(KEY(10 downto 8)))) and std_logic_vector(not unsigned(KEY(7 downto 0))); Matrix2(to_integer(unsigned(KEY2(5 downto 4)))) <= Matrix2(to_integer(unsigned(KEY2(5 downto 4)))) and std_logic_vector(not unsigned(KEY2(3 downto 0))); end if; KEY_REL <= '0'; KEY_EXT <= '0'; end if; end if; else -- MIPS if DONE = '1' then if CODE = X"e0" then -- Extended key code follows KEY_EXT <= '1'; elsif CODE = X"f0" then -- Release code follows KEY_REL <= '1'; else if KEY_EXT = '1' then case CODE is when X"00" => -- X"12" if KEY_REL = '0' then CONTROL(1) <= '1'; -- MIPS Reset end if; when X"27" => -- Escape For auto switch from File Manager to Console if KEY_REL = '1' then CONTROL(3) <= '1'; -- Switch to Lvov screen in left Win release end if; when others => null; end case; end if; -- Cancel extended/release flags for next time if KEY_REL = '1' then KEYB_DATA <= x"00"; KEY_REL <= '0'; KEY_EXT <= '0'; else KEYB_DATA <= CODE; end if; end if; end if; end if; end if; end if; end process; -- Lvov KEYB_D <= "11111111" and ( not Matrix(0) or (7 downto 0 => KEYB_A(0)) ) and ( not Matrix(1) or (7 downto 0 => KEYB_A(1)) ) and ( not Matrix(2) or (7 downto 0 => KEYB_A(2)) ) and ( not Matrix(3) or (7 downto 0 => KEYB_A(3)) ) and ( not Matrix(4) or (7 downto 0 => KEYB_A(4)) ) and ( not Matrix(5) or (7 downto 0 => KEYB_A(5)) ) and ( not Matrix(6) or (7 downto 0 => KEYB_A(6)) ) and ( not Matrix(7) or (7 downto 0 => KEYB_A(7)) ); KEYB_D2<= "1111" and ( not Matrix2(0) or (3 downto 0 => KEYB_A2(0)) ) and ( not Matrix2(1) or (3 downto 0 => KEYB_A2(1)) ) and ( not Matrix2(2) or (3 downto 0 => KEYB_A2(2)) ) and ( not Matrix2(3) or (3 downto 0 => KEYB_A2(3)) ); end Behavioral;
gpl-3.0
16ea027553f441d2d8e5b029fa365f14
0.363472
4.071908
false
false
false
false
ILoveSpeccy/Aeon-Lite
cores/atari800xl/src/a8core/covox.vhd
1
2,829
--------------------------------------------------------------------------- -- (c) 2013 mark watson -- I am happy for anyone to use this for non-commercial use. -- If my vhdl files are used commercially or otherwise sold, -- please contact me for explicit permission at scrameta (gmail). -- This applies for source and binary form and derived works. --------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY covox IS PORT ( CLK : IN STD_LOGIC; ADDR : IN STD_LOGIC_VECTOR(1 DOWNTO 0); DATA_IN : IN STD_LOGIC_VECTOR(7 DOWNTO 0); WR_EN : IN STD_LOGIC; covox_channel0 : out std_logic_vector(7 downto 0); covox_channel1 : out std_logic_vector(7 downto 0); covox_channel2 : out std_logic_vector(7 downto 0); covox_channel3 : out std_logic_vector(7 downto 0) ); END covox; ARCHITECTURE vhdl OF covox IS component complete_address_decoder IS generic (width : natural := 1); PORT ( addr_in : in std_logic_vector(width-1 downto 0); addr_decoded : out std_logic_vector((2**width)-1 downto 0) ); END component; signal channel0_next : std_logic_vector(7 downto 0); signal channel1_next : std_logic_vector(7 downto 0); signal channel2_next : std_logic_vector(7 downto 0); signal channel3_next : std_logic_vector(7 downto 0); signal channel0_reg : std_logic_vector(7 downto 0); signal channel1_reg : std_logic_vector(7 downto 0); signal channel2_reg : std_logic_vector(7 downto 0); signal channel3_reg : std_logic_vector(7 downto 0); signal addr_decoded : std_logic_vector(3 downto 0); BEGIN complete_address_decoder1 : complete_address_decoder generic map (width => 2) port map (addr_in => addr, addr_decoded => addr_decoded); -- next state logic process(channel0_reg,channel1_reg,channel2_reg,channel3_reg,addr_decoded,data_in,WR_EN) begin channel0_next <= channel0_reg; channel1_next <= channel1_reg; channel2_next <= channel2_reg; channel3_next <= channel3_reg; if (WR_EN = '1') then if (addr_decoded(0) = '1') then channel0_next <= data_in; end if; if (addr_decoded(1) = '1') then channel1_next <= data_in; end if; if (addr_decoded(2) = '1') then channel2_next <= data_in; end if; if (addr_decoded(3) = '1') then channel3_next <= data_in; end if; end if; end process; -- register process(clk) begin if (clk'event and clk='1') then channel0_reg <= channel0_next; channel1_reg <= channel1_next; channel2_reg <= channel2_next; channel3_reg <= channel3_next; end if; end process; -- output covox_channel0 <= channel0_reg; covox_channel1 <= channel1_reg; covox_channel2 <= channel2_reg; covox_channel3 <= channel3_reg; END vhdl;
gpl-3.0
8492fed1727f425b8ee993b450e544fb
0.625309
3.08506
false
false
false
false
VHDLTool/VHDL_Handbook_STD
Extras/VHDL/STD_05600_bad.vhd
1
2,946
------------------------------------------------------------------------------------------------- -- Company : CNES -- Author : Mickael Carl (CNES) -- Copyright : Copyright (c) CNES. -- Licensing : GNU GPLv3 ------------------------------------------------------------------------------------------------- -- Version : V1 -- Version history : -- V1 : 2015-04-13 : Mickael Carl (CNES): Creation ------------------------------------------------------------------------------------------------- -- File name : STD_05600_bad.vhd -- File Creation date : 2015-04-13 -- Project name : VHDL Handbook CNES Edition ------------------------------------------------------------------------------------------------- -- Softwares : Microsoft Windows (Windows 7) - Editor (Eclipse + VEditor) ------------------------------------------------------------------------------------------------- -- Description : Handbook example: Unsuitability of combinational feedbacks: bad example -- -- Limitations : This file is an example of the VHDL handbook made by CNES. It is a stub aimed at -- demonstrating good practices in VHDL and as such, its design is minimalistic. -- It is provided as is, without any warranty. -- This example is compliant with the Handbook version 1. -- ------------------------------------------------------------------------------------------------- -- Naming conventions: -- -- i_Port: Input entity port -- o_Port: Output entity port -- b_Port: Bidirectional entity port -- g_My_Generic: Generic entity port -- -- c_My_Constant: Constant definition -- t_My_Type: Custom type definition -- -- My_Signal_n: Active low signal -- v_My_Variable: Variable -- sm_My_Signal: FSM signal -- pkg_Param: Element Param coming from a package -- -- My_Signal_re: Rising edge detection of My_Signal -- My_Signal_fe: Falling edge detection of My_Signal -- My_Signal_rX: X times registered My_Signal signal -- -- P_Process_Name: Process -- ------------------------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library work; use work.pkg_HBK.all; --CODE entity STD_05600_bad is port ( i_A : in std_logic; -- First Mux input i_B : in std_logic; -- Second Mux input i_Sel : in std_logic; -- Mux select o_O : out std_logic -- Mux output ); end STD_05600_bad; architecture Behavioral of STD_05600_bad is signal Mux_Sel : std_logic; -- Combinational select signal O : std_logic; -- Module output begin Mux_Sel <= i_Sel and O; -- Combinational Mux selecting A or B depending on Mux_Sel value Mux1 : Mux port map ( i_A => i_A, i_B => i_B, i_S => Mux_Sel, o_O => O ); o_O <= O; end Behavioral; --CODE
gpl-3.0
0c2ba6e3ab526353b81445a82ae9c24d
0.475221
4.560372
false
false
false
false
ILoveSpeccy/Aeon-Lite
cores/atari800xl/src/a8core/cpu.vhd
1
3,885
--------------------------------------------------------------------------- -- (c) 2013 mark watson -- I am happy for anyone to use this for non-commercial use. -- If my vhdl files are used commercially or otherwise sold, -- please contact me for explicit permission at scrameta (gmail). -- This applies for source and binary form and derived works. --------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.all; use ieee.std_logic_unsigned.ALL; use ieee.numeric_std.ALL; ENTITY cpu IS PORT ( CLK,RESET,ENABLE : IN STD_logic; DI : IN std_logic_vector(7 downto 0); IRQ_n : in std_logic; NMI_n : in std_logic; MEMORY_READY : in std_logic; THROTTLE : in std_logic; RDY : in std_logic; DO : OUT std_logic_vector(7 downto 0); A : OUT std_logic_vector(15 downto 0); R_W_n : OUT std_logic; CPU_FETCH : out std_logic ); END cpu; architecture vhdl of cpu is component cpu_65xx is generic ( pipelineOpcode : boolean; pipelineAluMux : boolean; pipelineAluOut : boolean ); port ( clk : in std_logic; enable : in std_logic; halt : in std_logic := '0'; reset : in std_logic; nmi_n : in std_logic := '1'; irq_n : in std_logic := '1'; so_n : in std_logic := '1'; d : in unsigned(7 downto 0); q : out unsigned(7 downto 0); addr : out unsigned(15 downto 0); we : out std_logic; debugOpcode : out unsigned(7 downto 0); debugPc : out unsigned(15 downto 0); debugA : out unsigned(7 downto 0); debugX : out unsigned(7 downto 0); debugY : out unsigned(7 downto 0); debugS : out unsigned(7 downto 0); debug_flags : out unsigned(7 downto 0) ); end component; signal CPU_ENABLE: std_logic; -- Apply Antic HALT and throttle -- Support for Peter's core (NMI patch applied) signal debugOpcode : unsigned(7 downto 0); signal debugPc : unsigned(15 downto 0); signal debugA : unsigned(7 downto 0); signal debugX : unsigned(7 downto 0); signal debugY : unsigned(7 downto 0); signal debugS : unsigned(7 downto 0); signal di_unsigned : unsigned(7 downto 0); signal do_unsigned : unsigned(7 downto 0); signal addr_unsigned : unsigned(15 downto 0); signal CPU_ENABLE_RDY : std_logic; -- it has not RDY line signal WE : std_logic; signal nmi_pending_next : std_logic; -- NMI during RDY signal nmi_pending_reg : std_logic; signal nmi_n_adjusted : std_logic; signal nmi_n_reg : std_logic; signal nmi_edge : std_logic; signal CPU_ENABLE_RESET : std_logic; signal not_rdy : std_logic; BEGIN CPU_ENABLE <= ENABLE and memory_ready and THROTTLE; -- CPU designed by Peter W - as used in Chameleon di_unsigned <= unsigned(di); cpu_6502_peter:cpu_65xx generic map ( pipelineOpcode => false, pipelineAluMux => false, pipelineAluOut => false ) port map ( clk => clk, enable => CPU_ENABLE_RDY, halt => '0', reset=>reset, nmi_n=>nmi_n_adjusted, irq_n=>irq_n, d=>di_unsigned, q=>do_unsigned, addr=>addr_unsigned, WE=>WE, debugOpcode => debugOpcode, debugPc => debugPc, debugA => debugA, debugX => debugX, debugY => debugY, debugS => debugS ); CPU_ENABLE_RDY <= (CPU_ENABLE and (rdy or we)) or reset; CPU_ENABLE_RESET <= CPU_ENABLE or reset; not_rdy <= not(rdy); nmi_edge <= not(nmi_n) and nmi_n_reg; nmi_pending_next <= (nmi_edge and not(rdy or we)) or (nmi_pending_reg and not(rdy)) or (nmi_pending_reg and rdy and not(cpu_enable)); nmi_n_adjusted <= not(nmi_pending_reg) and nmi_n; -- register process(clk,reset) begin if (RESET = '1') then nmi_pending_reg <= '0'; nmi_n_reg <= '1'; elsif (clk'event and clk='1') then nmi_pending_reg <= nmi_pending_next; nmi_n_reg <= nmi_n; end if; end process; -- outputs r_w_n <= not(we); do <= std_logic_vector(do_unsigned); a <= std_logic_vector(addr_unsigned); CPU_FETCH <= ENABLE and THROTTLE; END vhdl;
gpl-3.0
15f4d765f081674d68b7999dd9defa7e
0.635006
3
false
false
false
false
VHDLTool/VHDL_Handbook_STD
Extras/VHDL/STD_01600_good.vhd
1
3,928
------------------------------------------------------------------------------------------------- -- Company : CNES -- Author : Mickael Carl (CNES) -- Copyright : Copyright (c) CNES. -- Licensing : GNU GPLv3 ------------------------------------------------------------------------------------------------- -- Version : V1 -- Version history : -- V1 : 2015-04-13 : Mickael Carl (CNES): Creation ------------------------------------------------------------------------------------------------- -- File name : STD_01600_good.vhd -- File Creation date : 2015-04-13 -- Project name : VHDL Handbook CNES Edition ------------------------------------------------------------------------------------------------- -- Softwares : Microsoft Windows (Windows 7) - Editor (Eclipse + VEditor) ------------------------------------------------------------------------------------------------- -- Description : Handbook example: Entity port sort: good example -- -- Limitations : This file is an example of the VHDL handbook made by CNES. It is a stub aimed at -- demonstrating good practices in VHDL and as such, its design is minimalistic. -- It is provided as is, without any warranty. -- This example is compliant with the Handbook version 1. -- ------------------------------------------------------------------------------------------------- -- Naming conventions: -- -- i_Port: Input entity port -- o_Port: Output entity port -- b_Port: Bidirectional entity port -- g_My_Generic: Generic entity port -- -- c_My_Constant: Constant definition -- t_My_Type: Custom type definition -- -- My_Signal_n: Active low signal -- v_My_Variable: Variable -- sm_My_Signal: FSM signal -- pkg_Param: Element Param coming from a package -- -- My_Signal_re: Rising edge detection of My_Signal -- My_Signal_fe: Falling edge detection of My_Signal -- My_Signal_rX: X times registered My_Signal signal -- -- P_Process_Name: Process -- ------------------------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library work; use work.pkg_HBK.all; --CODE entity STD_01600_good is -- We sort port by interfaces, with special ports first port ( -- Special signals: i_Clock : in std_logic; -- Clock input i_Reset_n : in std_logic; -- Reset input -- First Mux: i_A1 : in std_logic; -- first input i_B1 : in std_logic; -- second input i_Sel1 : in std_logic; -- select input o_Q1 : out std_logic; -- Mux output -- Second Mux: i_A2 : in std_logic; -- first input i_B2 : in std_logic; -- second input i_Sel2 : in std_logic; -- select input o_Q2 : out std_logic -- Mux output ); end STD_01600_good; --CODE architecture Behavioral of STD_01600_good is signal Q1 : std_logic; -- First module output signal Q2 : std_logic; -- Second module output signal OutMux1 : std_logic; -- First Mux output signal OutMux2 : std_logic; -- Second Mux output begin -- First Mux, output to be synced Mux1 : Mux port map ( i_A => i_A1, i_B => i_B1, i_S => i_Sel1, o_O => OutMux1 ); -- Second Mux, output to be synced Mux2 : Mux port map ( i_A => i_A2, i_B => i_B2, i_S => i_Sel2, o_O => OutMux2 ); -- Synchronizes the Mux outputs P_SyncMux : process(i_Reset_n, i_Clock) begin if (i_Reset_n = '0') then Q1 <= '0'; Q2 <= '0'; elsif (rising_edge(i_Clock)) then Q1 <= OutMux1; Q2 <= OutMux2; end if; end process; o_Q1 <= Q1; o_Q2 <= Q2; end Behavioral;
gpl-3.0
3ea087b692b829b4936fcd924096bc2b
0.471232
4.169851
false
false
false
false
VHDLTool/VHDL_Handbook_STD
Extras/VHDL/STD_07300_good.vhd
1
3,782
------------------------------------------------------------------------------------------------- -- Company : CNES -- Author : Mickael Carl (CNES) -- Copyright : Copyright (c) CNES. -- Licensing : GNU GPLv3 ------------------------------------------------------------------------------------------------- -- Version : V1 -- Version history : -- V1 : 2015-04-09 : Mickael Carl (CNES): Creation ------------------------------------------------------------------------------------------------- -- File name : STD_07300_good.vhd -- File Creation date : 2015-04-09 -- Project name : VHDL Handbook CNES Edition ------------------------------------------------------------------------------------------------- -- Softwares : Microsoft Windows (Windows 7) - Editor (Eclipse + VEditor) ------------------------------------------------------------------------------------------------- -- Description : Handbook example: Use of wait statement in testbenches: good example -- -- Limitations : This file is an example of the VHDL handbook made by CNES. It is a stub aimed at -- demonstrating good practices in VHDL and as such, its design is minimalistic. -- It is provided as is, without any warranty. -- This example is compliant with the Handbook version 1. -- ------------------------------------------------------------------------------------------------- -- Naming conventions: -- -- i_Port: Input entity port -- o_Port: Output entity port -- b_Port: Bidirectional entity port -- g_My_Generic: Generic entity port -- -- c_My_Constant: Constant definition -- t_My_Type: Custom type definition -- -- My_Signal_n: Active low signal -- v_My_Variable: Variable -- sm_My_Signal: FSM signal -- pkg_Param: Element Param coming from a package -- -- My_Signal_re: Rising edge detection of My_Signal -- My_Signal_fe: Falling edge detection of My_Signal -- My_Signal_rX: X times registered My_Signal signal -- -- P_Process_Name: Process -- ------------------------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity STD_07300_good is end STD_07300_good; architecture Simulation of STD_07300_good is -- All signals for tested modules inputs/outputs signal Clock : std_logic := '0'; signal Reset_n : std_logic; signal D_Signal : std_logic; signal Q_Signal : std_logic; -- Used to stop simulation when no more stimulus are present signal End_Sim : std_logic; component DFlipFlop port ( i_Clock : in std_logic; -- Clock signal i_Reset_n : in std_logic; -- Reset signal i_D : in std_logic; -- D Flip-Flop input signal o_Q : out std_logic; -- D Flip-Flop output signal o_Q_n : out std_logic -- D Flip-Flop output signal, inverted ); end component; begin -- The D Flip-Flop to test T_DFlipFlop : DFlipFlop port map ( i_Clock => Clock, i_Reset_n => Reset_n, i_D => D_Signal, o_Q => Q_Signal, o_Q_n => open ); -- Clock process P_Clock : process begin while (End_Sim /= '1') loop Clock <= not Clock after 5 ns; end loop; wait; end process; --CODE -- Test process P_Test : process begin Reset_n <= '0'; D_Signal <= '0'; wait until rising_edge(Clock); Reset_n <= '1'; wait until rising_edge(Clock); D_Signal <= '1'; wait until rising_edge(Clock); D_Signal <= '0'; End_Sim <= '1'; wait; -- Or if your simulator supports VHDL-2008: -- finish(2); end process; --CODE end Simulation;
gpl-3.0
08b9f48ee92a9db614c5a786bf32e0f6
0.494976
4.362168
false
false
false
false
VHDLTool/VHDL_Handbook_STD
Extras/VHDL/STD_03900_bad.vhd
1
4,830
------------------------------------------------------------------------------------------------- -- Company : CNES -- Author : Mickael Carl (CNES) -- Copyright : Copyright (c) CNES. -- Licensing : GNU GPLv3 ------------------------------------------------------------------------------------------------- -- Version : V1.1 -- Version history : -- V1 : 2015-04-13 : Mickael Carl (CNES): Creation -- V1.1 : 2016-05-03 : F.Manni (CNES) : add initialization trough reset for Raz, enable and Count_Length ------------------------------------------------------------------------------------------------- -- File name : STD_03900_bad.vhd -- File Creation date : 2015-04-13 -- Project name : VHDL Handbook CNES Edition ------------------------------------------------------------------------------------------------- -- Softwares : Microsoft Windows (Windows 7) - Editor (Eclipse + VEditor) ------------------------------------------------------------------------------------------------- -- Description : Handbook example: State machine type definition: bad example -- -- Limitations : This file is an example of the VHDL handbook made by CNES. It is a stub aimed at -- demonstrating good practices in VHDL and as such, its design is minimalistic. -- It is provided as is, without any warranty. -- This example is compliant with the Handbook version 1. -- ------------------------------------------------------------------------------------------------- -- Naming conventions: -- -- i_Port: Input entity port -- o_Port: Output entity port -- b_Port: Bidirectional entity port -- g_My_Generic: Generic entity port -- -- c_My_Constant: Constant definition -- t_My_Type: Custom type definition -- -- My_Signal_n: Active low signal -- v_My_Variable: Variable -- sm_My_Signal: FSM signal -- pkg_Param: Element Param coming from a package -- -- My_Signal_re: Rising edge detection of My_Signal -- My_Signal_fe: Falling edge detection of My_Signal -- My_Signal_rX: X times registered My_Signal signal -- -- P_Process_Name: Process -- ------------------------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity STD_03900_bad is port ( i_Clock : in std_logic; -- Clock input i_Reset_n : in std_logic; -- Reset input i_Start : in std_logic; -- Start counters signal i_Stop : in std_logic -- Stop counters signal ); end STD_03900_bad; --CODE architecture Behavioral of STD_03900_bad is constant c_Length : std_logic_vector(3 downto 0) := (others => '1'); -- How long we should count signal sm_State : std_logic_vector(3 downto 0); -- State signal signal Raz : std_logic; -- Load the length value and initialize the counter signal Enable : std_logic; -- Counter enable signal signal Length : std_logic_vector(3 downto 0); -- Counter length for counting signal End_Count : std_logic; -- End signal of counter begin -- A simple counter with loading length and enable signal Counter : Counter port map ( i_Clock => i_Clock, i_Reset_n => i_Reset_n, i_Raz => Raz, i_Enable => Enable, i_Length => Length, o_Done => End_Count ); -- FSM process controlling the counter. Start or stop it in function of the input (i_Start & i_Stop), -- load the length value, and wait for it to finish P_FSM : process(i_Reset_n, i_Clock) begin if (i_Reset_n = '0') then sm_State <= "0001"; Raz <= '0'; Enable <= '0'; Count_Length <= (others=>'0'); elsif (rising_edge(i_Clock)) then case sm_State is when "0001" => -- Set the length value Length <= c_Length; sm_State <= "0010"; when "0010" => -- Load the counter and initialize it Raz <= '1'; sm_State <= "0100"; when "0100" => -- Start or stop counting depending on inputs until it finishes Raz <= '0'; if (End_Count = '0') then -- The counter has not finished, wait Enable <= i_Start xor not i_Stop; sm_State <= "0100"; else -- The counter has finished, nothing else to do Enable <= '0'; sm_State <= "1000"; end if; when others => sm_State <= "0001"; end case; end if; end process; end Behavioral; --CODE
gpl-3.0
c7480aeb681430e3e3d56aa3c968dd8a
0.480538
4.560907
false
false
false
false
benjmarshall/hls_scratchpad
hls_cmd_line_testing/hls_gui_proj/hls_sin_proj/solution1/.autopilot/db/ip_tmp/prjsrcs/sources_1/ip/sin_taylor_series_ap_dsub_3_full_dsp_64/synth/sin_taylor_series_ap_dsub_3_full_dsp_64.vhd
4
12,855
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:floating_point:7.1 -- IP Revision: 4 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY floating_point_v7_1_4; USE floating_point_v7_1_4.floating_point_v7_1_4; ENTITY sin_taylor_series_ap_dsub_3_full_dsp_64 IS PORT ( aclk : IN STD_LOGIC; aclken : IN STD_LOGIC; s_axis_a_tvalid : IN STD_LOGIC; s_axis_a_tdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); s_axis_b_tvalid : IN STD_LOGIC; s_axis_b_tdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); m_axis_result_tvalid : OUT STD_LOGIC; m_axis_result_tdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0) ); END sin_taylor_series_ap_dsub_3_full_dsp_64; ARCHITECTURE sin_taylor_series_ap_dsub_3_full_dsp_64_arch OF sin_taylor_series_ap_dsub_3_full_dsp_64 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF sin_taylor_series_ap_dsub_3_full_dsp_64_arch: ARCHITECTURE IS "yes"; COMPONENT floating_point_v7_1_4 IS GENERIC ( C_XDEVICEFAMILY : STRING; C_HAS_ADD : INTEGER; C_HAS_SUBTRACT : INTEGER; C_HAS_MULTIPLY : INTEGER; C_HAS_DIVIDE : INTEGER; C_HAS_SQRT : INTEGER; C_HAS_COMPARE : INTEGER; C_HAS_FIX_TO_FLT : INTEGER; C_HAS_FLT_TO_FIX : INTEGER; C_HAS_FLT_TO_FLT : INTEGER; C_HAS_RECIP : INTEGER; C_HAS_RECIP_SQRT : INTEGER; C_HAS_ABSOLUTE : INTEGER; C_HAS_LOGARITHM : INTEGER; C_HAS_EXPONENTIAL : INTEGER; C_HAS_FMA : INTEGER; C_HAS_FMS : INTEGER; C_HAS_ACCUMULATOR_A : INTEGER; C_HAS_ACCUMULATOR_S : INTEGER; C_A_WIDTH : INTEGER; C_A_FRACTION_WIDTH : INTEGER; C_B_WIDTH : INTEGER; C_B_FRACTION_WIDTH : INTEGER; C_C_WIDTH : INTEGER; C_C_FRACTION_WIDTH : INTEGER; C_RESULT_WIDTH : INTEGER; C_RESULT_FRACTION_WIDTH : INTEGER; C_COMPARE_OPERATION : INTEGER; C_LATENCY : INTEGER; C_OPTIMIZATION : INTEGER; C_MULT_USAGE : INTEGER; C_BRAM_USAGE : INTEGER; C_RATE : INTEGER; C_ACCUM_INPUT_MSB : INTEGER; C_ACCUM_MSB : INTEGER; C_ACCUM_LSB : INTEGER; C_HAS_UNDERFLOW : INTEGER; C_HAS_OVERFLOW : INTEGER; C_HAS_INVALID_OP : INTEGER; C_HAS_DIVIDE_BY_ZERO : INTEGER; C_HAS_ACCUM_OVERFLOW : INTEGER; C_HAS_ACCUM_INPUT_OVERFLOW : INTEGER; C_HAS_ACLKEN : INTEGER; C_HAS_ARESETN : INTEGER; C_THROTTLE_SCHEME : INTEGER; C_HAS_A_TUSER : INTEGER; C_HAS_A_TLAST : INTEGER; C_HAS_B : INTEGER; C_HAS_B_TUSER : INTEGER; C_HAS_B_TLAST : INTEGER; C_HAS_C : INTEGER; C_HAS_C_TUSER : INTEGER; C_HAS_C_TLAST : INTEGER; C_HAS_OPERATION : INTEGER; C_HAS_OPERATION_TUSER : INTEGER; C_HAS_OPERATION_TLAST : INTEGER; C_HAS_RESULT_TUSER : INTEGER; C_HAS_RESULT_TLAST : INTEGER; C_TLAST_RESOLUTION : INTEGER; C_A_TDATA_WIDTH : INTEGER; C_A_TUSER_WIDTH : INTEGER; C_B_TDATA_WIDTH : INTEGER; C_B_TUSER_WIDTH : INTEGER; C_C_TDATA_WIDTH : INTEGER; C_C_TUSER_WIDTH : INTEGER; C_OPERATION_TDATA_WIDTH : INTEGER; C_OPERATION_TUSER_WIDTH : INTEGER; C_RESULT_TDATA_WIDTH : INTEGER; C_RESULT_TUSER_WIDTH : INTEGER; C_FIXED_DATA_UNSIGNED : INTEGER ); PORT ( aclk : IN STD_LOGIC; aclken : IN STD_LOGIC; aresetn : IN STD_LOGIC; s_axis_a_tvalid : IN STD_LOGIC; s_axis_a_tready : OUT STD_LOGIC; s_axis_a_tdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); s_axis_a_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_a_tlast : IN STD_LOGIC; s_axis_b_tvalid : IN STD_LOGIC; s_axis_b_tready : OUT STD_LOGIC; s_axis_b_tdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); s_axis_b_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_b_tlast : IN STD_LOGIC; s_axis_c_tvalid : IN STD_LOGIC; s_axis_c_tready : OUT STD_LOGIC; s_axis_c_tdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); s_axis_c_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_c_tlast : IN STD_LOGIC; s_axis_operation_tvalid : IN STD_LOGIC; s_axis_operation_tready : OUT STD_LOGIC; s_axis_operation_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axis_operation_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_operation_tlast : IN STD_LOGIC; m_axis_result_tvalid : OUT STD_LOGIC; m_axis_result_tready : IN STD_LOGIC; m_axis_result_tdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0); m_axis_result_tuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_result_tlast : OUT STD_LOGIC ); END COMPONENT floating_point_v7_1_4; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF sin_taylor_series_ap_dsub_3_full_dsp_64_arch: ARCHITECTURE IS "floating_point_v7_1_4,Vivado 2017.1"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF sin_taylor_series_ap_dsub_3_full_dsp_64_arch : ARCHITECTURE IS "sin_taylor_series_ap_dsub_3_full_dsp_64,floating_point_v7_1_4,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF sin_taylor_series_ap_dsub_3_full_dsp_64_arch: ARCHITECTURE IS "sin_taylor_series_ap_dsub_3_full_dsp_64,floating_point_v7_1_4,{x_ipProduct=Vivado 2017.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=floating_point,x_ipVersion=7.1,x_ipCoreRevision=4,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED,C_XDEVICEFAMILY=zynq,C_HAS_ADD=0,C_HAS_SUBTRACT=1,C_HAS_MULTIPLY=0,C_HAS_DIVIDE=0,C_HAS_SQRT=0,C_HAS_COMPARE=0,C_HAS_FIX_TO_FLT=0,C_HAS_FLT_TO_FIX=0,C_HAS_FLT_TO_FLT=0,C_HAS_RECIP=0,C_HAS_RECIP_SQRT=0,C_HAS_ABSOLUTE=0,C_HAS_LOGARITHM=0,C_HAS_EXPONENTIAL=0,C_HAS_FMA=0,C_HAS_F" & "MS=0,C_HAS_ACCUMULATOR_A=0,C_HAS_ACCUMULATOR_S=0,C_A_WIDTH=64,C_A_FRACTION_WIDTH=53,C_B_WIDTH=64,C_B_FRACTION_WIDTH=53,C_C_WIDTH=64,C_C_FRACTION_WIDTH=53,C_RESULT_WIDTH=64,C_RESULT_FRACTION_WIDTH=53,C_COMPARE_OPERATION=8,C_LATENCY=3,C_OPTIMIZATION=1,C_MULT_USAGE=2,C_BRAM_USAGE=0,C_RATE=1,C_ACCUM_INPUT_MSB=32,C_ACCUM_MSB=32,C_ACCUM_LSB=-31,C_HAS_UNDERFLOW=0,C_HAS_OVERFLOW=0,C_HAS_INVALID_OP=0,C_HAS_DIVIDE_BY_ZERO=0,C_HAS_ACCUM_OVERFLOW=0,C_HAS_ACCUM_INPUT_OVERFLOW=0,C_HAS_ACLKEN=1,C_HAS_ARESETN=0" & ",C_THROTTLE_SCHEME=3,C_HAS_A_TUSER=0,C_HAS_A_TLAST=0,C_HAS_B=1,C_HAS_B_TUSER=0,C_HAS_B_TLAST=0,C_HAS_C=0,C_HAS_C_TUSER=0,C_HAS_C_TLAST=0,C_HAS_OPERATION=0,C_HAS_OPERATION_TUSER=0,C_HAS_OPERATION_TLAST=0,C_HAS_RESULT_TUSER=0,C_HAS_RESULT_TLAST=0,C_TLAST_RESOLUTION=0,C_A_TDATA_WIDTH=64,C_A_TUSER_WIDTH=1,C_B_TDATA_WIDTH=64,C_B_TUSER_WIDTH=1,C_C_TDATA_WIDTH=64,C_C_TUSER_WIDTH=1,C_OPERATION_TDATA_WIDTH=8,C_OPERATION_TUSER_WIDTH=1,C_RESULT_TDATA_WIDTH=64,C_RESULT_TUSER_WIDTH=1,C_FIXED_DATA_UNSIGNED=0}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 aclk_intf CLK"; ATTRIBUTE X_INTERFACE_INFO OF aclken: SIGNAL IS "xilinx.com:signal:clockenable:1.0 aclken_intf CE"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_a_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_A TVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_a_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_A TDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_b_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_B TVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_b_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_B TDATA"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_result_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_RESULT TVALID"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_result_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_RESULT TDATA"; BEGIN U0 : floating_point_v7_1_4 GENERIC MAP ( C_XDEVICEFAMILY => "zynq", C_HAS_ADD => 0, C_HAS_SUBTRACT => 1, C_HAS_MULTIPLY => 0, C_HAS_DIVIDE => 0, C_HAS_SQRT => 0, C_HAS_COMPARE => 0, C_HAS_FIX_TO_FLT => 0, C_HAS_FLT_TO_FIX => 0, C_HAS_FLT_TO_FLT => 0, C_HAS_RECIP => 0, C_HAS_RECIP_SQRT => 0, C_HAS_ABSOLUTE => 0, C_HAS_LOGARITHM => 0, C_HAS_EXPONENTIAL => 0, C_HAS_FMA => 0, C_HAS_FMS => 0, C_HAS_ACCUMULATOR_A => 0, C_HAS_ACCUMULATOR_S => 0, C_A_WIDTH => 64, C_A_FRACTION_WIDTH => 53, C_B_WIDTH => 64, C_B_FRACTION_WIDTH => 53, C_C_WIDTH => 64, C_C_FRACTION_WIDTH => 53, C_RESULT_WIDTH => 64, C_RESULT_FRACTION_WIDTH => 53, C_COMPARE_OPERATION => 8, C_LATENCY => 3, C_OPTIMIZATION => 1, C_MULT_USAGE => 2, C_BRAM_USAGE => 0, C_RATE => 1, C_ACCUM_INPUT_MSB => 32, C_ACCUM_MSB => 32, C_ACCUM_LSB => -31, C_HAS_UNDERFLOW => 0, C_HAS_OVERFLOW => 0, C_HAS_INVALID_OP => 0, C_HAS_DIVIDE_BY_ZERO => 0, C_HAS_ACCUM_OVERFLOW => 0, C_HAS_ACCUM_INPUT_OVERFLOW => 0, C_HAS_ACLKEN => 1, C_HAS_ARESETN => 0, C_THROTTLE_SCHEME => 3, C_HAS_A_TUSER => 0, C_HAS_A_TLAST => 0, C_HAS_B => 1, C_HAS_B_TUSER => 0, C_HAS_B_TLAST => 0, C_HAS_C => 0, C_HAS_C_TUSER => 0, C_HAS_C_TLAST => 0, C_HAS_OPERATION => 0, C_HAS_OPERATION_TUSER => 0, C_HAS_OPERATION_TLAST => 0, C_HAS_RESULT_TUSER => 0, C_HAS_RESULT_TLAST => 0, C_TLAST_RESOLUTION => 0, C_A_TDATA_WIDTH => 64, C_A_TUSER_WIDTH => 1, C_B_TDATA_WIDTH => 64, C_B_TUSER_WIDTH => 1, C_C_TDATA_WIDTH => 64, C_C_TUSER_WIDTH => 1, C_OPERATION_TDATA_WIDTH => 8, C_OPERATION_TUSER_WIDTH => 1, C_RESULT_TDATA_WIDTH => 64, C_RESULT_TUSER_WIDTH => 1, C_FIXED_DATA_UNSIGNED => 0 ) PORT MAP ( aclk => aclk, aclken => aclken, aresetn => '1', s_axis_a_tvalid => s_axis_a_tvalid, s_axis_a_tdata => s_axis_a_tdata, s_axis_a_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_a_tlast => '0', s_axis_b_tvalid => s_axis_b_tvalid, s_axis_b_tdata => s_axis_b_tdata, s_axis_b_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_b_tlast => '0', s_axis_c_tvalid => '0', s_axis_c_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)), s_axis_c_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_c_tlast => '0', s_axis_operation_tvalid => '0', s_axis_operation_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axis_operation_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_operation_tlast => '0', m_axis_result_tvalid => m_axis_result_tvalid, m_axis_result_tready => '0', m_axis_result_tdata => m_axis_result_tdata ); END sin_taylor_series_ap_dsub_3_full_dsp_64_arch;
mit
327ea7630f280e849550c7e59d9da4ee
0.651575
3.005611
false
false
false
false
ILoveSpeccy/Aeon-Lite
cores/lvov-pk02-mips/src/clock/clock.vhd
1
6,351
-- file: clock.vhd -- -- (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- ------------------------------------------------------------------------------ -- User entered comments ------------------------------------------------------------------------------ -- None -- ------------------------------------------------------------------------------ -- "Output Output Phase Duty Pk-to-Pk Phase" -- "Clock Freq (MHz) (degrees) Cycle (%) Jitter (ps) Error (ps)" ------------------------------------------------------------------------------ -- CLK_OUT1____32.500______0.000______50.0______280.255____257.452 -- CLK_OUT2____25.000______0.000______50.0______306.503____257.452 -- ------------------------------------------------------------------------------ -- "Input Clock Freq (MHz) Input Jitter (UI)" ------------------------------------------------------------------------------ -- __primary______________50____________0.010 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; use ieee.numeric_std.all; library unisim; use unisim.vcomponents.all; entity clock is port (-- Clock in ports CLK_IN : in std_logic; -- Clock out ports CLK_OUT : out std_logic; CLK_OUT2 : out std_logic; -- Status and control signals LOCKED : out std_logic ); end clock; architecture xilinx of clock is attribute CORE_GENERATION_INFO : string; attribute CORE_GENERATION_INFO of xilinx : architecture is "clock,clk_wiz_v3_6,{component_name=clock,use_phase_alignment=true,use_min_o_jitter=true,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,feedback_source=FDBK_AUTO,primtype_sel=PLL_BASE,num_out_clk=2,clkin1_period=20.000,clkin2_period=20.000,use_power_down=false,use_reset=false,use_locked=true,use_inclk_stopped=false,use_status=false,use_freeze=false,use_clk_valid=false,feedback_type=SINGLE,clock_mgr_type=AUTO,manual_override=false}"; -- Input clock buffering / unused connectors signal clkin1 : std_logic; -- Output clock buffering / unused connectors signal clkfbout : std_logic; signal clkfbout_buf : std_logic; signal clkout0 : std_logic; signal clkout1 : std_logic; signal clkout2_unused : std_logic; signal clkout3_unused : std_logic; signal clkout4_unused : std_logic; signal clkout5_unused : std_logic; -- Unused status signals begin -- Input buffering -------------------------------------- clkin1_buf : IBUFG port map (O => clkin1, I => CLK_IN); -- Clocking primitive -------------------------------------- -- Instantiation of the PLL primitive -- * Unused inputs are tied off -- * Unused outputs are labeled unused pll_base_inst : PLL_BASE generic map (BANDWIDTH => "HIGH", CLK_FEEDBACK => "CLKFBOUT", COMPENSATION => "SYSTEM_SYNCHRONOUS", DIVCLK_DIVIDE => 2, CLKFBOUT_MULT => 39, CLKFBOUT_PHASE => 0.000, CLKOUT0_DIVIDE => 30, CLKOUT0_PHASE => 0.000, CLKOUT0_DUTY_CYCLE => 0.500, CLKOUT1_DIVIDE => 39, CLKOUT1_PHASE => 0.000, CLKOUT1_DUTY_CYCLE => 0.500, CLKIN_PERIOD => 20.000, REF_JITTER => 0.010) port map -- Output clocks (CLKFBOUT => clkfbout, CLKOUT0 => clkout0, CLKOUT1 => clkout1, CLKOUT2 => clkout2_unused, CLKOUT3 => clkout3_unused, CLKOUT4 => clkout4_unused, CLKOUT5 => clkout5_unused, -- Status and control signals LOCKED => LOCKED, RST => '0', -- Input clock control CLKFBIN => clkfbout_buf, CLKIN => clkin1); -- Output buffering ------------------------------------- clkf_buf : BUFG port map (O => clkfbout_buf, I => clkfbout); clkout1_buf : BUFG port map (O => CLK_OUT, I => clkout0); clkout2_buf : BUFG port map (O => CLK_OUT2, I => clkout1); end xilinx;
gpl-3.0
11d6f578cd0d20e493d563fd308a2c71
0.593765
4.186552
false
false
false
false
sonologic/gmzpu
vhdl/devices/tx_unit.vhdl
1
5,641
------------------------------------------------------------------------------ ---- ---- ---- RS-232 simple Tx module ---- ---- ---- ---- http://www.opencores.org/ ---- ---- ---- ---- Description: ---- ---- Implements a simple 8N1 tx module for RS-232. ---- ---- ---- ---- To Do: ---- ---- - ---- ---- ---- ---- Author: ---- ---- - Philippe Carton, philippe.carton2 libertysurf.fr ---- ---- - Juan Pablo Daniel Borgna, jpdborgna gmail.com ---- ---- - Salvador E. Tropea, salvador inti.gob.ar ---- ---- ---- ------------------------------------------------------------------------------ ---- ---- ---- Copyright (c) 2001-2003 Philippe Carton ---- ---- Copyright (c) 2005 Juan Pablo Daniel Borgna ---- ---- Copyright (c) 2005-2008 Salvador E. Tropea ---- ---- Copyright (c) 2005-2008 Instituto Nacional de Tecnología Industrial ---- ---- ---- ---- Distributed under the GPL license ---- ---- ---- ------------------------------------------------------------------------------ ---- ---- ---- Design unit: TxUnit(Behaviour) (Entity and architecture) ---- ---- File name: Txunit.vhdl ---- ---- Note: None ---- ---- Limitations: None known ---- ---- Errors: None known ---- ---- Library: zpu ---- ---- Dependencies: IEEE.std_logic_1164 ---- ---- zpu.UART ---- ---- Target FPGA: Spartan ---- ---- Language: VHDL ---- ---- Wishbone: No ---- ---- Synthesis tools: Xilinx Release 9.2.03i - xst J.39 ---- ---- Simulation tools: GHDL [Sokcho edition] (0.2x) ---- ---- Text editor: SETEdit 0.5.x ---- ---- ---- ------------------------------------------------------------------------------ library IEEE; use IEEE.std_logic_1164.all; library zpu; use zpu.UART.all; entity TxUnit is port ( clk_i : in std_logic; -- Clock signal reset_i : in std_logic; -- Reset input enable_i : in std_logic; -- Enable input load_i : in std_logic; -- Load input txd_o : out std_logic; -- RS-232 data output busy_o : out std_logic; -- Tx Busy datai_i : in std_logic_vector(7 downto 0)); -- Byte to transmit end entity TxUnit; architecture Behaviour of TxUnit is signal tbuff_r : std_logic_vector(7 downto 0); -- transmit buffer signal t_r : std_logic_vector(7 downto 0); -- transmit register signal loaded_r : std_logic:='0'; -- Buffer loaded signal txd_r : std_logic:='1'; -- Tx buffer ready begin busy_o <= load_i or loaded_r; txd_o <= txd_r; -- Tx process TxProc: process (clk_i) variable bitpos : integer range 0 to 10; -- Bit position in the frame begin if rising_edge(clk_i) then if reset_i='1' then loaded_r <= '0'; bitpos:=0; txd_r <= '1'; else -- reset_i='0' if load_i='1' then tbuff_r <= datai_i; loaded_r <= '1'; end if; if enable_i='1' then case bitpos is when 0 => -- idle or stop bit txd_r <= '1'; if loaded_r='1' then -- start transmit. next is start bit t_r <= tbuff_r; loaded_r <= '0'; bitpos:=1; end if; when 1 => -- Start bit txd_r <= '0'; bitpos:=2; when others => txd_r <= t_r(bitpos-2); -- Serialisation of t_r bitpos:=bitpos+1; end case; if bitpos=10 then -- bit8. next is stop bit bitpos:=0; end if; end if; -- enable_i='1' end if; -- reset_i='0' end if; -- rising_edge(clk_i) end process TxProc; end architecture Behaviour;
bsd-3-clause
885c54591597ec8987637f6088ced19f
0.30172
5.482021
false
false
false
false
sonologic/gmzpu
vhdl/roms/dmips_bram.vhdl
1
105,094
------------------------------------------------------------------------------ ---- ---- ---- Single Port RAM that maps to a Xilinx BRAM ---- ---- ---- ---- http://www.opencores.org/ ---- ---- ---- ---- Description: ---- ---- This is a program+data memory for the ZPU. It maps to a Xilinx BRAM ---- ---- ---- ---- To Do: ---- ---- - ---- ---- ---- ---- Author: ---- ---- - Salvador E. Tropea, salvador inti.gob.ar ---- ---- ---- ------------------------------------------------------------------------------ ---- ---- ---- Copyright (c) 2008 Salvador E. Tropea <salvador inti.gob.ar> ---- ---- Copyright (c) 2008 Instituto Nacional de Tecnología Industrial ---- ---- ---- ---- Distributed under the BSD license ---- ---- ---- ------------------------------------------------------------------------------ ---- ---- ---- Design unit: SinglePortRAM(Xilinx) (Entity and architecture) ---- ---- File name: rom_s.in.vhdl (template used) ---- ---- Note: None ---- ---- Limitations: None known ---- ---- Errors: None known ---- ---- Library: work ---- ---- Dependencies: IEEE.std_logic_1164 ---- ---- IEEE.numeric_std ---- ---- Target FPGA: Spartan 3 (XC3S1500-4-FG456) ---- ---- Language: VHDL ---- ---- Wishbone: No ---- ---- Synthesis tools: Xilinx Release 9.2.03i - xst J.39 ---- ---- Simulation tools: GHDL [Sokcho edition] (0.2x) ---- ---- Text editor: SETEdit 0.5.x ---- ---- ---- ------------------------------------------------------------------------------ library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity SinglePortRAM is generic( WORD_SIZE : integer:=32; -- Word Size 16/32 BYTE_BITS : integer:=2; -- Bits used to address bytes BRAM_W : integer:=15); -- Address Width port( clk_i : in std_logic; we_i : in std_logic; re_i : in std_logic; addr_i : in unsigned(BRAM_W-1 downto BYTE_BITS); write_i : in unsigned(WORD_SIZE-1 downto 0); read_o : out unsigned(WORD_SIZE-1 downto 0); busy_o : out std_logic); end entity SinglePortRAM; architecture Xilinx of SinglePortRAM is type ram_type is array(natural range 0 to ((2**BRAM_W)/4)-1) of unsigned(WORD_SIZE-1 downto 0); signal addr_r : unsigned(BRAM_W-1 downto BYTE_BITS); signal ram : ram_type := ( 0 => x"0b0b0b0b", 1 => x"82700b0b", 2 => x"80f8ec0c", 3 => x"3a0b0b80", 4 => x"e7ea0400", 5 => x"00000000", 6 => x"00000000", 7 => x"00000000", 8 => x"80088408", 9 => x"88080b0b", 10 => x"80e8b72d", 11 => x"880c840c", 12 => x"800c0400", 13 => x"00000000", 14 => x"00000000", 15 => x"00000000", 16 => x"71fd0608", 17 => x"72830609", 18 => x"81058205", 19 => x"832b2a83", 20 => x"ffff0652", 21 => x"04000000", 22 => x"00000000", 23 => x"00000000", 24 => x"71fd0608", 25 => x"83ffff73", 26 => x"83060981", 27 => x"05820583", 28 => x"2b2b0906", 29 => x"7383ffff", 30 => x"0b0b0b0b", 31 => x"83a70400", 32 => x"72098105", 33 => x"72057373", 34 => x"09060906", 35 => x"73097306", 36 => x"070a8106", 37 => x"53510400", 38 => x"00000000", 39 => x"00000000", 40 => x"72722473", 41 => x"732e0753", 42 => x"51040000", 43 => x"00000000", 44 => x"00000000", 45 => x"00000000", 46 => x"00000000", 47 => x"00000000", 48 => x"71737109", 49 => x"71068106", 50 => x"30720a10", 51 => x"0a720a10", 52 => x"0a31050a", 53 => x"81065151", 54 => x"53510400", 55 => x"00000000", 56 => x"72722673", 57 => x"732e0753", 58 => x"51040000", 59 => x"00000000", 60 => x"00000000", 61 => x"00000000", 62 => x"00000000", 63 => x"00000000", 64 => x"00000000", 65 => x"00000000", 66 => x"00000000", 67 => x"00000000", 68 => x"00000000", 69 => x"00000000", 70 => x"00000000", 71 => x"00000000", 72 => x"0b0b0b88", 73 => x"c4040000", 74 => x"00000000", 75 => x"00000000", 76 => x"00000000", 77 => x"00000000", 78 => x"00000000", 79 => x"00000000", 80 => x"720a722b", 81 => x"0a535104", 82 => x"00000000", 83 => x"00000000", 84 => x"00000000", 85 => x"00000000", 86 => x"00000000", 87 => x"00000000", 88 => x"72729f06", 89 => x"0981050b", 90 => x"0b0b88a7", 91 => x"05040000", 92 => x"00000000", 93 => x"00000000", 94 => x"00000000", 95 => x"00000000", 96 => x"72722aff", 97 => x"739f062a", 98 => x"0974090a", 99 => x"8106ff05", 100 => x"06075351", 101 => x"04000000", 102 => x"00000000", 103 => x"00000000", 104 => x"71715351", 105 => x"020d0406", 106 => x"73830609", 107 => x"81058205", 108 => x"832b0b2b", 109 => x"0772fc06", 110 => x"0c515104", 111 => x"00000000", 112 => x"72098105", 113 => x"72050970", 114 => x"81050906", 115 => x"0a810653", 116 => x"51040000", 117 => x"00000000", 118 => x"00000000", 119 => x"00000000", 120 => x"72098105", 121 => x"72050970", 122 => x"81050906", 123 => x"0a098106", 124 => x"53510400", 125 => x"00000000", 126 => x"00000000", 127 => x"00000000", 128 => x"71098105", 129 => x"52040000", 130 => x"00000000", 131 => x"00000000", 132 => x"00000000", 133 => x"00000000", 134 => x"00000000", 135 => x"00000000", 136 => x"72720981", 137 => x"05055351", 138 => x"04000000", 139 => x"00000000", 140 => x"00000000", 141 => x"00000000", 142 => x"00000000", 143 => x"00000000", 144 => x"72097206", 145 => x"73730906", 146 => x"07535104", 147 => x"00000000", 148 => x"00000000", 149 => x"00000000", 150 => x"00000000", 151 => x"00000000", 152 => x"71fc0608", 153 => x"72830609", 154 => x"81058305", 155 => x"1010102a", 156 => x"81ff0652", 157 => x"04000000", 158 => x"00000000", 159 => x"00000000", 160 => x"71fc0608", 161 => x"0b0b80f8", 162 => x"d8738306", 163 => x"10100508", 164 => x"060b0b0b", 165 => x"88aa0400", 166 => x"00000000", 167 => x"00000000", 168 => x"80088408", 169 => x"88087575", 170 => x"0b0b80ce", 171 => x"b62d5050", 172 => x"80085688", 173 => x"0c840c80", 174 => x"0c510400", 175 => x"00000000", 176 => x"80088408", 177 => x"88087575", 178 => x"0b0b80cf", 179 => x"e82d5050", 180 => x"80085688", 181 => x"0c840c80", 182 => x"0c510400", 183 => x"00000000", 184 => x"72097081", 185 => x"0509060a", 186 => x"8106ff05", 187 => x"70547106", 188 => x"73097274", 189 => x"05ff0506", 190 => x"07515151", 191 => x"04000000", 192 => x"72097081", 193 => x"0509060a", 194 => x"098106ff", 195 => x"05705471", 196 => x"06730972", 197 => x"7405ff05", 198 => x"06075151", 199 => x"51040000", 200 => x"05ff0504", 201 => x"00000000", 202 => x"00000000", 203 => x"00000000", 204 => x"00000000", 205 => x"00000000", 206 => x"00000000", 207 => x"00000000", 208 => x"810b0b0b", 209 => x"80f8e80c", 210 => x"51040000", 211 => x"00000000", 212 => x"00000000", 213 => x"00000000", 214 => x"00000000", 215 => x"00000000", 216 => x"71810552", 217 => x"04000000", 218 => x"00000000", 219 => x"00000000", 220 => x"00000000", 221 => x"00000000", 222 => x"00000000", 223 => x"00000000", 224 => x"00000000", 225 => x"00000000", 226 => x"00000000", 227 => x"00000000", 228 => x"00000000", 229 => x"00000000", 230 => x"00000000", 231 => x"00000000", 232 => x"02840572", 233 => x"10100552", 234 => x"04000000", 235 => x"00000000", 236 => x"00000000", 237 => x"00000000", 238 => x"00000000", 239 => x"00000000", 240 => x"00000000", 241 => x"00000000", 242 => x"00000000", 243 => x"00000000", 244 => x"00000000", 245 => x"00000000", 246 => x"00000000", 247 => x"00000000", 248 => x"717105ff", 249 => x"05715351", 250 => x"020d0400", 251 => x"00000000", 252 => x"00000000", 253 => x"00000000", 254 => x"00000000", 255 => x"00000000", 256 => x"83803f80", 257 => x"e2953f04", 258 => x"10101010", 259 => x"10101010", 260 => x"10101010", 261 => x"10101010", 262 => x"10101010", 263 => x"10101010", 264 => x"10101010", 265 => x"10101053", 266 => x"51047381", 267 => x"ff067383", 268 => x"06098105", 269 => x"83051010", 270 => x"102b0772", 271 => x"fc060c51", 272 => x"51043c04", 273 => x"72728072", 274 => x"8106ff05", 275 => x"09720605", 276 => x"71105272", 277 => x"0a100a53", 278 => x"72ed3851", 279 => x"51535104", 280 => x"ff3d0d0b", 281 => x"0b8188e0", 282 => x"08527108", 283 => x"70882a81", 284 => x"32708106", 285 => x"51515170", 286 => x"f1387372", 287 => x"0c833d0d", 288 => x"0480f8e8", 289 => x"08802ea4", 290 => x"3880f8ec", 291 => x"08822ebd", 292 => x"38838080", 293 => x"0b0b0b81", 294 => x"88e00c82", 295 => x"a0800b81", 296 => x"88e40c82", 297 => x"90800b81", 298 => x"88e80c04", 299 => x"f8808080", 300 => x"a40b0b0b", 301 => x"8188e00c", 302 => x"f8808082", 303 => x"800b8188", 304 => x"e40cf880", 305 => x"8084800b", 306 => x"8188e80c", 307 => x"0480c0a8", 308 => x"808c0b0b", 309 => x"0b8188e0", 310 => x"0c80c0a8", 311 => x"80940b81", 312 => x"88e40c0b", 313 => x"0b80eac8", 314 => x"0b8188e8", 315 => x"0c04f23d", 316 => x"0d608188", 317 => x"e408565d", 318 => x"82750c80", 319 => x"59805a80", 320 => x"0b8f3d5d", 321 => x"5b7a1010", 322 => x"15700871", 323 => x"08719f2c", 324 => x"7e852b58", 325 => x"55557d53", 326 => x"59579d94", 327 => x"3f7d7f7a", 328 => x"72077c72", 329 => x"07717160", 330 => x"8105415f", 331 => x"5d5b5957", 332 => x"55817b27", 333 => x"8f38767d", 334 => x"0c77841e", 335 => x"0c7c800c", 336 => x"903d0d04", 337 => x"8188e408", 338 => x"55ffba39", 339 => x"ff3d0d81", 340 => x"88ec3351", 341 => x"70a73880", 342 => x"f8f40870", 343 => x"08525270", 344 => x"802e9438", 345 => x"841280f8", 346 => x"f40c702d", 347 => x"80f8f408", 348 => x"70085252", 349 => x"70ee3881", 350 => x"0b8188ec", 351 => x"34833d0d", 352 => x"0404803d", 353 => x"0d0b0b81", 354 => x"88dc0880", 355 => x"2e8e380b", 356 => x"0b0b0b80", 357 => x"0b802e09", 358 => x"81068538", 359 => x"823d0d04", 360 => x"0b0b8188", 361 => x"dc510b0b", 362 => x"0bf4d53f", 363 => x"823d0d04", 364 => x"04ff3d0d", 365 => x"028f0533", 366 => x"52718a2e", 367 => x"8a387151", 368 => x"fd9e3f83", 369 => x"3d0d048d", 370 => x"51fd953f", 371 => x"7151fd90", 372 => x"3f833d0d", 373 => x"04ce3d0d", 374 => x"b53d7070", 375 => x"84055208", 376 => x"8bb15c56", 377 => x"a53d5e5c", 378 => x"80757081", 379 => x"05573376", 380 => x"5b555873", 381 => x"782e80c1", 382 => x"388e3d5b", 383 => x"73a52e09", 384 => x"810680c5", 385 => x"38787081", 386 => x"055a3354", 387 => x"7380e42e", 388 => x"81b63873", 389 => x"80e42480", 390 => x"c6387380", 391 => x"e32ea138", 392 => x"8052a551", 393 => x"792d8052", 394 => x"7351792d", 395 => x"82185878", 396 => x"7081055a", 397 => x"335473c4", 398 => x"3877800c", 399 => x"b43d0d04", 400 => x"7b841d83", 401 => x"1233565d", 402 => x"57805273", 403 => x"51792d81", 404 => x"18797081", 405 => x"055b3355", 406 => x"5873ffa0", 407 => x"38db3973", 408 => x"80f32e09", 409 => x"8106ffb8", 410 => x"387b841d", 411 => x"7108595d", 412 => x"56807733", 413 => x"55567376", 414 => x"2e8d3881", 415 => x"16701870", 416 => x"33575556", 417 => x"74f538ff", 418 => x"16558076", 419 => x"25ffa038", 420 => x"76708105", 421 => x"58335480", 422 => x"52735179", 423 => x"2d811875", 424 => x"ff175757", 425 => x"58807625", 426 => x"ff853876", 427 => x"70810558", 428 => x"33548052", 429 => x"7351792d", 430 => x"811875ff", 431 => x"17575758", 432 => x"758024cc", 433 => x"38fee839", 434 => x"7b841d71", 435 => x"0870719f", 436 => x"2c595359", 437 => x"5d568075", 438 => x"24819338", 439 => x"757d7c58", 440 => x"56548057", 441 => x"73772e09", 442 => x"8106b638", 443 => x"b07b3402", 444 => x"b505567a", 445 => x"762e9738", 446 => x"ff165675", 447 => x"33757081", 448 => x"05573481", 449 => x"17577a76", 450 => x"2e098106", 451 => x"eb388075", 452 => x"34767dff", 453 => x"12575856", 454 => x"758024fe", 455 => x"f338fe8f", 456 => x"398a5273", 457 => x"5180c1c0", 458 => x"3f800880", 459 => x"eacc0533", 460 => x"76708105", 461 => x"58348a52", 462 => x"7351bffa", 463 => x"3f800854", 464 => x"8008802e", 465 => x"ffad388a", 466 => x"52735180", 467 => x"c19a3f80", 468 => x"0880eacc", 469 => x"05337670", 470 => x"81055834", 471 => x"8a527351", 472 => x"bfd43f80", 473 => x"08548008", 474 => x"ffb738ff", 475 => x"86397452", 476 => x"7653b43d", 477 => x"ffb80551", 478 => x"978a3fa3", 479 => x"3d0856fe", 480 => x"db39803d", 481 => x"0d80c10b", 482 => x"81d7b834", 483 => x"800b81d9", 484 => x"940c7080", 485 => x"0c823d0d", 486 => x"04ff3d0d", 487 => x"800b81d7", 488 => x"b8335252", 489 => x"7080c12e", 490 => x"99387181", 491 => x"d9940807", 492 => x"81d9940c", 493 => x"80c20b81", 494 => x"d7bc3470", 495 => x"800c833d", 496 => x"0d04810b", 497 => x"81d99408", 498 => x"0781d994", 499 => x"0c80c20b", 500 => x"81d7bc34", 501 => x"70800c83", 502 => x"3d0d04fd", 503 => x"3d0d7570", 504 => x"088a0553", 505 => x"5381d7b8", 506 => x"33517080", 507 => x"c12e8b38", 508 => x"73f33870", 509 => x"800c853d", 510 => x"0d04ff12", 511 => x"7081d7b4", 512 => x"0831740c", 513 => x"800c853d", 514 => x"0d04fc3d", 515 => x"0d81d7c0", 516 => x"08557480", 517 => x"2e8c3876", 518 => x"7508710c", 519 => x"81d7c008", 520 => x"56548c15", 521 => x"5381d7b4", 522 => x"08528a51", 523 => x"8fe73f73", 524 => x"800c863d", 525 => x"0d04fb3d", 526 => x"0d777008", 527 => x"5656b053", 528 => x"81d7c008", 529 => x"52745180", 530 => x"cdff3f85", 531 => x"0b8c170c", 532 => x"850b8c16", 533 => x"0c750875", 534 => x"0c81d7c0", 535 => x"08547380", 536 => x"2e8a3873", 537 => x"08750c81", 538 => x"d7c00854", 539 => x"8c145381", 540 => x"d7b40852", 541 => x"8a518f9d", 542 => x"3f841508", 543 => x"ad38860b", 544 => x"8c160c88", 545 => x"15528816", 546 => x"08518ea9", 547 => x"3f81d7c0", 548 => x"08700876", 549 => x"0c548c15", 550 => x"7054548a", 551 => x"52730851", 552 => x"8ef33f73", 553 => x"800c873d", 554 => x"0d047508", 555 => x"54b05373", 556 => x"52755180", 557 => x"cd933f73", 558 => x"800c873d", 559 => x"0d04d93d", 560 => x"0d80f980", 561 => x"0b8188e8", 562 => x"0cb05180", 563 => x"c0e43f80", 564 => x"0881d7b0", 565 => x"0cb05180", 566 => x"c0d83f80", 567 => x"0881d7c0", 568 => x"0c81d7b0", 569 => x"0880080c", 570 => x"800b8008", 571 => x"84050c82", 572 => x"0b800888", 573 => x"050ca80b", 574 => x"80088c05", 575 => x"0c9f5380", 576 => x"ead85280", 577 => x"08900551", 578 => x"80ccbe3f", 579 => x"a13d5e9f", 580 => x"5380eaf8", 581 => x"527d5180", 582 => x"ccaf3f8a", 583 => x"0b8195f4", 584 => x"0c80f59c", 585 => x"51f9ae3f", 586 => x"80eb9851", 587 => x"f9a73f80", 588 => x"f59c51f9", 589 => x"a03f80f8", 590 => x"fc08802e", 591 => x"89d73880", 592 => x"ebc851f9", 593 => x"903f80f5", 594 => x"9c51f989", 595 => x"3f80f8f8", 596 => x"085280eb", 597 => x"f451f8fd", 598 => x"3f818990", 599 => x"5180d5da", 600 => x"3f810b9a", 601 => x"3d5e5b80", 602 => x"0b80f8f8", 603 => x"082582d6", 604 => x"38903d5f", 605 => x"80c10b81", 606 => x"d7b83481", 607 => x"0b81d994", 608 => x"0c80c20b", 609 => x"81d7bc34", 610 => x"8240835a", 611 => x"9f5380ec", 612 => x"a4527c51", 613 => x"80cbb23f", 614 => x"8141807d", 615 => x"537e5256", 616 => x"8e973f80", 617 => x"08762e09", 618 => x"81068338", 619 => x"81567581", 620 => x"d9940c7f", 621 => x"70585675", 622 => x"8325a238", 623 => x"75101016", 624 => x"fd0542a9", 625 => x"3dffa405", 626 => x"53835276", 627 => x"518cc63f", 628 => x"7f810570", 629 => x"41705856", 630 => x"837624e0", 631 => x"38615475", 632 => x"53818998", 633 => x"5281d7cc", 634 => x"518cba3f", 635 => x"81d7c008", 636 => x"70085858", 637 => x"b0537752", 638 => x"765180ca", 639 => x"cc3f850b", 640 => x"8c190c85", 641 => x"0b8c180c", 642 => x"7708770c", 643 => x"81d7c008", 644 => x"5675802e", 645 => x"8a387508", 646 => x"770c81d7", 647 => x"c008568c", 648 => x"165381d7", 649 => x"b408528a", 650 => x"518bea3f", 651 => x"84170887", 652 => x"eb38860b", 653 => x"8c180c88", 654 => x"17528818", 655 => x"08518af5", 656 => x"3f81d7c0", 657 => x"08700878", 658 => x"0c568c17", 659 => x"7054598a", 660 => x"52780851", 661 => x"8bbf3f80", 662 => x"c10b81d7", 663 => x"bc335757", 664 => x"767626a2", 665 => x"3880c352", 666 => x"76518ca3", 667 => x"3f800861", 668 => x"2e89e638", 669 => x"81177081", 670 => x"ff0681d7", 671 => x"bc335858", 672 => x"58757727", 673 => x"e0387960", 674 => x"29627054", 675 => x"71535b59", 676 => x"b9a43f80", 677 => x"0840787a", 678 => x"31708729", 679 => x"80083180", 680 => x"088a0581", 681 => x"d7b83381", 682 => x"d7b4085e", 683 => x"5b525a56", 684 => x"7780c12e", 685 => x"89d0387b", 686 => x"f738811b", 687 => x"5b80f8f8", 688 => x"087b25fd", 689 => x"af3881d7", 690 => x"a85180d2", 691 => x"ed3f80ec", 692 => x"c451f681", 693 => x"3f80f59c", 694 => x"51f5fa3f", 695 => x"80ecd451", 696 => x"f5f33f80", 697 => x"f59c51f5", 698 => x"ec3f81d7", 699 => x"b4085280", 700 => x"ed8c51f5", 701 => x"e03f8552", 702 => x"80eda851", 703 => x"f5d73f81", 704 => x"d9940852", 705 => x"80edc451", 706 => x"f5cb3f81", 707 => x"5280eda8", 708 => x"51f5c23f", 709 => x"81d7b833", 710 => x"5280ede0", 711 => x"51f5b63f", 712 => x"80c15280", 713 => x"edfc51f5", 714 => x"ac3f81d7", 715 => x"bc335280", 716 => x"ee9851f5", 717 => x"a03f80c2", 718 => x"5280edfc", 719 => x"51f5963f", 720 => x"81d7ec08", 721 => x"5280eeb4", 722 => x"51f58a3f", 723 => x"875280ed", 724 => x"a851f581", 725 => x"3f8195f4", 726 => x"085280ee", 727 => x"d051f4f5", 728 => x"3f80eeec", 729 => x"51f4ee3f", 730 => x"80ef9851", 731 => x"f4e73f81", 732 => x"d7c00870", 733 => x"08535a80", 734 => x"efa451f4", 735 => x"d83f80ef", 736 => x"c051f4d1", 737 => x"3f81d7c0", 738 => x"08841108", 739 => x"535680ef", 740 => x"f451f4c1", 741 => x"3f805280", 742 => x"eda851f4", 743 => x"b83f81d7", 744 => x"c0088811", 745 => x"08535880", 746 => x"f09051f4", 747 => x"a83f8252", 748 => x"80eda851", 749 => x"f49f3f81", 750 => x"d7c0088c", 751 => x"11085357", 752 => x"80f0ac51", 753 => x"f48f3f91", 754 => x"5280eda8", 755 => x"51f4863f", 756 => x"81d7c008", 757 => x"90055280", 758 => x"f0c851f3", 759 => x"f83f80f0", 760 => x"e451f3f1", 761 => x"3f80f19c", 762 => x"51f3ea3f", 763 => x"81d7b008", 764 => x"7008535f", 765 => x"80efa451", 766 => x"f3db3f80", 767 => x"f1b051f3", 768 => x"d43f81d7", 769 => x"b0088411", 770 => x"08535b80", 771 => x"eff451f3", 772 => x"c43f8052", 773 => x"80eda851", 774 => x"f3bb3f81", 775 => x"d7b00888", 776 => x"1108535c", 777 => x"80f09051", 778 => x"f3ab3f81", 779 => x"5280eda8", 780 => x"51f3a23f", 781 => x"81d7b008", 782 => x"8c110853", 783 => x"5a80f0ac", 784 => x"51f3923f", 785 => x"925280ed", 786 => x"a851f389", 787 => x"3f81d7b0", 788 => x"08900552", 789 => x"80f0c851", 790 => x"f2fb3f80", 791 => x"f0e451f2", 792 => x"f43f7f52", 793 => x"80f1f051", 794 => x"f2eb3f85", 795 => x"5280eda8", 796 => x"51f2e23f", 797 => x"785280f2", 798 => x"8c51f2d9", 799 => x"3f8d5280", 800 => x"eda851f2", 801 => x"d03f6152", 802 => x"80f2a851", 803 => x"f2c73f87", 804 => x"5280eda8", 805 => x"51f2be3f", 806 => x"605280f2", 807 => x"c451f2b5", 808 => x"3f815280", 809 => x"eda851f2", 810 => x"ac3f7d52", 811 => x"80f2e051", 812 => x"f2a33f80", 813 => x"f2fc51f2", 814 => x"9c3f7c52", 815 => x"80f3b451", 816 => x"f2933f80", 817 => x"f3d051f2", 818 => x"8c3f80f5", 819 => x"9c51f285", 820 => x"3f81d7a8", 821 => x"0881d7ac", 822 => x"08818990", 823 => x"08818994", 824 => x"08727131", 825 => x"70742675", 826 => x"74317072", 827 => x"31818988", 828 => x"0c444481", 829 => x"898c0c81", 830 => x"898c0856", 831 => x"80f48855", 832 => x"5c595758", 833 => x"f1cf3f81", 834 => x"89880856", 835 => x"80762582", 836 => x"a43880f8", 837 => x"f8087071", 838 => x"9f2c9a3d", 839 => x"53565681", 840 => x"89880881", 841 => x"898c0841", 842 => x"537f5470", 843 => x"525a8ef6", 844 => x"3f66685f", 845 => x"8188f80c", 846 => x"7d8188fc", 847 => x"0c80f8f8", 848 => x"08709f2c", 849 => x"58568058", 850 => x"bd84c078", 851 => x"55557652", 852 => x"75537951", 853 => x"87d23f95", 854 => x"3d818988", 855 => x"0881898c", 856 => x"0841557f", 857 => x"56676940", 858 => x"537e5470", 859 => x"525c8eb6", 860 => x"3f64665e", 861 => x"8189800c", 862 => x"7c818984", 863 => x"0c80f8f8", 864 => x"08709f2c", 865 => x"40588057", 866 => x"83dceb94", 867 => x"80775555", 868 => x"7e527753", 869 => x"7b518790", 870 => x"3f64665d", 871 => x"5b805e8d", 872 => x"dd7e5555", 873 => x"81898808", 874 => x"81898c08", 875 => x"59527753", 876 => x"795186f4", 877 => x"3f666840", 878 => x"547e557a", 879 => x"527b53a9", 880 => x"3dffa805", 881 => x"518ddf3f", 882 => x"62645e81", 883 => x"d7c40c7c", 884 => x"81d7c80c", 885 => x"80f49851", 886 => x"effb3f81", 887 => x"88fc0852", 888 => x"80f4c851", 889 => x"efef3f80", 890 => x"f4d051ef", 891 => x"e83f8189", 892 => x"84085280", 893 => x"f4c851ef", 894 => x"dc3f81d7", 895 => x"c8085280", 896 => x"f58051ef", 897 => x"d03f80f5", 898 => x"9c51efc9", 899 => x"3f800b80", 900 => x"0ca93d0d", 901 => x"0480f5a0", 902 => x"51f6a839", 903 => x"770857b0", 904 => x"53765277", 905 => x"5180c2a1", 906 => x"3f80c10b", 907 => x"81d7bc33", 908 => x"5757f8ac", 909 => x"39758a38", 910 => x"81898c08", 911 => x"8126fdd2", 912 => x"3880f5d0", 913 => x"51ef8e3f", 914 => x"80f68851", 915 => x"ef873f80", 916 => x"f59c51ef", 917 => x"803f80f8", 918 => x"f8087071", 919 => x"9f2c9a3d", 920 => x"53565681", 921 => x"89880881", 922 => x"898c0841", 923 => x"537f5470", 924 => x"525a8cb2", 925 => x"3f66685f", 926 => x"8188f80c", 927 => x"7d8188fc", 928 => x"0c80f8f8", 929 => x"08709f2c", 930 => x"58568058", 931 => x"bd84c078", 932 => x"55557652", 933 => x"75537951", 934 => x"858e3f95", 935 => x"3d818988", 936 => x"0881898c", 937 => x"0841557f", 938 => x"56676940", 939 => x"537e5470", 940 => x"525c8bf2", 941 => x"3f64665e", 942 => x"8189800c", 943 => x"7c818984", 944 => x"0c80f8f8", 945 => x"08709f2c", 946 => x"40588057", 947 => x"83dceb94", 948 => x"80775555", 949 => x"7e527753", 950 => x"7b5184cc", 951 => x"3f64665d", 952 => x"5b805e8d", 953 => x"dd7e5555", 954 => x"81898808", 955 => x"81898c08", 956 => x"59527753", 957 => x"795184b0", 958 => x"3f666840", 959 => x"547e557a", 960 => x"527b53a9", 961 => x"3dffa805", 962 => x"518b9b3f", 963 => x"62645e81", 964 => x"d7c40c7c", 965 => x"81d7c80c", 966 => x"80f49851", 967 => x"edb73f81", 968 => x"88fc0852", 969 => x"80f4c851", 970 => x"edab3f80", 971 => x"f4d051ed", 972 => x"a43f8189", 973 => x"84085280", 974 => x"f4c851ed", 975 => x"983f81d7", 976 => x"c8085280", 977 => x"f58051ed", 978 => x"8c3f80f5", 979 => x"9c51ed85", 980 => x"3f800b80", 981 => x"0ca93d0d", 982 => x"04a93dff", 983 => x"a0055280", 984 => x"5180d23f", 985 => x"9f5380f6", 986 => x"a8527c51", 987 => x"bfdb3f7a", 988 => x"7b81d7b4", 989 => x"0c811870", 990 => x"81ff0681", 991 => x"d7bc3359", 992 => x"59595af5", 993 => x"fc39ff16", 994 => x"707b3160", 995 => x"0c5c800b", 996 => x"811c5c5c", 997 => x"80f8f808", 998 => x"7b25f3d8", 999 => x"38f6a739", 1000 => x"ff3d0d73", 1001 => x"82327030", 1002 => x"70720780", 1003 => x"25800c52", 1004 => x"52833d0d", 1005 => x"04fe3d0d", 1006 => x"74767153", 1007 => x"54527182", 1008 => x"2e833883", 1009 => x"5171812e", 1010 => x"9a388172", 1011 => x"269f3871", 1012 => x"822eb838", 1013 => x"71842ea9", 1014 => x"3870730c", 1015 => x"70800c84", 1016 => x"3d0d0480", 1017 => x"e40b81d7", 1018 => x"b408258b", 1019 => x"3880730c", 1020 => x"70800c84", 1021 => x"3d0d0483", 1022 => x"730c7080", 1023 => x"0c843d0d", 1024 => x"0482730c", 1025 => x"70800c84", 1026 => x"3d0d0481", 1027 => x"730c7080", 1028 => x"0c843d0d", 1029 => x"04803d0d", 1030 => x"74741482", 1031 => x"05710c80", 1032 => x"0c823d0d", 1033 => x"04f73d0d", 1034 => x"7b7d7f61", 1035 => x"85127082", 1036 => x"2b751170", 1037 => x"74717084", 1038 => x"05530c5a", 1039 => x"5a5d5b76", 1040 => x"0c7980f8", 1041 => x"180c7986", 1042 => x"12525758", 1043 => x"5a5a7676", 1044 => x"24993876", 1045 => x"b329822b", 1046 => x"79115153", 1047 => x"76737084", 1048 => x"05550c81", 1049 => x"14547574", 1050 => x"25f23876", 1051 => x"81cc2919", 1052 => x"fc110881", 1053 => x"05fc120c", 1054 => x"7a197008", 1055 => x"9fa0130c", 1056 => x"5856850b", 1057 => x"81d7b40c", 1058 => x"75800c8b", 1059 => x"3d0d04fe", 1060 => x"3d0d0293", 1061 => x"05335180", 1062 => x"02840597", 1063 => x"05335452", 1064 => x"70732e88", 1065 => x"3871800c", 1066 => x"843d0d04", 1067 => x"7081d7b8", 1068 => x"34810b80", 1069 => x"0c843d0d", 1070 => x"04f83d0d", 1071 => x"7a7c5956", 1072 => x"820b8319", 1073 => x"55557416", 1074 => x"70337533", 1075 => x"5b515372", 1076 => x"792e80c6", 1077 => x"3880c10b", 1078 => x"81168116", 1079 => x"56565782", 1080 => x"7525e338", 1081 => x"ffa91770", 1082 => x"81ff0655", 1083 => x"59738226", 1084 => x"83388755", 1085 => x"81537680", 1086 => x"d22e9838", 1087 => x"77527551", 1088 => x"be963f80", 1089 => x"53728008", 1090 => x"25893887", 1091 => x"1581d7b4", 1092 => x"0c815372", 1093 => x"800c8a3d", 1094 => x"0d047281", 1095 => x"d7b83482", 1096 => x"7525ffa2", 1097 => x"38ffbd39", 1098 => x"8c08028c", 1099 => x"0ceb3d0d", 1100 => x"800b8c08", 1101 => x"f0050c80", 1102 => x"0b8c08f4", 1103 => x"050c8c08", 1104 => x"8c05088c", 1105 => x"08900508", 1106 => x"5654738c", 1107 => x"08f0050c", 1108 => x"748c08f4", 1109 => x"050c8c08", 1110 => x"f8058c08", 1111 => x"f0055656", 1112 => x"88705475", 1113 => x"53765254", 1114 => x"bbdf3f80", 1115 => x"0b8c08e8", 1116 => x"050c800b", 1117 => x"8c08ec05", 1118 => x"0c8c0894", 1119 => x"05088c08", 1120 => x"98050856", 1121 => x"54738c08", 1122 => x"e8050c74", 1123 => x"8c08ec05", 1124 => x"0c8c08f0", 1125 => x"058c08e8", 1126 => x"05565688", 1127 => x"70547553", 1128 => x"765254bb", 1129 => x"a43f800b", 1130 => x"8c08e805", 1131 => x"0c800b8c", 1132 => x"08ec050c", 1133 => x"8c08fc05", 1134 => x"0883ffff", 1135 => x"068c08cc", 1136 => x"050c8c08", 1137 => x"fc050890", 1138 => x"2a8c08c4", 1139 => x"050c8c08", 1140 => x"f4050883", 1141 => x"ffff068c", 1142 => x"08c8050c", 1143 => x"8c08f405", 1144 => x"08902a8c", 1145 => x"08c0050c", 1146 => x"8c08cc05", 1147 => x"088c08c8", 1148 => x"05082970", 1149 => x"8c08dc05", 1150 => x"0c8c08cc", 1151 => x"05088c08", 1152 => x"c0050829", 1153 => x"708c08d8", 1154 => x"050c8c08", 1155 => x"c405088c", 1156 => x"08c80508", 1157 => x"29708c08", 1158 => x"d4050c8c", 1159 => x"08c40508", 1160 => x"8c08c005", 1161 => x"0829708c", 1162 => x"08d0050c", 1163 => x"8c08dc05", 1164 => x"08902a8c", 1165 => x"08d80508", 1166 => x"118c08d8", 1167 => x"050c8c08", 1168 => x"d805088c", 1169 => x"08d40508", 1170 => x"058c08d8", 1171 => x"050c5151", 1172 => x"5151548c", 1173 => x"08d80508", 1174 => x"8c08d405", 1175 => x"08278f38", 1176 => x"8c08d005", 1177 => x"08848080", 1178 => x"058c08d0", 1179 => x"050c8c08", 1180 => x"d8050890", 1181 => x"2a8c08d0", 1182 => x"0508118c", 1183 => x"08e0050c", 1184 => x"8c08d805", 1185 => x"0883ffff", 1186 => x"0670902b", 1187 => x"8c08dc05", 1188 => x"0883ffff", 1189 => x"0670128c", 1190 => x"08e4050c", 1191 => x"52575154", 1192 => x"8c08e005", 1193 => x"088c08e4", 1194 => x"05085654", 1195 => x"738c08e8", 1196 => x"050c748c", 1197 => x"08ec050c", 1198 => x"8c08fc05", 1199 => x"088c08f0", 1200 => x"0508298c", 1201 => x"08f80508", 1202 => x"8c08f405", 1203 => x"08297012", 1204 => x"8c08e805", 1205 => x"08118c08", 1206 => x"e8050c51", 1207 => x"55558c08", 1208 => x"e805088c", 1209 => x"08ec0508", 1210 => x"8c088805", 1211 => x"08585654", 1212 => x"73760c74", 1213 => x"84170c8c", 1214 => x"08880508", 1215 => x"800c973d", 1216 => x"0d8c0c04", 1217 => x"8c08028c", 1218 => x"0cf63d0d", 1219 => x"800b8c08", 1220 => x"f0050c80", 1221 => x"0b8c08f4", 1222 => x"050c8c08", 1223 => x"8c05088c", 1224 => x"08900508", 1225 => x"5654738c", 1226 => x"08f0050c", 1227 => x"748c08f4", 1228 => x"050c8c08", 1229 => x"f8058c08", 1230 => x"f0055656", 1231 => x"88705475", 1232 => x"53765254", 1233 => x"b8833f80", 1234 => x"0b8c08f0", 1235 => x"050c800b", 1236 => x"8c08f405", 1237 => x"0c8c08f8", 1238 => x"0508308c", 1239 => x"08ec050c", 1240 => x"8c08fc05", 1241 => x"08802e8d", 1242 => x"388c08ec", 1243 => x"0508ff05", 1244 => x"8c08ec05", 1245 => x"0c8c08ec", 1246 => x"05088c08", 1247 => x"f0050c8c", 1248 => x"08fc0508", 1249 => x"308c08f4", 1250 => x"050c8c08", 1251 => x"f005088c", 1252 => x"08f40508", 1253 => x"8c088805", 1254 => x"08585654", 1255 => x"73760c74", 1256 => x"84170c8c", 1257 => x"08880508", 1258 => x"800c8c3d", 1259 => x"0d8c0c04", 1260 => x"8c08028c", 1261 => x"0cf53d0d", 1262 => x"8c089405", 1263 => x"089d388c", 1264 => x"088c0508", 1265 => x"8c089005", 1266 => x"088c0888", 1267 => x"05085856", 1268 => x"5473760c", 1269 => x"7484170c", 1270 => x"81bf3980", 1271 => x"0b8c08f0", 1272 => x"050c800b", 1273 => x"8c08f405", 1274 => x"0c8c088c", 1275 => x"05088c08", 1276 => x"90050856", 1277 => x"54738c08", 1278 => x"f0050c74", 1279 => x"8c08f405", 1280 => x"0c8c08f8", 1281 => x"058c08f0", 1282 => x"05565688", 1283 => x"70547553", 1284 => x"765254b6", 1285 => x"b43fa00b", 1286 => x"8c089405", 1287 => x"08318c08", 1288 => x"ec050c8c", 1289 => x"08ec0508", 1290 => x"80249d38", 1291 => x"800b8c08", 1292 => x"f4050c8c", 1293 => x"08ec0508", 1294 => x"308c08fc", 1295 => x"0508712b", 1296 => x"8c08f005", 1297 => x"0c54b939", 1298 => x"8c08fc05", 1299 => x"088c08ec", 1300 => x"05082a8c", 1301 => x"08e8050c", 1302 => x"8c08fc05", 1303 => x"088c0894", 1304 => x"05082b8c", 1305 => x"08f4050c", 1306 => x"8c08f805", 1307 => x"088c0894", 1308 => x"05082b70", 1309 => x"8c08e805", 1310 => x"08078c08", 1311 => x"f0050c54", 1312 => x"8c08f005", 1313 => x"088c08f4", 1314 => x"05088c08", 1315 => x"88050858", 1316 => x"56547376", 1317 => x"0c748417", 1318 => x"0c8c0888", 1319 => x"0508800c", 1320 => x"8d3d0d8c", 1321 => x"0c048c08", 1322 => x"028c0ccc", 1323 => x"3d0d800b", 1324 => x"8c08fc05", 1325 => x"0c800b8c", 1326 => x"08ec050c", 1327 => x"800b8c08", 1328 => x"f0050c8c", 1329 => x"088c0508", 1330 => x"8c089005", 1331 => x"08565473", 1332 => x"8c08ec05", 1333 => x"0c748c08", 1334 => x"f0050c8c", 1335 => x"08f4058c", 1336 => x"08ec0556", 1337 => x"56887054", 1338 => x"75537652", 1339 => x"54b4da3f", 1340 => x"800b8c08", 1341 => x"e4050c80", 1342 => x"0b8c08e8", 1343 => x"050c8c08", 1344 => x"9405088c", 1345 => x"08980508", 1346 => x"5654738c", 1347 => x"08e4050c", 1348 => x"748c08e8", 1349 => x"050c8c08", 1350 => x"ec058c08", 1351 => x"e4055656", 1352 => x"88705475", 1353 => x"53765254", 1354 => x"b49f3f8c", 1355 => x"08f40508", 1356 => x"8025be38", 1357 => x"8c08fc05", 1358 => x"08098c08", 1359 => x"fc050c8c", 1360 => x"08d40554", 1361 => x"8c08f405", 1362 => x"088c08f8", 1363 => x"05085755", 1364 => x"74527553", 1365 => x"7351fbac", 1366 => x"3f8c08d4", 1367 => x"05088c08", 1368 => x"d8050856", 1369 => x"54738c08", 1370 => x"f4050c74", 1371 => x"8c08f805", 1372 => x"0c8c08ec", 1373 => x"05088025", 1374 => x"be388c08", 1375 => x"fc050809", 1376 => x"8c08fc05", 1377 => x"0c8c08d4", 1378 => x"05548c08", 1379 => x"ec05088c", 1380 => x"08f00508", 1381 => x"57557452", 1382 => x"75537351", 1383 => x"fae63f8c", 1384 => x"08d40508", 1385 => x"8c08d805", 1386 => x"08565473", 1387 => x"8c08ec05", 1388 => x"0c748c08", 1389 => x"f0050c8c", 1390 => x"08f40508", 1391 => x"8c08f805", 1392 => x"08565473", 1393 => x"8c08d405", 1394 => x"0c748c08", 1395 => x"d8050c8c", 1396 => x"08ec0508", 1397 => x"8c08f005", 1398 => x"08565473", 1399 => x"8c08cc05", 1400 => x"0c748c08", 1401 => x"d0050c80", 1402 => x"0b8c08c8", 1403 => x"050c800b", 1404 => x"8c08e405", 1405 => x"0c800b8c", 1406 => x"08e8050c", 1407 => x"8c08d405", 1408 => x"088c08d8", 1409 => x"05085654", 1410 => x"738c08e4", 1411 => x"050c748c", 1412 => x"08e8050c", 1413 => x"800b8c08", 1414 => x"ffb8050c", 1415 => x"800b8c08", 1416 => x"ffbc050c", 1417 => x"8c08cc05", 1418 => x"088c08d0", 1419 => x"05085654", 1420 => x"738c08ff", 1421 => x"b8050c74", 1422 => x"8c08ffbc", 1423 => x"050c8c08", 1424 => x"ffbc0508", 1425 => x"8c08ffac", 1426 => x"050c8c08", 1427 => x"ffb80508", 1428 => x"8c08ffa8", 1429 => x"050c8c08", 1430 => x"e805088c", 1431 => x"08ffa405", 1432 => x"0c8c08e4", 1433 => x"05088c08", 1434 => x"ffa0050c", 1435 => x"8c08ffa8", 1436 => x"050891d4", 1437 => x"388c08ff", 1438 => x"a005088c", 1439 => x"08ffac05", 1440 => x"0827868c", 1441 => x"388c08ff", 1442 => x"ac05088c", 1443 => x"08ff8805", 1444 => x"0c8c08ff", 1445 => x"88050883", 1446 => x"ffff26a0", 1447 => x"388c08ff", 1448 => x"88050881", 1449 => x"ff268b38", 1450 => x"800b8c08", 1451 => x"fed8050c", 1452 => x"a939880b", 1453 => x"8c08fed8", 1454 => x"050c9f39", 1455 => x"8c08ff88", 1456 => x"0508fe80", 1457 => x"0a268b38", 1458 => x"900b8c08", 1459 => x"fed8050c", 1460 => x"8939980b", 1461 => x"8c08fed8", 1462 => x"050c8c08", 1463 => x"fed80508", 1464 => x"8c08ff84", 1465 => x"050c8c08", 1466 => x"ff880508", 1467 => x"8c08ff84", 1468 => x"05082a80", 1469 => x"f6c81133", 1470 => x"8c08ff84", 1471 => x"050811a0", 1472 => x"71318c08", 1473 => x"ff8c050c", 1474 => x"5151548c", 1475 => x"08ff8c05", 1476 => x"08802e80", 1477 => x"d1388c08", 1478 => x"ffac0508", 1479 => x"8c08ff8c", 1480 => x"05082b8c", 1481 => x"08ffac05", 1482 => x"0c8c08ff", 1483 => x"a005088c", 1484 => x"08ff8c05", 1485 => x"082ba00b", 1486 => x"8c08ff8c", 1487 => x"0508318c", 1488 => x"08ffa405", 1489 => x"08712a70", 1490 => x"73078c08", 1491 => x"ffa0050c", 1492 => x"8c08ffa4", 1493 => x"05088c08", 1494 => x"ff8c0508", 1495 => x"2b8c08ff", 1496 => x"a4050c51", 1497 => x"56548c08", 1498 => x"ffac0508", 1499 => x"902a8c08", 1500 => x"ff84050c", 1501 => x"8c08ffac", 1502 => x"050883ff", 1503 => x"ff068c08", 1504 => x"ff88050c", 1505 => x"8c08ffa0", 1506 => x"05088c08", 1507 => x"ff840508", 1508 => x"53705254", 1509 => x"9efb3f80", 1510 => x"08708c08", 1511 => x"fef8050c", 1512 => x"8c08ff84", 1513 => x"0508538c", 1514 => x"08ffa005", 1515 => x"0852549e", 1516 => x"bb3f8008", 1517 => x"708c08ff", 1518 => x"80050c8c", 1519 => x"08ff8005", 1520 => x"088c08ff", 1521 => x"88050829", 1522 => x"708c08fe", 1523 => x"f0050c8c", 1524 => x"08fef805", 1525 => x"0870902b", 1526 => x"8c08ffa4", 1527 => x"0508902a", 1528 => x"7072078c", 1529 => x"08fef805", 1530 => x"0c525851", 1531 => x"51548c08", 1532 => x"fef80508", 1533 => x"8c08fef0", 1534 => x"05082780", 1535 => x"e1388c08", 1536 => x"ff800508", 1537 => x"ff058c08", 1538 => x"ff80050c", 1539 => x"8c08fef8", 1540 => x"05088c08", 1541 => x"ffac0508", 1542 => x"058c08fe", 1543 => x"f8050c8c", 1544 => x"08ffac05", 1545 => x"088c08fe", 1546 => x"f8050826", 1547 => x"b1388c08", 1548 => x"fef80508", 1549 => x"8c08fef0", 1550 => x"050827a2", 1551 => x"388c08ff", 1552 => x"800508ff", 1553 => x"058c08ff", 1554 => x"80050c8c", 1555 => x"08fef805", 1556 => x"088c08ff", 1557 => x"ac050805", 1558 => x"8c08fef8", 1559 => x"050c8c08", 1560 => x"fef80508", 1561 => x"8c08fef0", 1562 => x"0508318c", 1563 => x"08fef805", 1564 => x"0c8c08fe", 1565 => x"f805088c", 1566 => x"08ff8405", 1567 => x"08537052", 1568 => x"549d8e3f", 1569 => x"8008708c", 1570 => x"08fef405", 1571 => x"0c8c08ff", 1572 => x"84050853", 1573 => x"8c08fef8", 1574 => x"05085254", 1575 => x"9cce3f80", 1576 => x"08708c08", 1577 => x"fefc050c", 1578 => x"8c08fefc", 1579 => x"05088c08", 1580 => x"ff880508", 1581 => x"29708c08", 1582 => x"fef0050c", 1583 => x"8c08fef4", 1584 => x"05087090", 1585 => x"2b8c08ff", 1586 => x"a4050883", 1587 => x"ffff0670", 1588 => x"72078c08", 1589 => x"fef4050c", 1590 => x"52585151", 1591 => x"548c08fe", 1592 => x"f405088c", 1593 => x"08fef005", 1594 => x"082780e1", 1595 => x"388c08fe", 1596 => x"fc0508ff", 1597 => x"058c08fe", 1598 => x"fc050c8c", 1599 => x"08fef405", 1600 => x"088c08ff", 1601 => x"ac050805", 1602 => x"8c08fef4", 1603 => x"050c8c08", 1604 => x"ffac0508", 1605 => x"8c08fef4", 1606 => x"050826b1", 1607 => x"388c08fe", 1608 => x"f405088c", 1609 => x"08fef005", 1610 => x"0827a238", 1611 => x"8c08fefc", 1612 => x"0508ff05", 1613 => x"8c08fefc", 1614 => x"050c8c08", 1615 => x"fef40508", 1616 => x"8c08ffac", 1617 => x"0508058c", 1618 => x"08fef405", 1619 => x"0c8c08fe", 1620 => x"f405088c", 1621 => x"08fef005", 1622 => x"08318c08", 1623 => x"fef4050c", 1624 => x"8c08ff80", 1625 => x"05087090", 1626 => x"2b708c08", 1627 => x"fefc0508", 1628 => x"078c08ff", 1629 => x"98050c8c", 1630 => x"08fef405", 1631 => x"088c08ff", 1632 => x"a4050c51", 1633 => x"54800b8c", 1634 => x"08ff9405", 1635 => x"0c8af639", 1636 => x"8c08ffac", 1637 => x"05089738", 1638 => x"8c08ffac", 1639 => x"05085281", 1640 => x"519ac93f", 1641 => x"8008708c", 1642 => x"08ffac05", 1643 => x"0c548c08", 1644 => x"ffac0508", 1645 => x"8c08fef0", 1646 => x"050c8c08", 1647 => x"fef00508", 1648 => x"83ffff26", 1649 => x"a0388c08", 1650 => x"fef00508", 1651 => x"81ff268b", 1652 => x"38800b8c", 1653 => x"08fed405", 1654 => x"0ca93988", 1655 => x"0b8c08fe", 1656 => x"d4050c9f", 1657 => x"398c08fe", 1658 => x"f00508fe", 1659 => x"800a268b", 1660 => x"38900b8c", 1661 => x"08fed405", 1662 => x"0c893998", 1663 => x"0b8c08fe", 1664 => x"d4050c8c", 1665 => x"08fed405", 1666 => x"088c08fe", 1667 => x"f4050c8c", 1668 => x"08fef005", 1669 => x"088c08fe", 1670 => x"f405082a", 1671 => x"80f6c811", 1672 => x"338c08fe", 1673 => x"f4050811", 1674 => x"a071318c", 1675 => x"08ff8c05", 1676 => x"0c515154", 1677 => x"8c08ff8c", 1678 => x"05089f38", 1679 => x"8c08ffa0", 1680 => x"05088c08", 1681 => x"ffac0508", 1682 => x"318c08ff", 1683 => x"a0050c81", 1684 => x"0b8c08ff", 1685 => x"94050c85", 1686 => x"8d39a00b", 1687 => x"8c08ff8c", 1688 => x"0508318c", 1689 => x"08ff9005", 1690 => x"0c8c08ff", 1691 => x"ac05088c", 1692 => x"08ff8c05", 1693 => x"082b8c08", 1694 => x"ffac050c", 1695 => x"8c08ffa0", 1696 => x"05088c08", 1697 => x"ff900508", 1698 => x"2a8c08ff", 1699 => x"9c050c8c", 1700 => x"08ffa005", 1701 => x"088c08ff", 1702 => x"8c05082b", 1703 => x"8c08ffa4", 1704 => x"05088c08", 1705 => x"ff900508", 1706 => x"2a707207", 1707 => x"8c08ffa0", 1708 => x"050c8c08", 1709 => x"ffa40508", 1710 => x"8c08ff8c", 1711 => x"05082b8c", 1712 => x"08ffa405", 1713 => x"0c8c08ff", 1714 => x"ac050890", 1715 => x"2a8c08fe", 1716 => x"f0050c8c", 1717 => x"08ffac05", 1718 => x"0883ffff", 1719 => x"068c08fe", 1720 => x"f4050c8c", 1721 => x"08ff9c05", 1722 => x"088c08fe", 1723 => x"f0050855", 1724 => x"70545155", 1725 => x"55989a3f", 1726 => x"8008708c", 1727 => x"08ff8005", 1728 => x"0c8c08fe", 1729 => x"f0050853", 1730 => x"8c08ff9c", 1731 => x"05085254", 1732 => x"97da3f80", 1733 => x"08708c08", 1734 => x"fef8050c", 1735 => x"8c08fef8", 1736 => x"05088c08", 1737 => x"fef40508", 1738 => x"29708c08", 1739 => x"ff88050c", 1740 => x"8c08ff80", 1741 => x"05087090", 1742 => x"2b8c08ff", 1743 => x"a0050890", 1744 => x"2a707207", 1745 => x"8c08ff80", 1746 => x"050c5258", 1747 => x"5151548c", 1748 => x"08ff8005", 1749 => x"088c08ff", 1750 => x"88050827", 1751 => x"80e1388c", 1752 => x"08fef805", 1753 => x"08ff058c", 1754 => x"08fef805", 1755 => x"0c8c08ff", 1756 => x"8005088c", 1757 => x"08ffac05", 1758 => x"08058c08", 1759 => x"ff80050c", 1760 => x"8c08ffac", 1761 => x"05088c08", 1762 => x"ff800508", 1763 => x"26b1388c", 1764 => x"08ff8005", 1765 => x"088c08ff", 1766 => x"88050827", 1767 => x"a2388c08", 1768 => x"fef80508", 1769 => x"ff058c08", 1770 => x"fef8050c", 1771 => x"8c08ff80", 1772 => x"05088c08", 1773 => x"ffac0508", 1774 => x"058c08ff", 1775 => x"80050c8c", 1776 => x"08ff8005", 1777 => x"088c08ff", 1778 => x"88050831", 1779 => x"8c08ff80", 1780 => x"050c8c08", 1781 => x"ff800508", 1782 => x"8c08fef0", 1783 => x"05085370", 1784 => x"525496ad", 1785 => x"3f800870", 1786 => x"8c08ff84", 1787 => x"050c8c08", 1788 => x"fef00508", 1789 => x"538c08ff", 1790 => x"80050852", 1791 => x"5495ed3f", 1792 => x"8008708c", 1793 => x"08fefc05", 1794 => x"0c8c08fe", 1795 => x"fc05088c", 1796 => x"08fef405", 1797 => x"0829708c", 1798 => x"08ff8805", 1799 => x"0c8c08ff", 1800 => x"84050870", 1801 => x"902b8c08", 1802 => x"ffa00508", 1803 => x"83ffff06", 1804 => x"7072078c", 1805 => x"08ff8405", 1806 => x"0c525851", 1807 => x"51548c08", 1808 => x"ff840508", 1809 => x"8c08ff88", 1810 => x"05082780", 1811 => x"e1388c08", 1812 => x"fefc0508", 1813 => x"ff058c08", 1814 => x"fefc050c", 1815 => x"8c08ff84", 1816 => x"05088c08", 1817 => x"ffac0508", 1818 => x"058c08ff", 1819 => x"84050c8c", 1820 => x"08ffac05", 1821 => x"088c08ff", 1822 => x"84050826", 1823 => x"b1388c08", 1824 => x"ff840508", 1825 => x"8c08ff88", 1826 => x"050827a2", 1827 => x"388c08fe", 1828 => x"fc0508ff", 1829 => x"058c08fe", 1830 => x"fc050c8c", 1831 => x"08ff8405", 1832 => x"088c08ff", 1833 => x"ac050805", 1834 => x"8c08ff84", 1835 => x"050c8c08", 1836 => x"ff840508", 1837 => x"8c08ff88", 1838 => x"0508318c", 1839 => x"08ff8405", 1840 => x"0c8c08fe", 1841 => x"f8050870", 1842 => x"902b708c", 1843 => x"08fefc05", 1844 => x"08078c08", 1845 => x"ff94050c", 1846 => x"8c08ff84", 1847 => x"05088c08", 1848 => x"ffa0050c", 1849 => x"51548c08", 1850 => x"ffac0508", 1851 => x"902a8c08", 1852 => x"fef0050c", 1853 => x"8c08ffac", 1854 => x"050883ff", 1855 => x"ff068c08", 1856 => x"fef4050c", 1857 => x"8c08ffa0", 1858 => x"05088c08", 1859 => x"fef00508", 1860 => x"53705254", 1861 => x"93fb3f80", 1862 => x"08708c08", 1863 => x"ff80050c", 1864 => x"8c08fef0", 1865 => x"0508538c", 1866 => x"08ffa005", 1867 => x"08525493", 1868 => x"bb3f8008", 1869 => x"708c08fe", 1870 => x"f8050c8c", 1871 => x"08fef805", 1872 => x"088c08fe", 1873 => x"f4050829", 1874 => x"708c08ff", 1875 => x"88050c8c", 1876 => x"08ff8005", 1877 => x"0870902b", 1878 => x"8c08ffa4", 1879 => x"0508902a", 1880 => x"7072078c", 1881 => x"08ff8005", 1882 => x"0c525851", 1883 => x"51548c08", 1884 => x"ff800508", 1885 => x"8c08ff88", 1886 => x"05082780", 1887 => x"e1388c08", 1888 => x"fef80508", 1889 => x"ff058c08", 1890 => x"fef8050c", 1891 => x"8c08ff80", 1892 => x"05088c08", 1893 => x"ffac0508", 1894 => x"058c08ff", 1895 => x"80050c8c", 1896 => x"08ffac05", 1897 => x"088c08ff", 1898 => x"80050826", 1899 => x"b1388c08", 1900 => x"ff800508", 1901 => x"8c08ff88", 1902 => x"050827a2", 1903 => x"388c08fe", 1904 => x"f80508ff", 1905 => x"058c08fe", 1906 => x"f8050c8c", 1907 => x"08ff8005", 1908 => x"088c08ff", 1909 => x"ac050805", 1910 => x"8c08ff80", 1911 => x"050c8c08", 1912 => x"ff800508", 1913 => x"8c08ff88", 1914 => x"0508318c", 1915 => x"08ff8005", 1916 => x"0c8c08ff", 1917 => x"8005088c", 1918 => x"08fef005", 1919 => x"08537052", 1920 => x"54928e3f", 1921 => x"8008708c", 1922 => x"08ff8405", 1923 => x"0c8c08fe", 1924 => x"f0050853", 1925 => x"8c08ff80", 1926 => x"05085254", 1927 => x"91ce3f80", 1928 => x"08708c08", 1929 => x"fefc050c", 1930 => x"8c08fefc", 1931 => x"05088c08", 1932 => x"fef40508", 1933 => x"29708c08", 1934 => x"ff88050c", 1935 => x"8c08ff84", 1936 => x"05087090", 1937 => x"2b8c08ff", 1938 => x"a4050883", 1939 => x"ffff0670", 1940 => x"72078c08", 1941 => x"ff84050c", 1942 => x"52585151", 1943 => x"548c08ff", 1944 => x"8405088c", 1945 => x"08ff8805", 1946 => x"082780e1", 1947 => x"388c08fe", 1948 => x"fc0508ff", 1949 => x"058c08fe", 1950 => x"fc050c8c", 1951 => x"08ff8405", 1952 => x"088c08ff", 1953 => x"ac050805", 1954 => x"8c08ff84", 1955 => x"050c8c08", 1956 => x"ffac0508", 1957 => x"8c08ff84", 1958 => x"050826b1", 1959 => x"388c08ff", 1960 => x"8405088c", 1961 => x"08ff8805", 1962 => x"0827a238", 1963 => x"8c08fefc", 1964 => x"0508ff05", 1965 => x"8c08fefc", 1966 => x"050c8c08", 1967 => x"ff840508", 1968 => x"8c08ffac", 1969 => x"0508058c", 1970 => x"08ff8405", 1971 => x"0c8c08ff", 1972 => x"8405088c", 1973 => x"08ff8805", 1974 => x"08318c08", 1975 => x"ff84050c", 1976 => x"8c08fef8", 1977 => x"05087090", 1978 => x"2b708c08", 1979 => x"fefc0508", 1980 => x"078c08ff", 1981 => x"98050c8c", 1982 => x"08ff8405", 1983 => x"088c08ff", 1984 => x"a4050c51", 1985 => x"548c08c8", 1986 => x"0508802e", 1987 => x"8ea3388c", 1988 => x"08ffa405", 1989 => x"088c08ff", 1990 => x"8c05082a", 1991 => x"8c08ffb4", 1992 => x"050c800b", 1993 => x"8c08ffb0", 1994 => x"050c8c08", 1995 => x"c8050856", 1996 => x"8c08ffb0", 1997 => x"05088c08", 1998 => x"ffb40508", 1999 => x"56547376", 2000 => x"0c748417", 2001 => x"0c8dea39", 2002 => x"8c08ffa0", 2003 => x"05088c08", 2004 => x"ffa80508", 2005 => x"2780d138", 2006 => x"800b8c08", 2007 => x"ff98050c", 2008 => x"800b8c08", 2009 => x"ff94050c", 2010 => x"8c08c805", 2011 => x"08802e8d", 2012 => x"c0388c08", 2013 => x"ffa40508", 2014 => x"8c08ffb4", 2015 => x"050c8c08", 2016 => x"ffa00508", 2017 => x"8c08ffb0", 2018 => x"050c8c08", 2019 => x"c8050856", 2020 => x"8c08ffb0", 2021 => x"05088c08", 2022 => x"ffb40508", 2023 => x"56547376", 2024 => x"0c748417", 2025 => x"0c8d8a39", 2026 => x"8c08ffa8", 2027 => x"05088c08", 2028 => x"fef0050c", 2029 => x"8c08fef0", 2030 => x"050883ff", 2031 => x"ff26a038", 2032 => x"8c08fef0", 2033 => x"050881ff", 2034 => x"268b3880", 2035 => x"0b8c08fe", 2036 => x"d0050ca9", 2037 => x"39880b8c", 2038 => x"08fed005", 2039 => x"0c9f398c", 2040 => x"08fef005", 2041 => x"08fe800a", 2042 => x"268b3890", 2043 => x"0b8c08fe", 2044 => x"d0050c89", 2045 => x"39980b8c", 2046 => x"08fed005", 2047 => x"0c8c08fe", 2048 => x"d005088c", 2049 => x"08fef405", 2050 => x"0c8c08fe", 2051 => x"f005088c", 2052 => x"08fef405", 2053 => x"082a80f6", 2054 => x"c811338c", 2055 => x"08fef405", 2056 => x"0811a071", 2057 => x"318c08ff", 2058 => x"8c050c51", 2059 => x"51548c08", 2060 => x"ff8c0508", 2061 => x"81d9388c", 2062 => x"08ffa005", 2063 => x"088c08ff", 2064 => x"a8050826", 2065 => x"93388c08", 2066 => x"ffa40508", 2067 => x"8c08ffac", 2068 => x"05082784", 2069 => x"3880e839", 2070 => x"810b8c08", 2071 => x"ff98050c", 2072 => x"8c08ffa4", 2073 => x"05088c08", 2074 => x"ffac0508", 2075 => x"318c08fe", 2076 => x"f0050c8c", 2077 => x"08ffa005", 2078 => x"088c08ff", 2079 => x"a8050831", 2080 => x"708c08fe", 2081 => x"cc050c54", 2082 => x"8c08ffa4", 2083 => x"05088c08", 2084 => x"fef00508", 2085 => x"278f388c", 2086 => x"08fecc05", 2087 => x"08ff058c", 2088 => x"08fecc05", 2089 => x"0c8c08fe", 2090 => x"cc05088c", 2091 => x"08ffa005", 2092 => x"0c8c08fe", 2093 => x"f005088c", 2094 => x"08ffa405", 2095 => x"0c893980", 2096 => x"0b8c08ff", 2097 => x"98050c80", 2098 => x"0b8c08ff", 2099 => x"94050c8c", 2100 => x"08c80508", 2101 => x"802e8ad9", 2102 => x"388c08ff", 2103 => x"a405088c", 2104 => x"08ffb405", 2105 => x"0c8c08ff", 2106 => x"a005088c", 2107 => x"08ffb005", 2108 => x"0c8c08c8", 2109 => x"0508568c", 2110 => x"08ffb005", 2111 => x"088c08ff", 2112 => x"b4050856", 2113 => x"5473760c", 2114 => x"7484170c", 2115 => x"8aa339a0", 2116 => x"0b8c08ff", 2117 => x"8c050831", 2118 => x"8c08ff90", 2119 => x"050c8c08", 2120 => x"ffa80508", 2121 => x"8c08ff8c", 2122 => x"05082b8c", 2123 => x"08ffac05", 2124 => x"088c08ff", 2125 => x"9005082a", 2126 => x"7072078c", 2127 => x"08ffa805", 2128 => x"0c8c08ff", 2129 => x"ac05088c", 2130 => x"08ff8c05", 2131 => x"082b8c08", 2132 => x"ffac050c", 2133 => x"8c08ffa0", 2134 => x"05088c08", 2135 => x"ff900508", 2136 => x"2a8c08ff", 2137 => x"9c050c8c", 2138 => x"08ffa005", 2139 => x"088c08ff", 2140 => x"8c05082b", 2141 => x"8c08ffa4", 2142 => x"05088c08", 2143 => x"ff900508", 2144 => x"2a707207", 2145 => x"8c08ffa0", 2146 => x"050c8c08", 2147 => x"ffa40508", 2148 => x"8c08ff8c", 2149 => x"05082b8c", 2150 => x"08ffa405", 2151 => x"0c8c08ff", 2152 => x"a8050890", 2153 => x"2a8c08fe", 2154 => x"f8050c8c", 2155 => x"08ffa805", 2156 => x"0883ffff", 2157 => x"068c08fe", 2158 => x"fc050c8c", 2159 => x"08ff9c05", 2160 => x"088c08fe", 2161 => x"f8050857", 2162 => x"70565152", 2163 => x"5255558a", 2164 => x"c03f8008", 2165 => x"708c08ff", 2166 => x"88050c8c", 2167 => x"08fef805", 2168 => x"08538c08", 2169 => x"ff9c0508", 2170 => x"52548a80", 2171 => x"3f800870", 2172 => x"8c08ff80", 2173 => x"050c8c08", 2174 => x"ff800508", 2175 => x"8c08fefc", 2176 => x"05082970", 2177 => x"8c08fee8", 2178 => x"050c8c08", 2179 => x"ff880508", 2180 => x"70902b8c", 2181 => x"08ffa005", 2182 => x"08902a70", 2183 => x"72078c08", 2184 => x"ff88050c", 2185 => x"52585151", 2186 => x"548c08ff", 2187 => x"8805088c", 2188 => x"08fee805", 2189 => x"082780e1", 2190 => x"388c08ff", 2191 => x"800508ff", 2192 => x"058c08ff", 2193 => x"80050c8c", 2194 => x"08ff8805", 2195 => x"088c08ff", 2196 => x"a8050805", 2197 => x"8c08ff88", 2198 => x"050c8c08", 2199 => x"ffa80508", 2200 => x"8c08ff88", 2201 => x"050826b1", 2202 => x"388c08ff", 2203 => x"8805088c", 2204 => x"08fee805", 2205 => x"0827a238", 2206 => x"8c08ff80", 2207 => x"0508ff05", 2208 => x"8c08ff80", 2209 => x"050c8c08", 2210 => x"ff880508", 2211 => x"8c08ffa8", 2212 => x"0508058c", 2213 => x"08ff8805", 2214 => x"0c8c08ff", 2215 => x"8805088c", 2216 => x"08fee805", 2217 => x"08318c08", 2218 => x"ff88050c", 2219 => x"8c08ff88", 2220 => x"05088c08", 2221 => x"fef80508", 2222 => x"53705254", 2223 => x"88d33f80", 2224 => x"08708c08", 2225 => x"feec050c", 2226 => x"8c08fef8", 2227 => x"0508538c", 2228 => x"08ff8805", 2229 => x"08525488", 2230 => x"933f8008", 2231 => x"708c08ff", 2232 => x"84050c8c", 2233 => x"08ff8405", 2234 => x"088c08fe", 2235 => x"fc050829", 2236 => x"708c08fe", 2237 => x"e8050c8c", 2238 => x"08feec05", 2239 => x"0870902b", 2240 => x"8c08ffa0", 2241 => x"050883ff", 2242 => x"ff067072", 2243 => x"078c08fe", 2244 => x"ec050c52", 2245 => x"58515154", 2246 => x"8c08feec", 2247 => x"05088c08", 2248 => x"fee80508", 2249 => x"2780e138", 2250 => x"8c08ff84", 2251 => x"0508ff05", 2252 => x"8c08ff84", 2253 => x"050c8c08", 2254 => x"feec0508", 2255 => x"8c08ffa8", 2256 => x"0508058c", 2257 => x"08feec05", 2258 => x"0c8c08ff", 2259 => x"a805088c", 2260 => x"08feec05", 2261 => x"0826b138", 2262 => x"8c08feec", 2263 => x"05088c08", 2264 => x"fee80508", 2265 => x"27a2388c", 2266 => x"08ff8405", 2267 => x"08ff058c", 2268 => x"08ff8405", 2269 => x"0c8c08fe", 2270 => x"ec05088c", 2271 => x"08ffa805", 2272 => x"08058c08", 2273 => x"feec050c", 2274 => x"8c08feec", 2275 => x"05088c08", 2276 => x"fee80508", 2277 => x"318c08fe", 2278 => x"ec050c8c", 2279 => x"08ff8005", 2280 => x"0870902b", 2281 => x"708c08ff", 2282 => x"84050807", 2283 => x"8c08ff98", 2284 => x"050c8c08", 2285 => x"feec0508", 2286 => x"8c08ffa0", 2287 => x"050c8c08", 2288 => x"ff980508", 2289 => x"83ffff06", 2290 => x"8c08ff80", 2291 => x"050c8c08", 2292 => x"ff980508", 2293 => x"902a8c08", 2294 => x"ff88050c", 2295 => x"8c08ffac", 2296 => x"050883ff", 2297 => x"ff068c08", 2298 => x"ff84050c", 2299 => x"8c08ffac", 2300 => x"0508902a", 2301 => x"8c08fee4", 2302 => x"050c8c08", 2303 => x"ff800508", 2304 => x"8c08ff84", 2305 => x"05082970", 2306 => x"8c08fee8", 2307 => x"050c8c08", 2308 => x"ff800508", 2309 => x"8c08fee4", 2310 => x"05082970", 2311 => x"8c08feec", 2312 => x"050c8c08", 2313 => x"ff880508", 2314 => x"8c08ff84", 2315 => x"05082970", 2316 => x"8c08fef8", 2317 => x"050c8c08", 2318 => x"ff880508", 2319 => x"8c08fee4", 2320 => x"05082970", 2321 => x"8c08fefc", 2322 => x"050c8c08", 2323 => x"fee80508", 2324 => x"902a8c08", 2325 => x"feec0508", 2326 => x"118c08fe", 2327 => x"ec050c8c", 2328 => x"08feec05", 2329 => x"088c08fe", 2330 => x"f8050805", 2331 => x"8c08feec", 2332 => x"050c5151", 2333 => x"51515151", 2334 => x"548c08fe", 2335 => x"ec05088c", 2336 => x"08fef805", 2337 => x"08279138", 2338 => x"8c08fefc", 2339 => x"05088480", 2340 => x"80058c08", 2341 => x"fefc050c", 2342 => x"8c08feec", 2343 => x"0508902a", 2344 => x"8c08fefc", 2345 => x"0508118c", 2346 => x"08fef005", 2347 => x"0c8c08fe", 2348 => x"ec050883", 2349 => x"ffff0670", 2350 => x"902b8c08", 2351 => x"fee80508", 2352 => x"83ffff06", 2353 => x"70128c08", 2354 => x"fef4050c", 2355 => x"52575154", 2356 => x"8c08fef0", 2357 => x"05088c08", 2358 => x"ffa00508", 2359 => x"26a6388c", 2360 => x"08fef005", 2361 => x"088c08ff", 2362 => x"a005082e", 2363 => x"09810680", 2364 => x"fe388c08", 2365 => x"fef40508", 2366 => x"8c08ffa4", 2367 => x"05082684", 2368 => x"3880ec39", 2369 => x"8c08ff98", 2370 => x"0508ff05", 2371 => x"8c08ff98", 2372 => x"050c8c08", 2373 => x"fef40508", 2374 => x"8c08ffac", 2375 => x"0508318c", 2376 => x"08fee405", 2377 => x"0c8c08fe", 2378 => x"f005088c", 2379 => x"08ffa805", 2380 => x"0831708c", 2381 => x"08fec805", 2382 => x"0c548c08", 2383 => x"fef40508", 2384 => x"8c08fee4", 2385 => x"0508278f", 2386 => x"388c08fe", 2387 => x"c80508ff", 2388 => x"058c08fe", 2389 => x"c8050c8c", 2390 => x"08fec805", 2391 => x"088c08fe", 2392 => x"f0050c8c", 2393 => x"08fee405", 2394 => x"088c08fe", 2395 => x"f4050c80", 2396 => x"0b8c08ff", 2397 => x"94050c8c", 2398 => x"08c80508", 2399 => x"802e81b1", 2400 => x"388c08ff", 2401 => x"a405088c", 2402 => x"08fef405", 2403 => x"08318c08", 2404 => x"fee4050c", 2405 => x"8c08ffa0", 2406 => x"05088c08", 2407 => x"fef00508", 2408 => x"31708c08", 2409 => x"fec4050c", 2410 => x"548c08ff", 2411 => x"a405088c", 2412 => x"08fee405", 2413 => x"08278f38", 2414 => x"8c08fec4", 2415 => x"0508ff05", 2416 => x"8c08fec4", 2417 => x"050c8c08", 2418 => x"fec40508", 2419 => x"8c08ffa0", 2420 => x"050c8c08", 2421 => x"fee40508", 2422 => x"8c08ffa4", 2423 => x"050c8c08", 2424 => x"ffa00508", 2425 => x"8c08ff90", 2426 => x"05082b8c", 2427 => x"08ffa405", 2428 => x"088c08ff", 2429 => x"8c05082a", 2430 => x"7072078c", 2431 => x"08ffb405", 2432 => x"0c8c08ff", 2433 => x"a005088c", 2434 => x"08ff8c05", 2435 => x"082a8c08", 2436 => x"ffb0050c", 2437 => x"8c08c805", 2438 => x"08585555", 2439 => x"8c08ffb0", 2440 => x"05088c08", 2441 => x"ffb40508", 2442 => x"56547376", 2443 => x"0c748417", 2444 => x"0c800b8c", 2445 => x"08fedc05", 2446 => x"0c800b8c", 2447 => x"08fee005", 2448 => x"0c8c08ff", 2449 => x"9405088c", 2450 => x"08fedc05", 2451 => x"0c8c08ff", 2452 => x"9805088c", 2453 => x"08fee005", 2454 => x"0c8c08fe", 2455 => x"dc05088c", 2456 => x"08fee005", 2457 => x"08565473", 2458 => x"8c08c005", 2459 => x"0c748c08", 2460 => x"c4050c8c", 2461 => x"08c00508", 2462 => x"8c08c405", 2463 => x"08565473", 2464 => x"8c08dc05", 2465 => x"0c748c08", 2466 => x"e0050c8c", 2467 => x"08fc0508", 2468 => x"802eb338", 2469 => x"8c08c005", 2470 => x"548c08dc", 2471 => x"05088c08", 2472 => x"e0050857", 2473 => x"55745275", 2474 => x"537351d8", 2475 => x"d73f8c08", 2476 => x"c005088c", 2477 => x"08c40508", 2478 => x"5654738c", 2479 => x"08dc050c", 2480 => x"748c08e0", 2481 => x"050c8c08", 2482 => x"dc05088c", 2483 => x"08e00508", 2484 => x"8c088805", 2485 => x"08585654", 2486 => x"73760c74", 2487 => x"84170c8c", 2488 => x"08880508", 2489 => x"800cb63d", 2490 => x"0d8c0c04", 2491 => x"8c08028c", 2492 => x"0cfd3d0d", 2493 => x"80538c08", 2494 => x"8c050852", 2495 => x"8c088805", 2496 => x"085182de", 2497 => x"3f800870", 2498 => x"800c5485", 2499 => x"3d0d8c0c", 2500 => x"048c0802", 2501 => x"8c0cfd3d", 2502 => x"0d81538c", 2503 => x"088c0508", 2504 => x"528c0888", 2505 => x"05085182", 2506 => x"b93f8008", 2507 => x"70800c54", 2508 => x"853d0d8c", 2509 => x"0c048c08", 2510 => x"028c0cf9", 2511 => x"3d0d800b", 2512 => x"8c08fc05", 2513 => x"0c8c0888", 2514 => x"05088025", 2515 => x"ab388c08", 2516 => x"88050830", 2517 => x"8c088805", 2518 => x"0c800b8c", 2519 => x"08f4050c", 2520 => x"8c08fc05", 2521 => x"08883881", 2522 => x"0b8c08f4", 2523 => x"050c8c08", 2524 => x"f405088c", 2525 => x"08fc050c", 2526 => x"8c088c05", 2527 => x"088025ab", 2528 => x"388c088c", 2529 => x"0508308c", 2530 => x"088c050c", 2531 => x"800b8c08", 2532 => x"f0050c8c", 2533 => x"08fc0508", 2534 => x"8838810b", 2535 => x"8c08f005", 2536 => x"0c8c08f0", 2537 => x"05088c08", 2538 => x"fc050c80", 2539 => x"538c088c", 2540 => x"0508528c", 2541 => x"08880508", 2542 => x"5181a73f", 2543 => x"8008708c", 2544 => x"08f8050c", 2545 => x"548c08fc", 2546 => x"0508802e", 2547 => x"8c388c08", 2548 => x"f8050830", 2549 => x"8c08f805", 2550 => x"0c8c08f8", 2551 => x"05087080", 2552 => x"0c54893d", 2553 => x"0d8c0c04", 2554 => x"8c08028c", 2555 => x"0cfb3d0d", 2556 => x"800b8c08", 2557 => x"fc050c8c", 2558 => x"08880508", 2559 => x"80259338", 2560 => x"8c088805", 2561 => x"08308c08", 2562 => x"88050c81", 2563 => x"0b8c08fc", 2564 => x"050c8c08", 2565 => x"8c050880", 2566 => x"258c388c", 2567 => x"088c0508", 2568 => x"308c088c", 2569 => x"050c8153", 2570 => x"8c088c05", 2571 => x"08528c08", 2572 => x"88050851", 2573 => x"ad3f8008", 2574 => x"708c08f8", 2575 => x"050c548c", 2576 => x"08fc0508", 2577 => x"802e8c38", 2578 => x"8c08f805", 2579 => x"08308c08", 2580 => x"f8050c8c", 2581 => x"08f80508", 2582 => x"70800c54", 2583 => x"873d0d8c", 2584 => x"0c048c08", 2585 => x"028c0cfd", 2586 => x"3d0d810b", 2587 => x"8c08fc05", 2588 => x"0c800b8c", 2589 => x"08f8050c", 2590 => x"8c088c05", 2591 => x"088c0888", 2592 => x"050827ac", 2593 => x"388c08fc", 2594 => x"0508802e", 2595 => x"a338800b", 2596 => x"8c088c05", 2597 => x"08249938", 2598 => x"8c088c05", 2599 => x"08108c08", 2600 => x"8c050c8c", 2601 => x"08fc0508", 2602 => x"108c08fc", 2603 => x"050cc939", 2604 => x"8c08fc05", 2605 => x"08802e80", 2606 => x"c9388c08", 2607 => x"8c05088c", 2608 => x"08880508", 2609 => x"26a1388c", 2610 => x"08880508", 2611 => x"8c088c05", 2612 => x"08318c08", 2613 => x"88050c8c", 2614 => x"08f80508", 2615 => x"8c08fc05", 2616 => x"08078c08", 2617 => x"f8050c8c", 2618 => x"08fc0508", 2619 => x"812a8c08", 2620 => x"fc050c8c", 2621 => x"088c0508", 2622 => x"812a8c08", 2623 => x"8c050cff", 2624 => x"af398c08", 2625 => x"90050880", 2626 => x"2e8f388c", 2627 => x"08880508", 2628 => x"708c08f4", 2629 => x"050c518d", 2630 => x"398c08f8", 2631 => x"0508708c", 2632 => x"08f4050c", 2633 => x"518c08f4", 2634 => x"0508800c", 2635 => x"853d0d8c", 2636 => x"0c04ff3d", 2637 => x"0d735281", 2638 => x"81c80851", 2639 => x"963f833d", 2640 => x"0d04ff3d", 2641 => x"0d735281", 2642 => x"81c80851", 2643 => x"90953f83", 2644 => x"3d0d04f3", 2645 => x"3d0d7f61", 2646 => x"8b1170f8", 2647 => x"065c5555", 2648 => x"5e729626", 2649 => x"83389059", 2650 => x"80792474", 2651 => x"7a260753", 2652 => x"80547274", 2653 => x"2e098106", 2654 => x"80cb387d", 2655 => x"518ce33f", 2656 => x"7883f726", 2657 => x"80c63878", 2658 => x"832a7010", 2659 => x"101080f9", 2660 => x"c0058c11", 2661 => x"0859595a", 2662 => x"76782e83", 2663 => x"b0388417", 2664 => x"08fc0656", 2665 => x"8c170888", 2666 => x"1808718c", 2667 => x"120c8812", 2668 => x"0c587517", 2669 => x"84110881", 2670 => x"0784120c", 2671 => x"537d518c", 2672 => x"a23f8817", 2673 => x"5473800c", 2674 => x"8f3d0d04", 2675 => x"78892a79", 2676 => x"832a5b53", 2677 => x"72802ebf", 2678 => x"3878862a", 2679 => x"b8055a84", 2680 => x"7327b438", 2681 => x"80db135a", 2682 => x"947327ab", 2683 => x"38788c2a", 2684 => x"80ee055a", 2685 => x"80d47327", 2686 => x"9e38788f", 2687 => x"2a80f705", 2688 => x"5a82d473", 2689 => x"27913878", 2690 => x"922a80fc", 2691 => x"055a8ad4", 2692 => x"73278438", 2693 => x"80fe5a79", 2694 => x"10101080", 2695 => x"f9c0058c", 2696 => x"11085855", 2697 => x"76752ea3", 2698 => x"38841708", 2699 => x"fc06707a", 2700 => x"31555673", 2701 => x"8f2488d5", 2702 => x"38738025", 2703 => x"fee6388c", 2704 => x"17085776", 2705 => x"752e0981", 2706 => x"06df3881", 2707 => x"1a5a80f9", 2708 => x"d0085776", 2709 => x"80f9c82e", 2710 => x"82c03884", 2711 => x"1708fc06", 2712 => x"707a3155", 2713 => x"56738f24", 2714 => x"81f93880", 2715 => x"f9c80b80", 2716 => x"f9d40c80", 2717 => x"f9c80b80", 2718 => x"f9d00c73", 2719 => x"8025feb2", 2720 => x"3883ff76", 2721 => x"2783df38", 2722 => x"75892a76", 2723 => x"832a5553", 2724 => x"72802ebf", 2725 => x"3875862a", 2726 => x"b8055484", 2727 => x"7327b438", 2728 => x"80db1354", 2729 => x"947327ab", 2730 => x"38758c2a", 2731 => x"80ee0554", 2732 => x"80d47327", 2733 => x"9e38758f", 2734 => x"2a80f705", 2735 => x"5482d473", 2736 => x"27913875", 2737 => x"922a80fc", 2738 => x"05548ad4", 2739 => x"73278438", 2740 => x"80fe5473", 2741 => x"10101080", 2742 => x"f9c00588", 2743 => x"11085658", 2744 => x"74782e86", 2745 => x"cf388415", 2746 => x"08fc0653", 2747 => x"7573278d", 2748 => x"38881508", 2749 => x"5574782e", 2750 => x"098106ea", 2751 => x"388c1508", 2752 => x"80f9c00b", 2753 => x"84050871", 2754 => x"8c1a0c76", 2755 => x"881a0c78", 2756 => x"88130c78", 2757 => x"8c180c5d", 2758 => x"58795380", 2759 => x"7a2483e6", 2760 => x"3872822c", 2761 => x"81712b5c", 2762 => x"537a7c26", 2763 => x"8198387b", 2764 => x"7b065372", 2765 => x"82f13879", 2766 => x"fc068405", 2767 => x"5a7a1070", 2768 => x"7d06545b", 2769 => x"7282e038", 2770 => x"841a5af1", 2771 => x"3988178c", 2772 => x"11085858", 2773 => x"76782e09", 2774 => x"8106fcc2", 2775 => x"38821a5a", 2776 => x"fdec3978", 2777 => x"17798107", 2778 => x"84190c70", 2779 => x"80f9d40c", 2780 => x"7080f9d0", 2781 => x"0c80f9c8", 2782 => x"0b8c120c", 2783 => x"8c110888", 2784 => x"120c7481", 2785 => x"0784120c", 2786 => x"74117571", 2787 => x"0c51537d", 2788 => x"5188d03f", 2789 => x"881754fc", 2790 => x"ac3980f9", 2791 => x"c00b8405", 2792 => x"087a545c", 2793 => x"798025fe", 2794 => x"f83882da", 2795 => x"397a097c", 2796 => x"067080f9", 2797 => x"c00b8405", 2798 => x"0c5c7a10", 2799 => x"5b7a7c26", 2800 => x"85387a85", 2801 => x"b83880f9", 2802 => x"c00b8805", 2803 => x"08708412", 2804 => x"08fc0670", 2805 => x"7c317c72", 2806 => x"268f7225", 2807 => x"0757575c", 2808 => x"5d557280", 2809 => x"2e80db38", 2810 => x"797a1680", 2811 => x"f9b8081b", 2812 => x"90115a55", 2813 => x"575b80f9", 2814 => x"b408ff2e", 2815 => x"8838a08f", 2816 => x"13e08006", 2817 => x"5776527d", 2818 => x"5187d93f", 2819 => x"80085480", 2820 => x"08ff2e90", 2821 => x"38800876", 2822 => x"27829938", 2823 => x"7480f9c0", 2824 => x"2e829138", 2825 => x"80f9c00b", 2826 => x"88050855", 2827 => x"841508fc", 2828 => x"06707a31", 2829 => x"7a72268f", 2830 => x"72250752", 2831 => x"55537283", 2832 => x"e6387479", 2833 => x"81078417", 2834 => x"0c791670", 2835 => x"80f9c00b", 2836 => x"88050c75", 2837 => x"81078412", 2838 => x"0c547e52", 2839 => x"5787843f", 2840 => x"881754fa", 2841 => x"e0397583", 2842 => x"2a705454", 2843 => x"80742481", 2844 => x"9b387282", 2845 => x"2c81712b", 2846 => x"80f9c408", 2847 => x"077080f9", 2848 => x"c00b8405", 2849 => x"0c751010", 2850 => x"1080f9c0", 2851 => x"05881108", 2852 => x"585a5d53", 2853 => x"778c180c", 2854 => x"7488180c", 2855 => x"7688190c", 2856 => x"768c160c", 2857 => x"fcf33979", 2858 => x"7a101010", 2859 => x"80f9c005", 2860 => x"7057595d", 2861 => x"8c150857", 2862 => x"76752ea3", 2863 => x"38841708", 2864 => x"fc06707a", 2865 => x"31555673", 2866 => x"8f2483ca", 2867 => x"38738025", 2868 => x"8481388c", 2869 => x"17085776", 2870 => x"752e0981", 2871 => x"06df3888", 2872 => x"15811b70", 2873 => x"8306555b", 2874 => x"5572c938", 2875 => x"7c830653", 2876 => x"72802efd", 2877 => x"b838ff1d", 2878 => x"f819595d", 2879 => x"88180878", 2880 => x"2eea38fd", 2881 => x"b539831a", 2882 => x"53fc9639", 2883 => x"83147082", 2884 => x"2c81712b", 2885 => x"80f9c408", 2886 => x"077080f9", 2887 => x"c00b8405", 2888 => x"0c761010", 2889 => x"1080f9c0", 2890 => x"05881108", 2891 => x"595b5e51", 2892 => x"53fee139", 2893 => x"80f98408", 2894 => x"17588008", 2895 => x"762e818d", 2896 => x"3880f9b4", 2897 => x"08ff2e83", 2898 => x"ec387376", 2899 => x"311880f9", 2900 => x"840c7387", 2901 => x"06705753", 2902 => x"72802e88", 2903 => x"38887331", 2904 => x"70155556", 2905 => x"76149fff", 2906 => x"06a08071", 2907 => x"31177054", 2908 => x"7f535753", 2909 => x"84ee3f80", 2910 => x"08538008", 2911 => x"ff2e81a0", 2912 => x"3880f984", 2913 => x"08167080", 2914 => x"f9840c74", 2915 => x"7580f9c0", 2916 => x"0b88050c", 2917 => x"74763118", 2918 => x"70810751", 2919 => x"5556587b", 2920 => x"80f9c02e", 2921 => x"839c3879", 2922 => x"8f2682cb", 2923 => x"38810b84", 2924 => x"150c8415", 2925 => x"08fc0670", 2926 => x"7a317a72", 2927 => x"268f7225", 2928 => x"07525553", 2929 => x"72802efc", 2930 => x"f93880db", 2931 => x"3980089f", 2932 => x"ff065372", 2933 => x"feeb3877", 2934 => x"80f9840c", 2935 => x"80f9c00b", 2936 => x"8805087b", 2937 => x"18810784", 2938 => x"120c5580", 2939 => x"f9b00878", 2940 => x"27863877", 2941 => x"80f9b00c", 2942 => x"80f9ac08", 2943 => x"7827fcac", 2944 => x"387780f9", 2945 => x"ac0c8415", 2946 => x"08fc0670", 2947 => x"7a317a72", 2948 => x"268f7225", 2949 => x"07525553", 2950 => x"72802efc", 2951 => x"a5388839", 2952 => x"80745456", 2953 => x"fedb397d", 2954 => x"5183b83f", 2955 => x"800b800c", 2956 => x"8f3d0d04", 2957 => x"73538074", 2958 => x"24a93872", 2959 => x"822c8171", 2960 => x"2b80f9c4", 2961 => x"08077080", 2962 => x"f9c00b84", 2963 => x"050c5d53", 2964 => x"778c180c", 2965 => x"7488180c", 2966 => x"7688190c", 2967 => x"768c160c", 2968 => x"f9b73983", 2969 => x"1470822c", 2970 => x"81712b80", 2971 => x"f9c40807", 2972 => x"7080f9c0", 2973 => x"0b84050c", 2974 => x"5e5153d4", 2975 => x"397b7b06", 2976 => x"5372fca3", 2977 => x"38841a7b", 2978 => x"105c5af1", 2979 => x"39ff1a81", 2980 => x"11515af7", 2981 => x"b9397817", 2982 => x"79810784", 2983 => x"190c8c18", 2984 => x"08881908", 2985 => x"718c120c", 2986 => x"88120c59", 2987 => x"7080f9d4", 2988 => x"0c7080f9", 2989 => x"d00c80f9", 2990 => x"c80b8c12", 2991 => x"0c8c1108", 2992 => x"88120c74", 2993 => x"81078412", 2994 => x"0c741175", 2995 => x"710c5153", 2996 => x"f9bd3975", 2997 => x"17841108", 2998 => x"81078412", 2999 => x"0c538c17", 3000 => x"08881808", 3001 => x"718c120c", 3002 => x"88120c58", 3003 => x"7d5181f3", 3004 => x"3f881754", 3005 => x"f5cf3972", 3006 => x"84150cf4", 3007 => x"1af80670", 3008 => x"841e0881", 3009 => x"0607841e", 3010 => x"0c701d54", 3011 => x"5b850b84", 3012 => x"140c850b", 3013 => x"88140c8f", 3014 => x"7b27fdcf", 3015 => x"38881c52", 3016 => x"7d5184bf", 3017 => x"3f80f9c0", 3018 => x"0b880508", 3019 => x"80f98408", 3020 => x"5955fdb7", 3021 => x"397780f9", 3022 => x"840c7380", 3023 => x"f9b40cfc", 3024 => x"91397284", 3025 => x"150cfda3", 3026 => x"39fc3d0d", 3027 => x"7670797b", 3028 => x"55555555", 3029 => x"8f72278c", 3030 => x"38727507", 3031 => x"83065170", 3032 => x"802ea738", 3033 => x"ff125271", 3034 => x"ff2e9838", 3035 => x"72708105", 3036 => x"54337470", 3037 => x"81055634", 3038 => x"ff125271", 3039 => x"ff2e0981", 3040 => x"06ea3874", 3041 => x"800c863d", 3042 => x"0d047451", 3043 => x"72708405", 3044 => x"54087170", 3045 => x"8405530c", 3046 => x"72708405", 3047 => x"54087170", 3048 => x"8405530c", 3049 => x"72708405", 3050 => x"54087170", 3051 => x"8405530c", 3052 => x"72708405", 3053 => x"54087170", 3054 => x"8405530c", 3055 => x"f0125271", 3056 => x"8f26c938", 3057 => x"83722795", 3058 => x"38727084", 3059 => x"05540871", 3060 => x"70840553", 3061 => x"0cfc1252", 3062 => x"718326ed", 3063 => x"387054ff", 3064 => x"83390404", 3065 => x"fd3d0d80", 3066 => x"0b81d998", 3067 => x"0c765187", 3068 => x"c83f8008", 3069 => x"538008ff", 3070 => x"2e883872", 3071 => x"800c853d", 3072 => x"0d0481d9", 3073 => x"98085473", 3074 => x"802ef038", 3075 => x"7574710c", 3076 => x"5272800c", 3077 => x"853d0d04", 3078 => x"fb3d0d77", 3079 => x"79707207", 3080 => x"83065354", 3081 => x"52709338", 3082 => x"71737308", 3083 => x"54565471", 3084 => x"73082e80", 3085 => x"c4387375", 3086 => x"54527133", 3087 => x"7081ff06", 3088 => x"52547080", 3089 => x"2e9d3872", 3090 => x"33557075", 3091 => x"2e098106", 3092 => x"95388112", 3093 => x"81147133", 3094 => x"7081ff06", 3095 => x"54565452", 3096 => x"70e53872", 3097 => x"33557381", 3098 => x"ff067581", 3099 => x"ff067171", 3100 => x"31800c52", 3101 => x"52873d0d", 3102 => x"04710970", 3103 => x"f7fbfdff", 3104 => x"140670f8", 3105 => x"84828180", 3106 => x"06515151", 3107 => x"70973884", 3108 => x"14841671", 3109 => x"08545654", 3110 => x"7175082e", 3111 => x"dc387375", 3112 => x"5452ff96", 3113 => x"39800b80", 3114 => x"0c873d0d", 3115 => x"04fb3d0d", 3116 => x"77705256", 3117 => x"feac3f80", 3118 => x"f9c00b88", 3119 => x"05088411", 3120 => x"08fc0670", 3121 => x"7b319fef", 3122 => x"05e08006", 3123 => x"e0800556", 3124 => x"5653a080", 3125 => x"74249438", 3126 => x"80527551", 3127 => x"fe863f80", 3128 => x"f9c80815", 3129 => x"53728008", 3130 => x"2e8f3875", 3131 => x"51fdf43f", 3132 => x"80537280", 3133 => x"0c873d0d", 3134 => x"04733052", 3135 => x"7551fde4", 3136 => x"3f8008ff", 3137 => x"2ea83880", 3138 => x"f9c00b88", 3139 => x"05087575", 3140 => x"31810784", 3141 => x"120c5380", 3142 => x"f9840874", 3143 => x"3180f984", 3144 => x"0c7551fd", 3145 => x"be3f810b", 3146 => x"800c873d", 3147 => x"0d048052", 3148 => x"7551fdb0", 3149 => x"3f80f9c0", 3150 => x"0b880508", 3151 => x"80087131", 3152 => x"56538f75", 3153 => x"25ffa438", 3154 => x"800880f9", 3155 => x"b4083180", 3156 => x"f9840c74", 3157 => x"81078414", 3158 => x"0c7551fd", 3159 => x"863f8053", 3160 => x"ff9039f6", 3161 => x"3d0d7c7e", 3162 => x"545b7280", 3163 => x"2e828338", 3164 => x"7a51fcee", 3165 => x"3ff81384", 3166 => x"110870fe", 3167 => x"06701384", 3168 => x"1108fc06", 3169 => x"5d585954", 3170 => x"5880f9c8", 3171 => x"08752e82", 3172 => x"de387884", 3173 => x"160c8073", 3174 => x"8106545a", 3175 => x"727a2e81", 3176 => x"d5387815", 3177 => x"84110881", 3178 => x"06515372", 3179 => x"a0387817", 3180 => x"577981e6", 3181 => x"38881508", 3182 => x"537280f9", 3183 => x"c82e82f9", 3184 => x"388c1508", 3185 => x"708c150c", 3186 => x"7388120c", 3187 => x"56768107", 3188 => x"84190c76", 3189 => x"1877710c", 3190 => x"53798191", 3191 => x"3883ff77", 3192 => x"2781c838", 3193 => x"76892a77", 3194 => x"832a5653", 3195 => x"72802ebf", 3196 => x"3876862a", 3197 => x"b8055584", 3198 => x"7327b438", 3199 => x"80db1355", 3200 => x"947327ab", 3201 => x"38768c2a", 3202 => x"80ee0555", 3203 => x"80d47327", 3204 => x"9e38768f", 3205 => x"2a80f705", 3206 => x"5582d473", 3207 => x"27913876", 3208 => x"922a80fc", 3209 => x"05558ad4", 3210 => x"73278438", 3211 => x"80fe5574", 3212 => x"10101080", 3213 => x"f9c00588", 3214 => x"11085556", 3215 => x"73762e82", 3216 => x"b3388414", 3217 => x"08fc0653", 3218 => x"7673278d", 3219 => x"38881408", 3220 => x"5473762e", 3221 => x"098106ea", 3222 => x"388c1408", 3223 => x"708c1a0c", 3224 => x"74881a0c", 3225 => x"7888120c", 3226 => x"56778c15", 3227 => x"0c7a51fa", 3228 => x"f23f8c3d", 3229 => x"0d047708", 3230 => x"78713159", 3231 => x"77058819", 3232 => x"08545772", 3233 => x"80f9c82e", 3234 => x"80e0388c", 3235 => x"1808708c", 3236 => x"150c7388", 3237 => x"120c56fe", 3238 => x"89398815", 3239 => x"088c1608", 3240 => x"708c130c", 3241 => x"5788170c", 3242 => x"fea33976", 3243 => x"832a7054", 3244 => x"55807524", 3245 => x"81983872", 3246 => x"822c8171", 3247 => x"2b80f9c4", 3248 => x"080780f9", 3249 => x"c00b8405", 3250 => x"0c537410", 3251 => x"101080f9", 3252 => x"c0058811", 3253 => x"08555675", 3254 => x"8c190c73", 3255 => x"88190c77", 3256 => x"88170c77", 3257 => x"8c150cff", 3258 => x"8439815a", 3259 => x"fdb43978", 3260 => x"17738106", 3261 => x"54577298", 3262 => x"38770878", 3263 => x"71315977", 3264 => x"058c1908", 3265 => x"881a0871", 3266 => x"8c120c88", 3267 => x"120c5757", 3268 => x"76810784", 3269 => x"190c7780", 3270 => x"f9c00b88", 3271 => x"050c80f9", 3272 => x"bc087726", 3273 => x"fec73880", 3274 => x"f9b80852", 3275 => x"7a51fafd", 3276 => x"3f7a51f9", 3277 => x"ae3ffeba", 3278 => x"3981788c", 3279 => x"150c7888", 3280 => x"150c738c", 3281 => x"1a0c7388", 3282 => x"1a0c5afd", 3283 => x"80398315", 3284 => x"70822c81", 3285 => x"712b80f9", 3286 => x"c4080780", 3287 => x"f9c00b84", 3288 => x"050c5153", 3289 => x"74101010", 3290 => x"80f9c005", 3291 => x"88110855", 3292 => x"56fee439", 3293 => x"74538075", 3294 => x"24a73872", 3295 => x"822c8171", 3296 => x"2b80f9c4", 3297 => x"080780f9", 3298 => x"c00b8405", 3299 => x"0c53758c", 3300 => x"190c7388", 3301 => x"190c7788", 3302 => x"170c778c", 3303 => x"150cfdcd", 3304 => x"39831570", 3305 => x"822c8171", 3306 => x"2b80f9c4", 3307 => x"080780f9", 3308 => x"c00b8405", 3309 => x"0c5153d6", 3310 => x"39fe3d0d", 3311 => x"8188f008", 3312 => x"51708a38", 3313 => x"81d99c70", 3314 => x"8188f00c", 3315 => x"51707512", 3316 => x"5252ff53", 3317 => x"7087fb80", 3318 => x"80268838", 3319 => x"708188f0", 3320 => x"0c715372", 3321 => x"800c843d", 3322 => x"0d04fd3d", 3323 => x"0d800b80", 3324 => x"f8ec0854", 3325 => x"5472812e", 3326 => x"9e387381", 3327 => x"88f40cff", 3328 => x"a0ff3fff", 3329 => x"9ffa3f81", 3330 => x"88c85281", 3331 => x"51ffa9ae", 3332 => x"3f800851", 3333 => x"80e13f72", 3334 => x"8188f40c", 3335 => x"ffa0e23f", 3336 => x"ff9fdd3f", 3337 => x"8188c852", 3338 => x"8151ffa9", 3339 => x"913f8008", 3340 => x"5180c43f", 3341 => x"00ff3900", 3342 => x"ff39f43d", 3343 => x"0d7e8188", 3344 => x"e8087008", 3345 => x"7081ff06", 3346 => x"923df805", 3347 => x"55515a57", 3348 => x"59ffa19a", 3349 => x"3f805477", 3350 => x"557b7d58", 3351 => x"5276538e", 3352 => x"3df00551", 3353 => x"c0c03f79", 3354 => x"7b58790c", 3355 => x"76841a0c", 3356 => x"78800c8e", 3357 => x"3d0d04f7", 3358 => x"3d0d7b81", 3359 => x"81c80882", 3360 => x"c811085a", 3361 => x"545a7780", 3362 => x"2e80da38", 3363 => x"81881884", 3364 => x"1908ff05", 3365 => x"81712b59", 3366 => x"55598074", 3367 => x"2480ea38", 3368 => x"807424b5", 3369 => x"3873822b", 3370 => x"78118805", 3371 => x"56568180", 3372 => x"19087706", 3373 => x"5372802e", 3374 => x"b6387816", 3375 => x"70085353", 3376 => x"79517408", 3377 => x"53722dff", 3378 => x"14fc17fc", 3379 => x"1779812c", 3380 => x"5a575754", 3381 => x"738025d6", 3382 => x"38770858", 3383 => x"77ffad38", 3384 => x"8181c808", 3385 => x"53bc1308", 3386 => x"a5387951", 3387 => x"fec63f74", 3388 => x"0853722d", 3389 => x"ff14fc17", 3390 => x"fc177981", 3391 => x"2c5a5757", 3392 => x"54738025", 3393 => x"ffa838d1", 3394 => x"398057ff", 3395 => x"93397251", 3396 => x"bc130853", 3397 => x"722d7951", 3398 => x"fe9a3fff", 3399 => x"3d0d8188", 3400 => x"d00bfc05", 3401 => x"70085252", 3402 => x"70ff2e91", 3403 => x"38702dfc", 3404 => x"12700852", 3405 => x"5270ff2e", 3406 => x"098106f1", 3407 => x"38833d0d", 3408 => x"0404ffa0", 3409 => x"873f0400", 3410 => x"00000040", 3411 => x"30313233", 3412 => x"34353637", 3413 => x"38390000", 3414 => x"44485259", 3415 => x"53544f4e", 3416 => x"45205052", 3417 => x"4f475241", 3418 => x"4d2c2053", 3419 => x"4f4d4520", 3420 => x"53545249", 3421 => x"4e470000", 3422 => x"44485259", 3423 => x"53544f4e", 3424 => x"45205052", 3425 => x"4f475241", 3426 => x"4d2c2031", 3427 => x"27535420", 3428 => x"53545249", 3429 => x"4e470000", 3430 => x"44687279", 3431 => x"73746f6e", 3432 => x"65204265", 3433 => x"6e63686d", 3434 => x"61726b2c", 3435 => x"20566572", 3436 => x"73696f6e", 3437 => x"20322e31", 3438 => x"20284c61", 3439 => x"6e677561", 3440 => x"67653a20", 3441 => x"43290a00", 3442 => x"50726f67", 3443 => x"72616d20", 3444 => x"636f6d70", 3445 => x"696c6564", 3446 => x"20776974", 3447 => x"68202772", 3448 => x"65676973", 3449 => x"74657227", 3450 => x"20617474", 3451 => x"72696275", 3452 => x"74650a00", 3453 => x"45786563", 3454 => x"7574696f", 3455 => x"6e207374", 3456 => x"61727473", 3457 => x"2c202564", 3458 => x"2072756e", 3459 => x"73207468", 3460 => x"726f7567", 3461 => x"68204468", 3462 => x"72797374", 3463 => x"6f6e650a", 3464 => x"00000000", 3465 => x"44485259", 3466 => x"53544f4e", 3467 => x"45205052", 3468 => x"4f475241", 3469 => x"4d2c2032", 3470 => x"274e4420", 3471 => x"53545249", 3472 => x"4e470000", 3473 => x"45786563", 3474 => x"7574696f", 3475 => x"6e20656e", 3476 => x"64730a00", 3477 => x"46696e61", 3478 => x"6c207661", 3479 => x"6c756573", 3480 => x"206f6620", 3481 => x"74686520", 3482 => x"76617269", 3483 => x"61626c65", 3484 => x"73207573", 3485 => x"65642069", 3486 => x"6e207468", 3487 => x"65206265", 3488 => x"6e63686d", 3489 => x"61726b3a", 3490 => x"0a000000", 3491 => x"496e745f", 3492 => x"476c6f62", 3493 => x"3a202020", 3494 => x"20202020", 3495 => x"20202020", 3496 => x"2025640a", 3497 => x"00000000", 3498 => x"20202020", 3499 => x"20202020", 3500 => x"73686f75", 3501 => x"6c642062", 3502 => x"653a2020", 3503 => x"2025640a", 3504 => x"00000000", 3505 => x"426f6f6c", 3506 => x"5f476c6f", 3507 => x"623a2020", 3508 => x"20202020", 3509 => x"20202020", 3510 => x"2025640a", 3511 => x"00000000", 3512 => x"43685f31", 3513 => x"5f476c6f", 3514 => x"623a2020", 3515 => x"20202020", 3516 => x"20202020", 3517 => x"2025630a", 3518 => x"00000000", 3519 => x"20202020", 3520 => x"20202020", 3521 => x"73686f75", 3522 => x"6c642062", 3523 => x"653a2020", 3524 => x"2025630a", 3525 => x"00000000", 3526 => x"43685f32", 3527 => x"5f476c6f", 3528 => x"623a2020", 3529 => x"20202020", 3530 => x"20202020", 3531 => x"2025630a", 3532 => x"00000000", 3533 => x"4172725f", 3534 => x"315f476c", 3535 => x"6f625b38", 3536 => x"5d3a2020", 3537 => x"20202020", 3538 => x"2025640a", 3539 => x"00000000", 3540 => x"4172725f", 3541 => x"325f476c", 3542 => x"6f625b38", 3543 => x"5d5b375d", 3544 => x"3a202020", 3545 => x"2025640a", 3546 => x"00000000", 3547 => x"20202020", 3548 => x"20202020", 3549 => x"73686f75", 3550 => x"6c642062", 3551 => x"653a2020", 3552 => x"204e756d", 3553 => x"6265725f", 3554 => x"4f665f52", 3555 => x"756e7320", 3556 => x"2b203130", 3557 => x"0a000000", 3558 => x"5074725f", 3559 => x"476c6f62", 3560 => x"2d3e0a00", 3561 => x"20205074", 3562 => x"725f436f", 3563 => x"6d703a20", 3564 => x"20202020", 3565 => x"20202020", 3566 => x"2025640a", 3567 => x"00000000", 3568 => x"20202020", 3569 => x"20202020", 3570 => x"73686f75", 3571 => x"6c642062", 3572 => x"653a2020", 3573 => x"2028696d", 3574 => x"706c656d", 3575 => x"656e7461", 3576 => x"74696f6e", 3577 => x"2d646570", 3578 => x"656e6465", 3579 => x"6e74290a", 3580 => x"00000000", 3581 => x"20204469", 3582 => x"7363723a", 3583 => x"20202020", 3584 => x"20202020", 3585 => x"20202020", 3586 => x"2025640a", 3587 => x"00000000", 3588 => x"2020456e", 3589 => x"756d5f43", 3590 => x"6f6d703a", 3591 => x"20202020", 3592 => x"20202020", 3593 => x"2025640a", 3594 => x"00000000", 3595 => x"2020496e", 3596 => x"745f436f", 3597 => x"6d703a20", 3598 => x"20202020", 3599 => x"20202020", 3600 => x"2025640a", 3601 => x"00000000", 3602 => x"20205374", 3603 => x"725f436f", 3604 => x"6d703a20", 3605 => x"20202020", 3606 => x"20202020", 3607 => x"2025730a", 3608 => x"00000000", 3609 => x"20202020", 3610 => x"20202020", 3611 => x"73686f75", 3612 => x"6c642062", 3613 => x"653a2020", 3614 => x"20444852", 3615 => x"5953544f", 3616 => x"4e452050", 3617 => x"524f4752", 3618 => x"414d2c20", 3619 => x"534f4d45", 3620 => x"20535452", 3621 => x"494e470a", 3622 => x"00000000", 3623 => x"4e657874", 3624 => x"5f507472", 3625 => x"5f476c6f", 3626 => x"622d3e0a", 3627 => x"00000000", 3628 => x"20202020", 3629 => x"20202020", 3630 => x"73686f75", 3631 => x"6c642062", 3632 => x"653a2020", 3633 => x"2028696d", 3634 => x"706c656d", 3635 => x"656e7461", 3636 => x"74696f6e", 3637 => x"2d646570", 3638 => x"656e6465", 3639 => x"6e74292c", 3640 => x"2073616d", 3641 => x"65206173", 3642 => x"2061626f", 3643 => x"76650a00", 3644 => x"496e745f", 3645 => x"315f4c6f", 3646 => x"633a2020", 3647 => x"20202020", 3648 => x"20202020", 3649 => x"2025640a", 3650 => x"00000000", 3651 => x"496e745f", 3652 => x"325f4c6f", 3653 => x"633a2020", 3654 => x"20202020", 3655 => x"20202020", 3656 => x"2025640a", 3657 => x"00000000", 3658 => x"496e745f", 3659 => x"335f4c6f", 3660 => x"633a2020", 3661 => x"20202020", 3662 => x"20202020", 3663 => x"2025640a", 3664 => x"00000000", 3665 => x"456e756d", 3666 => x"5f4c6f63", 3667 => x"3a202020", 3668 => x"20202020", 3669 => x"20202020", 3670 => x"2025640a", 3671 => x"00000000", 3672 => x"5374725f", 3673 => x"315f4c6f", 3674 => x"633a2020", 3675 => x"20202020", 3676 => x"20202020", 3677 => x"2025730a", 3678 => x"00000000", 3679 => x"20202020", 3680 => x"20202020", 3681 => x"73686f75", 3682 => x"6c642062", 3683 => x"653a2020", 3684 => x"20444852", 3685 => x"5953544f", 3686 => x"4e452050", 3687 => x"524f4752", 3688 => x"414d2c20", 3689 => x"31275354", 3690 => x"20535452", 3691 => x"494e470a", 3692 => x"00000000", 3693 => x"5374725f", 3694 => x"325f4c6f", 3695 => x"633a2020", 3696 => x"20202020", 3697 => x"20202020", 3698 => x"2025730a", 3699 => x"00000000", 3700 => x"20202020", 3701 => x"20202020", 3702 => x"73686f75", 3703 => x"6c642062", 3704 => x"653a2020", 3705 => x"20444852", 3706 => x"5953544f", 3707 => x"4e452050", 3708 => x"524f4752", 3709 => x"414d2c20", 3710 => x"32274e44", 3711 => x"20535452", 3712 => x"494e470a", 3713 => x"00000000", 3714 => x"55736572", 3715 => x"2074696d", 3716 => x"653a2025", 3717 => x"640a0000", 3718 => x"4d696372", 3719 => x"6f736563", 3720 => x"6f6e6473", 3721 => x"20666f72", 3722 => x"206f6e65", 3723 => x"2072756e", 3724 => x"20746872", 3725 => x"6f756768", 3726 => x"20446872", 3727 => x"7973746f", 3728 => x"6e653a20", 3729 => x"00000000", 3730 => x"2564200a", 3731 => x"00000000", 3732 => x"44687279", 3733 => x"73746f6e", 3734 => x"65732070", 3735 => x"65722053", 3736 => x"65636f6e", 3737 => x"643a2020", 3738 => x"20202020", 3739 => x"20202020", 3740 => x"20202020", 3741 => x"20202020", 3742 => x"20202020", 3743 => x"00000000", 3744 => x"56415820", 3745 => x"4d495053", 3746 => x"20726174", 3747 => x"696e6720", 3748 => x"2a203130", 3749 => x"3030203d", 3750 => x"20256420", 3751 => x"0a000000", 3752 => x"50726f67", 3753 => x"72616d20", 3754 => x"636f6d70", 3755 => x"696c6564", 3756 => x"20776974", 3757 => x"686f7574", 3758 => x"20277265", 3759 => x"67697374", 3760 => x"65722720", 3761 => x"61747472", 3762 => x"69627574", 3763 => x"650a0000", 3764 => x"4d656173", 3765 => x"75726564", 3766 => x"2074696d", 3767 => x"6520746f", 3768 => x"6f20736d", 3769 => x"616c6c20", 3770 => x"746f206f", 3771 => x"62746169", 3772 => x"6e206d65", 3773 => x"616e696e", 3774 => x"6766756c", 3775 => x"20726573", 3776 => x"756c7473", 3777 => x"0a000000", 3778 => x"506c6561", 3779 => x"73652069", 3780 => x"6e637265", 3781 => x"61736520", 3782 => x"6e756d62", 3783 => x"6572206f", 3784 => x"66207275", 3785 => x"6e730a00", 3786 => x"44485259", 3787 => x"53544f4e", 3788 => x"45205052", 3789 => x"4f475241", 3790 => x"4d2c2033", 3791 => x"27524420", 3792 => x"53545249", 3793 => x"4e470000", 3794 => x"00010202", 3795 => x"03030303", 3796 => x"04040404", 3797 => x"04040404", 3798 => x"05050505", 3799 => x"05050505", 3800 => x"05050505", 3801 => x"05050505", 3802 => x"06060606", 3803 => x"06060606", 3804 => x"06060606", 3805 => x"06060606", 3806 => x"06060606", 3807 => x"06060606", 3808 => x"06060606", 3809 => x"06060606", 3810 => x"07070707", 3811 => x"07070707", 3812 => x"07070707", 3813 => x"07070707", 3814 => x"07070707", 3815 => x"07070707", 3816 => x"07070707", 3817 => x"07070707", 3818 => x"07070707", 3819 => x"07070707", 3820 => x"07070707", 3821 => x"07070707", 3822 => x"07070707", 3823 => x"07070707", 3824 => x"07070707", 3825 => x"07070707", 3826 => x"08080808", 3827 => x"08080808", 3828 => x"08080808", 3829 => x"08080808", 3830 => x"08080808", 3831 => x"08080808", 3832 => x"08080808", 3833 => x"08080808", 3834 => x"08080808", 3835 => x"08080808", 3836 => x"08080808", 3837 => x"08080808", 3838 => x"08080808", 3839 => x"08080808", 3840 => x"08080808", 3841 => x"08080808", 3842 => x"08080808", 3843 => x"08080808", 3844 => x"08080808", 3845 => x"08080808", 3846 => x"08080808", 3847 => x"08080808", 3848 => x"08080808", 3849 => x"08080808", 3850 => x"08080808", 3851 => x"08080808", 3852 => x"08080808", 3853 => x"08080808", 3854 => x"08080808", 3855 => x"08080808", 3856 => x"08080808", 3857 => x"08080808", 3858 => x"43000000", 3859 => x"64756d6d", 3860 => x"792e6578", 3861 => x"65000000", 3862 => x"00ffffff", 3863 => x"ff00ffff", 3864 => x"ffff00ff", 3865 => x"ffffff00", 3866 => x"00000000", 3867 => x"00000000", 3868 => x"00000000", 3869 => x"00004458", 3870 => x"0000000a", 3871 => x"00000000", 3872 => x"00000032", 3873 => x"00000000", 3874 => x"00000000", 3875 => x"00000000", 3876 => x"00000000", 3877 => x"00000000", 3878 => x"00000000", 3879 => x"00000000", 3880 => x"00000000", 3881 => x"00000000", 3882 => x"00000000", 3883 => x"00000000", 3884 => x"00000000", 3885 => x"ffffffff", 3886 => x"00000000", 3887 => x"00020000", 3888 => x"00000000", 3889 => x"00000000", 3890 => x"00003cc0", 3891 => x"00003cc0", 3892 => x"00003cc8", 3893 => x"00003cc8", 3894 => x"00003cd0", 3895 => x"00003cd0", 3896 => x"00003cd8", 3897 => x"00003cd8", 3898 => x"00003ce0", 3899 => x"00003ce0", 3900 => x"00003ce8", 3901 => x"00003ce8", 3902 => x"00003cf0", 3903 => x"00003cf0", 3904 => x"00003cf8", 3905 => x"00003cf8", 3906 => x"00003d00", 3907 => x"00003d00", 3908 => x"00003d08", 3909 => x"00003d08", 3910 => x"00003d10", 3911 => x"00003d10", 3912 => x"00003d18", 3913 => x"00003d18", 3914 => x"00003d20", 3915 => x"00003d20", 3916 => x"00003d28", 3917 => x"00003d28", 3918 => x"00003d30", 3919 => x"00003d30", 3920 => x"00003d38", 3921 => x"00003d38", 3922 => x"00003d40", 3923 => x"00003d40", 3924 => x"00003d48", 3925 => x"00003d48", 3926 => x"00003d50", 3927 => x"00003d50", 3928 => x"00003d58", 3929 => x"00003d58", 3930 => x"00003d60", 3931 => x"00003d60", 3932 => x"00003d68", 3933 => x"00003d68", 3934 => x"00003d70", 3935 => x"00003d70", 3936 => x"00003d78", 3937 => x"00003d78", 3938 => x"00003d80", 3939 => x"00003d80", 3940 => x"00003d88", 3941 => x"00003d88", 3942 => x"00003d90", 3943 => x"00003d90", 3944 => x"00003d98", 3945 => x"00003d98", 3946 => x"00003da0", 3947 => x"00003da0", 3948 => x"00003da8", 3949 => x"00003da8", 3950 => x"00003db0", 3951 => x"00003db0", 3952 => x"00003db8", 3953 => x"00003db8", 3954 => x"00003dc0", 3955 => x"00003dc0", 3956 => x"00003dc8", 3957 => x"00003dc8", 3958 => x"00003dd0", 3959 => x"00003dd0", 3960 => x"00003dd8", 3961 => x"00003dd8", 3962 => x"00003de0", 3963 => x"00003de0", 3964 => x"00003de8", 3965 => x"00003de8", 3966 => x"00003df0", 3967 => x"00003df0", 3968 => x"00003df8", 3969 => x"00003df8", 3970 => x"00003e00", 3971 => x"00003e00", 3972 => x"00003e08", 3973 => x"00003e08", 3974 => x"00003e10", 3975 => x"00003e10", 3976 => x"00003e18", 3977 => x"00003e18", 3978 => x"00003e20", 3979 => x"00003e20", 3980 => x"00003e28", 3981 => x"00003e28", 3982 => x"00003e30", 3983 => x"00003e30", 3984 => x"00003e38", 3985 => x"00003e38", 3986 => x"00003e40", 3987 => x"00003e40", 3988 => x"00003e48", 3989 => x"00003e48", 3990 => x"00003e50", 3991 => x"00003e50", 3992 => x"00003e58", 3993 => x"00003e58", 3994 => x"00003e60", 3995 => x"00003e60", 3996 => x"00003e68", 3997 => x"00003e68", 3998 => x"00003e70", 3999 => x"00003e70", 4000 => x"00003e78", 4001 => x"00003e78", 4002 => x"00003e80", 4003 => x"00003e80", 4004 => x"00003e88", 4005 => x"00003e88", 4006 => x"00003e90", 4007 => x"00003e90", 4008 => x"00003e98", 4009 => x"00003e98", 4010 => x"00003ea0", 4011 => x"00003ea0", 4012 => x"00003ea8", 4013 => x"00003ea8", 4014 => x"00003eb0", 4015 => x"00003eb0", 4016 => x"00003eb8", 4017 => x"00003eb8", 4018 => x"00003ec0", 4019 => x"00003ec0", 4020 => x"00003ec8", 4021 => x"00003ec8", 4022 => x"00003ed0", 4023 => x"00003ed0", 4024 => x"00003ed8", 4025 => x"00003ed8", 4026 => x"00003ee0", 4027 => x"00003ee0", 4028 => x"00003ee8", 4029 => x"00003ee8", 4030 => x"00003ef0", 4031 => x"00003ef0", 4032 => x"00003ef8", 4033 => x"00003ef8", 4034 => x"00003f00", 4035 => x"00003f00", 4036 => x"00003f08", 4037 => x"00003f08", 4038 => x"00003f10", 4039 => x"00003f10", 4040 => x"00003f18", 4041 => x"00003f18", 4042 => x"00003f20", 4043 => x"00003f20", 4044 => x"00003f28", 4045 => x"00003f28", 4046 => x"00003f30", 4047 => x"00003f30", 4048 => x"00003f38", 4049 => x"00003f38", 4050 => x"00003f40", 4051 => x"00003f40", 4052 => x"00003f48", 4053 => x"00003f48", 4054 => x"00003f50", 4055 => x"00003f50", 4056 => x"00003f58", 4057 => x"00003f58", 4058 => x"00003f60", 4059 => x"00003f60", 4060 => x"00003f68", 4061 => x"00003f68", 4062 => x"00003f70", 4063 => x"00003f70", 4064 => x"00003f78", 4065 => x"00003f78", 4066 => x"00003f80", 4067 => x"00003f80", 4068 => x"00003f88", 4069 => x"00003f88", 4070 => x"00003f90", 4071 => x"00003f90", 4072 => x"00003f98", 4073 => x"00003f98", 4074 => x"00003fa0", 4075 => x"00003fa0", 4076 => x"00003fa8", 4077 => x"00003fa8", 4078 => x"00003fb0", 4079 => x"00003fb0", 4080 => x"00003fb8", 4081 => x"00003fb8", 4082 => x"00003fc0", 4083 => x"00003fc0", 4084 => x"00003fc8", 4085 => x"00003fc8", 4086 => x"00003fd0", 4087 => x"00003fd0", 4088 => x"00003fd8", 4089 => x"00003fd8", 4090 => x"00003fe0", 4091 => x"00003fe0", 4092 => x"00003fe8", 4093 => x"00003fe8", 4094 => x"00003ff0", 4095 => x"00003ff0", 4096 => x"00003ff8", 4097 => x"00003ff8", 4098 => x"00004000", 4099 => x"00004000", 4100 => x"00004008", 4101 => x"00004008", 4102 => x"00004010", 4103 => x"00004010", 4104 => x"00004018", 4105 => x"00004018", 4106 => x"00004020", 4107 => x"00004020", 4108 => x"00004028", 4109 => x"00004028", 4110 => x"00004030", 4111 => x"00004030", 4112 => x"00004038", 4113 => x"00004038", 4114 => x"00004040", 4115 => x"00004040", 4116 => x"00004048", 4117 => x"00004048", 4118 => x"00004050", 4119 => x"00004050", 4120 => x"00004058", 4121 => x"00004058", 4122 => x"00004060", 4123 => x"00004060", 4124 => x"00004068", 4125 => x"00004068", 4126 => x"00004070", 4127 => x"00004070", 4128 => x"00004078", 4129 => x"00004078", 4130 => x"00004080", 4131 => x"00004080", 4132 => x"00004088", 4133 => x"00004088", 4134 => x"00004090", 4135 => x"00004090", 4136 => x"00004098", 4137 => x"00004098", 4138 => x"000040a0", 4139 => x"000040a0", 4140 => x"000040a8", 4141 => x"000040a8", 4142 => x"000040b0", 4143 => x"000040b0", 4144 => x"000040b8", 4145 => x"000040b8", 4146 => x"000040cc", 4147 => x"00000000", 4148 => x"00004334", 4149 => x"00004390", 4150 => x"000043ec", 4151 => x"00000000", 4152 => x"00000000", 4153 => x"00000000", 4154 => x"00000000", 4155 => x"00000000", 4156 => x"00000000", 4157 => x"00000000", 4158 => x"00000000", 4159 => x"00000000", 4160 => x"00003c48", 4161 => x"00000000", 4162 => x"00000000", 4163 => x"00000000", 4164 => x"00000000", 4165 => x"00000000", 4166 => x"00000000", 4167 => x"00000000", 4168 => x"00000000", 4169 => x"00000000", 4170 => x"00000000", 4171 => x"00000000", 4172 => x"00000000", 4173 => x"00000000", 4174 => x"00000000", 4175 => x"00000000", 4176 => x"00000000", 4177 => x"00000000", 4178 => x"00000000", 4179 => x"00000000", 4180 => x"00000000", 4181 => x"00000000", 4182 => x"00000000", 4183 => x"00000000", 4184 => x"00000000", 4185 => x"00000000", 4186 => x"00000000", 4187 => x"00000000", 4188 => x"00000000", 4189 => x"00000001", 4190 => x"330eabcd", 4191 => x"1234e66d", 4192 => x"deec0005", 4193 => x"000b0000", 4194 => x"00000000", 4195 => x"00000000", 4196 => x"00000000", 4197 => x"00000000", 4198 => x"00000000", 4199 => x"00000000", 4200 => x"00000000", 4201 => x"00000000", 4202 => x"00000000", 4203 => x"00000000", 4204 => x"00000000", 4205 => x"00000000", 4206 => x"00000000", 4207 => x"00000000", 4208 => x"00000000", 4209 => x"00000000", 4210 => x"00000000", 4211 => x"00000000", 4212 => x"00000000", 4213 => x"00000000", 4214 => x"00000000", 4215 => x"00000000", 4216 => x"00000000", 4217 => x"00000000", 4218 => x"00000000", 4219 => x"00000000", 4220 => x"00000000", 4221 => x"00000000", 4222 => x"00000000", 4223 => x"00000000", 4224 => x"00000000", 4225 => x"00000000", 4226 => x"00000000", 4227 => x"00000000", 4228 => x"00000000", 4229 => x"00000000", 4230 => x"00000000", 4231 => x"00000000", 4232 => x"00000000", 4233 => x"00000000", 4234 => x"00000000", 4235 => x"00000000", 4236 => x"00000000", 4237 => x"00000000", 4238 => x"00000000", 4239 => x"00000000", 4240 => x"00000000", 4241 => x"00000000", 4242 => x"00000000", 4243 => x"00000000", 4244 => x"00000000", 4245 => x"00000000", 4246 => x"00000000", 4247 => x"00000000", 4248 => x"00000000", 4249 => x"00000000", 4250 => x"00000000", 4251 => x"00000000", 4252 => x"00000000", 4253 => x"00000000", 4254 => x"00000000", 4255 => x"00000000", 4256 => x"00000000", 4257 => x"00000000", 4258 => x"00000000", 4259 => x"00000000", 4260 => x"00000000", 4261 => x"00000000", 4262 => x"00000000", 4263 => x"00000000", 4264 => x"00000000", 4265 => x"00000000", 4266 => x"00000000", 4267 => x"00000000", 4268 => x"00000000", 4269 => x"00000000", 4270 => x"00000000", 4271 => x"00000000", 4272 => x"00000000", 4273 => x"00000000", 4274 => x"00000000", 4275 => x"00000000", 4276 => x"00000000", 4277 => x"00000000", 4278 => x"00000000", 4279 => x"00000000", 4280 => x"00000000", 4281 => x"00000000", 4282 => x"00000000", 4283 => x"00000000", 4284 => x"00000000", 4285 => x"00000000", 4286 => x"00000000", 4287 => x"00000000", 4288 => x"00000000", 4289 => x"00000000", 4290 => x"00000000", 4291 => x"00000000", 4292 => x"00000000", 4293 => x"00000000", 4294 => x"00000000", 4295 => x"00000000", 4296 => x"00000000", 4297 => x"00000000", 4298 => x"00000000", 4299 => x"00000000", 4300 => x"00000000", 4301 => x"00000000", 4302 => x"00000000", 4303 => x"00000000", 4304 => x"00000000", 4305 => x"00000000", 4306 => x"00000000", 4307 => x"00000000", 4308 => x"00000000", 4309 => x"00000000", 4310 => x"00000000", 4311 => x"00000000", 4312 => x"00000000", 4313 => x"00000000", 4314 => x"00000000", 4315 => x"00000000", 4316 => x"00000000", 4317 => x"00000000", 4318 => x"00000000", 4319 => x"00000000", 4320 => x"00000000", 4321 => x"00000000", 4322 => x"00000000", 4323 => x"00000000", 4324 => x"00000000", 4325 => x"00000000", 4326 => x"00000000", 4327 => x"00000000", 4328 => x"00000000", 4329 => x"00000000", 4330 => x"00000000", 4331 => x"00000000", 4332 => x"00000000", 4333 => x"00000000", 4334 => x"00000000", 4335 => x"00000000", 4336 => x"00000000", 4337 => x"00000000", 4338 => x"00000000", 4339 => x"00000000", 4340 => x"00000000", 4341 => x"00000000", 4342 => x"00000000", 4343 => x"00000000", 4344 => x"00000000", 4345 => x"00000000", 4346 => x"00000000", 4347 => x"00000000", 4348 => x"00000000", 4349 => x"00000000", 4350 => x"00000000", 4351 => x"00000000", 4352 => x"00000000", 4353 => x"00000000", 4354 => x"00000000", 4355 => x"00000000", 4356 => x"00000000", 4357 => x"00000000", 4358 => x"00000000", 4359 => x"00000000", 4360 => x"00000000", 4361 => x"00000000", 4362 => x"00000000", 4363 => x"00000000", 4364 => x"00000000", 4365 => x"00000000", 4366 => x"00000000", 4367 => x"00000000", 4368 => x"00000000", 4369 => x"00000000", 4370 => x"00003c4c", 4371 => x"ffffffff", 4372 => x"00000000", 4373 => x"ffffffff", 4374 => x"00000000", 4375 => x"00000000", others => x"00000000" ); begin busy_o <= re_i; -- we're done on the cycle after we serve the read request do_ram: process (clk_i) variable iaddr : integer; begin if rising_edge(clk_i) then if we_i='1' then ram(to_integer(addr_i)) <= write_i; end if; addr_r <= addr_i; end if; end process do_ram; read_o <= ram(to_integer(addr_r)); end architecture Xilinx; -- Entity: SinglePortRAM
bsd-3-clause
5030dddb7567b616ab068f449ffd76d1
0.543913
2.288782
false
false
false
false
223323/lab2
HDL/source/coregen/dcm75MHz.vhd
1
6,526
-- file: dcm75MHz.vhd -- -- (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- ------------------------------------------------------------------------------ -- User entered comments ------------------------------------------------------------------------------ -- None -- ------------------------------------------------------------------------------ -- "Output Output Phase Duty Pk-to-Pk Phase" -- "Clock Freq (MHz) (degrees) Cycle (%) Jitter (ps) Error (ps)" ------------------------------------------------------------------------------ -- CLK_OUT1____75.000______0.000______50.0______466.666____150.000 -- ------------------------------------------------------------------------------ -- "Input Clock Freq (MHz) Input Jitter (UI)" ------------------------------------------------------------------------------ -- __primary______________27____________0.010 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; use ieee.numeric_std.all; library unisim; use unisim.vcomponents.all; entity dcm75MHz is port (-- Clock in ports CLK_IN1 : in std_logic; -- Clock out ports CLK_OUT1 : out std_logic; -- Status and control signals RESET : in std_logic; LOCKED : out std_logic ); end dcm75MHz; architecture xilinx of dcm75MHz is attribute CORE_GENERATION_INFO : string; attribute CORE_GENERATION_INFO of xilinx : architecture is "dcm75MHz,clk_wiz_v3_6,{component_name=dcm75MHz,use_phase_alignment=true,use_min_o_jitter=false,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,feedback_source=FDBK_AUTO,primtype_sel=DCM_SP,num_out_clk=1,clkin1_period=37.037,clkin2_period=37.037,use_power_down=false,use_reset=true,use_locked=true,use_inclk_stopped=false,use_status=false,use_freeze=false,use_clk_valid=false,feedback_type=SINGLE,clock_mgr_type=AUTO,manual_override=false}"; -- Input clock buffering / unused connectors signal clkin1 : std_logic; -- Output clock buffering signal clkfb : std_logic; signal clk0 : std_logic; signal clkfx : std_logic; signal clkfbout : std_logic; signal locked_internal : std_logic; signal status_internal : std_logic_vector(7 downto 0); begin -- Input buffering -------------------------------------- clkin1_buf : IBUFG port map (O => clkin1, I => CLK_IN1); -- Clocking primitive -------------------------------------- -- Instantiation of the DCM primitive -- * Unused inputs are tied off -- * Unused outputs are labeled unused dcm_sp_inst: DCM_SP generic map (CLKDV_DIVIDE => 2.000, CLKFX_DIVIDE => 9, CLKFX_MULTIPLY => 25, CLKIN_DIVIDE_BY_2 => FALSE, CLKIN_PERIOD => 37.037, CLKOUT_PHASE_SHIFT => "NONE", CLK_FEEDBACK => "1X", DESKEW_ADJUST => "SYSTEM_SYNCHRONOUS", PHASE_SHIFT => 0, STARTUP_WAIT => FALSE) port map -- Input clock (CLKIN => clkin1, CLKFB => clkfb, -- Output clocks CLK0 => clk0, CLK90 => open, CLK180 => open, CLK270 => open, CLK2X => open, CLK2X180 => open, CLKFX => clkfx, CLKFX180 => open, CLKDV => open, -- Ports for dynamic phase shift PSCLK => '0', PSEN => '0', PSINCDEC => '0', PSDONE => open, -- Other control and status signals LOCKED => locked_internal, STATUS => status_internal, RST => RESET, -- Unused pin, tie low DSSEN => '0'); LOCKED <= locked_internal; -- Output buffering ------------------------------------- clkf_buf : BUFG port map (O => clkfb, I => clk0); clkout1_buf : BUFG port map (O => CLK_OUT1, I => clkfx); end xilinx;
mit
d3aec9e7901e1a64bcea671ab4fcda45
0.556696
4.259791
false
false
false
false
sonologic/gmzpu
vhdl/roms/hello_dbram.vhdl
1
70,238
library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity DualPortRAM is generic( WORD_SIZE : integer:=32; -- Word Size 16/32 BYTE_BITS : integer:=2; -- Bits used to address bytes BRAM_W : integer:=15); -- Address Width port( clk_i : in std_logic; -- Port A a_we_i : in std_logic; a_addr_i : in unsigned(BRAM_W-1 downto BYTE_BITS); a_write_i : in unsigned(WORD_SIZE-1 downto 0); a_read_o : out unsigned(WORD_SIZE-1 downto 0); -- Port B b_we_i : in std_logic; b_addr_i : in unsigned(BRAM_W-1 downto BYTE_BITS); b_write_i : in unsigned(WORD_SIZE-1 downto 0); b_read_o : out unsigned(WORD_SIZE-1 downto 0)); end entity DualPortRAM; architecture DualPort_Arch of DualPortRAM is type ram_type is array(natural range 0 to ((2**BRAM_W)/4)-1) of unsigned(WORD_SIZE-1 downto 0); shared variable ram : ram_type:= ( 0 => x"0b0b0b0b", 1 => x"82700b0b", 2 => x"80cd800c", 3 => x"3a0b0b80", 4 => x"c58f0400", 5 => x"00000000", 6 => x"00000000", 7 => x"00000000", 8 => x"80088408", 9 => x"88080b0b", 10 => x"80c5d62d", 11 => x"880c840c", 12 => x"800c0400", 13 => x"00000000", 14 => x"00000000", 15 => x"00000000", 16 => x"71fd0608", 17 => x"72830609", 18 => x"81058205", 19 => x"832b2a83", 20 => x"ffff0652", 21 => x"04000000", 22 => x"00000000", 23 => x"00000000", 24 => x"71fd0608", 25 => x"83ffff73", 26 => x"83060981", 27 => x"05820583", 28 => x"2b2b0906", 29 => x"7383ffff", 30 => x"0b0b0b0b", 31 => x"83a70400", 32 => x"72098105", 33 => x"72057373", 34 => x"09060906", 35 => x"73097306", 36 => x"070a8106", 37 => x"53510400", 38 => x"00000000", 39 => x"00000000", 40 => x"72722473", 41 => x"732e0753", 42 => x"51040000", 43 => x"00000000", 44 => x"00000000", 45 => x"00000000", 46 => x"00000000", 47 => x"00000000", 48 => x"71737109", 49 => x"71068106", 50 => x"30720a10", 51 => x"0a720a10", 52 => x"0a31050a", 53 => x"81065151", 54 => x"53510400", 55 => x"00000000", 56 => x"72722673", 57 => x"732e0753", 58 => x"51040000", 59 => x"00000000", 60 => x"00000000", 61 => x"00000000", 62 => x"00000000", 63 => x"00000000", 64 => x"00000000", 65 => x"00000000", 66 => x"00000000", 67 => x"00000000", 68 => x"00000000", 69 => x"00000000", 70 => x"00000000", 71 => x"00000000", 72 => x"0b0b0b88", 73 => x"c4040000", 74 => x"00000000", 75 => x"00000000", 76 => x"00000000", 77 => x"00000000", 78 => x"00000000", 79 => x"00000000", 80 => x"720a722b", 81 => x"0a535104", 82 => x"00000000", 83 => x"00000000", 84 => x"00000000", 85 => x"00000000", 86 => x"00000000", 87 => x"00000000", 88 => x"72729f06", 89 => x"0981050b", 90 => x"0b0b88a7", 91 => x"05040000", 92 => x"00000000", 93 => x"00000000", 94 => x"00000000", 95 => x"00000000", 96 => x"72722aff", 97 => x"739f062a", 98 => x"0974090a", 99 => x"8106ff05", 100 => x"06075351", 101 => x"04000000", 102 => x"00000000", 103 => x"00000000", 104 => x"71715351", 105 => x"020d0406", 106 => x"73830609", 107 => x"81058205", 108 => x"832b0b2b", 109 => x"0772fc06", 110 => x"0c515104", 111 => x"00000000", 112 => x"72098105", 113 => x"72050970", 114 => x"81050906", 115 => x"0a810653", 116 => x"51040000", 117 => x"00000000", 118 => x"00000000", 119 => x"00000000", 120 => x"72098105", 121 => x"72050970", 122 => x"81050906", 123 => x"0a098106", 124 => x"53510400", 125 => x"00000000", 126 => x"00000000", 127 => x"00000000", 128 => x"71098105", 129 => x"52040000", 130 => x"00000000", 131 => x"00000000", 132 => x"00000000", 133 => x"00000000", 134 => x"00000000", 135 => x"00000000", 136 => x"72720981", 137 => x"05055351", 138 => x"04000000", 139 => x"00000000", 140 => x"00000000", 141 => x"00000000", 142 => x"00000000", 143 => x"00000000", 144 => x"72097206", 145 => x"73730906", 146 => x"07535104", 147 => x"00000000", 148 => x"00000000", 149 => x"00000000", 150 => x"00000000", 151 => x"00000000", 152 => x"71fc0608", 153 => x"72830609", 154 => x"81058305", 155 => x"1010102a", 156 => x"81ff0652", 157 => x"04000000", 158 => x"00000000", 159 => x"00000000", 160 => x"71fc0608", 161 => x"0b0b80cc", 162 => x"ec738306", 163 => x"10100508", 164 => x"060b0b0b", 165 => x"88aa0400", 166 => x"00000000", 167 => x"00000000", 168 => x"80088408", 169 => x"88087575", 170 => x"0b0b0b8b", 171 => x"8a2d5050", 172 => x"80085688", 173 => x"0c840c80", 174 => x"0c510400", 175 => x"00000000", 176 => x"80088408", 177 => x"88087575", 178 => x"0b0b0b8c", 179 => x"bc2d5050", 180 => x"80085688", 181 => x"0c840c80", 182 => x"0c510400", 183 => x"00000000", 184 => x"72097081", 185 => x"0509060a", 186 => x"8106ff05", 187 => x"70547106", 188 => x"73097274", 189 => x"05ff0506", 190 => x"07515151", 191 => x"04000000", 192 => x"72097081", 193 => x"0509060a", 194 => x"098106ff", 195 => x"05705471", 196 => x"06730972", 197 => x"7405ff05", 198 => x"06075151", 199 => x"51040000", 200 => x"05ff0504", 201 => x"00000000", 202 => x"00000000", 203 => x"00000000", 204 => x"00000000", 205 => x"00000000", 206 => x"00000000", 207 => x"00000000", 208 => x"810b0b0b", 209 => x"80ccfc0c", 210 => x"51040000", 211 => x"00000000", 212 => x"00000000", 213 => x"00000000", 214 => x"00000000", 215 => x"00000000", 216 => x"71810552", 217 => x"04000000", 218 => x"00000000", 219 => x"00000000", 220 => x"00000000", 221 => x"00000000", 222 => x"00000000", 223 => x"00000000", 224 => x"00000000", 225 => x"00000000", 226 => x"00000000", 227 => x"00000000", 228 => x"00000000", 229 => x"00000000", 230 => x"00000000", 231 => x"00000000", 232 => x"02840572", 233 => x"10100552", 234 => x"04000000", 235 => x"00000000", 236 => x"00000000", 237 => x"00000000", 238 => x"00000000", 239 => x"00000000", 240 => x"00000000", 241 => x"00000000", 242 => x"00000000", 243 => x"00000000", 244 => x"00000000", 245 => x"00000000", 246 => x"00000000", 247 => x"00000000", 248 => x"717105ff", 249 => x"05715351", 250 => x"020d0400", 251 => x"00000000", 252 => x"00000000", 253 => x"00000000", 254 => x"00000000", 255 => x"00000000", 256 => x"82c73f80", 257 => x"c4913f04", 258 => x"10101010", 259 => x"10101010", 260 => x"10101010", 261 => x"10101010", 262 => x"10101010", 263 => x"10101010", 264 => x"10101010", 265 => x"10101053", 266 => x"51047381", 267 => x"ff067383", 268 => x"06098105", 269 => x"83051010", 270 => x"102b0772", 271 => x"fc060c51", 272 => x"51043c04", 273 => x"72728072", 274 => x"8106ff05", 275 => x"09720605", 276 => x"71105272", 277 => x"0a100a53", 278 => x"72ed3851", 279 => x"51535104", 280 => x"fe3d0d0b", 281 => x"0b80dce8", 282 => x"08538413", 283 => x"0870882a", 284 => x"70810651", 285 => x"52527080", 286 => x"2ef03871", 287 => x"81ff0680", 288 => x"0c843d0d", 289 => x"04ff3d0d", 290 => x"0b0b80dc", 291 => x"e8085271", 292 => x"0870882a", 293 => x"81327081", 294 => x"06515151", 295 => x"70f13873", 296 => x"720c833d", 297 => x"0d0480cc", 298 => x"fc08802e", 299 => x"a43880cd", 300 => x"8008822e", 301 => x"bd388380", 302 => x"800b0b0b", 303 => x"80dce80c", 304 => x"82a0800b", 305 => x"80dcec0c", 306 => x"8290800b", 307 => x"80dcf00c", 308 => x"04f88080", 309 => x"80a40b0b", 310 => x"0b80dce8", 311 => x"0cf88080", 312 => x"82800b80", 313 => x"dcec0cf8", 314 => x"80808480", 315 => x"0b80dcf0", 316 => x"0c0480c0", 317 => x"a8808c0b", 318 => x"0b0b80dc", 319 => x"e80c80c0", 320 => x"a880940b", 321 => x"80dcec0c", 322 => x"0b0b80cc", 323 => x"c40b80dc", 324 => x"f00c04ff", 325 => x"3d0d80dc", 326 => x"f4335170", 327 => x"a73880cd", 328 => x"88087008", 329 => x"52527080", 330 => x"2e943884", 331 => x"1280cd88", 332 => x"0c702d80", 333 => x"cd880870", 334 => x"08525270", 335 => x"ee38810b", 336 => x"80dcf434", 337 => x"833d0d04", 338 => x"04803d0d", 339 => x"0b0b80dc", 340 => x"e408802e", 341 => x"8e380b0b", 342 => x"0b0b800b", 343 => x"802e0981", 344 => x"06853882", 345 => x"3d0d040b", 346 => x"0b80dce4", 347 => x"510b0b0b", 348 => x"f58e3f82", 349 => x"3d0d0404", 350 => x"803d0d80", 351 => x"ccc85185", 352 => x"de3f800b", 353 => x"800c823d", 354 => x"0d048c08", 355 => x"028c0cf9", 356 => x"3d0d800b", 357 => x"8c08fc05", 358 => x"0c8c0888", 359 => x"05088025", 360 => x"ab388c08", 361 => x"88050830", 362 => x"8c088805", 363 => x"0c800b8c", 364 => x"08f4050c", 365 => x"8c08fc05", 366 => x"08883881", 367 => x"0b8c08f4", 368 => x"050c8c08", 369 => x"f405088c", 370 => x"08fc050c", 371 => x"8c088c05", 372 => x"088025ab", 373 => x"388c088c", 374 => x"0508308c", 375 => x"088c050c", 376 => x"800b8c08", 377 => x"f0050c8c", 378 => x"08fc0508", 379 => x"8838810b", 380 => x"8c08f005", 381 => x"0c8c08f0", 382 => x"05088c08", 383 => x"fc050c80", 384 => x"538c088c", 385 => x"0508528c", 386 => x"08880508", 387 => x"5181a73f", 388 => x"8008708c", 389 => x"08f8050c", 390 => x"548c08fc", 391 => x"0508802e", 392 => x"8c388c08", 393 => x"f8050830", 394 => x"8c08f805", 395 => x"0c8c08f8", 396 => x"05087080", 397 => x"0c54893d", 398 => x"0d8c0c04", 399 => x"8c08028c", 400 => x"0cfb3d0d", 401 => x"800b8c08", 402 => x"fc050c8c", 403 => x"08880508", 404 => x"80259338", 405 => x"8c088805", 406 => x"08308c08", 407 => x"88050c81", 408 => x"0b8c08fc", 409 => x"050c8c08", 410 => x"8c050880", 411 => x"258c388c", 412 => x"088c0508", 413 => x"308c088c", 414 => x"050c8153", 415 => x"8c088c05", 416 => x"08528c08", 417 => x"88050851", 418 => x"ad3f8008", 419 => x"708c08f8", 420 => x"050c548c", 421 => x"08fc0508", 422 => x"802e8c38", 423 => x"8c08f805", 424 => x"08308c08", 425 => x"f8050c8c", 426 => x"08f80508", 427 => x"70800c54", 428 => x"873d0d8c", 429 => x"0c048c08", 430 => x"028c0cfd", 431 => x"3d0d810b", 432 => x"8c08fc05", 433 => x"0c800b8c", 434 => x"08f8050c", 435 => x"8c088c05", 436 => x"088c0888", 437 => x"050827ac", 438 => x"388c08fc", 439 => x"0508802e", 440 => x"a338800b", 441 => x"8c088c05", 442 => x"08249938", 443 => x"8c088c05", 444 => x"08108c08", 445 => x"8c050c8c", 446 => x"08fc0508", 447 => x"108c08fc", 448 => x"050cc939", 449 => x"8c08fc05", 450 => x"08802e80", 451 => x"c9388c08", 452 => x"8c05088c", 453 => x"08880508", 454 => x"26a1388c", 455 => x"08880508", 456 => x"8c088c05", 457 => x"08318c08", 458 => x"88050c8c", 459 => x"08f80508", 460 => x"8c08fc05", 461 => x"08078c08", 462 => x"f8050c8c", 463 => x"08fc0508", 464 => x"812a8c08", 465 => x"fc050c8c", 466 => x"088c0508", 467 => x"812a8c08", 468 => x"8c050cff", 469 => x"af398c08", 470 => x"90050880", 471 => x"2e8f388c", 472 => x"08880508", 473 => x"708c08f4", 474 => x"050c518d", 475 => x"398c08f8", 476 => x"0508708c", 477 => x"08f4050c", 478 => x"518c08f4", 479 => x"0508800c", 480 => x"853d0d8c", 481 => x"0c04fc3d", 482 => x"0d767079", 483 => x"7b555555", 484 => x"558f7227", 485 => x"8c387275", 486 => x"07830651", 487 => x"70802ea7", 488 => x"38ff1252", 489 => x"71ff2e98", 490 => x"38727081", 491 => x"05543374", 492 => x"70810556", 493 => x"34ff1252", 494 => x"71ff2e09", 495 => x"8106ea38", 496 => x"74800c86", 497 => x"3d0d0474", 498 => x"51727084", 499 => x"05540871", 500 => x"70840553", 501 => x"0c727084", 502 => x"05540871", 503 => x"70840553", 504 => x"0c727084", 505 => x"05540871", 506 => x"70840553", 507 => x"0c727084", 508 => x"05540871", 509 => x"70840553", 510 => x"0cf01252", 511 => x"718f26c9", 512 => x"38837227", 513 => x"95387270", 514 => x"84055408", 515 => x"71708405", 516 => x"530cfc12", 517 => x"52718326", 518 => x"ed387054", 519 => x"ff8339f7", 520 => x"3d0d7c70", 521 => x"525380c8", 522 => x"3f725480", 523 => x"085580cc", 524 => x"d8568157", 525 => x"80088105", 526 => x"5a8b3de4", 527 => x"11595382", 528 => x"59f41352", 529 => x"7b881108", 530 => x"52538183", 531 => x"3f800830", 532 => x"70800807", 533 => x"9f2c8a07", 534 => x"800c538b", 535 => x"3d0d04ff", 536 => x"3d0d7352", 537 => x"80cd8c08", 538 => x"51ffb43f", 539 => x"833d0d04", 540 => x"fd3d0d75", 541 => x"70718306", 542 => x"53555270", 543 => x"b8387170", 544 => x"087009f7", 545 => x"fbfdff12", 546 => x"0670f884", 547 => x"82818006", 548 => x"51515253", 549 => x"709d3884", 550 => x"13700870", 551 => x"09f7fbfd", 552 => x"ff120670", 553 => x"f8848281", 554 => x"80065151", 555 => x"52537080", 556 => x"2ee53872", 557 => x"52713351", 558 => x"70802e8a", 559 => x"38811270", 560 => x"33525270", 561 => x"f8387174", 562 => x"31800c85", 563 => x"3d0d04f2", 564 => x"3d0d6062", 565 => x"88110870", 566 => x"57575f5a", 567 => x"74802e81", 568 => x"90388c1a", 569 => x"2270832a", 570 => x"81327081", 571 => x"06515558", 572 => x"73863890", 573 => x"1a089138", 574 => x"795190a2", 575 => x"3fff5480", 576 => x"0880ee38", 577 => x"8c1a2258", 578 => x"7d085780", 579 => x"7883ffff", 580 => x"06700a10", 581 => x"0a708106", 582 => x"51565755", 583 => x"73752e80", 584 => x"d7387490", 585 => x"38760884", 586 => x"18088819", 587 => x"59565974", 588 => x"802ef238", 589 => x"74548880", 590 => x"75278438", 591 => x"88805473", 592 => x"5378529c", 593 => x"1a0851a4", 594 => x"1a085473", 595 => x"2d800b80", 596 => x"082582e6", 597 => x"38800819", 598 => x"75800831", 599 => x"7f880508", 600 => x"80083170", 601 => x"6188050c", 602 => x"56565973", 603 => x"ffb43880", 604 => x"5473800c", 605 => x"903d0d04", 606 => x"75813270", 607 => x"81067641", 608 => x"51547380", 609 => x"2e81c138", 610 => x"74903876", 611 => x"08841808", 612 => x"88195956", 613 => x"5974802e", 614 => x"f238881a", 615 => x"087883ff", 616 => x"ff067089", 617 => x"2a708106", 618 => x"51565956", 619 => x"73802e82", 620 => x"fa387575", 621 => x"278d3877", 622 => x"872a7081", 623 => x"06515473", 624 => x"82b53874", 625 => x"76278338", 626 => x"74567553", 627 => x"78527908", 628 => x"5185823f", 629 => x"881a0876", 630 => x"31881b0c", 631 => x"7908167a", 632 => x"0c745675", 633 => x"19757731", 634 => x"7f880508", 635 => x"78317061", 636 => x"88050c56", 637 => x"56597380", 638 => x"2efef438", 639 => x"8c1a2258", 640 => x"ff863977", 641 => x"78547953", 642 => x"7b525684", 643 => x"c83f881a", 644 => x"08783188", 645 => x"1b0c7908", 646 => x"187a0c7c", 647 => x"76315d7c", 648 => x"8e387951", 649 => x"8fdc3f80", 650 => x"08818f38", 651 => x"80085f75", 652 => x"19757731", 653 => x"7f880508", 654 => x"78317061", 655 => x"88050c56", 656 => x"56597380", 657 => x"2efea838", 658 => x"74818338", 659 => x"76088418", 660 => x"08881959", 661 => x"56597480", 662 => x"2ef23874", 663 => x"538a5278", 664 => x"5182d33f", 665 => x"80087931", 666 => x"81055d80", 667 => x"08843881", 668 => x"155d815f", 669 => x"7c58747d", 670 => x"27833874", 671 => x"58941a08", 672 => x"881b0811", 673 => x"575c807a", 674 => x"085c5490", 675 => x"1a087b27", 676 => x"83388154", 677 => x"75782584", 678 => x"3873ba38", 679 => x"7b7824fe", 680 => x"e2387b53", 681 => x"78529c1a", 682 => x"0851a41a", 683 => x"0854732d", 684 => x"80085680", 685 => x"088024fe", 686 => x"e2388c1a", 687 => x"2280c007", 688 => x"54738c1b", 689 => x"23ff5473", 690 => x"800c903d", 691 => x"0d047eff", 692 => x"a338ff87", 693 => x"39755378", 694 => x"527a5182", 695 => x"f83f7908", 696 => x"167a0c79", 697 => x"518e9b3f", 698 => x"8008cf38", 699 => x"7c76315d", 700 => x"7cfebc38", 701 => x"feac3990", 702 => x"1a087a08", 703 => x"71317611", 704 => x"70565a57", 705 => x"5280cd8c", 706 => x"0851848c", 707 => x"3f800880", 708 => x"2effa738", 709 => x"8008901b", 710 => x"0c800816", 711 => x"7a0c7794", 712 => x"1b0c7488", 713 => x"1b0c7456", 714 => x"fd993979", 715 => x"0858901a", 716 => x"08782783", 717 => x"38815475", 718 => x"75278438", 719 => x"73b33894", 720 => x"1a085675", 721 => x"752680d3", 722 => x"38755378", 723 => x"529c1a08", 724 => x"51a41a08", 725 => x"54732d80", 726 => x"08568008", 727 => x"8024fd83", 728 => x"388c1a22", 729 => x"80c00754", 730 => x"738c1b23", 731 => x"ff54fed7", 732 => x"39755378", 733 => x"52775181", 734 => x"dc3f7908", 735 => x"167a0c79", 736 => x"518cff3f", 737 => x"8008802e", 738 => x"fcd9388c", 739 => x"1a2280c0", 740 => x"0754738c", 741 => x"1b23ff54", 742 => x"fead3974", 743 => x"75547953", 744 => x"78525681", 745 => x"b03f881a", 746 => x"08753188", 747 => x"1b0c7908", 748 => x"157a0cfc", 749 => x"ae39fa3d", 750 => x"0d7a7902", 751 => x"8805a705", 752 => x"33565253", 753 => x"8373278a", 754 => x"38708306", 755 => x"5271802e", 756 => x"a838ff13", 757 => x"5372ff2e", 758 => x"97387033", 759 => x"5273722e", 760 => x"91388111", 761 => x"ff145451", 762 => x"72ff2e09", 763 => x"8106eb38", 764 => x"80517080", 765 => x"0c883d0d", 766 => x"04707257", 767 => x"55835175", 768 => x"82802914", 769 => x"ff125256", 770 => x"708025f3", 771 => x"38837327", 772 => x"bf387408", 773 => x"76327009", 774 => x"f7fbfdff", 775 => x"120670f8", 776 => x"84828180", 777 => x"06515151", 778 => x"70802e99", 779 => x"38745180", 780 => x"52703357", 781 => x"73772eff", 782 => x"b9388111", 783 => x"81135351", 784 => x"837227ed", 785 => x"38fc1384", 786 => x"16565372", 787 => x"8326c338", 788 => x"7451fefe", 789 => x"39fa3d0d", 790 => x"787a7c72", 791 => x"72725757", 792 => x"57595656", 793 => x"747627b2", 794 => x"38761551", 795 => x"757127aa", 796 => x"38707717", 797 => x"ff145455", 798 => x"5371ff2e", 799 => x"9638ff14", 800 => x"ff145454", 801 => x"72337434", 802 => x"ff125271", 803 => x"ff2e0981", 804 => x"06ec3875", 805 => x"800c883d", 806 => x"0d04768f", 807 => x"269738ff", 808 => x"125271ff", 809 => x"2eed3872", 810 => x"70810554", 811 => x"33747081", 812 => x"055634eb", 813 => x"39747607", 814 => x"83065170", 815 => x"e2387575", 816 => x"54517270", 817 => x"84055408", 818 => x"71708405", 819 => x"530c7270", 820 => x"84055408", 821 => x"71708405", 822 => x"530c7270", 823 => x"84055408", 824 => x"71708405", 825 => x"530c7270", 826 => x"84055408", 827 => x"71708405", 828 => x"530cf012", 829 => x"52718f26", 830 => x"c9388372", 831 => x"27953872", 832 => x"70840554", 833 => x"08717084", 834 => x"05530cfc", 835 => x"12527183", 836 => x"26ed3870", 837 => x"54ff8839", 838 => x"ef3d0d63", 839 => x"6567405d", 840 => x"427b802e", 841 => x"84fa3861", 842 => x"51a5b63f", 843 => x"f81c7084", 844 => x"120870fc", 845 => x"0670628b", 846 => x"0570f806", 847 => x"4159455b", 848 => x"5c415796", 849 => x"742782c3", 850 => x"38807b24", 851 => x"7e7c2607", 852 => x"59805478", 853 => x"742e0981", 854 => x"0682a938", 855 => x"777b2581", 856 => x"fc387717", 857 => x"80d4c80b", 858 => x"8805085e", 859 => x"567c762e", 860 => x"84bd3884", 861 => x"160870fe", 862 => x"06178411", 863 => x"08810651", 864 => x"55557382", 865 => x"8b3874fc", 866 => x"06597c76", 867 => x"2e84dd38", 868 => x"77195f7e", 869 => x"7b2581fd", 870 => x"38798106", 871 => x"547382bf", 872 => x"38767708", 873 => x"31841108", 874 => x"fc06565a", 875 => x"75802e91", 876 => x"387c762e", 877 => x"84ea3874", 878 => x"19185978", 879 => x"7b258489", 880 => x"3879802e", 881 => x"82993877", 882 => x"15567a76", 883 => x"24829038", 884 => x"8c1a0888", 885 => x"1b08718c", 886 => x"120c8812", 887 => x"0c557976", 888 => x"59578817", 889 => x"61fc0557", 890 => x"5975a426", 891 => x"85ef387b", 892 => x"79555593", 893 => x"762780c9", 894 => x"387b7084", 895 => x"055d087c", 896 => x"56790c74", 897 => x"70840556", 898 => x"088c180c", 899 => x"9017549b", 900 => x"7627ae38", 901 => x"74708405", 902 => x"5608740c", 903 => x"74708405", 904 => x"56089418", 905 => x"0c981754", 906 => x"a3762795", 907 => x"38747084", 908 => x"05560874", 909 => x"0c747084", 910 => x"0556089c", 911 => x"180ca017", 912 => x"54747084", 913 => x"05560874", 914 => x"70840556", 915 => x"0c747084", 916 => x"05560874", 917 => x"70840556", 918 => x"0c740874", 919 => x"0c777b31", 920 => x"56758f26", 921 => x"80c93884", 922 => x"17088106", 923 => x"78078418", 924 => x"0c771784", 925 => x"11088107", 926 => x"84120c54", 927 => x"6151a2e2", 928 => x"3f881754", 929 => x"73800c93", 930 => x"3d0d0490", 931 => x"5bfdba39", 932 => x"7856fe85", 933 => x"398c1608", 934 => x"88170871", 935 => x"8c120c88", 936 => x"120c557e", 937 => x"707c3157", 938 => x"588f7627", 939 => x"ffb9387a", 940 => x"17841808", 941 => x"81067c07", 942 => x"84190c76", 943 => x"81078412", 944 => x"0c761184", 945 => x"11088107", 946 => x"84120c55", 947 => x"88055261", 948 => x"518cf73f", 949 => x"6151a28a", 950 => x"3f881754", 951 => x"ffa6397d", 952 => x"52615194", 953 => x"f73f8008", 954 => x"59800880", 955 => x"2e81a338", 956 => x"8008f805", 957 => x"60840508", 958 => x"fe066105", 959 => x"55577674", 960 => x"2e83e638", 961 => x"fc185675", 962 => x"a42681aa", 963 => x"387b8008", 964 => x"55559376", 965 => x"2780d838", 966 => x"74708405", 967 => x"56088008", 968 => x"70840580", 969 => x"0c0c8008", 970 => x"75708405", 971 => x"57087170", 972 => x"8405530c", 973 => x"549b7627", 974 => x"b6387470", 975 => x"84055608", 976 => x"74708405", 977 => x"560c7470", 978 => x"84055608", 979 => x"74708405", 980 => x"560ca376", 981 => x"27993874", 982 => x"70840556", 983 => x"08747084", 984 => x"05560c74", 985 => x"70840556", 986 => x"08747084", 987 => x"05560c74", 988 => x"70840556", 989 => x"08747084", 990 => x"05560c74", 991 => x"70840556", 992 => x"08747084", 993 => x"05560c74", 994 => x"08740c7b", 995 => x"5261518b", 996 => x"b93f6151", 997 => x"a0cc3f78", 998 => x"5473800c", 999 => x"933d0d04", 1000 => x"7d526151", 1001 => x"93b63f80", 1002 => x"08800c93", 1003 => x"3d0d0484", 1004 => x"160855fb", 1005 => x"d1397553", 1006 => x"7b528008", 1007 => x"51efc73f", 1008 => x"7b526151", 1009 => x"8b843fca", 1010 => x"398c1608", 1011 => x"88170871", 1012 => x"8c120c88", 1013 => x"120c558c", 1014 => x"1a08881b", 1015 => x"08718c12", 1016 => x"0c88120c", 1017 => x"55797959", 1018 => x"57fbf739", 1019 => x"7719901c", 1020 => x"55557375", 1021 => x"24fba238", 1022 => x"7a177080", 1023 => x"d4c80b88", 1024 => x"050c757c", 1025 => x"31810784", 1026 => x"120c5d84", 1027 => x"17088106", 1028 => x"7b078418", 1029 => x"0c61519f", 1030 => x"c93f8817", 1031 => x"54fce539", 1032 => x"74191890", 1033 => x"1c555d73", 1034 => x"7d24fb95", 1035 => x"388c1a08", 1036 => x"881b0871", 1037 => x"8c120c88", 1038 => x"120c5588", 1039 => x"1a61fc05", 1040 => x"575975a4", 1041 => x"2681ae38", 1042 => x"7b795555", 1043 => x"93762780", 1044 => x"c9387b70", 1045 => x"84055d08", 1046 => x"7c56790c", 1047 => x"74708405", 1048 => x"56088c1b", 1049 => x"0c901a54", 1050 => x"9b7627ae", 1051 => x"38747084", 1052 => x"05560874", 1053 => x"0c747084", 1054 => x"05560894", 1055 => x"1b0c981a", 1056 => x"54a37627", 1057 => x"95387470", 1058 => x"84055608", 1059 => x"740c7470", 1060 => x"84055608", 1061 => x"9c1b0ca0", 1062 => x"1a547470", 1063 => x"84055608", 1064 => x"74708405", 1065 => x"560c7470", 1066 => x"84055608", 1067 => x"74708405", 1068 => x"560c7408", 1069 => x"740c7a1a", 1070 => x"7080d4c8", 1071 => x"0b88050c", 1072 => x"7d7c3181", 1073 => x"0784120c", 1074 => x"54841a08", 1075 => x"81067b07", 1076 => x"841b0c61", 1077 => x"519e8b3f", 1078 => x"7854fdbd", 1079 => x"3975537b", 1080 => x"527851ed", 1081 => x"a13ffaf5", 1082 => x"39841708", 1083 => x"fc061860", 1084 => x"5858fae9", 1085 => x"3975537b", 1086 => x"527851ed", 1087 => x"893f7a1a", 1088 => x"7080d4c8", 1089 => x"0b88050c", 1090 => x"7d7c3181", 1091 => x"0784120c", 1092 => x"54841a08", 1093 => x"81067b07", 1094 => x"841b0cff", 1095 => x"b639fa3d", 1096 => x"0d7880cd", 1097 => x"8c085455", 1098 => x"b8130880", 1099 => x"2e81b638", 1100 => x"8c152270", 1101 => x"83ffff06", 1102 => x"70832a81", 1103 => x"32708106", 1104 => x"51555556", 1105 => x"72802e80", 1106 => x"dc387384", 1107 => x"2a813281", 1108 => x"0657ff53", 1109 => x"7680f738", 1110 => x"73822a70", 1111 => x"81065153", 1112 => x"72802eb9", 1113 => x"38b01508", 1114 => x"5473802e", 1115 => x"9c3880c0", 1116 => x"15537373", 1117 => x"2e8f3873", 1118 => x"5280cd8c", 1119 => x"085187ca", 1120 => x"3f8c1522", 1121 => x"5676b016", 1122 => x"0c75db06", 1123 => x"53728c16", 1124 => x"23800b84", 1125 => x"160c9015", 1126 => x"08750c72", 1127 => x"56758807", 1128 => x"53728c16", 1129 => x"23901508", 1130 => x"802e80c1", 1131 => x"388c1522", 1132 => x"70810655", 1133 => x"53739e38", 1134 => x"720a100a", 1135 => x"70810651", 1136 => x"53728538", 1137 => x"94150854", 1138 => x"7388160c", 1139 => x"80537280", 1140 => x"0c883d0d", 1141 => x"04800b88", 1142 => x"160c9415", 1143 => x"08309816", 1144 => x"0c8053ea", 1145 => x"39725182", 1146 => x"fb3ffec4", 1147 => x"3974518c", 1148 => x"e83f8c15", 1149 => x"22708106", 1150 => x"55537380", 1151 => x"2effb938", 1152 => x"d439f83d", 1153 => x"0d7a5877", 1154 => x"802e8199", 1155 => x"3880cd8c", 1156 => x"0854b814", 1157 => x"08802e80", 1158 => x"ed388c18", 1159 => x"2270902b", 1160 => x"70902c70", 1161 => x"832a8132", 1162 => x"81065c51", 1163 => x"57547880", 1164 => x"cd389018", 1165 => x"08577680", 1166 => x"2e80c338", 1167 => x"77087731", 1168 => x"77790c76", 1169 => x"83067a58", 1170 => x"55557385", 1171 => x"38941808", 1172 => x"56758819", 1173 => x"0c807525", 1174 => x"a5387453", 1175 => x"76529c18", 1176 => x"0851a418", 1177 => x"0854732d", 1178 => x"800b8008", 1179 => x"2580c938", 1180 => x"80081775", 1181 => x"80083156", 1182 => x"57748024", 1183 => x"dd38800b", 1184 => x"800c8a3d", 1185 => x"0d047351", 1186 => x"81da3f8c", 1187 => x"18227090", 1188 => x"2b70902c", 1189 => x"70832a81", 1190 => x"3281065c", 1191 => x"51575478", 1192 => x"dd38ff8e", 1193 => x"39a48252", 1194 => x"80cd8c08", 1195 => x"5189f13f", 1196 => x"8008800c", 1197 => x"8a3d0d04", 1198 => x"8c182280", 1199 => x"c0075473", 1200 => x"8c1923ff", 1201 => x"0b800c8a", 1202 => x"3d0d0480", 1203 => x"3d0d7251", 1204 => x"80710c80", 1205 => x"0b84120c", 1206 => x"800b8812", 1207 => x"0c028e05", 1208 => x"228c1223", 1209 => x"02920522", 1210 => x"8e122380", 1211 => x"0b90120c", 1212 => x"800b9412", 1213 => x"0c800b98", 1214 => x"120c709c", 1215 => x"120c80c0", 1216 => x"970ba012", 1217 => x"0c80c0e3", 1218 => x"0ba4120c", 1219 => x"80c1df0b", 1220 => x"a8120c80", 1221 => x"c2b00bac", 1222 => x"120c823d", 1223 => x"0d04fa3d", 1224 => x"0d797080", 1225 => x"dc298c11", 1226 => x"547a5356", 1227 => x"578cad3f", 1228 => x"80088008", 1229 => x"55568008", 1230 => x"802ea238", 1231 => x"80088c05", 1232 => x"54800b80", 1233 => x"080c7680", 1234 => x"0884050c", 1235 => x"73800888", 1236 => x"050c7453", 1237 => x"80527351", 1238 => x"97f83f75", 1239 => x"5473800c", 1240 => x"883d0d04", 1241 => x"fc3d0d76", 1242 => x"a8f70bbc", 1243 => x"120c5581", 1244 => x"0bb8160c", 1245 => x"800b84dc", 1246 => x"160c830b", 1247 => x"84e0160c", 1248 => x"84e81584", 1249 => x"e4160c74", 1250 => x"54805384", 1251 => x"52841508", 1252 => x"51feb83f", 1253 => x"74548153", 1254 => x"89528815", 1255 => x"0851feab", 1256 => x"3f745482", 1257 => x"538a528c", 1258 => x"150851fe", 1259 => x"9e3f863d", 1260 => x"0d04f93d", 1261 => x"0d7980cd", 1262 => x"8c085457", 1263 => x"b8130880", 1264 => x"2e80c838", 1265 => x"84dc1356", 1266 => x"88160884", 1267 => x"1708ff05", 1268 => x"55558074", 1269 => x"249f388c", 1270 => x"15227090", 1271 => x"2b70902c", 1272 => x"51545872", 1273 => x"802e80ca", 1274 => x"3880dc15", 1275 => x"ff155555", 1276 => x"738025e3", 1277 => x"38750853", 1278 => x"72802e9f", 1279 => x"38725688", 1280 => x"16088417", 1281 => x"08ff0555", 1282 => x"55c83972", 1283 => x"51fed53f", 1284 => x"80cd8c08", 1285 => x"84dc0556", 1286 => x"ffae3984", 1287 => x"527651fd", 1288 => x"fd3f8008", 1289 => x"760c8008", 1290 => x"802e80c0", 1291 => x"38800856", 1292 => x"ce39810b", 1293 => x"8c162372", 1294 => x"750c7288", 1295 => x"160c7284", 1296 => x"160c7290", 1297 => x"160c7294", 1298 => x"160c7298", 1299 => x"160cff0b", 1300 => x"8e162372", 1301 => x"b0160c72", 1302 => x"b4160c72", 1303 => x"80c4160c", 1304 => x"7280c816", 1305 => x"0c74800c", 1306 => x"893d0d04", 1307 => x"8c770c80", 1308 => x"0b800c89", 1309 => x"3d0d04ff", 1310 => x"3d0da482", 1311 => x"52735186", 1312 => x"9f3f833d", 1313 => x"0d04803d", 1314 => x"0d80cd8c", 1315 => x"0851e83f", 1316 => x"823d0d04", 1317 => x"fb3d0d77", 1318 => x"70525696", 1319 => x"c43f80d4", 1320 => x"c80b8805", 1321 => x"08841108", 1322 => x"fc06707b", 1323 => x"319fef05", 1324 => x"e08006e0", 1325 => x"80055656", 1326 => x"53a08074", 1327 => x"24943880", 1328 => x"52755196", 1329 => x"9e3f80d4", 1330 => x"d0081553", 1331 => x"7280082e", 1332 => x"8f387551", 1333 => x"968c3f80", 1334 => x"5372800c", 1335 => x"873d0d04", 1336 => x"73305275", 1337 => x"5195fc3f", 1338 => x"8008ff2e", 1339 => x"a83880d4", 1340 => x"c80b8805", 1341 => x"08757531", 1342 => x"81078412", 1343 => x"0c5380d4", 1344 => x"8c087431", 1345 => x"80d48c0c", 1346 => x"755195d6", 1347 => x"3f810b80", 1348 => x"0c873d0d", 1349 => x"04805275", 1350 => x"5195c83f", 1351 => x"80d4c80b", 1352 => x"88050880", 1353 => x"08713156", 1354 => x"538f7525", 1355 => x"ffa43880", 1356 => x"0880d4bc", 1357 => x"083180d4", 1358 => x"8c0c7481", 1359 => x"0784140c", 1360 => x"7551959e", 1361 => x"3f8053ff", 1362 => x"9039f63d", 1363 => x"0d7c7e54", 1364 => x"5b72802e", 1365 => x"8283387a", 1366 => x"5195863f", 1367 => x"f8138411", 1368 => x"0870fe06", 1369 => x"70138411", 1370 => x"08fc065d", 1371 => x"58595458", 1372 => x"80d4d008", 1373 => x"752e82de", 1374 => x"38788416", 1375 => x"0c807381", 1376 => x"06545a72", 1377 => x"7a2e81d5", 1378 => x"38781584", 1379 => x"11088106", 1380 => x"515372a0", 1381 => x"38781757", 1382 => x"7981e638", 1383 => x"88150853", 1384 => x"7280d4d0", 1385 => x"2e82f938", 1386 => x"8c150870", 1387 => x"8c150c73", 1388 => x"88120c56", 1389 => x"76810784", 1390 => x"190c7618", 1391 => x"77710c53", 1392 => x"79819138", 1393 => x"83ff7727", 1394 => x"81c83876", 1395 => x"892a7783", 1396 => x"2a565372", 1397 => x"802ebf38", 1398 => x"76862ab8", 1399 => x"05558473", 1400 => x"27b43880", 1401 => x"db135594", 1402 => x"7327ab38", 1403 => x"768c2a80", 1404 => x"ee055580", 1405 => x"d473279e", 1406 => x"38768f2a", 1407 => x"80f70555", 1408 => x"82d47327", 1409 => x"91387692", 1410 => x"2a80fc05", 1411 => x"558ad473", 1412 => x"27843880", 1413 => x"fe557410", 1414 => x"101080d4", 1415 => x"c8058811", 1416 => x"08555673", 1417 => x"762e82b3", 1418 => x"38841408", 1419 => x"fc065376", 1420 => x"73278d38", 1421 => x"88140854", 1422 => x"73762e09", 1423 => x"8106ea38", 1424 => x"8c140870", 1425 => x"8c1a0c74", 1426 => x"881a0c78", 1427 => x"88120c56", 1428 => x"778c150c", 1429 => x"7a51938a", 1430 => x"3f8c3d0d", 1431 => x"04770878", 1432 => x"71315977", 1433 => x"05881908", 1434 => x"54577280", 1435 => x"d4d02e80", 1436 => x"e0388c18", 1437 => x"08708c15", 1438 => x"0c738812", 1439 => x"0c56fe89", 1440 => x"39881508", 1441 => x"8c160870", 1442 => x"8c130c57", 1443 => x"88170cfe", 1444 => x"a3397683", 1445 => x"2a705455", 1446 => x"80752481", 1447 => x"98387282", 1448 => x"2c81712b", 1449 => x"80d4cc08", 1450 => x"0780d4c8", 1451 => x"0b84050c", 1452 => x"53741010", 1453 => x"1080d4c8", 1454 => x"05881108", 1455 => x"5556758c", 1456 => x"190c7388", 1457 => x"190c7788", 1458 => x"170c778c", 1459 => x"150cff84", 1460 => x"39815afd", 1461 => x"b4397817", 1462 => x"73810654", 1463 => x"57729838", 1464 => x"77087871", 1465 => x"31597705", 1466 => x"8c190888", 1467 => x"1a08718c", 1468 => x"120c8812", 1469 => x"0c575776", 1470 => x"81078419", 1471 => x"0c7780d4", 1472 => x"c80b8805", 1473 => x"0c80d4c4", 1474 => x"087726fe", 1475 => x"c73880d4", 1476 => x"c008527a", 1477 => x"51fafd3f", 1478 => x"7a5191c6", 1479 => x"3ffeba39", 1480 => x"81788c15", 1481 => x"0c788815", 1482 => x"0c738c1a", 1483 => x"0c73881a", 1484 => x"0c5afd80", 1485 => x"39831570", 1486 => x"822c8171", 1487 => x"2b80d4cc", 1488 => x"080780d4", 1489 => x"c80b8405", 1490 => x"0c515374", 1491 => x"10101080", 1492 => x"d4c80588", 1493 => x"11085556", 1494 => x"fee43974", 1495 => x"53807524", 1496 => x"a7387282", 1497 => x"2c81712b", 1498 => x"80d4cc08", 1499 => x"0780d4c8", 1500 => x"0b84050c", 1501 => x"53758c19", 1502 => x"0c738819", 1503 => x"0c778817", 1504 => x"0c778c15", 1505 => x"0cfdcd39", 1506 => x"83157082", 1507 => x"2c81712b", 1508 => x"80d4cc08", 1509 => x"0780d4c8", 1510 => x"0b84050c", 1511 => x"5153d639", 1512 => x"f93d0d79", 1513 => x"7b585380", 1514 => x"0b80cd8c", 1515 => x"08535672", 1516 => x"722e80c0", 1517 => x"3884dc13", 1518 => x"5574762e", 1519 => x"b7388815", 1520 => x"08841608", 1521 => x"ff055454", 1522 => x"8073249d", 1523 => x"388c1422", 1524 => x"70902b70", 1525 => x"902c5153", 1526 => x"587180d8", 1527 => x"3880dc14", 1528 => x"ff145454", 1529 => x"728025e5", 1530 => x"38740855", 1531 => x"74d03880", 1532 => x"cd8c0852", 1533 => x"84dc1255", 1534 => x"74802eb1", 1535 => x"38881508", 1536 => x"841608ff", 1537 => x"05545480", 1538 => x"73249c38", 1539 => x"8c142270", 1540 => x"902b7090", 1541 => x"2c515358", 1542 => x"71ad3880", 1543 => x"dc14ff14", 1544 => x"54547280", 1545 => x"25e63874", 1546 => x"085574d1", 1547 => x"3875800c", 1548 => x"893d0d04", 1549 => x"7351762d", 1550 => x"75800807", 1551 => x"80dc15ff", 1552 => x"15555556", 1553 => x"ff9e3973", 1554 => x"51762d75", 1555 => x"80080780", 1556 => x"dc15ff15", 1557 => x"555556ca", 1558 => x"39ea3d0d", 1559 => x"688c1122", 1560 => x"700a100a", 1561 => x"81065758", 1562 => x"567480e4", 1563 => x"388e1622", 1564 => x"70902b70", 1565 => x"902c5155", 1566 => x"58807424", 1567 => x"b138983d", 1568 => x"c4055373", 1569 => x"5280cd8c", 1570 => x"085192ac", 1571 => x"3f800b80", 1572 => x"08249738", 1573 => x"7983e080", 1574 => x"06547380", 1575 => x"c0802e81", 1576 => x"8f387382", 1577 => x"80802e81", 1578 => x"91388c16", 1579 => x"22577690", 1580 => x"80075473", 1581 => x"8c172388", 1582 => x"805280cd", 1583 => x"8c085181", 1584 => x"9b3f8008", 1585 => x"9d388c16", 1586 => x"22820754", 1587 => x"738c1723", 1588 => x"80c31670", 1589 => x"770c9017", 1590 => x"0c810b94", 1591 => x"170c983d", 1592 => x"0d0480cd", 1593 => x"8c08a8f7", 1594 => x"0bbc120c", 1595 => x"548c1622", 1596 => x"81800754", 1597 => x"738c1723", 1598 => x"8008760c", 1599 => x"80089017", 1600 => x"0c88800b", 1601 => x"94170c74", 1602 => x"802ed338", 1603 => x"8e162270", 1604 => x"902b7090", 1605 => x"2c535558", 1606 => x"98a23f80", 1607 => x"08802eff", 1608 => x"bd388c16", 1609 => x"22810754", 1610 => x"738c1723", 1611 => x"983d0d04", 1612 => x"810b8c17", 1613 => x"225855fe", 1614 => x"f539a816", 1615 => x"0880c1df", 1616 => x"2e098106", 1617 => x"fee4388c", 1618 => x"16228880", 1619 => x"0754738c", 1620 => x"17238880", 1621 => x"0b80cc17", 1622 => x"0cfedc39", 1623 => x"f33d0d7f", 1624 => x"618b1170", 1625 => x"f8065c55", 1626 => x"555e7296", 1627 => x"26833890", 1628 => x"59807924", 1629 => x"747a2607", 1630 => x"53805472", 1631 => x"742e0981", 1632 => x"0680cb38", 1633 => x"7d518cd9", 1634 => x"3f7883f7", 1635 => x"2680c638", 1636 => x"78832a70", 1637 => x"10101080", 1638 => x"d4c8058c", 1639 => x"11085959", 1640 => x"5a76782e", 1641 => x"83b03884", 1642 => x"1708fc06", 1643 => x"568c1708", 1644 => x"88180871", 1645 => x"8c120c88", 1646 => x"120c5875", 1647 => x"17841108", 1648 => x"81078412", 1649 => x"0c537d51", 1650 => x"8c983f88", 1651 => x"17547380", 1652 => x"0c8f3d0d", 1653 => x"0478892a", 1654 => x"79832a5b", 1655 => x"5372802e", 1656 => x"bf387886", 1657 => x"2ab8055a", 1658 => x"847327b4", 1659 => x"3880db13", 1660 => x"5a947327", 1661 => x"ab38788c", 1662 => x"2a80ee05", 1663 => x"5a80d473", 1664 => x"279e3878", 1665 => x"8f2a80f7", 1666 => x"055a82d4", 1667 => x"73279138", 1668 => x"78922a80", 1669 => x"fc055a8a", 1670 => x"d4732784", 1671 => x"3880fe5a", 1672 => x"79101010", 1673 => x"80d4c805", 1674 => x"8c110858", 1675 => x"5576752e", 1676 => x"a3388417", 1677 => x"08fc0670", 1678 => x"7a315556", 1679 => x"738f2488", 1680 => x"d5387380", 1681 => x"25fee638", 1682 => x"8c170857", 1683 => x"76752e09", 1684 => x"8106df38", 1685 => x"811a5a80", 1686 => x"d4d80857", 1687 => x"7680d4d0", 1688 => x"2e82c038", 1689 => x"841708fc", 1690 => x"06707a31", 1691 => x"5556738f", 1692 => x"2481f938", 1693 => x"80d4d00b", 1694 => x"80d4dc0c", 1695 => x"80d4d00b", 1696 => x"80d4d80c", 1697 => x"738025fe", 1698 => x"b23883ff", 1699 => x"762783df", 1700 => x"3875892a", 1701 => x"76832a55", 1702 => x"5372802e", 1703 => x"bf387586", 1704 => x"2ab80554", 1705 => x"847327b4", 1706 => x"3880db13", 1707 => x"54947327", 1708 => x"ab38758c", 1709 => x"2a80ee05", 1710 => x"5480d473", 1711 => x"279e3875", 1712 => x"8f2a80f7", 1713 => x"055482d4", 1714 => x"73279138", 1715 => x"75922a80", 1716 => x"fc05548a", 1717 => x"d4732784", 1718 => x"3880fe54", 1719 => x"73101010", 1720 => x"80d4c805", 1721 => x"88110856", 1722 => x"5874782e", 1723 => x"86cf3884", 1724 => x"1508fc06", 1725 => x"53757327", 1726 => x"8d388815", 1727 => x"08557478", 1728 => x"2e098106", 1729 => x"ea388c15", 1730 => x"0880d4c8", 1731 => x"0b840508", 1732 => x"718c1a0c", 1733 => x"76881a0c", 1734 => x"7888130c", 1735 => x"788c180c", 1736 => x"5d587953", 1737 => x"807a2483", 1738 => x"e6387282", 1739 => x"2c81712b", 1740 => x"5c537a7c", 1741 => x"26819838", 1742 => x"7b7b0653", 1743 => x"7282f138", 1744 => x"79fc0684", 1745 => x"055a7a10", 1746 => x"707d0654", 1747 => x"5b7282e0", 1748 => x"38841a5a", 1749 => x"f1398817", 1750 => x"8c110858", 1751 => x"5876782e", 1752 => x"098106fc", 1753 => x"c238821a", 1754 => x"5afdec39", 1755 => x"78177981", 1756 => x"0784190c", 1757 => x"7080d4dc", 1758 => x"0c7080d4", 1759 => x"d80c80d4", 1760 => x"d00b8c12", 1761 => x"0c8c1108", 1762 => x"88120c74", 1763 => x"81078412", 1764 => x"0c741175", 1765 => x"710c5153", 1766 => x"7d5188c6", 1767 => x"3f881754", 1768 => x"fcac3980", 1769 => x"d4c80b84", 1770 => x"05087a54", 1771 => x"5c798025", 1772 => x"fef83882", 1773 => x"da397a09", 1774 => x"7c067080", 1775 => x"d4c80b84", 1776 => x"050c5c7a", 1777 => x"105b7a7c", 1778 => x"2685387a", 1779 => x"85b83880", 1780 => x"d4c80b88", 1781 => x"05087084", 1782 => x"1208fc06", 1783 => x"707c317c", 1784 => x"72268f72", 1785 => x"25075757", 1786 => x"5c5d5572", 1787 => x"802e80db", 1788 => x"38797a16", 1789 => x"80d4c008", 1790 => x"1b90115a", 1791 => x"55575b80", 1792 => x"d4bc08ff", 1793 => x"2e8838a0", 1794 => x"8f13e080", 1795 => x"06577652", 1796 => x"7d5187cf", 1797 => x"3f800854", 1798 => x"8008ff2e", 1799 => x"90388008", 1800 => x"76278299", 1801 => x"387480d4", 1802 => x"c82e8291", 1803 => x"3880d4c8", 1804 => x"0b880508", 1805 => x"55841508", 1806 => x"fc06707a", 1807 => x"317a7226", 1808 => x"8f722507", 1809 => x"52555372", 1810 => x"83e63874", 1811 => x"79810784", 1812 => x"170c7916", 1813 => x"7080d4c8", 1814 => x"0b88050c", 1815 => x"75810784", 1816 => x"120c547e", 1817 => x"525786fa", 1818 => x"3f881754", 1819 => x"fae03975", 1820 => x"832a7054", 1821 => x"54807424", 1822 => x"819b3872", 1823 => x"822c8171", 1824 => x"2b80d4cc", 1825 => x"08077080", 1826 => x"d4c80b84", 1827 => x"050c7510", 1828 => x"101080d4", 1829 => x"c8058811", 1830 => x"08585a5d", 1831 => x"53778c18", 1832 => x"0c748818", 1833 => x"0c768819", 1834 => x"0c768c16", 1835 => x"0cfcf339", 1836 => x"797a1010", 1837 => x"1080d4c8", 1838 => x"05705759", 1839 => x"5d8c1508", 1840 => x"5776752e", 1841 => x"a3388417", 1842 => x"08fc0670", 1843 => x"7a315556", 1844 => x"738f2483", 1845 => x"ca387380", 1846 => x"25848138", 1847 => x"8c170857", 1848 => x"76752e09", 1849 => x"8106df38", 1850 => x"8815811b", 1851 => x"70830655", 1852 => x"5b5572c9", 1853 => x"387c8306", 1854 => x"5372802e", 1855 => x"fdb838ff", 1856 => x"1df81959", 1857 => x"5d881808", 1858 => x"782eea38", 1859 => x"fdb53983", 1860 => x"1a53fc96", 1861 => x"39831470", 1862 => x"822c8171", 1863 => x"2b80d4cc", 1864 => x"08077080", 1865 => x"d4c80b84", 1866 => x"050c7610", 1867 => x"101080d4", 1868 => x"c8058811", 1869 => x"08595b5e", 1870 => x"5153fee1", 1871 => x"3980d48c", 1872 => x"08175880", 1873 => x"08762e81", 1874 => x"8d3880d4", 1875 => x"bc08ff2e", 1876 => x"83ec3873", 1877 => x"76311880", 1878 => x"d48c0c73", 1879 => x"87067057", 1880 => x"5372802e", 1881 => x"88388873", 1882 => x"31701555", 1883 => x"5676149f", 1884 => x"ff06a080", 1885 => x"71311770", 1886 => x"547f5357", 1887 => x"5384e43f", 1888 => x"80085380", 1889 => x"08ff2e81", 1890 => x"a03880d4", 1891 => x"8c081670", 1892 => x"80d48c0c", 1893 => x"747580d4", 1894 => x"c80b8805", 1895 => x"0c747631", 1896 => x"18708107", 1897 => x"51555658", 1898 => x"7b80d4c8", 1899 => x"2e839c38", 1900 => x"798f2682", 1901 => x"cb38810b", 1902 => x"84150c84", 1903 => x"1508fc06", 1904 => x"707a317a", 1905 => x"72268f72", 1906 => x"25075255", 1907 => x"5372802e", 1908 => x"fcf93880", 1909 => x"db398008", 1910 => x"9fff0653", 1911 => x"72feeb38", 1912 => x"7780d48c", 1913 => x"0c80d4c8", 1914 => x"0b880508", 1915 => x"7b188107", 1916 => x"84120c55", 1917 => x"80d4b808", 1918 => x"78278638", 1919 => x"7780d4b8", 1920 => x"0c80d4b4", 1921 => x"087827fc", 1922 => x"ac387780", 1923 => x"d4b40c84", 1924 => x"1508fc06", 1925 => x"707a317a", 1926 => x"72268f72", 1927 => x"25075255", 1928 => x"5372802e", 1929 => x"fca53888", 1930 => x"39807454", 1931 => x"56fedb39", 1932 => x"7d5183ae", 1933 => x"3f800b80", 1934 => x"0c8f3d0d", 1935 => x"04735380", 1936 => x"7424a938", 1937 => x"72822c81", 1938 => x"712b80d4", 1939 => x"cc080770", 1940 => x"80d4c80b", 1941 => x"84050c5d", 1942 => x"53778c18", 1943 => x"0c748818", 1944 => x"0c768819", 1945 => x"0c768c16", 1946 => x"0cf9b739", 1947 => x"83147082", 1948 => x"2c81712b", 1949 => x"80d4cc08", 1950 => x"077080d4", 1951 => x"c80b8405", 1952 => x"0c5e5153", 1953 => x"d4397b7b", 1954 => x"065372fc", 1955 => x"a338841a", 1956 => x"7b105c5a", 1957 => x"f139ff1a", 1958 => x"8111515a", 1959 => x"f7b93978", 1960 => x"17798107", 1961 => x"84190c8c", 1962 => x"18088819", 1963 => x"08718c12", 1964 => x"0c88120c", 1965 => x"597080d4", 1966 => x"dc0c7080", 1967 => x"d4d80c80", 1968 => x"d4d00b8c", 1969 => x"120c8c11", 1970 => x"0888120c", 1971 => x"74810784", 1972 => x"120c7411", 1973 => x"75710c51", 1974 => x"53f9bd39", 1975 => x"75178411", 1976 => x"08810784", 1977 => x"120c538c", 1978 => x"17088818", 1979 => x"08718c12", 1980 => x"0c88120c", 1981 => x"587d5181", 1982 => x"e93f8817", 1983 => x"54f5cf39", 1984 => x"7284150c", 1985 => x"f41af806", 1986 => x"70841e08", 1987 => x"81060784", 1988 => x"1e0c701d", 1989 => x"545b850b", 1990 => x"84140c85", 1991 => x"0b88140c", 1992 => x"8f7b27fd", 1993 => x"cf38881c", 1994 => x"527d51ec", 1995 => x"9d3f80d4", 1996 => x"c80b8805", 1997 => x"0880d48c", 1998 => x"085955fd", 1999 => x"b7397780", 2000 => x"d48c0c73", 2001 => x"80d4bc0c", 2002 => x"fc913972", 2003 => x"84150cfd", 2004 => x"a339fc3d", 2005 => x"0d767971", 2006 => x"028c059f", 2007 => x"05335755", 2008 => x"53558372", 2009 => x"278a3874", 2010 => x"83065170", 2011 => x"802ea238", 2012 => x"ff125271", 2013 => x"ff2e9338", 2014 => x"73737081", 2015 => x"055534ff", 2016 => x"125271ff", 2017 => x"2e098106", 2018 => x"ef387480", 2019 => x"0c863d0d", 2020 => x"04747488", 2021 => x"2b750770", 2022 => x"71902b07", 2023 => x"5154518f", 2024 => x"7227a538", 2025 => x"72717084", 2026 => x"05530c72", 2027 => x"71708405", 2028 => x"530c7271", 2029 => x"70840553", 2030 => x"0c727170", 2031 => x"8405530c", 2032 => x"f0125271", 2033 => x"8f26dd38", 2034 => x"83722790", 2035 => x"38727170", 2036 => x"8405530c", 2037 => x"fc125271", 2038 => x"8326f238", 2039 => x"7053ff90", 2040 => x"390404fd", 2041 => x"3d0d800b", 2042 => x"80dd800c", 2043 => x"765184ee", 2044 => x"3f800853", 2045 => x"8008ff2e", 2046 => x"88387280", 2047 => x"0c853d0d", 2048 => x"0480dd80", 2049 => x"08547380", 2050 => x"2ef03875", 2051 => x"74710c52", 2052 => x"72800c85", 2053 => x"3d0d04f9", 2054 => x"3d0d797c", 2055 => x"557b548e", 2056 => x"11227090", 2057 => x"2b70902c", 2058 => x"555780cd", 2059 => x"8c085358", 2060 => x"5683f33f", 2061 => x"80085780", 2062 => x"0b800824", 2063 => x"933880d0", 2064 => x"16088008", 2065 => x"0580d017", 2066 => x"0c76800c", 2067 => x"893d0d04", 2068 => x"8c162283", 2069 => x"dfff0655", 2070 => x"748c1723", 2071 => x"76800c89", 2072 => x"3d0d04fa", 2073 => x"3d0d788c", 2074 => x"11227088", 2075 => x"2a708106", 2076 => x"51575856", 2077 => x"74a9388c", 2078 => x"162283df", 2079 => x"ff065574", 2080 => x"8c17237a", 2081 => x"5479538e", 2082 => x"16227090", 2083 => x"2b70902c", 2084 => x"545680cd", 2085 => x"8c085256", 2086 => x"81b23f88", 2087 => x"3d0d0482", 2088 => x"5480538e", 2089 => x"16227090", 2090 => x"2b70902c", 2091 => x"545680cd", 2092 => x"8c085257", 2093 => x"82b83f8c", 2094 => x"162283df", 2095 => x"ff065574", 2096 => x"8c17237a", 2097 => x"5479538e", 2098 => x"16227090", 2099 => x"2b70902c", 2100 => x"545680cd", 2101 => x"8c085256", 2102 => x"80f23f88", 2103 => x"3d0d04f9", 2104 => x"3d0d797c", 2105 => x"557b548e", 2106 => x"11227090", 2107 => x"2b70902c", 2108 => x"555780cd", 2109 => x"8c085358", 2110 => x"5681f33f", 2111 => x"80085780", 2112 => x"08ff2e99", 2113 => x"388c1622", 2114 => x"a0800755", 2115 => x"748c1723", 2116 => x"800880d0", 2117 => x"170c7680", 2118 => x"0c893d0d", 2119 => x"048c1622", 2120 => x"83dfff06", 2121 => x"55748c17", 2122 => x"2376800c", 2123 => x"893d0d04", 2124 => x"fe3d0d74", 2125 => x"8e112270", 2126 => x"902b7090", 2127 => x"2c555151", 2128 => x"5380cd8c", 2129 => x"0851bd3f", 2130 => x"843d0d04", 2131 => x"fb3d0d80", 2132 => x"0b80dd80", 2133 => x"0c7a5379", 2134 => x"52785182", 2135 => x"fc3f8008", 2136 => x"558008ff", 2137 => x"2e883874", 2138 => x"800c873d", 2139 => x"0d0480dd", 2140 => x"80085675", 2141 => x"802ef038", 2142 => x"7776710c", 2143 => x"5474800c", 2144 => x"873d0d04", 2145 => x"fd3d0d80", 2146 => x"0b80dd80", 2147 => x"0c765184", 2148 => x"c63f8008", 2149 => x"538008ff", 2150 => x"2e883872", 2151 => x"800c853d", 2152 => x"0d0480dd", 2153 => x"80085473", 2154 => x"802ef038", 2155 => x"7574710c", 2156 => x"5272800c", 2157 => x"853d0d04", 2158 => x"fc3d0d80", 2159 => x"0b80dd80", 2160 => x"0c785277", 2161 => x"5186ac3f", 2162 => x"80085480", 2163 => x"08ff2e88", 2164 => x"3873800c", 2165 => x"863d0d04", 2166 => x"80dd8008", 2167 => x"5574802e", 2168 => x"f0387675", 2169 => x"710c5373", 2170 => x"800c863d", 2171 => x"0d04fb3d", 2172 => x"0d800b80", 2173 => x"dd800c7a", 2174 => x"53795278", 2175 => x"5184893f", 2176 => x"80085580", 2177 => x"08ff2e88", 2178 => x"3874800c", 2179 => x"873d0d04", 2180 => x"80dd8008", 2181 => x"5675802e", 2182 => x"f0387776", 2183 => x"710c5474", 2184 => x"800c873d", 2185 => x"0d04fb3d", 2186 => x"0d800b80", 2187 => x"dd800c7a", 2188 => x"53795278", 2189 => x"5182963f", 2190 => x"80085580", 2191 => x"08ff2e88", 2192 => x"3874800c", 2193 => x"873d0d04", 2194 => x"80dd8008", 2195 => x"5675802e", 2196 => x"f0387776", 2197 => x"710c5474", 2198 => x"800c873d", 2199 => x"0d04fe3d", 2200 => x"0d80dcf8", 2201 => x"0851708a", 2202 => x"3880dd84", 2203 => x"7080dcf8", 2204 => x"0c517075", 2205 => x"125252ff", 2206 => x"537087fb", 2207 => x"80802688", 2208 => x"387080dc", 2209 => x"f80c7153", 2210 => x"72800c84", 2211 => x"3d0d04fd", 2212 => x"3d0d800b", 2213 => x"80cd8008", 2214 => x"54547281", 2215 => x"2e9b3873", 2216 => x"80dcfc0c", 2217 => x"c4803fc2", 2218 => x"d73f80dc", 2219 => x"d0528151", 2220 => x"c5c63f80", 2221 => x"085185bb", 2222 => x"3f7280dc", 2223 => x"fc0cc3e6", 2224 => x"3fc2bd3f", 2225 => x"80dcd052", 2226 => x"8151c5ac", 2227 => x"3f800851", 2228 => x"85a13f00", 2229 => x"ff3900ff", 2230 => x"39f53d0d", 2231 => x"7e6080dc", 2232 => x"fc08705b", 2233 => x"585b5b75", 2234 => x"80c23877", 2235 => x"7a25a138", 2236 => x"771b7033", 2237 => x"7081ff06", 2238 => x"58585975", 2239 => x"8a2e9838", 2240 => x"7681ff06", 2241 => x"51c2fe3f", 2242 => x"81185879", 2243 => x"7824e138", 2244 => x"79800c8d", 2245 => x"3d0d048d", 2246 => x"51c2ea3f", 2247 => x"78337081", 2248 => x"ff065257", 2249 => x"c2df3f81", 2250 => x"1858e039", 2251 => x"79557a54", 2252 => x"7d538552", 2253 => x"8d3dfc05", 2254 => x"51c2873f", 2255 => x"80085684", 2256 => x"ab3f7b80", 2257 => x"080c7580", 2258 => x"0c8d3d0d", 2259 => x"04f63d0d", 2260 => x"7d7f80dc", 2261 => x"fc08705b", 2262 => x"585a5a75", 2263 => x"80c13877", 2264 => x"7925b338", 2265 => x"c1fa3f80", 2266 => x"0881ff06", 2267 => x"708d3270", 2268 => x"30709f2a", 2269 => x"51515757", 2270 => x"768a2e80", 2271 => x"c3387580", 2272 => x"2ebe3877", 2273 => x"1a567676", 2274 => x"347651c1", 2275 => x"f83f8118", 2276 => x"58787824", 2277 => x"cf387756", 2278 => x"75800c8c", 2279 => x"3d0d0478", 2280 => x"5579547c", 2281 => x"5384528c", 2282 => x"3dfc0551", 2283 => x"c1943f80", 2284 => x"085683b8", 2285 => x"3f7a8008", 2286 => x"0c75800c", 2287 => x"8c3d0d04", 2288 => x"771a568a", 2289 => x"76348118", 2290 => x"588d51c1", 2291 => x"b83f8a51", 2292 => x"c1b33f77", 2293 => x"56c239fb", 2294 => x"3d0d80dc", 2295 => x"fc087056", 2296 => x"54738838", 2297 => x"74800c87", 2298 => x"3d0d0477", 2299 => x"53835287", 2300 => x"3dfc0551", 2301 => x"c0cc3f80", 2302 => x"085482f0", 2303 => x"3f758008", 2304 => x"0c73800c", 2305 => x"873d0d04", 2306 => x"fa3d0d80", 2307 => x"dcfc0880", 2308 => x"2ea2387a", 2309 => x"55795478", 2310 => x"53865288", 2311 => x"3dfc0551", 2312 => x"c0a03f80", 2313 => x"085682c4", 2314 => x"3f768008", 2315 => x"0c75800c", 2316 => x"883d0d04", 2317 => x"82b63f9d", 2318 => x"0b80080c", 2319 => x"ff0b800c", 2320 => x"883d0d04", 2321 => x"fb3d0d77", 2322 => x"79565680", 2323 => x"70545473", 2324 => x"75259f38", 2325 => x"74101010", 2326 => x"f8055272", 2327 => x"16703370", 2328 => x"742b7607", 2329 => x"8116f816", 2330 => x"56565651", 2331 => x"51747324", 2332 => x"ea387380", 2333 => x"0c873d0d", 2334 => x"04fc3d0d", 2335 => x"76785555", 2336 => x"bc538052", 2337 => x"7351f5ca", 2338 => x"3f845274", 2339 => x"51ffb53f", 2340 => x"80087423", 2341 => x"84528415", 2342 => x"51ffa93f", 2343 => x"80088215", 2344 => x"23845288", 2345 => x"1551ff9c", 2346 => x"3f800884", 2347 => x"150c8452", 2348 => x"8c1551ff", 2349 => x"8f3f8008", 2350 => x"88152384", 2351 => x"52901551", 2352 => x"ff823f80", 2353 => x"088a1523", 2354 => x"84529415", 2355 => x"51fef53f", 2356 => x"80088c15", 2357 => x"23845298", 2358 => x"1551fee8", 2359 => x"3f80088e", 2360 => x"15238852", 2361 => x"9c1551fe", 2362 => x"db3f8008", 2363 => x"90150c86", 2364 => x"3d0d04e9", 2365 => x"3d0d6a80", 2366 => x"dcfc0857", 2367 => x"57759338", 2368 => x"80c0800b", 2369 => x"84180c75", 2370 => x"ac180c75", 2371 => x"800c993d", 2372 => x"0d04893d", 2373 => x"70556a54", 2374 => x"558a5299", 2375 => x"3dffbc05", 2376 => x"51ffbe9e", 2377 => x"3f800877", 2378 => x"53755256", 2379 => x"fecb3fbc", 2380 => x"3f778008", 2381 => x"0c75800c", 2382 => x"993d0d04", 2383 => x"fc3d0d81", 2384 => x"5480dcfc", 2385 => x"08883873", 2386 => x"800c863d", 2387 => x"0d047653", 2388 => x"97b95286", 2389 => x"3dfc0551", 2390 => x"ffbde73f", 2391 => x"8008548c", 2392 => x"3f748008", 2393 => x"0c73800c", 2394 => x"863d0d04", 2395 => x"80cd8c08", 2396 => x"800c04f7", 2397 => x"3d0d7b80", 2398 => x"cd8c0882", 2399 => x"c811085a", 2400 => x"545a7780", 2401 => x"2e80da38", 2402 => x"81881884", 2403 => x"1908ff05", 2404 => x"81712b59", 2405 => x"55598074", 2406 => x"2480ea38", 2407 => x"807424b5", 2408 => x"3873822b", 2409 => x"78118805", 2410 => x"56568180", 2411 => x"19087706", 2412 => x"5372802e", 2413 => x"b6387816", 2414 => x"70085353", 2415 => x"79517408", 2416 => x"53722dff", 2417 => x"14fc17fc", 2418 => x"1779812c", 2419 => x"5a575754", 2420 => x"738025d6", 2421 => x"38770858", 2422 => x"77ffad38", 2423 => x"80cd8c08", 2424 => x"53bc1308", 2425 => x"a5387951", 2426 => x"f9e93f74", 2427 => x"0853722d", 2428 => x"ff14fc17", 2429 => x"fc177981", 2430 => x"2c5a5757", 2431 => x"54738025", 2432 => x"ffa838d1", 2433 => x"398057ff", 2434 => x"93397251", 2435 => x"bc130853", 2436 => x"722d7951", 2437 => x"f9bd3fff", 2438 => x"3d0d80dc", 2439 => x"d80bfc05", 2440 => x"70085252", 2441 => x"70ff2e91", 2442 => x"38702dfc", 2443 => x"12700852", 2444 => x"5270ff2e", 2445 => x"098106f1", 2446 => x"38833d0d", 2447 => x"0404ffbd", 2448 => x"d23f0400", 2449 => x"00000040", 2450 => x"48656c6c", 2451 => x"6f20776f", 2452 => x"726c6421", 2453 => x"00000000", 2454 => x"0a000000", 2455 => x"43000000", 2456 => x"64756d6d", 2457 => x"792e6578", 2458 => x"65000000", 2459 => x"00ffffff", 2460 => x"ff00ffff", 2461 => x"ffff00ff", 2462 => x"ffffff00", 2463 => x"00000000", 2464 => x"00000000", 2465 => x"00000000", 2466 => x"00002e60", 2467 => x"00002690", 2468 => x"00000000", 2469 => x"000028f8", 2470 => x"00002954", 2471 => x"000029b0", 2472 => x"00000000", 2473 => x"00000000", 2474 => x"00000000", 2475 => x"00000000", 2476 => x"00000000", 2477 => x"00000000", 2478 => x"00000000", 2479 => x"00000000", 2480 => x"00000000", 2481 => x"0000265c", 2482 => x"00000000", 2483 => x"00000000", 2484 => x"00000000", 2485 => x"00000000", 2486 => x"00000000", 2487 => x"00000000", 2488 => x"00000000", 2489 => x"00000000", 2490 => x"00000000", 2491 => x"00000000", 2492 => x"00000000", 2493 => x"00000000", 2494 => x"00000000", 2495 => x"00000000", 2496 => x"00000000", 2497 => x"00000000", 2498 => x"00000000", 2499 => x"00000000", 2500 => x"00000000", 2501 => x"00000000", 2502 => x"00000000", 2503 => x"00000000", 2504 => x"00000000", 2505 => x"00000000", 2506 => x"00000000", 2507 => x"00000000", 2508 => x"00000000", 2509 => x"00000000", 2510 => x"00000001", 2511 => x"330eabcd", 2512 => x"1234e66d", 2513 => x"deec0005", 2514 => x"000b0000", 2515 => x"00000000", 2516 => x"00000000", 2517 => x"00000000", 2518 => x"00000000", 2519 => x"00000000", 2520 => x"00000000", 2521 => x"00000000", 2522 => x"00000000", 2523 => x"00000000", 2524 => x"00000000", 2525 => x"00000000", 2526 => x"00000000", 2527 => x"00000000", 2528 => x"00000000", 2529 => x"00000000", 2530 => x"00000000", 2531 => x"00000000", 2532 => x"00000000", 2533 => x"00000000", 2534 => x"00000000", 2535 => x"00000000", 2536 => x"00000000", 2537 => x"00000000", 2538 => x"00000000", 2539 => x"00000000", 2540 => x"00000000", 2541 => x"00000000", 2542 => x"00000000", 2543 => x"00000000", 2544 => x"00000000", 2545 => x"00000000", 2546 => x"00000000", 2547 => x"00000000", 2548 => x"00000000", 2549 => x"00000000", 2550 => x"00000000", 2551 => x"00000000", 2552 => x"00000000", 2553 => x"00000000", 2554 => x"00000000", 2555 => x"00000000", 2556 => x"00000000", 2557 => x"00000000", 2558 => x"00000000", 2559 => x"00000000", 2560 => x"00000000", 2561 => x"00000000", 2562 => x"00000000", 2563 => x"00000000", 2564 => x"00000000", 2565 => x"00000000", 2566 => x"00000000", 2567 => x"00000000", 2568 => x"00000000", 2569 => x"00000000", 2570 => x"00000000", 2571 => x"00000000", 2572 => x"00000000", 2573 => x"00000000", 2574 => x"00000000", 2575 => x"00000000", 2576 => x"00000000", 2577 => x"00000000", 2578 => x"00000000", 2579 => x"00000000", 2580 => x"00000000", 2581 => x"00000000", 2582 => x"00000000", 2583 => x"00000000", 2584 => x"00000000", 2585 => x"00000000", 2586 => x"00000000", 2587 => x"00000000", 2588 => x"00000000", 2589 => x"00000000", 2590 => x"00000000", 2591 => x"00000000", 2592 => x"00000000", 2593 => x"00000000", 2594 => x"00000000", 2595 => x"00000000", 2596 => x"00000000", 2597 => x"00000000", 2598 => x"00000000", 2599 => x"00000000", 2600 => x"00000000", 2601 => x"00000000", 2602 => x"00000000", 2603 => x"00000000", 2604 => x"00000000", 2605 => x"00000000", 2606 => x"00000000", 2607 => x"00000000", 2608 => x"00000000", 2609 => x"00000000", 2610 => x"00000000", 2611 => x"00000000", 2612 => x"00000000", 2613 => x"00000000", 2614 => x"00000000", 2615 => x"00000000", 2616 => x"00000000", 2617 => x"00000000", 2618 => x"00000000", 2619 => x"00000000", 2620 => x"00000000", 2621 => x"00000000", 2622 => x"00000000", 2623 => x"00000000", 2624 => x"00000000", 2625 => x"00000000", 2626 => x"00000000", 2627 => x"00000000", 2628 => x"00000000", 2629 => x"00000000", 2630 => x"00000000", 2631 => x"00000000", 2632 => x"00000000", 2633 => x"00000000", 2634 => x"00000000", 2635 => x"00000000", 2636 => x"00000000", 2637 => x"00000000", 2638 => x"00000000", 2639 => x"00000000", 2640 => x"00000000", 2641 => x"00000000", 2642 => x"00000000", 2643 => x"00000000", 2644 => x"00000000", 2645 => x"00000000", 2646 => x"00000000", 2647 => x"00000000", 2648 => x"00000000", 2649 => x"00000000", 2650 => x"00000000", 2651 => x"00000000", 2652 => x"00000000", 2653 => x"00000000", 2654 => x"00000000", 2655 => x"00000000", 2656 => x"00000000", 2657 => x"00000000", 2658 => x"00000000", 2659 => x"00000000", 2660 => x"00000000", 2661 => x"00000000", 2662 => x"00000000", 2663 => x"00000000", 2664 => x"00000000", 2665 => x"00000000", 2666 => x"00000000", 2667 => x"00000000", 2668 => x"00000000", 2669 => x"00000000", 2670 => x"00000000", 2671 => x"00000000", 2672 => x"00000000", 2673 => x"00000000", 2674 => x"00000000", 2675 => x"00000000", 2676 => x"00000000", 2677 => x"00000000", 2678 => x"00000000", 2679 => x"00000000", 2680 => x"00000000", 2681 => x"00000000", 2682 => x"00000000", 2683 => x"00000000", 2684 => x"00000000", 2685 => x"00000000", 2686 => x"00000000", 2687 => x"00000000", 2688 => x"00000000", 2689 => x"00000000", 2690 => x"00000000", 2691 => x"00000000", 2692 => x"00000000", 2693 => x"00000000", 2694 => x"00000000", 2695 => x"00000000", 2696 => x"00000000", 2697 => x"00000000", 2698 => x"00000000", 2699 => x"00000000", 2700 => x"00000000", 2701 => x"00000000", 2702 => x"00000000", 2703 => x"ffffffff", 2704 => x"00000000", 2705 => x"00020000", 2706 => x"00000000", 2707 => x"00000000", 2708 => x"00002a48", 2709 => x"00002a48", 2710 => x"00002a50", 2711 => x"00002a50", 2712 => x"00002a58", 2713 => x"00002a58", 2714 => x"00002a60", 2715 => x"00002a60", 2716 => x"00002a68", 2717 => x"00002a68", 2718 => x"00002a70", 2719 => x"00002a70", 2720 => x"00002a78", 2721 => x"00002a78", 2722 => x"00002a80", 2723 => x"00002a80", 2724 => x"00002a88", 2725 => x"00002a88", 2726 => x"00002a90", 2727 => x"00002a90", 2728 => x"00002a98", 2729 => x"00002a98", 2730 => x"00002aa0", 2731 => x"00002aa0", 2732 => x"00002aa8", 2733 => x"00002aa8", 2734 => x"00002ab0", 2735 => x"00002ab0", 2736 => x"00002ab8", 2737 => x"00002ab8", 2738 => x"00002ac0", 2739 => x"00002ac0", 2740 => x"00002ac8", 2741 => x"00002ac8", 2742 => x"00002ad0", 2743 => x"00002ad0", 2744 => x"00002ad8", 2745 => x"00002ad8", 2746 => x"00002ae0", 2747 => x"00002ae0", 2748 => x"00002ae8", 2749 => x"00002ae8", 2750 => x"00002af0", 2751 => x"00002af0", 2752 => x"00002af8", 2753 => x"00002af8", 2754 => x"00002b00", 2755 => x"00002b00", 2756 => x"00002b08", 2757 => x"00002b08", 2758 => x"00002b10", 2759 => x"00002b10", 2760 => x"00002b18", 2761 => x"00002b18", 2762 => x"00002b20", 2763 => x"00002b20", 2764 => x"00002b28", 2765 => x"00002b28", 2766 => x"00002b30", 2767 => x"00002b30", 2768 => x"00002b38", 2769 => x"00002b38", 2770 => x"00002b40", 2771 => x"00002b40", 2772 => x"00002b48", 2773 => x"00002b48", 2774 => x"00002b50", 2775 => x"00002b50", 2776 => x"00002b58", 2777 => x"00002b58", 2778 => x"00002b60", 2779 => x"00002b60", 2780 => x"00002b68", 2781 => x"00002b68", 2782 => x"00002b70", 2783 => x"00002b70", 2784 => x"00002b78", 2785 => x"00002b78", 2786 => x"00002b80", 2787 => x"00002b80", 2788 => x"00002b88", 2789 => x"00002b88", 2790 => x"00002b90", 2791 => x"00002b90", 2792 => x"00002b98", 2793 => x"00002b98", 2794 => x"00002ba0", 2795 => x"00002ba0", 2796 => x"00002ba8", 2797 => x"00002ba8", 2798 => x"00002bb0", 2799 => x"00002bb0", 2800 => x"00002bb8", 2801 => x"00002bb8", 2802 => x"00002bc0", 2803 => x"00002bc0", 2804 => x"00002bc8", 2805 => x"00002bc8", 2806 => x"00002bd0", 2807 => x"00002bd0", 2808 => x"00002bd8", 2809 => x"00002bd8", 2810 => x"00002be0", 2811 => x"00002be0", 2812 => x"00002be8", 2813 => x"00002be8", 2814 => x"00002bf0", 2815 => x"00002bf0", 2816 => x"00002bf8", 2817 => x"00002bf8", 2818 => x"00002c00", 2819 => x"00002c00", 2820 => x"00002c08", 2821 => x"00002c08", 2822 => x"00002c10", 2823 => x"00002c10", 2824 => x"00002c18", 2825 => x"00002c18", 2826 => x"00002c20", 2827 => x"00002c20", 2828 => x"00002c28", 2829 => x"00002c28", 2830 => x"00002c30", 2831 => x"00002c30", 2832 => x"00002c38", 2833 => x"00002c38", 2834 => x"00002c40", 2835 => x"00002c40", 2836 => x"00002c48", 2837 => x"00002c48", 2838 => x"00002c50", 2839 => x"00002c50", 2840 => x"00002c58", 2841 => x"00002c58", 2842 => x"00002c60", 2843 => x"00002c60", 2844 => x"00002c68", 2845 => x"00002c68", 2846 => x"00002c70", 2847 => x"00002c70", 2848 => x"00002c78", 2849 => x"00002c78", 2850 => x"00002c80", 2851 => x"00002c80", 2852 => x"00002c88", 2853 => x"00002c88", 2854 => x"00002c90", 2855 => x"00002c90", 2856 => x"00002c98", 2857 => x"00002c98", 2858 => x"00002ca0", 2859 => x"00002ca0", 2860 => x"00002ca8", 2861 => x"00002ca8", 2862 => x"00002cb0", 2863 => x"00002cb0", 2864 => x"00002cb8", 2865 => x"00002cb8", 2866 => x"00002cc0", 2867 => x"00002cc0", 2868 => x"00002cc8", 2869 => x"00002cc8", 2870 => x"00002cd0", 2871 => x"00002cd0", 2872 => x"00002cd8", 2873 => x"00002cd8", 2874 => x"00002ce0", 2875 => x"00002ce0", 2876 => x"00002ce8", 2877 => x"00002ce8", 2878 => x"00002cf0", 2879 => x"00002cf0", 2880 => x"00002cf8", 2881 => x"00002cf8", 2882 => x"00002d00", 2883 => x"00002d00", 2884 => x"00002d08", 2885 => x"00002d08", 2886 => x"00002d10", 2887 => x"00002d10", 2888 => x"00002d18", 2889 => x"00002d18", 2890 => x"00002d20", 2891 => x"00002d20", 2892 => x"00002d28", 2893 => x"00002d28", 2894 => x"00002d30", 2895 => x"00002d30", 2896 => x"00002d38", 2897 => x"00002d38", 2898 => x"00002d40", 2899 => x"00002d40", 2900 => x"00002d48", 2901 => x"00002d48", 2902 => x"00002d50", 2903 => x"00002d50", 2904 => x"00002d58", 2905 => x"00002d58", 2906 => x"00002d60", 2907 => x"00002d60", 2908 => x"00002d68", 2909 => x"00002d68", 2910 => x"00002d70", 2911 => x"00002d70", 2912 => x"00002d78", 2913 => x"00002d78", 2914 => x"00002d80", 2915 => x"00002d80", 2916 => x"00002d88", 2917 => x"00002d88", 2918 => x"00002d90", 2919 => x"00002d90", 2920 => x"00002d98", 2921 => x"00002d98", 2922 => x"00002da0", 2923 => x"00002da0", 2924 => x"00002da8", 2925 => x"00002da8", 2926 => x"00002db0", 2927 => x"00002db0", 2928 => x"00002db8", 2929 => x"00002db8", 2930 => x"00002dc0", 2931 => x"00002dc0", 2932 => x"00002dc8", 2933 => x"00002dc8", 2934 => x"00002dd0", 2935 => x"00002dd0", 2936 => x"00002dd8", 2937 => x"00002dd8", 2938 => x"00002de0", 2939 => x"00002de0", 2940 => x"00002de8", 2941 => x"00002de8", 2942 => x"00002df0", 2943 => x"00002df0", 2944 => x"00002df8", 2945 => x"00002df8", 2946 => x"00002e00", 2947 => x"00002e00", 2948 => x"00002e08", 2949 => x"00002e08", 2950 => x"00002e10", 2951 => x"00002e10", 2952 => x"00002e18", 2953 => x"00002e18", 2954 => x"00002e20", 2955 => x"00002e20", 2956 => x"00002e28", 2957 => x"00002e28", 2958 => x"00002e30", 2959 => x"00002e30", 2960 => x"00002e38", 2961 => x"00002e38", 2962 => x"00002e40", 2963 => x"00002e40", 2964 => x"00002660", 2965 => x"ffffffff", 2966 => x"00000000", 2967 => x"ffffffff", 2968 => x"00000000", 2969 => x"00000000", others => x"00000000" ); begin do_port_a: process (clk_i) variable iaddr : integer; begin if rising_edge(clk_i) then if (a_we_i='1') and (b_we_i='1') and (a_addr_i=b_addr_i) and (a_write_i/=b_write_i) then report "DualPortRAM write collision" severity failure; end if; iaddr:=to_integer(a_addr_i); if a_we_i='1' then ram(iaddr):=a_write_i; a_read_o <= a_write_i; else a_read_o <= ram(iaddr); end if; end if; end process do_port_a; do_port_b: process (clk_i) variable iaddr : integer; begin if rising_edge(clk_i) then iaddr:=to_integer(b_addr_i); if b_we_i='1' then ram(iaddr):=b_write_i; b_read_o <= b_write_i; else b_read_o <= ram(iaddr); end if; end if; end process do_port_b; end architecture DualPort_Arch; -- Entity: DualPortRAM
bsd-3-clause
03ce558517f45692341c5d653c2adf8b
0.549304
2.316939
false
false
false
false
seiken-chuouniv/ecorun
ecorun_fi_hardware/fi_timer/FiTimer/Main.vhd
1
3,045
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 18:33:37 03/14/2015 -- Design Name: -- Module Name: Main - RTL -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; use ieee.numeric_std.all; -- spec -- ‹Ÿ‹‹ƒNƒƒbƒN : 50kHz -- •¬ŽË¸“x : 0.02ms -- Å‘啬ŽË‰Â”\ŽžŠÔ : 5.1ms -- ŒŸo‰Â”\Å’á‰ñ“]” : 1700rpm entity Main is port( clk : in std_logic; enable : in std_logic; timing_pulse : in std_logic; fi_pulse : out std_logic; sck: in std_logic; ssel: in std_logic; mosi : in std_logic; miso : out std_logic; iac_pulse : in std_logic := '0'; iac_clockwise : in std_logic := '0'; iac_out : out std_logic_vector(7 downto 0) := (others => '0'); cpu_con : inout std_logic_vector(1 downto 0) := (others => '0')); end Main; architecture RTL of Main is component SerialReceiver port( clk : in std_logic; rx : in std_logic; data : out std_logic_vector(7 downto 0) ); end component; component SerialSender port( clk : in std_logic; tx : out std_logic; data : in std_logic_vector(7 downto 0); send : in std_logic; sending : out std_logic := '0' ); end component; component PulseTimer port( clk : in std_logic; enable : in std_logic; start : in std_logic; match : in std_logic_vector(7 downto 0); pulse : out std_logic ); end component; component Stopwatch port( clk : in std_logic; stamp_and_reset : in std_logic; time_stamp : out std_logic_vector(7 downto 0) ); end component; component SPISlave port( sck : in std_logic; mosi : in std_logic; miso : out std_logic; ssel : in std_logic; in_data : in std_logic_vector(7 downto 0); out_data : out std_logic_vector(7 downto 0) ); end component; component Stepper port( iac_pulse : in std_logic := '0'; iac_clockwise : in std_logic := '0'; iac_out : out std_logic_vector(7 downto 0) := (others => '0') ); end component; signal counter_match : std_logic_vector(7 downto 0) := (others => '0'); signal time_stamp : std_logic_vector(7 downto 0); begin --cpu_con(0) <= timing_pulse; st: Stepper port map ( iac_pulse => iac_pulse, iac_clockwise => iac_clockwise, iac_out => iac_out ); sw: Stopwatch port map ( clk => clk, stamp_and_reset => timing_pulse, time_stamp => time_stamp ); spi: SPISlave port map ( sck => sck, mosi => mosi, miso => miso, ssel => ssel, out_data => counter_match, in_data => time_stamp --in_data => std_logic_vector(to_unsigned(123, 8)) ); fi_pulse_gen: PulseTimer port map ( clk => clk, enable => enable, start => timing_pulse, match => counter_match, pulse => fi_pulse ); end RTL;
bsd-3-clause
ccce251f3101d42af0bb51d78026c2a6
0.579967
2.793578
false
false
false
false
ILoveSpeccy/Aeon-Lite
cores/lvov-pk02-mips/src/Latches And Flip Flops/D Flip Flop.vhd
1
541
library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity D_Flip_Flop is port ( rst : in std_logic; pre : in std_logic; ce : in std_logic; d : in std_logic; q : out std_logic ); end entity D_Flip_Flop; architecture Behavioral of D_Flip_Flop is begin process (ce, rst, pre) is begin if rising_edge(ce) then q <= d; end if; if (rst='1') then q <= '0'; elsif (pre='1') then q <= '1'; end if; end process; end architecture Behavioral;
gpl-3.0
4a45d466834750e9a2b50d80e1147dc1
0.530499
3.319018
false
false
false
false
sonologic/gmzpu
vhdl/testbenches/zwishbone_tb.vhdl
1
7,086
------------------------------------------------------------------------------ ---- ---- ---- zwishbone testbench ---- ---- ---- ---- http://github.com/sonologic/gmzpu ---- ---- ---- ---- Description: ---- ---- This is the testbench for the gmZPU core ---- ---- ---- ---- To Do: ---- ---- - ---- ---- ---- ---- Author: ---- ---- - Salvador E. Tropea, salvador inti.gob.ar ---- ---- - "Koen Martens" <gmc sonologic.nl> ---- ---- ---- ------------------------------------------------------------------------------ ---- ---- ---- Copyright (c) 2008 Salvador E. Tropea <salvador inti.gob.ar> ---- ---- Copyright (c) 2008 Instituto Nacional de Tecnología Industrial ---- ---- Copyright (c) 2014 Koen Martens ---- ---- ---- ---- Distributed under the BSD license ---- ---- ---- ------------------------------------------------------------------------------ ---- ---- ---- Design unit: zwishbone_TB ---- ---- File name: gmzpu_tb.vhdl ---- ---- Note: None ---- ---- Limitations: None known ---- ---- Errors: None known ---- ---- Library: zpu ---- ---- Dependencies: IEEE.std_logic_1164 ---- ---- IEEE.numeric_std ---- ---- Target FPGA: n/a ---- ---- Language: VHDL ---- ---- Wishbone: No ---- ---- Synthesis tools: Modelsim ---- ---- Simulation tools: Modelsim ---- ---- Text editor: vim ---- ---- ---- ------------------------------------------------------------------------------ library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library gmzpu; use gmzpu.zwishbone; entity zwishbone_TB is end entity zwishbone_TB; architecture Behave of zwishbone_TB is constant CLK_FREQ : positive:=50; -- 50 MHz clock constant CLK_S_PER : time:=1 us/(2.0*real(CLK_FREQ)); -- Clock semi period component zwishbone_c_regs is generic( ADR_WIDTH : natural:=16; DATA_WIDTH : natural:=32 ); port ( clk_i : in std_logic; rst_i : in std_logic; -- c_decode en_i : in std_logic; we_i : in std_logic; adr_i : in unsigned(ADR_WIDTH-1 downto 0); dat_i : in unsigned(DATA_WIDTH-1 downto 0); dat_o : out unsigned(DATA_WIDTH-1 downto 0); -- config register value (0x0000, for c_control) cfg_o : out unsigned(DATA_WIDTH-1 downto 0) ); end component zwishbone_c_regs; signal clk : std_logic; signal reset : std_logic:='1'; signal break : std_logic; signal enable : std_logic; signal we : std_logic; signal adr : unsigned(15 downto 0); signal dat_o : unsigned(31 downto 0); signal dat_i : unsigned(31 downto 0); signal cfg : unsigned(31 downto 0); begin c_regs : zwishbone_c_regs generic map( ADR_WIDTH => 16, DATA_WIDTH => 32 ) port map( clk_i => clk, rst_i => reset, en_i => enable, we_i => we, adr_i => adr, dat_i => dat_o, dat_o => dat_i, cfg_o => cfg ); do_clock: process begin clk <= '0'; wait for CLK_S_PER; clk <= '1'; wait for CLK_S_PER; end process do_clock; do_reset: process begin wait until rising_edge(clk); reset <= '0'; end process do_reset; do_test: process(clk) variable state : integer:=0; begin if rising_edge(clk) then if reset='1' then adr <= (others => '0'); dat_o <= (others => '0'); enable <= '0'; we <= '0'; else case state is when 0 => adr <= (others => '0'); dat_o <= to_unsigned(2, dat_o'length); we <= '1'; enable <= '1'; state := state + 1; when 1 => adr <= (others => '0'); dat_o <= to_unsigned(2, dat_o'length); we <= '1'; enable <= '1'; state := state + 1; when 2 => we <= '0'; enable <= '0'; state := state + 1; when 3 => we <= '0'; enable <= '0'; state := state + 1; when 4 => adr <= (others => '0'); enable <= '1'; state := state + 1; when 5 => adr <= (others => '0'); enable <= '1'; state := state +1; when others => state := state + 1; end case; end if; end if; end process do_test; end architecture Behave; -- Entity: zwishbone_TB
bsd-3-clause
b4b8dc34a0a3344e91131f24cca4f58d
0.282388
5.686998
false
false
false
false
ComputerArchitectureGroupPWr/SimulationCore
src/ClockGenerator.vhd
1
722
library IEEE; use IEEE.STD_LOGIC_1164.ALL; Library UNISIM; use UNISIM.vcomponents.all; entity ClockGenerator is Port ( clk100Mhz : in STD_LOGIC; reset : in STD_LOGIC; clk50Mhz : out STD_LOGIC); end ClockGenerator; architecture Behavioral of ClockGenerator is begin DCM_SP_inst : DCM_BASE generic map ( CLKDV_DIVIDE => 4.0, -- CLKDV divide value CLK_FEEDBACK => "NONE" -- Specify clock feedback of NONE or 1X ) port map ( CLKIN => clk100Mhz, -- 1-bit output: 0 degree clock output CLKDV => clk50Mhz, -- 1-bit output: Divided clock output RST => reset -- 1-bit input: Active high reset input ); end Behavioral;
mit
325a8a557b3bce22df24494a4562d9de
0.623269
3.820106
false
false
false
false
sonologic/gmzpu
vhdl/zpu_pkg.vhdl
1
15,392
------------------------------------------------------------------------------ ---- ---- ---- ZPU Package ---- ---- ---- ---- http://www.opencores.org/ ---- ---- ---- ---- Description: ---- ---- ZPU is a 32 bits small stack cpu. This is the package. ---- ---- ---- ---- To Do: ---- ---- - ---- ---- ---- ---- Author: ---- ---- - Øyvind Harboe, oyvind.harboe zylin.com ---- ---- - Salvador E. Tropea, salvador inti.gob.ar ---- ---- ---- ------------------------------------------------------------------------------ ---- ---- ---- Copyright (c) 2008 Øyvind Harboe <oyvind.harboe zylin.com> ---- ---- Copyright (c) 2008 Salvador E. Tropea <salvador inti.gob.ar> ---- ---- Copyright (c) 2008 Instituto Nacional de Tecnología Industrial ---- ---- ---- ---- Distributed under the BSD license ---- ---- ---- ------------------------------------------------------------------------------ ---- ---- ---- Design unit: zpupkg, UART (Package) ---- ---- File name: zpu_medium.vhdl ---- ---- Note: None ---- ---- Limitations: None known ---- ---- Errors: None known ---- ---- Library: zpu ---- ---- Dependencies: IEEE.std_logic_1164 ---- ---- IEEE.numeric_std ---- ---- Target FPGA: Spartan 3 (XC3S400-4-FT256) ---- ---- Language: VHDL ---- ---- Wishbone: No ---- ---- Synthesis tools: Xilinx Release 9.2.03i - xst J.39 ---- ---- Simulation tools: GHDL [Sokcho edition] (0.2x) ---- ---- Text editor: SETEdit 0.5.x ---- ---- ---- ------------------------------------------------------------------------------ library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; package zpupkg is constant OPCODE_W : integer:=8; -- Debug structure, currently only for the trace module type zpu_dbgo_t is record b_inst : std_logic; opcode : unsigned(OPCODE_W-1 downto 0); pc : unsigned(31 downto 0); sp : unsigned(31 downto 0); stk_a : unsigned(31 downto 0); stk_b : unsigned(31 downto 0); end record; component Trace is generic( LOG_FILE : string:="trace.txt"; -- Name of the trace file ADDR_W : integer:=16; -- Address width WORD_SIZE : integer:=32); -- 16/32 port( clk_i : in std_logic; dbg_i : in zpu_dbgo_t; stop_i : in std_logic; busy_i : in std_logic ); end component Trace; component ZPUSmallCore is generic( WORD_SIZE : integer:=32; -- Data width 16/32 ADDR_W : integer:=16; -- Total address space width (incl. I/O) MEM_W : integer:=15; -- Memory (prog+data+stack) width D_CARE_VAL : std_logic:='X'); -- Value used to fill the unsused bits port( clk_i : in std_logic; -- System Clock reset_i : in std_logic; -- Synchronous Reset interrupt_i : in std_logic; -- Interrupt break_o : out std_logic; -- Breakpoint opcode executed dbg_o : out zpu_dbgo_t; -- Debug outputs (i.e. trace log) -- BRAM (text, data, bss and stack) a_we_o : out std_logic; -- BRAM A port Write Enable a_addr_o : out unsigned(MEM_W-1 downto WORD_SIZE/16):=(others => '0'); -- BRAM A Address a_o : out unsigned(WORD_SIZE-1 downto 0):=(others => '0'); -- Data to BRAM A port a_i : in unsigned(WORD_SIZE-1 downto 0); -- Data from BRAM A port b_we_o : out std_logic; -- BRAM B port Write Enable b_addr_o : out unsigned(MEM_W-1 downto WORD_SIZE/16):=(others => '0'); -- BRAM B Address b_o : out unsigned(WORD_SIZE-1 downto 0):=(others => '0'); -- Data to BRAM B port b_i : in unsigned(WORD_SIZE-1 downto 0); -- Data from BRAM B port -- Memory mapped I/O mem_busy_i : in std_logic; data_i : in unsigned(WORD_SIZE-1 downto 0); data_o : out unsigned(WORD_SIZE-1 downto 0); addr_o : out unsigned(ADDR_W-1 downto 0); write_en_o : out std_logic; read_en_o : out std_logic); end component ZPUSmallCore; component ZPUMediumCore is generic( WORD_SIZE : integer:=32; -- Data width 16/32 ADDR_W : integer:=16; -- Total address space width (incl. I/O) MEM_W : integer:=15; -- Memory (prog+data+stack) width D_CARE_VAL : std_logic:='X'; -- Value used to fill the unsused bits MULT_PIPE : boolean:=false; -- Pipeline multiplication BINOP_PIPE : integer range 0 to 2:=0; -- Pipeline binary operations (-, =, < and <=) ENA_LEVEL0 : boolean:=true; -- eq, loadb, neqbranch and pushspadd ENA_LEVEL1 : boolean:=true; -- lessthan, ulessthan, mult, storeb, callpcrel and sub ENA_LEVEL2 : boolean:=false; -- lessthanorequal, ulessthanorequal, call and poppcrel ENA_LSHR : boolean:=true; -- lshiftright ENA_IDLE : boolean:=false; -- Enable the enable_i input FAST_FETCH : boolean:=true); -- Merge the st_fetch with the st_execute states port( clk_i : in std_logic; -- CPU Clock reset_i : in std_logic; -- Sync Reset interrupt_i : in std_logic; -- Interrupt enable_i : in std_logic; -- Hold the CPU (after reset) break_o : out std_logic; -- Break instruction executed dbg_o : out zpu_dbgo_t; -- Debug outputs (i.e. trace log) -- Memory interface mem_busy_i : in std_logic; -- Memory is busy data_i : in unsigned(WORD_SIZE-1 downto 0); -- Data from mem data_o : out unsigned(WORD_SIZE-1 downto 0); -- Data to mem addr_o : out unsigned(ADDR_W-1 downto 0); -- Memory address write_en_o : out std_logic; -- Memory write enable read_en_o : out std_logic); -- Memory read enable end component ZPUMediumCore; component Timer is port( clk_i : in std_logic; reset_i : in std_logic; we_i : in std_logic; data_i : in unsigned(31 downto 0); addr_i : in unsigned(0 downto 0); data_o : out unsigned(31 downto 0)); end component Timer; component gpio is port( clk_i : in std_logic; reset_i : in std_logic; -- we_i : in std_logic; data_i : in unsigned(31 downto 0); addr_i : in unsigned( 0 downto 0); data_o : out unsigned(31 downto 0); -- port_in : in std_logic_vector(31 downto 0); port_out : out std_logic_vector(31 downto 0); port_dir : out std_logic_vector(31 downto 0) ); end component gpio; component ZPUPhiIO is generic( BRDIVISOR : positive:=1; -- Baud rate divisor i.e. br_clk/9600/4 ENA_LOG : boolean:=true; -- Enable log LOG_FILE : string:="log.txt"); -- Name for the log file port( clk_i : in std_logic; -- System Clock reset_i : in std_logic; -- Synchronous Reset busy_o : out std_logic; -- I/O is busy we_i : in std_logic; -- Write Enable re_i : in std_logic; -- Read Enable data_i : in unsigned(31 downto 0); data_o : out unsigned(31 downto 0); addr_i : in unsigned(2 downto 0); -- Address bits 4-2 -- rs232_rx_i : in std_logic; -- UART Rx input rs232_tx_o : out std_logic; -- UART Tx output br_clk_i : in std_logic; -- UART base clock (enable) -- gpio_in : in std_logic_vector(31 downto 0); gpio_out : out std_logic_vector(31 downto 0); gpio_dir : out std_logic_vector(31 downto 0) ); end component ZPUPhiIO; -- Opcode decode constants -- Note: these are the basic opcodes, always implemented using hardware. constant OPCODE_IM : unsigned(7 downto 7):="1"; constant OPCODE_STORESP : unsigned(7 downto 5):="010"; constant OPCODE_LOADSP : unsigned(7 downto 5):="011"; constant OPCODE_EMULATE : unsigned(7 downto 5):="001"; constant OPCODE_ADDSP : unsigned(7 downto 4):="0001"; constant OPCODE_SHORT : unsigned(7 downto 4):="0000"; constant OPCODE_BREAK : unsigned(3 downto 0):="0000"; constant OPCODE_SHIFTLEFT : unsigned(3 downto 0):="0001"; constant OPCODE_PUSHSP : unsigned(3 downto 0):="0010"; constant OPCODE_PUSHINT : unsigned(3 downto 0):="0011"; constant OPCODE_POPPC : unsigned(3 downto 0):="0100"; constant OPCODE_ADD : unsigned(3 downto 0):="0101"; constant OPCODE_AND : unsigned(3 downto 0):="0110"; constant OPCODE_OR : unsigned(3 downto 0):="0111"; constant OPCODE_LOAD : unsigned(3 downto 0):="1000"; constant OPCODE_NOT : unsigned(3 downto 0):="1001"; constant OPCODE_FLIP : unsigned(3 downto 0):="1010"; constant OPCODE_NOP : unsigned(3 downto 0):="1011"; constant OPCODE_STORE : unsigned(3 downto 0):="1100"; constant OPCODE_POPSP : unsigned(3 downto 0):="1101"; constant OPCODE_COMPARE : unsigned(3 downto 0):="1110"; constant OPCODE_POPINT : unsigned(3 downto 0):="1111"; -- The following instructions are emulated in the small version and -- implemented as hardware in the full version. -- The constants correpond to the "emulated" instruction number. -- Enabled by the ENA_LEVEL0 generic: constant OPCODE_EQ : unsigned(5 downto 0):=to_unsigned(46,6); constant OPCODE_LOADB : unsigned(5 downto 0):=to_unsigned(51,6); constant OPCODE_NEQBRANCH : unsigned(5 downto 0):=to_unsigned(56,6); constant OPCODE_PUSHSPADD : unsigned(5 downto 0):=to_unsigned(61,6); -- Enabled by the ENA_LEVEL1 generic: constant OPCODE_LESSTHAN : unsigned(5 downto 0):=to_unsigned(36,6); constant OPCODE_ULESSTHAN : unsigned(5 downto 0):=to_unsigned(38,6); constant OPCODE_MULT : unsigned(5 downto 0):=to_unsigned(41,6); constant OPCODE_STOREB : unsigned(5 downto 0):=to_unsigned(52,6); constant OPCODE_CALLPCREL : unsigned(5 downto 0):=to_unsigned(63,6); constant OPCODE_SUB : unsigned(5 downto 0):=to_unsigned(49,6); -- Enabled by the ENA_LEVEL2 generic: constant OPCODE_LESSTHANOREQUAL : unsigned(5 downto 0):=to_unsigned(37,6); constant OPCODE_ULESSTHANOREQUAL : unsigned(5 downto 0):=to_unsigned(39,6); constant OPCODE_CALL : unsigned(5 downto 0):=to_unsigned(45,6); constant OPCODE_POPPCREL : unsigned(5 downto 0):=to_unsigned(57,6); -- Enabled by the ENA_LSHR generic: constant OPCODE_LSHIFTRIGHT : unsigned(5 downto 0):=to_unsigned(42,6); -- The following opcodes are always emulated. constant OPCODE_LOADH : unsigned(5 downto 0):=to_unsigned(34,6); constant OPCODE_STOREH : unsigned(5 downto 0):=to_unsigned(35,6); constant OPCODE_ASHIFTLEFT : unsigned(5 downto 0):=to_unsigned(43,6); constant OPCODE_ASHIFTRIGHT : unsigned(5 downto 0):=to_unsigned(44,6); constant OPCODE_NEQ : unsigned(5 downto 0):=to_unsigned(47,6); constant OPCODE_NEG : unsigned(5 downto 0):=to_unsigned(48,6); constant OPCODE_XOR : unsigned(5 downto 0):=to_unsigned(50,6); constant OPCODE_DIV : unsigned(5 downto 0):=to_unsigned(53,6); constant OPCODE_MOD : unsigned(5 downto 0):=to_unsigned(54,6); constant OPCODE_EQBRANCH : unsigned(5 downto 0):=to_unsigned(55,6); constant OPCODE_CONFIG : unsigned(5 downto 0):=to_unsigned(58,6); constant OPCODE_PUSHPC : unsigned(5 downto 0):=to_unsigned(59,6); end package zpupkg; library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; package UART is ---------------------- -- Very simple UART -- ---------------------- component RxUnit is port( clk_i : in std_logic; -- System clock signal reset_i : in std_logic; -- Reset input (sync) enable_i : in std_logic; -- Enable input (rate*4) read_i : in std_logic; -- Received Byte Read rxd_i : in std_logic; -- RS-232 data input rxav_o : out std_logic; -- Byte available datao_o : out std_logic_vector(7 downto 0)); -- Byte received end component RxUnit; component TxUnit is port ( clk_i : in std_logic; -- Clock signal reset_i : in std_logic; -- Reset input enable_i : in std_logic; -- Enable input load_i : in std_logic; -- Load input txd_o : out std_logic; -- RS-232 data output busy_o : out std_logic; -- Tx Busy datai_i : in std_logic_vector(7 downto 0)); -- Byte to transmit end component TxUnit; component BRGen is generic( COUNT : integer range 0 to 65535);-- Count revolution port ( clk_i : in std_logic; -- Clock reset_i : in std_logic; -- Reset input ce_i : in std_logic; -- Chip Enable o_o : out std_logic); -- Output end component BRGen; end package UART;
bsd-3-clause
d037ea040995615e5886d89ec13b252e
0.479535
4.095796
false
false
false
false
benjmarshall/hls_scratchpad
hls_cmd_line_testing/hls_gui_proj/hls_sin_proj/solution1/.autopilot/db/ip_tmp/prjsrcs/sources_1/ip/sin_taylor_series_ap_sitodp_4_no_dsp_32/hdl/xbip_pipe_v3_0_vh_rfs.vhd
20
30,077
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2015" `protect key_keyowner = "Cadence Design Systems.", key_keyname = "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block N0hMcXbI5hCFMXvbzZaWNMXky7Cb78UlPrOh26mC4IyomLPXkDt4pohvBi74RwhMjj/Bp6A1/EjU BW4AL9d6yw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname = "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block O4cgU289ETKimPPpC1lQoWfngvpNmR5tUZAEw+00K8UK2gEeqXn1hb3g7AZENGEwMii7hns4XQy8 DXQ5xw0Yp1Lt5kPvabj5mKM1bMdX8dvR9NHP3g1Qjd7okAVBl07/JG0NTnpHDOfWPgdIKiG5gomz /inOtmJ9dyw3SQwornQ= `protect key_keyowner = "Synopsys", key_keyname = "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block DU+IJVy0UCp9Ru4O1AHH4hAsURQvG4KWjfdJuBdXBn/Aw7vf76lLrDggWEsh/tDsD2w8gcTI1KZj gte8Qz0RBjJA/tV/Q7C3IGP9sKs04WbpHeToWiLkJhGVSOi1cfBwcXqun7kk3rw8tbtRvnn4LLnQ VVSnOUM0P3u3t9b+354= `protect key_keyowner = "Aldec", key_keyname = "ALDEC15_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block VU2OWBPAFdMWY9YsdLW9vHBQultKfSyqJgSm8GFxf210g4AV7503RY1sTzcwbpKduWx2mEapVrlR 2+Drhdzv1Rts/cH1vI36ZrlUVzIXAPfly2Vw/ZI3vZ8ecksIx4K68q0S13FJLdHLryPXLuFGokYw gCOZnAxTuOQQMCgsJA0iDJVXFdmLXzqwRYBXguqf1r+OMVPXs57gcwlgVB8r2wrtRxBvH0uRcmEd 9XDbIcnUXETCLhyRgVVpblWBh8bZbcQBY/zZZ/sbyAPD6J7Rp8CEPhLVVCsK4EjNey5PsDgo/izg h4bUKLC5eF2W7tVckgp7jyOfw3DgIr/wn7RxeQ== `protect key_keyowner = "ATRENTA", key_keyname = "ATR-SG-2015-RSA-3", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block V2G0fgDEE2OFe05Cx8OR1KsgdINzVEXBIBadpSnPXIoTc7xRwAe4/VP6V+6MXz0QrLZuQHVAj7G3 9F/ijf7v4vM07B7zCCzqKWXPOd8bPZE51/A2H7Mt+ilGqjbh/VKLmxGs4hilsENWISKVXeBdKnPY gj2HGvaphMJpBpJwjPAKBmbUyTX5Sd9nNIMzcSRJNulwiaiEOrABFlrZOI+c7bZY5sHmVeOtg9CQ vhwpJiZDt2xEUYZdJ+nAzC0+NS9jg6KFWoyyUeNOwHZC9//fhh1MCUzJ0nZg2R4hBpRaxLZstp3w PM0at5MBtCkDuhRItVUmq9A0HtCUCEmB412P1w== `protect key_keyowner = "Xilinx", key_keyname = "xilinx_2016_05", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block RN/6I+vbSUSbgQKZutSOM516q9s9JryaK6V/qqxo3gcYd+gU9W/srRAx8TWXTu0WbgzNnJ4y7Myb hqdFZXcfJ/PxMgXPrrBTM9dc9q6Om0xxWrgSNxYalV3KY2vgAYOZai6mnccqhDfT+ZicibnnsYmh yf5l9IBMwTbxQ9cpGytJTrr0jtjFG8izeH9CEj3vxYZQ4tA0TFJhsFvQhk2xXEnWnEBhTQbSX/B3 CADhGzXitOUqpBt3ylEkYkNM5wRAzze9LtBQhOCFWc4AJq4+3/P22qqco2g+VSDFNt7Sbc/BGwyj q/3tdC0FZkEZB5DXnSDvgc9OVq2Fggic0aDyNw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 20128) `protect data_block 0lfuWTRLbdGpP+bt43o7Y3baOR3u7fPK0pBLqY7uP0pQ+2ht0P208f6mMmjAZkVFJU5i9ZpW1tkF wD5qhRjJ/mff1za19WGTM8NEjj3Lgv2vtZrOQlSeCSfLEOAT7NsfQ4kQqqhmT1zaHkk89YCN8a9q 9xPGyMCXJGJSQ2huwnzDsHOnhWHlWL/ilzzMT7dDXnOUeGPNVal/ZBe72iYJj4f5eoRHew9SvI0S Ut2Q3RHzpI/teRWNAdgVt15pdsyvyo9uqAtiHOlP5A7uqdndV94bWqKkoWPHzcYQJvuE59QFQ1WE cr6/pK1peH4vFlM8W2tuxlyW6OcL51xzLPEmg4W+8TkWa9OFYdBlsYbUHYOc3tMeeOtnR5C2WgJN uxU0Mo1Pdosa0/c7ja8j39ols+/uBkhEYy8hbWXRUa7dOAQ3PE920SjzPMeGxb1BnlgMCvr/m4r9 Ubn/TP99CteWMzrL6ZpVoWUIoukbZMgqMSfJHi1YJqkDs6TFjJsfUhzmW581OYiW1j3C3OYLCLCK oBksaAsNUUcBviHK9jSJBuZ30Pz904QILhsG0psuSICS+hNgqGwOD6MLn6Lf3sb4rftwt1kARblZ 3yi23yicRqrkKfPlVMHjM5+uNQpHxp5eLdClNs9lw0NC2o822auv2DzRUHQkLwTWCJpmKLnGmOJ+ d7s5CwoI9pLtJnxLcuLxnvUS8HrPyy0cE4y/+ncgJjBLHsjVfn753do3GAyfbbl+HilGJBCVlbPu MOgSNxucReCoaY0BjDf+WH8IdlP6XUysGVCru+V0OfA2BYi+tNuRPmS9CGg05mViNa4HvvOv8fi2 TtURkBzS3lw8EyUhejx1AX5UG30FrlrJBrMguOiK4HORdCSOxGbfIBlZssLeF1cObZM37iAzBiKO QRT5kneG8EgxdjC0ceYQu26RlZ5NJeS9kLjDNXuRgE0V+aPkjl39p0ZWQDSTnVlx7ytnwr3ZiJ/W QT2m9mlD/5ek+JQtegloHQbu35GWW6aLCJOlRgj4op8Uw/H0Igi3yY9NBdkFigZ3eprXgAfgSI+J jS41wRxrjwBfTY3s7yJNNGNEGv5Zgh/YmrRMNF7u4+z8JIZ4ugBrRP1oV0hRthi5aaNS1tFGa2p3 2MNApyP3+QbBfjKgB4kYdsHfRvuSJHFfsaS5bbaY1gUKjw9/J89vxht0UMIWLQ5j0Wzsw4dTTSSf jtnrWCWP8O84mPsdhFVVwKWqb5zyZv+FMQ1SXDlR4cW1wM6ztREdT738MOR1SAZr1ZC8NVuBduMY QIT1SasWBzzvsV8ybhuL//DxumnlzG9PO5StfN1AEpgH2FTFuu2zj37p2rjkao//ExLhgh2JpKdG PcvgsMNVBDHmjtopEny4pc6Utsii9DXZ6ZqDaDVExyjcQFqU52tbbXIaAwgaw2fYgbSGKaGT9uNz pwAxBM/34qbtQWs/SNwZDVmzBqjrD8Jjqu4VZFrrvfEAgcD8KOdqW/PSCrHLIWyOwgMc2ZGnX5eX RQwWS9dA9eYlcLJmR8ve5QcjRHYtoEpD1rpJIXrh24bKV0qBaE6d/cbYwsOL/iT76TNVwbkP5twH 1Ejf+xftsyMXQxZfGDYdju5MTjaf3uP1i0hYJUl42w1++jPvCkeal1oSteOdcbDC2k49HvrZ1UA6 Gj8BbGQbpgfz6R7XceGg/QNgfiZSvB7fQwF+q3ZrrK/RJNacTPI6mm+5+yf8UOxEQm5gGXRbHE6Q dfFZWQQnW8YHPvFYkZbdAgBYfnBrNx9/Ws9zt3n5b1FcdUdZGkiZxFheoN25CIF0gY9vM8AsuIOD 4rNjCjwiuc1egbUGyn/31DcO0xK0JGIZ1OLcF0+YYn0FOz/UYFZdvTM3Jt6un/bnkho5Sw1DLFOB 2gQF3QA0phY2RyodT4Xi6HAzo8Ll+mGXoUd2rr+a6gdqZE/NSJl775XfaI79ohhx60Go1gdGbl1R RDqCE9Gy9qjysZUwbv2xp26OwVAhR9t5fQBQbNIeSO116jBa78ORJc9mFuR5S7S3yvrQum4aJQ9a C1rXsZmuGiGcUBb1fixLoAnTUm4RsRu6kI3LjDCuh3qQXQ9YK+KyE8LaqPCE8mAmv5DC2XttvijI 7PGW3xw5V0ZAgXPXcYjSXI8nF4Wh6mfOtm049GvERQ3XHWbiblczfGvg2juTK5T8/VlIIRLpzVud PiNsETaTbbveJyri9LiyelqU2dTfC2o1T7RzIyG5J2Ru38q+RsSHLmWDBk8Z9dYPoSP2ixAv1ksG dI8nTwEAQHxXTfl2myiJUwBTZesMlzdeafiOku8lXp6Gz6e9EBLfHjKgr7Yl64Qzft1KwM+geBfk mUBVzQICuCpsPDEe4DYMbyS2Htl/uDQzxUl5z3OjeEAW3A9PfndqnM6KE0VvmPj/FMNkmyLzxcp/ y7N+lhsMtpEd5sKXyzOiV8mnwKKnwzk+6QqR2A9rkgAhUjcp4Yv1wbSnyntzAhyBTUotDMLxe64D LjQe+wtSnekxoabmFc7OYL5vJQHk/8ngcB2iaO25X4wnubRRwbfSPBxRM6pU8ZQtnHboGJeWhc+Q 3HfnpTys3IHyAlIXWkwSDVbtWsZAMd3XOvdlcoDzjr4Sx7snBfRD8A3wTRBTn5TdhG2xYsAqtAep iTlqEn85MdSA1wSQv58MJ0Kwtuvu9T/3U0ghJbkW3z2di28qlJcSFmz96dEnIeZlevWHHHl5ZFNE 9EanjO3xbEa0h6NZnqD5PI+qxNq91qVL2+Wuy274uT27hHo3pcv1FCWU5SjPunGzyD0wn3vtHxfI 37Ly+WGEthwqFMtmE/Ojqnbvqs/aMX/1I/25EVk7ryVkiufd81KLfvwNYG4dDHwMTkt5wrR5wJ+a tYYFePQmMX4MscXysY3cyMZnSe30sNxox8EJv+UHJjqrgEaibIxi5XSoqlcAR0oJZOV1iNgB60FT TwcxnYiTGisJ5hNAa9dSzQo8Kxs/S1rrW7eqCkAmJpsNTl2gFFAMyvtbxHcRN+9JHGWei0Hylnsw Wg8gr6DYxLHG065R1pTiSgmO964HH2xue6iSH7mgfIxaRwapkc0pVF/Fz+M5ksSjp3HI9yLdodwM 84a1QeTCZRnSZx17NXyfLmzkznMIBD64raCgZiwksclyO00iVJYo8uapEbEDji+bFePmFNJAnNpR LwvAFQYt1eOg9C2Kf2ZOuVZmRDCzUcd+pBEE+dp71f8D/TwCY+fCaSEAFJROUoz8FgS+vCNG78ND vw9Sg2dFCdIDW0SRTbcQEte+5ZB26thLHQjTv2BuRMNyjVzq1BeaztXrbIgrVIkSNqVmujVt8+NK W47lIxkODvUIxXaVIoU5R2DXudlNhBdWmUcnTE6jnLPLEyF9jewTgQ4bxqvvf2QhVEujXXOe58Xd FrIhV92MFR+nGK1U8RdsqY4C1hbbWlMmSSj1DAL7+KEi3RuwOkZpR9m/mD8SyqReouviaP/e5J2c 6BPFAyTD6QHGbqYxqVcBOFbkYtARwapEIWkqu0/0f6UAiHighu5Kr7+oJf+94WAlgGo8wLhAq34k W14pNfkWNeF+jB3Ctx2DJzVYLimfyQkLS/oYdN9eeXqHgC6RmIbiZ71380zV5+ikbuSunuVbJTGC tyilOySkOQIOZHxxHDWCcTujl/netKRiSXm5f7ctX67UKkExlnqouLufKleTlJOgT1trNADOfzoP VQRpP3JLaG5HUuOMLst8qqRCB+hMUI/Yw+NJgmElpjtcmv5kkxhP43ZZ7VIkcihEzj2z3aOSMAwN sbnPgC97zgzvee+CcklRhwtGpnkRIWj3SxZW8y37boRC2DAIgOTMN3iCCf8EKeM57JALKebCBEG7 UxF4ixdrn/jez3dW1A71oSTo8SfeCLkD6ZxYCqnSwySi/vPocb8W4UyMX7TMv2qcZ4RzIG+NG1x4 9rLs4IFfQ5rLa/0SVO3rzJmL+RvYrfIzG31QyXg9G3AcHg1S6QpDPvjPeLoyunG7g0uKlFcgUOEa VgE3yv0AscwMlXKaLt6qI0b21GhCmfdUoVCdoWSn+/894q+PbFnljcq85caGjQR/Vt85RFZ6ZMC6 218JzA4WlRUVrSFqp2WjuvOCdf+SH4xKMRWBUy1+APeDhMocelpwhpEVibK4rZr5uu8HCNm+2afQ 8WUHc5aSvGclQJUrO6nUM+03dtkfnlLA3qT3P1F1DVfJEuCt52WWLw54OY+G8flDeBWz4JZv/MCT Q1QIzmOsSjWN/LlR/qmZaSrreKdkqL2LkspKqPvL7rB8mfCg96S6zeRtAJkYuogKgO1m2HU/tRMw EqMvusXp9ZgVRT/GZ0VbwSM5jptGlZl3Ty8xVmyhzAiCyMBktZeZRbT28F+9LZITa/WxSlPWv34B VEQwrRQBwphx0nV1+b+pKSFT6Jp/Yb0oPYLUSs9GRKn3ZaDXni6GeSSjhRwKwz/GCJL9opu7h0k2 5OdIJhOVy26JnWha7VXKyMV4OCxXiWjGY05qXLy0OoDhkQGfbvo+iaGLnMhAwYOFUJwFcJT7h7FS 1a2CaeiEHnC8tYsBY0Yg3oEYeh3TLg4ibr8kmIPvQQ/97lgLU4vC24Rqs5akXDSJrzBEzjI1QNI5 yJuBNOcATW5GwNdCokxLUg0NCKqgp05fmooxxuPXdX/uXKqp3umNRveHnGX4RP0cNpx0ANrrl7YY vgHnH5BUOKaSLBU+2SYFAUis7BzzYSIect5JSivfpGpmq9KVhPMT9j0kOooIHu8gdmTeNKvCpeOE OQRWhbPUtM0drC1n0lX7zUXdXXR69EuxPxR2HnqiAyDHMqpUsNNOXVlCd6iE5EYPJnwY6ns0DlSX FnLFqcKy/Eje49VWLVJKORPbYXYtxeuxwuFrJMVkrchJTrqOPZp/90Rl7LIlE8gjc//RSdps26Zr Wmzh9nRsvRcYaZrKfFTeo62jLTP09Wu0NK4KcKQZm1As4ol+7rkwczvqFhOE5YDzdbhcOhXEOQVm /oou/hzQqKfKr8iv7yUwwu/qkiCsY/tapd0O+ExidCIQNyBocb0A5ve2Q7srLiL/Mk8//tTKKjrS f/K54j2UJPhT2OrYsDNSvllrOt8Edn/u5OAgmxWsyDLJjX31Y38qvdWE5F8VGFHxh2YAYoMBU3n2 lw84HRR7W7xQI8bMxlHa7smbZjzPxmxYgxH6HVCXUwLeCMCMA3+ZvMQay8uL1vRC0yrBM4nysWSM VySKeULi3Y7CPYSUq+07n7wh6rMzM4nEgiacjNs5YNpMn9KAqjtx/qh81aKrhppUb+mDeGvuDvN/ 8qw8x+e2yHLgIuYfAEXjowqVznGoV1OyVaiqkmUncFk1q+br/WHuaXorpr93w/iAPQIaWUc7arle GtYAPf2vHgMLbnxqnm5d9/rwDoQdiaRfSGZ9h4NpNiPkxK/C27KwIPUceyjDYXlS/5BAEgl+DpOS /q4V0tIPUIUpcVCcz7QRz5suZbbiISstNKv6oe7tTg7f4jItMJhHDEjwQaZWvePqylLYjQW3g3FV XfbgvJcQrPsnoFFUqN30c2DENHmGU+lFZcloovVRc3li07kD6Zw4ly4DUJdlh0CBl1hrdKuKErRw bNtBugM89rpcMlo/iC5LnBPsDBUfEyBLldt0laxWQpYI4bAfcZL45R/Xjen9Q2G9Z01K8snUg3wA 5LiB1NOcjSFIACRyUaTD4goTq0BBV+Nd6i5jHkdXzYgjHvNxhM+FgrcTIpceJk6lv1I4PVqGXOId iC/EME8VjcG1Pk7TkTtFbSNJMf/UewGABatJVhEmXI5ZMGumtmtcC0Oz+xE0a0casBpdwGVeHoPC DW4WbzBd8jvzP5d6RXkcpZny7y19FynZ/aCRJ7MwBZltpJ67YokGQPsQDQTzWGD/onwc3+Zmj9Ua MJWiSFWmyQU3uTCRvRDk1MQEhcTfV+HG0+qjFXBnzwoqOxAklfFCToFjZ0W9Ql+EPPFDTHZkoQ+r dzIWuyAIhG+bPP/rPex7nvKG3f/iZZs+7iYG3D2TLUZwiWIYagqOS7GKFr1LSpjukw6VD11AUemT 6KdoCdPX2m+HdEfhJGao/gcR66edFAztTE5jz65fklKpaucFCgsrfJApvujW1HHzTxpZfyMh9Dxf XHabki4fd1yCUrt8Ap93EXmR2ecCwrSCMZgVnfFwovMf04GRUU4cXX+eQRiBxylWgFRD5JmVOymg aqA2NG49bhxLjrySjywlO0yZccu2/ZQsnDl/UBK3EZdnC89c19UBJzFKOxUQZIbJBOhTYgBpHwZ7 jEYu3VRVz5N3EKoUb6Ga30QwmANLgnLoLHbyYu5VqEOwnNNjkWVMyRHIkG5ekraCLduSRdPX9tM1 dL6lz41PvoEpInwJjPrQaiSK2afapY/25LFOVXvwgM1o1e272oALGYrgquoYBnVr0wOQ4cuFHc8h lfP1ESCYduobhKCwDKN0awHC7buTMHFw/fujKErCw5w4G/frYBolYlFslmvSo+xpDk6uJAhladGo dGXDlQ3fBp6tm92BxaY4Fv7PniU03p/DSRXR/waJO3fGWVjDHK7ZSDn/zihr01WCVVg75/sq2uQ0 1z0tSZ8pfUGDoVb+JtjWYMQCdKSEd0r2/rq2gYXcoX8eT6unRJk1BAWIhqcz15cIG0u6DBU9Oxaf 2stguzgFmRDjfFu2o6zaug0r9wm4y7a4TLKgb3N5Lz5Zwasl38dI7mrkyO/k4R9NihN4rawufl1S FqKPMT3N+1Gmk0BBt4I+vGXW7dlQgr98RfHB0o++mBR4Eu+9xPNJxeU+jekfHD1+Pdf4nde3GliY gqAHbuFDmm1/5d48PxVSFxrF8i0nsAVGsi/viieswl69ApK9PmclFM68GEqfabZaVHCfIO4LvGhJ fROjCWdfbWEI+uREskSYuC32su76rERwa/JyHIonuFZhVixPN0rBgM8scpF7lzy39KJL32cGzm3g TZesiOMDrHEffTOSA6oGndKA1gJBibtcx/s5H6IM9a2iPY3uEh/XX7+5Xgu71ML4nZCpYXnCHOCZ VslATI+VyamTdLAdIoLAoYcqDoKWtXbaYSu0CSvd63J2qw6UV6Qn1ugWq4aZb7pH3iBFJ2HIAYd0 tdZnGgwkZQqNiKYoXrhVP5i3q/No8Usl/4wtCIQ2TYCDUD9zaBIj4aVpZ7vwBowcbbJxYLttNILa 1i7SNfrdoKPCt2PNrNLIZuHnPHODTN/wQkrUJlG5AjI53qc0KfohR8nfOVopOvLyfpb0zxkuJnFp pGTjNIpV3bpfjo+Fd8OtgZACCuyNBHr1ZJGGU3FGvuX01P4nCc0srh1/b+QCBb4fXCUtDmmN1dcg jdlAixd+FZPJR0gPQeNzOYtrEo6K0ZCLGvPkBbPW4Hv1s4pHNJfmVvbla4HCq8luWMT5zyVlYIVi viuHXelKGPTZ4oFKUvL50te3fZnSeOi4ciOkmq+wsWrU3ztyZPoFI6Ons/cRMiIXsxe9tSMmDYPC DQ7uuBp5IWKYLOdqLF7dvDQiXXFQRvamk/Mo2kONRD1EHkZ7PN4LflbuCQaEZ3ZgkKs/6wJBjXaB RyfqTb1pJ03BYXeyXUTW7y8lzay9kTsefuv0O0vDG7afyHqGuOdvpE62YWPu3TnLSMg96A5Jmv8Y zR0mHGqxOfKTFDxG9Y8waxuA7LsnnIYfvq1kaZFz7uaYfy50dAF5n+/Xyn///WaD9GzNWurzdsUc +ohfpCDtl8WeNJNAruRD9AATeoS9r+2Ey3WIKITR3ayS+CgdZIYcjQmEXxmWbKK1tXWCirccJGbu 7Dq8YtpsWFi4LzqlugRlUk8wU87/nnSjnrUWnIkK09ehQou8H96aUTKU52swcp4CQpPm0dRjbJTM URCLQZql6xzLV9O7gHPjKtfapvT/Ckxx4ngbcdQt01DWqhDTBspSQaFFGWPoaltZiirFpQp9aFT/ zO9HII7epckLRveCNnnAuCKL3nWkXMquH7KQ3Cx8qe/U4bxxqDXGGMBBGejtFJ5sIgZ4Py080lwV ROUeoDTmWtIlEA8mrEFY/mc71foOMrJYVUmlFrr85DxGJUjV4wQbZ7wYJoGBAraWeBpMd9csmHSk IS2NTziEKYmQK4CTekR59Y/HM5jDmns6TnTXoQEWAwXMaG7lEkc2jO91DjsG8SBdVAo/XbGGe7E9 9PhhvbTRc2NHrce8uRacUzWOfTQgf7PAecoSnVtFXpSVqBMsr0FT1+i8ti4JKAfBL4jyJgwRP2lG 9Rfivy1+owc1VNLuLGZPiDHjwN64QWJyHJ55ncRbhaE289zqPQFaneDs9frfi+TPn4sMxdAWsDeB Vgn1LXv8sEYdGYUxL6gB1+NBAWBukf+25jWN3xUOiS17sV99NkRnamKKnBA6/10KLRwcB8xt/EHp jmANfIiTOUA84UP0eE9x8bkr4QOUVxB/g3/wMA5J975elV+mE2jZRkILHYN5jvVxGmIvWKX9QJI2 8COMcoCU03lXyABeOUtfzu+frc6gRBAMlrdOPgTEca6ZsR9RjLrpt1ufEsBS4+48vqGjKxXGnJ2O 4gzTMbTc1ZdkNqZeWEXu5NYx4xAzucw279wL9kTklqgsaz9VskwQ397UO+fkAzLPClxH3IetQYxa EjLu59/MG3WtIYy7BCBHIgQa8fuaIBMsYPq6o9YrYHeHmTKS7JY3cvUq9/ujYOHa2tN8WqZu07PG OWh8pC6/jhEvXiXByw9idhs6Hdzq/FqBwYAQrV8DQkN8+2iDEpC1jXrdt+PXZS6zR0xLyZuBjugR y+AvgT0lZA9p1KJHU7XmG3qDujg5RRTnUMOIDD5eWjzaBY7poeCM2YSdDLgKITeVkDqkwMGEkHmb UoDICkXDNbvBlxF4XoLYYFOVZhQxDJTdoCM9FS25ne1S+KCIIagUb0A5eW0eQmJJxBbZu3KZ2Paa aQO4eKhijlPdlNEvYZQOriwOdaimq8V/iL0ZdESOM8mX6gEO3MfcmiH3p8dgnmelPYfK9GPDkdSu WZtpbgeiMfR054jNM1QPWHyjy+3AwaEOSda8KQZHIli2a6CNZcxmWxDz4lUM49EqhLK13J7Fdmgs 29U7y6xacuxc6bhxxuDj0m8673anhcELLQpKP+YvFYus7B+87fdb9WAM8/OhC8Gf25pGm/qxwoZS eLSsdhm/nF3ASPP6KaXnFsSu4VmmJAgQZkqWZCRaWot4dUWKWsdBlJhX2zUsst1r0myqJEHM99EX kNijkQfWJ86uQwllRxZ2C4LfAUmpZIll8BVB6Nsjb6z+p/uogYC2DPBEfC7diODko4yKiMthHvZx 7tSf7La9hZGLMiwztCBtHKITjtCQ2H60n8daAubd3mqayiDrrnMxbMqoRZmK+g4yeYChWY/UEmXt ExQ25fxrzfx/tT7g0aOwHBjaYIEjiAx9iZcu5ke9D/jtgLb2uj/9ykifJAFNI205m2WGyRNvc5a8 8t6K0QZSI1aL+KXcd+i0AnGX4wP/sCJy5KF6+lhWiEbljYwcte2vydYvCQbCKbOtXYje81dQswLQ xalwZgasBo9UeR4I5Xz+b/ZYpxi3C3zNheDLYXOjoQe+sPKLB4QNDgnwv8zfSlHZk2CVMCjIhS7T CbwBkuYQCYQQwqRAzI+bbMp7c/7OD/NCW1t/9b/HGwBnPmQXSEWMXxObuX9/jr7w5p1T9nzQ3oA0 A77QrYGfmHXlEDlBULtNfcMoTqOPjb+KfxFitc3aeLS1QrNp+FUvMg/MHEQmp6+McxupYj7R6ZFH aag8kMTPhP5ac76qn+xVdDf1JtEAyHFijZ53Xn7qj01WTuqoteOc/XWrRhapwDSbHb6GoYokpb8k JTfPdYtmMYg/xvS9ZlRB0NKbJ+R8rj4X+myvl79STczz+YCEQSp/ex8ZklB3aR8KdgsNAqhQnLbj rWaXXcWnConDm23TLADSttY3GayD3niWDCevDGsh/d/3g+cIgcrr53UAtbOcJ7YA8cBKPk6R3ywm cqYa1vGWoC2zHJuCibQq8tdl7wOhbXnAVv5nYE0PuIkQAVpZoscytDQmwKFoa8deBMqkuVDZcc07 l5B8L9WGSl2r/Z/oqyxUUsoHLb4OUu+R8jXT8H1BFhJeFp0JQ4fP9BtcfdB7dq6v91AZ+opzlwJ0 1iTjEuZ/tDzsf9Cavv9QRYYVoEpl0EFxOKN9R/MkRx0BZUixPlt2UssLtWzCUG24lA5Wfmo3dKIT IEt9+n5BhTMCtpx+E3LlQm1a+ZDnpa0wLnkzZ5DkIDKWSBE7vTCQx1+ScM/VVdiqktXviWKUohPI Sr5QeAGW+Z++OxjamsIqxf9t5EfBQSbRFfL4vot2DgktyWtrepeR6FkiDL4CMe99j2J/A8RSAdJU BbrKMdSNs0C2jiSGHXa+uxXlo5gimXeb7BpNy+6SsAr//bOmlGHgsopq44bFREZ4R9C6GMQckwjc meo+5fyef+qGp5z1tLIJNhZGDltpy1wN/W/3hCSWXLY6x2d+3kW2fc626PCpJu0vAHZNaCdid/Vc Fya00RwZBA7BOWL/kBE654kxwWvvWou+uObD7+FXARube/WIZWTzsTj/4Q6Fh6G+l1ioUa2zyHOb RsjWTsZTdcBwcX4GfHyXD8o44Pb1NwtYYZdGJmMNAjMLNMCZ66sK5rEgy1fSCpvxMxzy3ISKOWxd Y1YtgR5u0L2dAXBb7jU8hKywSgkXpDSbzUthJwQ6R7veSuFxxrO2z2mgKwodpLiHX4jLxK1xcqOQ pSLnOPR5Oxr8yJRnFK4gKRrtoYeQ2Aszt8P1J/kfTi8gUmTMayniGnHAVQFX84krJJ7sv87uyqXA /ujgJOjocz6kUfkCBqf4RYGY7qv5eIrzwhVLdsL9Fbl1Q80iPTzdjn6cB3x67kvEc3x4r53n57M1 cedGQOH6V2rLm3UmNTBO6DYABMzr8YZWIs1k5/07u1/1/PCQ1MoSNpSDmwTMRxUIxW17+OpMD56R rDLV7/fYfFT7K82qlaKaDC+7yxmgwyXZf4BrQaQpXX5nmdcdii70e8daMKy7GGsrJ2TVz9cbVlbq fbCSFpLbm2mMVJrNHf5LRfdCIGG9cvH4svmO3ndnYazjpdLFgVSf+ScdR7Kf16v6HX3r1R3l1sYk OguVJC1L8m47lY8SKfeC7tRgZXw/bXe5uLB/pOetUSjk+KDPCWnRVKsh0OPigbZ7o0uxwi2Ai9J+ JDK79X/P2Y3m6MZehjzIjJtgulVwCr4ofQt4/thWPgvGiXH21H2esjsyOjoqU+7oSPFHk47EaQhM UMKIQVHzPyLdf5csq1qGW+gfAaU+3NW2jccP074NtXRdm48fUyG3DbACNWKSnIplyvivWLIDooh/ Qr1Amdo7K97IuTIXmHeCclx4cBCCRhLU6FbJzxhS5U+AOVQNvhFxOadhWrEmeXPSy5MTwN44YpXu d5Oo77wUpgXIVFH5uv3HI4BqilieFOMgyoFtsCltRzM8R0QpuJpEuwcfwdxvtBYYGDHduTtHtn6V JxZZ9PCCGl5eHl0eHqrJhp18HiV9nexp2G75NEuXs8Y4qa3W6mnfg3fI+t+T2+/sF7DlK8LMNLYk FwrJ/ChY5KXD+A3k9DjYuuBZKHzrgpyzfOyIDoqt14OoCh7S5j7pWnGrnF/d+OERJJqe1XWYnffA h7g1MO1qN1QGGhYaeV+dKziQvqf4+zGsAwGYVME+dpFmIBLCVPZiYB7PzOnsghHcKB7Oc33KXWQh 7Q4o5fgOBITG3hFgMWNA7iKhpQVvMnBisqjmLjwFMBOSfOcUel7K6UZMpmk36Cw81B8nYXpYlzfl pBk8Kb5ZyteB1IUP2fRUU3ivrqhHB3cQl/3qPx9n1PT1PEUz/224NFCPCkiUmg2AMj7EzJ+IW/M9 iTkWnVCDMd76ZV7muGHN3CXmsya3wau7UK2tJu++gJJipW4UVZ02zv7nC96F3k3A0Kwkl0LdS2aX Kr7k1qqEVyUZBjWLzetNASmbPRCk9Eg/AOln4bNzKdrtB1fzRbDvhXUMIVF96bwZmjfRAZ/qC0bK /EVfXYvtMwxnOPPTCdQxL1aIj19e5Xxp0HlUaQavFsu6z2kXxF4zmYsLgrI30eV6s3hD85kcRiLf SZiaVA5fGT9nxjOX23YWNL/73GzkdZkpTjEK2PUXk5i71UxIpVzVdbZ6a+NRpWqPxLTJuAdxDvBV Df/Y44OfDtqLVu7vZTmWOq5hHrBQvez0mzwNnXpG5TYf2WiOKtUiaNInIF/rfAjrZUAn7c5TWIGr d/tWRfaqF1EDuyt66xzKl/8ojUhKSQk0bmOBx5HWDVe21e4KBmZWi97HFOoPmno/svfJCRAgCZLY 95SAAfBvCWx1i5f5GYYoTC2HYTr7YYWrjFZrK1hIR34G0+KKQWxZWm9BF2dT8jzMWU5W1W8pAlSU w2Sq7FbwttFN8i2lnGvS5UfcXSayFmgpss7qr+Zalqw9lkCqIakOMOvRCar//Ty2JFfeLxpQicCo Unl55/+sWjHy0lVBRVAd6BbnW84I6VexZV5uFe7HBs51mFat/c33A465exwSzt2KabP4Oshdak8B mJk2TNMqaqOVMcTVe+mfKDqAUfaPZs3kM+HcoYrcukQVY1psuL+H2nJPb9AZ0rixhLdk3yBKDeP7 XxMB7CDkZCwZdPtohafO64lTmYUmxyH4YLnflE1WXs6GhN9sjmacWhln1zL7TPi9TP57M7S/yzPC xjdS8liHPF6tHYjTn9UHrE4kOvwtsLFse7XE0CRlVf9MZjlzpmlz4nBGR6qVI/rqsQ5FYZf4sABv enUpLQ0RGQaMPo+Upyd8Rde40L7VihAlvL2gjeHekMWOwvrz0khJI9/YTDy+aa2vopVObbhU3qyB LbRJZ0oGrHNtFtMfF+Ew+LvZBQSqoOaowLXH1RxpgeGFxWpmb19gjN3R0ogvm0gkTl+K5fZLwrWL Xd0UY2bKwLTXTBkHe/4nUwFrVbS7m6/1FIIjn+85EOTZjpvAdXr9023JZihxSfsO8V1cyPmOjjXg i8wC5NPQphKel8DH2Yb8xhkLyt/ui34TJhR6bkY7KaNx/Rzw+Hcvc1yeHUrdhWdqtiuyVJ+sXujc tAzu8/+woMB4zkEB2NA7KjuUX9Yl1UE/3Mm+JYgX3J2bGy+Rnw3OxqQjDnWARp1UWHOaMLcxeO3a LbN/df7F5f6uI1/n4ExPskjMTQryDB8BP7Ve1UDT6fbkra6M6KyA4vTOvoM7zYK5z3Wge2OArEUD TYlqWRSHVXGSgog1Fs3XNG8pqKCMdNcYhmKHKqWdUTeAqDUB8de4k5VrOu9Z4Q5Qp76CuNBPRMOI rcBvhIdKnfIeODrBVdFVhPVJEs2Bpz/mns6vFj4YkZJ8rbkmXRe3jB7uTY/hJtoBhPHNQqRJTdeb H9ydKsGhjdbE8v6gR7YMcRl7Fh8H5uq7U8r2Uu/9G1yai/eIBuLZ+uJcZr+2bLAW/itY8t4vyc+j 7gzgkoK3jo8ZQzwXYbkwSeuUQsjr1ExMHeKNWGAyVPqBjLki4XUz9Cn4N5XfzSSqX51TcJy7fw3F Xo78gi9iUP2bY4lGKptuV4nzYXqu+cRuHtDhqHQv26mjGWNhctzLp5Sxm3khyd76SpKqeAkc5y7W xMhLFCY1YSuh1NQ7szJDt2aWH3Z8iRZkmtyhD/8zCvcQkOrJOIlVI5of1xvHUZBh6qW9jMFQyATn i27rv2zkbN88N5bzrIqGo9zrjlgv2K6VUixqS6eBTDWik17GbHg9dYPgcYSeaCROBKivdbyP1mEt EuGc9POdiHaTBgnNT7wuf0MVMuJpcwid0im8RsrlkWsOVcccUFdx6f7uHwKtPZscoluvOz1p4BJ8 Cmp7/POzKEbvvuomPHm8rIEb++nM3EZsp+UagNGSFHItCjzVjlkBxeDrMZzgHyjvs7VHAth+V6Ee JJVmK+djkakW6a78oBpE0xsmvunwe5M7W1xrzLnUJ7xKWn1gof12DO0FILbs9/vMLn/Tvb+eVfog guGRfBMlz7/tHHHX2VJku8MrnTVsUvk8jBIN9hrutnLt5Vfu/ltyGcnF/rhvYwVGGcjJIKiSC//H SgDsSHKLRd140xpSSPLAEKRmZHEj7WtVrEE2o4EN/T9gdXykUKg4rW0tuRNs4LySne84JdumarDL Ln3x3PH1KfVyCtRaBFTHh90gXX3nuzwJIbF/JGFlCE5UuArR6kHdrQ/623JREYbLw+6PV76qGLDC /xukmeoNCbvLYxKaa3pm0pePKThws2Md75nIXRiR8SAUAtj5ASdoezs4DPxV5JAfJSexTiO8ELaV lzDu6zzo6WG6iyrfLLbVFVLcIL5Z4t6Ls+4JQeSP/1b4m+c4ySCEEw/Ga9pMJqTMP1/MQf1PxGGn geXKsbv30tnE0aHL3rbtOUTIBFk+UToopbQt+AEdb7VzIlg6FBb+PkBpin2e7RZriW6fbOq+Vm/2 qDgPjT4DLPX9esqLofidrEvSUDIkuGkSyoZmrdzfNh2xV8fe1gAyn7qmwUTrHxnKV4+gaRAURIrL M804vfVh4EeoavHpkpsQ+jG7me/nupo4/LrWrCqP9zj31FRIAUSdcJsJh5BldLHQU66n5WbPpvrQ KQ9DO7Vt3SQy3lFsNsADLYHlP+ekHeOzPqcB2DPp+xP/oXn7S9fETmQnswe/l4MEoXZx9mIYZzDs gAK08p3dwNsC8p7B9fRzGg77uc2tUgeOf1KTRRZMfxY5n+2Ls2sRm1oy1J1XZQZRzJs8dheuL3Qi qBs7LlZprPt85a3ZTzTCJuxO29f2KEaCh2kpAesINiRXfeRIdlls/IjCVlWE4Ftl/LcVcRFkRWuI eQlInc9+N1GlJs1Hgr75Rwb+HAkSB323w/PsPSBIkINq1GChywndXgHC02pQRGH3HL02T5zgtnui Vb2cYpGxuoDMdxUtdag/bEwZlVZZtG+S5GeVzLAjpkss+/AuvI7x+fPXq6hZPx0XIIZq2p4IGobe bkwZLUKKb0G5W+5Pw7/5pc1efMGxM5aYNqRHCmzOe3alO4vAyu+E6CLomLhDbrrtDuEdIoyTBOFR iSlGTxx7VNMI67n8dV+BQRHkwi9l+hrFjoM7fh1Kkfi+RmhTgGqzbcMRj6n8IGx9LZoIiidpBQqR 5NBvtaVUkfkaCe/lFilVueeHuzvNkEvybXlvUJWo7FPWrP8zkJqQ+rtigydaLBIeDYXHg+a4SMjX rq8MUe1kwBfQHQGy6eRMZ7EVUVc9R+qPrcLNqGLyywWZbE7aub0Tt/JBM6mkbKluVGVaz8HAHKi6 CYCjPbzO5UMsgBa5VY39vsq2LYqHTwpnSTBC7LbhplM5LppbpHeYKcPyr3VcHEEjblYfWyBtykIR mJw1Q4hP/vRozWW8dYupc1jPG8Q0ewOOJlpK9ARrxLq7FPdYtLwQdYPbj2JmpWUBZjl8ENcwXREZ mJGFg2sZboQf0lhTA0+AclqMJQ8x1Vg+O3mPIBevLCfZj3SiQkhnq3Igff1OULxu2TV5o+7PZs9M gbKo+tWirYNFGmDe0SbiAyAD0dY0C5gtEqmIAAhAmHLpbd8eMllYBr77RZpHB8n+9uFdZYt/RGnm IeGhFj+HDdoe3/00fjHoYcVlNz3TYuKXzr6mhmeuHlq2yDFrrxZLYN/qWIxfjZicUqNj3nM3mpMu +dfY4klEOJGiecJihILhqjY2INjiL0IhKDhLwIv+M7QRwy+NF+m4y8dPsLTyId6/mcZYt1MKgsrg A1HgYYnzf3ePZkl1dtpiy1G57f+hIwh5A8QcgZupgI07JtccU1HprBLEJ0LcfpKD4DxVO74raU3n LWbDPOzA4pOV0RQHQoZ/sBrunYHXY0UBNbPfihlAad46PhS4qi0TCHJZ3B+EmdEKi9MfyDO0Zkqd VkZDfmCb7iireyWO0hka2Ic2J5XdayoDfsleD89CAZPuZJ1WNveSAnVcJNlvZzpsAuEOeTQ7e/ri AMTx4XLZuKKBpRPfQL/4k441AN+Dwhe78+rGiMmR1tx0wZQrZcUBZrZMQnHN43FWhfIwtmDp98Sh rVSalJUoPwarkacUzRhBg373yp/r+FaCfGKR4OHSDJtyGz8DV0yaWu1P7jGY/voECnz3qQqqNLVm 0QY7npKcQtitlnMoV1O4bO6Rmno7GN7y1pkuXiRdfrkAAr53cSI5I2e5F4MKMfOITjXi7/7c0aKT DEe8t01o6O3zObd5IP9yDXeigLyu/5vax7ZNb2BETNfcAF2OhPgkmHvDqFCmYbFL3PelKI6/5q5x Spx/AvNUkRcPRzqSaw9+ajDXZVcZXu4G6KfPGsTl6TXQn7VqrobBaiWxhshbg1OZFk742z60CsFA mgpIoHS4a4nubdudVukWHNhD9x/eE1F+dhWb3FmmMuzaNs0rinfGOM3p2tik3eudXYNLTnCBrib6 q+h1a3+GXnj+E11fhTxZ0T7TX+BU1ilXcp7ovR9FSELBS88Nb5zPRYxucZd35IYv2dyHxzhQ5HOw BiFuUfD0GCTT/TMNDStcyMF7fr231TLfQ89daXMXIevcufflkuvv1SsAMvsVL+dSlq7b1XKerQdh vgng+pRtLOQj6FXWTxdHFdZnvN+cAxNuM2R6xao8LBOtlzmnno1sLD003Lsmhp6tPGKSD8a411yf 8CypMGYsWrlgbMSv5b/BNFPNWvHOo004ysCsA922rD9fHv2xc61dXG+jEXqLOqR/8QxALHZ4Hof8 PgrU6ig89lj9xjMMXTBVFISmBeB1oxZvtW3Fc07IVC7EyNXTRqR3y/1UBITjPxN5JO7pXt84L42V QtdwFT6e5GSaE3VEwJLZlbFPkrmad9rGRKh9V0Zzp6CUv10LD0DtsQxpq3BzSD3kBaxl1/Q6Xggp 5yYSS3ZvJOV4vI20IoL9s9RaY07jACGODK32QBPr0xisWZPCdf7DJI2H80r7hdi6v9EUTK5kcyR6 diME8Nhw+n+Ggy8YGJZT3w4kuHr7/EOCIe6+9nsv5dGvVuYbJc4ywL3Itd60uNJjzKKFqhZDuZoG gcoUcgwdNS2IddrKke7Hxfb8cLdOsjqVt6uq+BeyoN6O3b3c5Z0/l8ffsL/mccvM8ukc9nQ0ixxj 5hiAZhlui4G3Qyie9Znz5m0uy8C5CNpGkZdjbKYKubzv23sgzJElTWc2h/EUtSXurdXz5tnnNSWT 8jIywDq0dIZfA+L/XaQCYf6xVz9OmrF7JDcs1oA5VemOzyIPjccLvP+HicPean4gCehHUxv2X02m QD+5lqWGxdaLkPYukUa3cGuov4TlJS0/ZfhRxvY0qzoScAmiHkBqWgcEHje+oGT7Y8941SbJRBOH 8IRsSLe5KB4wbxNODBH45ymjDWv4EBciS3hXCUiNsAoeCrvwNy+7MzNBv6cYEnX/wAyEUow3NmT4 8hO/beP6rZ9Y2lVP/Bm4DfI//t2T94n0abH+tfm7sXFhZhbwT/RRHhlehbbTTNGM6n0fM7JvWoH0 TEtDxOQX5DgQKkRkzc2ZM5mJUfeeXtZdJvEyTR7pSlWzt1L+a15gwP+kRsEW+ZcBz5liFJocHeyS yyvVByOmYHlNzbnJ3kGU8wdJetovt6/RtPkhedf+CEkTMeLj08/2LnSoa4xVjbPID/x7x9CYeVFC 2Y3yxNIm94Qr8ol+f/So259g+rmF5vJ1iQndwqhSWGkjPfrNIeTMoICvdFyduSL3yT36i5a1bGZo TYk6At3Z4OvE8uKjX1R0a+L+UDNyVhcR3QSlaR70RHy6vkl8dZadcXX5/ZcyaTAotAIN6ARSHnbs MWzPqxE43/lXeCBQtrWh7FnVm9v5Mb4XXoV5gLCNn52Clb9QRg5ATdGgADto75mYlXqX8T2i1xR6 vU1vMCr1rSRwfmtaLVbWoX/zeOGHpaYk9tAxLOSsejZvi3vONNw8+A6MZGvFRpU3iG+XGbtv/LfC 9AMg4ba3WLNssWrdnj4Nqq93BXvyyx/3Xw34+cNDgYQK2rXBjEAmEwxiFZuFU0x9SUuEob1CK57U WbnVuOBajTQQFDKOVnO2pL0ZG7S7wTGBSVc42J/Auh6qtZlxEZTmYvGSiuyzJwP0M2BDqjsuZpg3 VxAclZP+DAJj1F6j0S6uVzuzmIcJGTsRLOmz7yK2x25aTbkaKPDEgiTaWQ0l8USrVqn067QdftCT UiGncCVYsdmlUOvcCHPWoFPE7UBHGR2HtJeqs/IBMCHnWff5Rq8p9SiH4/c/UodPyp3bWpgBtVeb VTFS0qXsZrIyceWT7c06/GqvPWT3fF7a+gxJGGOF0IbXc2VN+EkBLFkfuRNQKcIMlgcpciMi3XaP 0FXd7MGLvhZsNUDG7i9OvQz1+lHvmR/Pgp6MFb/l1/zxduk63jDtKUGYCyjaW+IkVkKzYYYdNrQZ O6MygzdFwV96sBc052NX7B3zMcnFvwyIvQ2aHWS1GM2pJuly8n5fouqgjN8un1jHxaQ4GIcLdGaM S7pZqE+P1X0+O0OM2NAILLw9ByMoNYFEyY9X8AbKtEdBKWx1Ffoya7z7h5JfWw0RY0RE9/L+wOcA TqJY4g/rvhdNXstGIs4rxsaYZMm3VYE90ckYj4GTUvmHuambI+I25xtH7+502rqlT/nCdxKQbTJ7 JyckBSpVkElTpkefk/LDDhitrHA23vWFAXMgmZgNCM+iii5vIWuS2ndhtCElKalxp0qOTjXgLfpy /7uQlDAUT4Y9gkU61JlwENOyByyDJIYVSi0xdAYGF3v8K5z01NwMqK/xwfkxxej2GdYkX1K+v1Zl /dMnR2g8kvgAA/ig/Pvq3do9bGcTegdz76IfcHVk4q/jOehmAX7NfX6snWYqIBjwY2EDwHeieEUS byoBobnLEWJXndxikq/nh5F+uj/7ShVZpqUe5hwwxMuhwYvmK/NG2O4mb4IV95xJ3FCioiSpSrFc gv4yQ5IvOZfhHXPM0OqViYjXaCE5vVoCoqrbulUVeR+9xuzlIc+8/+qsIDYhKgmmaE6Cyx6fimZ5 fy85XlKb9CiyRBrdeuVeAUUCe/1sxT8XTzWLw5JQzlv9OfUIaQD922LkVFq7ltEsY9dz1wCXI9iF NXLupci3cBuXSkWatAnqhHvj7hehPLTTUnQG/jnaF2AP56LWCfpmillSV3zA6UuGr75oIpF1hdUc wEz9S+8dN47anumMriy8iq5aIaT3P/g9+z2HyVtwTzJ9i6RDTBC/+DVGrQq4msRJjaOFTC6qqTcg MOQMrTowy3UyTlZmlvSkWKWH9gDpM/3bl20GXKt775T7L8ydxTRHiLeT/gyhVSdxI6PJGD0NjvFc 5ByBYGww2MxpybWh9u9WmGICsSqpkKFtw8mhLsKUV2Ld3hfR3ndXFLne9JZdLAF6tpd5HqVPckZ3 oF5+PuM9ea49N750jdVnaRxiOgAr4dS4P5J4DhmigZ4JOi155pgRCdJkwg7gTRHdsWTdr1S3vaR/ ImSIofSYHZXZKmDzSt5TvsekRkzHC2IMrjfDhQConoH3QUAXPMNrP9liXRQA3SGUja0UNuirD6Om UXriMNKx0gDMwToqJaETu+M1FrvIAZxTjcWv98m+L1OQgKmn76uSFOtiMJj2yLMgxPNd37jxC144 zxO2dWB3Nmw4zDPVIMzJw5RkcGSJkL55PKCvPjqGn6TsYsw82zqKzpGzlKXzwuSD3eNoVAnQWv0E yLMsWVSPWDAtIU55IFNUZSLrn6aj8afpckMrkH/fK7xqy9/a8/5rGZCWzIw2JaOiBvK8KUgvoVT0 vPPIsrkkaCDyefJmo46nJVQ8vOdWbfg+0uOmsyGZj5K9UePpDLEWqm5KfkkqrnFf0fhUp/b2UO29 mJnUBcB03mpVBBWnfnpkWSzJWTwM80VzOOLCtnS3dpZGdGOVRWuoLSe0zlvcMly5YfDSQ0VF7E+J Y6/6G8lMOGxepzhdjnQVA9q47JcUhIL88CdCVSJvKg4nVID/4o8DASZ6sfGuV8GXGAK0RrPob9Zb HS+JbyqbbABzfVPUcLxoVHG71ecP/0rbfLDxg32m4pOQ33jLzRh8DtzaiX3Hjg2E0M/5Kh3iip/4 /mvQaA/Fz3otzYn81SsG5Xe91P3rILOlJYr6cgiMPoU6RNQAFZFRHmdeWuiRjlus7UKTh9Lw3M4y w3J8EU5erWTiGEqjyJbQIx2dEsI0nGliszODddM6HKQ0mmLzJU69RpA29DRO4vqyotDdDtSqZDBp C67xvzcIOKUaJrSDf8OXfGqqZoeWwHfw4AThQszFZDZhkXxVV9Ac+ulnWIDkRQbsCKFW0536XgYO T/uHRtlM/Ve3eBo9s3rQvD5F6orFyZmzFDqPmTOw89wERuRDZtVRZBjZ5sygnK6EgrYkJ425+KdT CU/8Lq5edQogWklvHMDCUOlbrWiAT6OGw+pR6vM5ago92B7P9Zbr6PG1VA2wEIZ7zsrksHOLCL6U wx4+Xvi6UJ7zWq6G5ryTMPsIZeIOxiLUYFA3FDeXBpspDLuu2uVjDyL+QOJqjUfSMz21Ra1vGXPG qCjPZlMsfcCaGSJLw4yIF+fdvzbpJOFTv/Aq8yml9ffHdpHd39IjyUA4jSKP31/urOeEt53VFdoS dYSputKIGXmsNSLdPCcCR5Vdle2MWpREmJprW1XaiwWgsp3dCd9sNhMlmfy4NADCEkdVtRYcd6C0 m7f7uEEXE2v1GwL8/JI4g5LgjodSFReKkz4ah5BK67nLkY/2Zu4U2CPGpNDLN3OKyvRwdI82r+5H oH/ZQ3y0caHOtZ/GHEYh6haD4dhWDlSlc3rTuRVSv7xUiPgFriMrm746Vma0XLePa1rivL8dRlyX jKtsXGC7wMyskKUvA/8Zs2v//JBP0Gr2/TsHU6DFdTU6uVl7h8PSaJM2AqHn0s987RtV1ioFjkVM OQgWjqVMEaddpFmgpxhfbiFSK3qAOnzcpRuwGhvr3AxZ4WsRqGDTZId1HAhDRSU126Uaf56cUlsZ kOZ/Xdo0kHlObRGgeu80A+q/Ejfa7bV39R0S6vzJ+pZVYeMRfc+Y+Y06jFA7sZ1QKGcuESnHLf3t Zfgs6FGwGDHwm+px9cwk1mADI9ixnIEoz0OLElKbDxR5x1plbO7/5l9AIKhn+j0Vhx2KDNL3eusk gflU8yqP9tvvVmj3Gx4E3ac2l6RGejI1J6Ypcdm/gIRee5NdIs5uQuQppj1JtnlkWtTUiLao6mw/ zHUOPInHZvFBPJQ20osJ6+QrAKLt5QXF0gLHIuy328XEvLTNUmrEjbQ5mzTBspcVKaH3xo40wKlj efsHbK4KQANska8mfH4kwzWEziUqcFV2XnuU0YCueYHJmhXJeR9VmQBIlPjn6I9YRE+XBjC61/fA eJG2caL+WpdLfi788bePJ0tiioEsahUw3gLNo9b+yDAHkw5wAHdKNf26SuhWpVCdw/ApnXWnCL/w FWq4dBQyGZyL4aLXPBy/S0CChc8JybuGUlNmMpdaWwQyQwlfmK7XZ6mdTLDR8da0h+XlyH2nKjFt JIxXWApnaPlGolYDNA9bNA98kNZ5SH2QWrMnusbaxTy1JAtxGcOY7Tuwg/bRtrqZis4ORmAvlYgR iVJGJZDS0CHGXyqCGggVFq0wPanq3BaDnQcA7Yk0GkgUntOz1twgsNIRniVMHEbdQIocRYJmyxOr RRIQiRjeMctSotpTOlDDtjjUBJrRN1UbIgD1Im4aWSqT52ztdeDBA3hn0qxwn0PDMKoQ0kGnUUEf 4dlOenRDCDd6+/bCH//39ESAanwAXAHHndPSElnWiso+x6xNjfSPa7HxPC4HkhDQyvL1KDBQanzz 3ReVizyP/MhASphKhZKHwQ70hlPhZHAtsSKM78Nc5q0ovPRPDLHUpS+JrGMpTg2HSQcI8zBE5O2X Aj5za1Mw7grUlQRiq3d1uvx4j2vslr1rvB6KXYvfVlkRJheYa5fqhX8lH2w+vRvVOvB8+hQ66SYT mUYRZYFOXJGJm8sI5y5Xdt/DSArUjg6zTaoA5/XOJY4Vr/8gyEN196SynCZ+GgQbObOylxdHMkt4 A7OC8/2b+VZRPD4k8iuddOOkehyg9XYVQ21FEZIs16/KxFnm2U+RpZaP26M+zq8T4jAteyVBcTMb SH+YviOIG3qvSEmvXo2bx+WioUJ6NUJVd6Q+uqW1B7mF+/xyH0+4TMc8U3ouHH7SL6KS38+vVFof UkglBfT13qlRiFGbk7SPae4CnKIeIRfFhRMZ68P/SeZAqOq6nJHXJzrN7pKcqAZVpymICZByKHaS c2UrmNzL3eu73fK1R5m5VzbhF8ns/ZEceNGNspcsYo3+b5zmy01WlkITQeKZ5Stf8T6bemAd2a9W Q6HBKiMEzwKM99SxKyGALBFoe932/ADTxmP6EAp/OD2+cvwnuURyhcpnP7Y1tkpvyZjp6sqQEMBO 4zvz58m79wDFdjRFrd12KE3mAA1nXPGR9mK6rBOt/IYVXDXL4LbH+UrAgVOhEq86gZSB8fv1b+Sd xqtHI8pqrVv8OqG+akhfrxQmdfs/RNI0vuCmxzjEXOXKYgNw1P7ubr3320MwdR1gF46GdT4c84jC F0uAtK3zflAmQ5buvApKyINC5P2R20HoeKZBFpkKp0K9SqvjYJol0yH5HSO7z6AX+viHy8d7hmqZ IgQ7nwqbnwOvnk8GJ/Tc6vlOGkMy31M6ZZCOeaYsIa6sR1j/FxLG920/PS3rgrqyh8Z8AIhWLLVo BHN10Mmt+N8ZOtgpxGnpdB0RiEhGccW7ytO2Sl9zQ/xfFQoEz4tKD4V88dhTvmR+GWwfLJFvaN1N 9C2TPCJ9apE0MYqPkOHna+pw4y5McKKUkImt3iWmNFvMdcPXs8XblPLu09X2fqhoNSaI9zkjdBal sk2yqcQue1E46uu7Jo9QNo7c2YojZb9dMSq+6oGi46/A5rjTyU3Iwr+R+YJNcT3gUzigFT8eII3H E20+1WW5e7h2GxXbtxumn7H9SbxhvOQk0FXwMBg4mkySYFQINAmJkvFh2Xr7e2LQyxTlrpXq2vrZ 5/UNQx0qbVldy2+gecEHD/Wm0uh4+s+lT2nePFVqrZKjZ2ju2EUb6ATZczQakwn3rtXjBtJX8dQ4 9WC/BtujQKe3zrIM3pBfjzBQPBkJUei1KRoHOBdUTrnqUA4WfWy2t/58ujXEEN3twTRQYkczu9sJ CYX0AG8brnlsljzIAvHnvM6U54bbqY/bwE2XkhcR+5yJef2ag18QoBdrxz97QFaVECb77bgArdXQ 9oykFy+h8ZV8fjQstsEmCwD0THr7JoaPE/HOcSXSHMCdW3wPCoZbyIZteRtdc63sTu0dCt7j8zIG iYoLIkIhutAEZC6DoYVwLsqjzWI0v73E7JTPnUTrpjkHICeq79APYswQhjE1IcG8XIlQiHiK0lZT PxC5mvBK0WuWTKObhYij+8XcV1DLmwdEDq/tYir/4fVNpdDC7vqqvO9e4RvffmMKSPpQOImEsVRf vlX8KkW+/ZU9t0mELjML8xuDOqSgbczucEJk7f5WoaCDA47LZw0WAgVuspnkq89MvdPshFoGpATI 3zE+QSMLnA+daSxgPppU3fECVVMDEG0tCQYfIZZENDeMfgbr9sFsnPShio68qpbbOjV6qpkXl5st 50yfaYSICRwEIxbE4ZZ6K7n4ESbMJv/vOnnyR9XvjcHLMjQfEzlV8XpYgYBk6dipLxZ4qtNv2o3Q +6qfE2PeXzg5MCAuUlZ/T9UACZXPnK9xFhG25YThn7Es1LxpvFXWQCnTu4YjifFZ5tSyJs6PGgm4 2t9DEL9OxigsUFWcWHFJgYAtpDVun07LO/iMbDczKIpHcYhg3dPbQfIYC5y46W/tNgFa2F1TVSCT R4BCfPavmoGrAgcbSkN3xhdQf76IBTedCZ4+InxY2R50l40+VFySUqF9RXl/4mfn6FxetfpwvpA8 BSmR8ZldyC5ZzgXPlwyvxL99/XIVGCCXB4mjmNX8H084rdmqcB7O1PHvQ1BW75BiRpvrgEPm27wZ z5ZLvFVpPesZJXcyt0h50PzQ5A9AtZ/lBbsIZuyIbi63Bua9pIO7ZpdPjzbib7E45vya3nHlyXUM 8b5O9Pz4LrRfshu8CY4b+rLEXfG+RuZzYqMBDYIr3/TCpjqSWuw+JhHGl73WdXESPcpsmTmGbnxv RMz7KWYljJtQQt6DbEyNfMcHofk6Vur+C+l3LCQ1Tmkxna7g2tTEmIkC1VrPa2TA7sDhu23E1x// EI/inxSjZ1IENbEaigYdfqkxsBl64H1H6QEMInODB44IgdjiCu5S1d9WDKTBJAB6OxYAT/K0dJpw OzGo7FZdSrPqslV8AATeynrPDUUzy12ydPHniw4qdQsJeagOVp4QCEnZmT+DJngSwLt42xwm/p9i IsCZTl95D6Q2+kJW3Zw1qR8OOwkYqP5Y0NWXKRYomM8rA5gUBsIOgvYn7W/xlJzYx/MKagTtkxxD dBAQAykAh09Dl/SYxJ1R67k+JhpyooG+yuiNZSEpomskuBm+lf62s+li21EakB5LZ7igJzFcmbFH /US3qytF+ns+C2oJvO0DcRbTtUI8J3h+yKnvo0qUyL0zefFooJraUMJElcbagRqdkaYG8MkbGhgR wHm9URPHGXZamQB1v/v2Yb6/pF5Q6buOhEQKZqqshUUBgvB3KqMLBkUctb3UoAhTAoTAFSqXRjVV wHTthuWXiBRDwZGIOKMYDxyZEgY21Tc4sL+7BppZFLsdsB/d2rgIp38kHJLA3fHS2huJfYSlve4f zB3iqW2Poi7ddwUYZhT37ukoEsl1mL2twRAYgORxxME02h0IIRSxObb77MvC9PDoB0Oz31wbuN5u Tknx/ALgqDOnYrIpisEsIb6l1LZu5jeGKtXL/6V/31AThlonAohxxFqidf3M6USPuC0LH5YC9QK6 JRTF2gkemhYv4pLKdz+hs5jMVDm4jIa1fOL3WqeZ6vLAYl4hvWEQJWR8XWgqn6EBCms0wj4i3z5m wp044p8PMmg3qkROgW5qnR8KB0AcK7yp+0gK+7lQyK/Ljb48HBtDW+W3eZnmsqps0A2/J7oots1D 7Q2VZZ8MGjgZYPU6i4IT0PdEv/DJAaWnx5tqIg9+G2GfQUIsh+OTX37WVexeWJO4xWm1nyt8YBl/ uBOAHdnU4Apy5yHNpxln/qInJ8aJ4XQOhQiqk4gaykQ58D8ZKCaXgoP7CNAw5dCJuoiZLno3wKMi tVcTBCQjwNWrCvC9xpSLraScAcsLsvIQW376tUwPTxAo5vQPJIFarx1H5OpRfg/mCjFMb1+aKyji hYcRGf3HDc21xAqDSjRDdEWDoNiopo+F5ZTB27SQ6i76DcbK43cTMQ+H0N1q4laXyK7WWByjSqAT FW0L+cStxOtUJDEcG665b0mDZGYjSuMffJm87zdYYsdcA9jcVi3S5JjZ78ztflBuRpyaXerew+ek BoY9KMuFlkwkGTn/MZGPu3dzH7Jo2SVbuVetzsmzR5cA/ITsOlBB8bU/CTG1rGglYsnKt9J6/rcR +EoUnZMhLYjD1L61KxjsaS+jiWYUa+sfsIoARtG1G7U4c6gxeoJiaUv0wVJecwBPghWtV+ICWCRM Keqwzl2NqZK97QrDHl/VLonEnKAsGV7GGjSZmB2CTa02reEw+LNmCYHIiRfR6hZCTZ+DWCziN9Ue VYhKG+zNmvm3budR3C8HUNgxEaAKUOh/qs+tzo4kEokuxoFL6EbviC0WqH1wbR9RDXkLGAvLyU/O DGXvNKDUGz2ZZxJWd/9CuTD7Obu8scuDWAawZunpiEAT4DgOvigYcsREyJkWEFo+6y7K4HJfXSf4 iR0VY4lvjPwzqCIS+n55a+JwnZ0+bMyeC/PnBhkEKbvfwkH6nnbop9OWg86URz3/0h0X6j8ivNnB g3Vw29Bqm2f6XoFGkgZqVmaXNleD3sYPtolT597u8tOD/tn5l2SNisGlwT8f0eanB1ijSoV89FDQ FcmtJVtA/pPOrAhlZjJSSvm2s1cqywZN6jMMqNBVygBLulPul/XBXPrzRuu4O7X8BzVR+WFC3deR DTSGcHyl2XlW2osxlAdAOQKlmXpdHK7LtF2tSfYFgb9+3AzM0SzugvU3lUbpXWRNwwqY/tQX2UkR y2sySFaaBwskbEiKA2B2ZxWfpl7PFzKQdPHNMvUSvfkIemfjYsRkDwGcQpUtBpA5wTY8bLTeY9jw w4o+vjfy2Y4n6RzNNrM60DDPlSnoJSnX+tCWFcpO7mcgvUuxmCG1nUcN2B28GnDBZ/7lqNMOEa7n iLafwJKMjiLFdKYfMc90PwDz8LYiq9QpFW0QlhzLXgiljeYSFmt1GMqt/HAPMofAYqPH1jgziBRD 3JImgoPyQS7ktlXOQZihlxMYdUtZKKRGjoBX0Kj03jV4q+FeNEdPaMcup6+lN7XRegQWItUL8jvc U5fZRDA+QlyD1nmgUNykZqk+iZh2/xKilTCkKgeEdhBvwSU2sgEiFBpwkI6tii4IACeHlR/2E1qh VMhTHwdO2W7mxLXkRW3pJJNNnNroOMUhJyUCZR7Z7QjzfEP12wZgeqBarPeUI3q4eFNWT4BkLhxI 0WIPLRqdut9VkcfNZFhhwNFzVCueEEFfTrS6+b8F2dGBd9sKDIM1gMwWOBwO8jH5RGQcjChC1FA5 7UJbJlIHgSIzkqpPcFbxmRQKO1jetTjrs73dyo4fowEbngX7gJuCnDDLLjnDpax4XfgVBf+0PyEA It2gLwpVBg== `protect end_protected
mit
7c709df3e011ff29c3f47cb11985f364
0.943778
1.851576
false
false
false
false
ILoveSpeccy/Aeon-Lite
cores/lvov-pk01/src/vram/vram.vhd
1
6,222
-------------------------------------------------------------------------------- -- This file is owned and controlled by Xilinx and must be used solely -- -- for design, simulation, implementation and creation of design files -- -- limited to Xilinx devices or technologies. Use with non-Xilinx -- -- devices or technologies is expressly prohibited and immediately -- -- terminates your license. -- -- -- -- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY -- -- FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY -- -- PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE -- -- IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS -- -- MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY -- -- CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY -- -- RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY -- -- DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE -- -- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR -- -- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF -- -- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A -- -- PARTICULAR PURPOSE. -- -- -- -- Xilinx products are not intended for use in life support appliances, -- -- devices, or systems. Use in such applications are expressly -- -- prohibited. -- -- -- -- (c) Copyright 1995-2015 Xilinx, Inc. -- -- All rights reserved. -- -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- -- You must compile the wrapper file vram.vhd when simulating -- the core, vram. When compiling the wrapper file, be sure to -- reference the XilinxCoreLib VHDL simulation library. For detailed -- instructions, please refer to the "CORE Generator Help". -- The synthesis directives "translate_off/translate_on" specified -- below are supported by Xilinx, Mentor Graphics and Synplicity -- synthesis tools. Ensure they are correct for your synthesis tool(s). LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- synthesis translate_off LIBRARY XilinxCoreLib; -- synthesis translate_on ENTITY vram IS PORT ( clka : IN STD_LOGIC; wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0); addra : IN STD_LOGIC_VECTOR(13 DOWNTO 0); dina : IN STD_LOGIC_VECTOR(7 DOWNTO 0); douta : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); clkb : IN STD_LOGIC; web : IN STD_LOGIC_VECTOR(0 DOWNTO 0); addrb : IN STD_LOGIC_VECTOR(13 DOWNTO 0); dinb : IN STD_LOGIC_VECTOR(7 DOWNTO 0); doutb : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) ); END vram; ARCHITECTURE vram_a OF vram IS -- synthesis translate_off COMPONENT wrapped_vram PORT ( clka : IN STD_LOGIC; wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0); addra : IN STD_LOGIC_VECTOR(13 DOWNTO 0); dina : IN STD_LOGIC_VECTOR(7 DOWNTO 0); douta : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); clkb : IN STD_LOGIC; web : IN STD_LOGIC_VECTOR(0 DOWNTO 0); addrb : IN STD_LOGIC_VECTOR(13 DOWNTO 0); dinb : IN STD_LOGIC_VECTOR(7 DOWNTO 0); doutb : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) ); END COMPONENT; -- Configuration specification FOR ALL : wrapped_vram USE ENTITY XilinxCoreLib.blk_mem_gen_v7_3(behavioral) GENERIC MAP ( c_addra_width => 14, c_addrb_width => 14, c_algorithm => 1, c_axi_id_width => 4, c_axi_slave_type => 0, c_axi_type => 1, c_byte_size => 9, c_common_clk => 0, c_default_data => "0", c_disable_warn_bhv_coll => 0, c_disable_warn_bhv_range => 0, c_enable_32bit_address => 0, c_family => "spartan6", c_has_axi_id => 0, c_has_ena => 0, c_has_enb => 0, c_has_injecterr => 0, c_has_mem_output_regs_a => 0, c_has_mem_output_regs_b => 0, c_has_mux_output_regs_a => 0, c_has_mux_output_regs_b => 0, c_has_regcea => 0, c_has_regceb => 0, c_has_rsta => 0, c_has_rstb => 0, c_has_softecc_input_regs_a => 0, c_has_softecc_output_regs_b => 0, c_init_file => "BlankString", c_init_file_name => "no_coe_file_loaded", c_inita_val => "0", c_initb_val => "0", c_interface_type => 0, c_load_init_file => 0, c_mem_type => 2, c_mux_pipeline_stages => 0, c_prim_type => 1, c_read_depth_a => 16384, c_read_depth_b => 16384, c_read_width_a => 8, c_read_width_b => 8, c_rst_priority_a => "CE", c_rst_priority_b => "CE", c_rst_type => "SYNC", c_rstram_a => 0, c_rstram_b => 0, c_sim_collision_check => "ALL", c_use_bram_block => 0, c_use_byte_wea => 0, c_use_byte_web => 0, c_use_default_data => 0, c_use_ecc => 0, c_use_softecc => 0, c_wea_width => 1, c_web_width => 1, c_write_depth_a => 16384, c_write_depth_b => 16384, c_write_mode_a => "WRITE_FIRST", c_write_mode_b => "WRITE_FIRST", c_write_width_a => 8, c_write_width_b => 8, c_xdevicefamily => "spartan6" ); -- synthesis translate_on BEGIN -- synthesis translate_off U0 : wrapped_vram PORT MAP ( clka => clka, wea => wea, addra => addra, dina => dina, douta => douta, clkb => clkb, web => web, addrb => addrb, dinb => dinb, doutb => doutb ); -- synthesis translate_on END vram_a;
gpl-3.0
1eadfa0645e0f220244a2494e76be104
0.522019
3.883895
false
false
false
false
sonologic/gmzpu
vhdl/roms/rom_pkg.vhdl
1
4,618
------------------------------------------------------------------------------ ---- ---- ---- ZPU memories package ---- ---- ---- ---- http://www.opencores.org/ ---- ---- ---- ---- Description: ---- ---- This is a package with the memories used for the ZPU core. ---- ---- ---- ---- To Do: ---- ---- - ---- ---- ---- ---- Author: ---- ---- - Salvador E. Tropea, salvador inti.gob.ar ---- ---- ---- ------------------------------------------------------------------------------ ---- ---- ---- Copyright (c) 2008 Salvador E. Tropea <salvador inti.gob.ar> ---- ---- Copyright (c) 2008 Instituto Nacional de Tecnología Industrial ---- ---- ---- ---- Distributed under the BSD license ---- ---- ---- ------------------------------------------------------------------------------ ---- ---- ---- Design unit: zpu_memory (Package) ---- ---- File name: rom_pkg.vhdl (template used) ---- ---- Note: None ---- ---- Limitations: None known ---- ---- Errors: None known ---- ---- Library: work ---- ---- Dependencies: IEEE.std_logic_1164 ---- ---- IEEE.numeric_std ---- ---- Target FPGA: Spartan 3 (XC3S1500-4-FG456) ---- ---- Language: VHDL ---- ---- Wishbone: No ---- ---- Synthesis tools: Xilinx Release 9.2.03i - xst J.39 ---- ---- Simulation tools: GHDL [Sokcho edition] (0.2x) ---- ---- Text editor: SETEdit 0.5.x ---- ---- ---- ------------------------------------------------------------------------------ library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; package zpu_memory is component DualPortRAM is generic( WORD_SIZE : integer:=32; -- Word Size 16/32 BYTE_BITS : integer:=2; -- Bits used to address bytes BRAM_W : integer:=15); -- Address Width port( clk_i : in std_logic; -- Port A a_we_i : in std_logic; a_addr_i : in unsigned(BRAM_W-1 downto BYTE_BITS); a_write_i : in unsigned(WORD_SIZE-1 downto 0); a_read_o : out unsigned(WORD_SIZE-1 downto 0); -- Port B b_we_i : in std_logic; b_addr_i : in unsigned(BRAM_W-1 downto BYTE_BITS); b_write_i : in unsigned(WORD_SIZE-1 downto 0); b_read_o : out unsigned(WORD_SIZE-1 downto 0)); end component DualPortRAM; component SinglePortRAM is generic( WORD_SIZE : integer:=32; -- Word Size 16/32 BYTE_BITS : integer:=2; -- Bits used to address bytes BRAM_W : integer:=15); -- Address Width port( clk_i : in std_logic; we_i : in std_logic; re_i : in std_logic; addr_i : in unsigned(BRAM_W-1 downto BYTE_BITS); write_i : in unsigned(WORD_SIZE-1 downto 0); read_o : out unsigned(WORD_SIZE-1 downto 0); busy_o : out std_logic); end component SinglePortRAM; end package zpu_memory;
bsd-3-clause
33b4da8bb86696cf1e6b5f59d6104c7e
0.295799
5.631707
false
false
false
false
dqydj/PaperBack_EPaper_Display
firmware_VGA/FPGA/grab_screen.vhd
1
7,130
library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.NUMERIC_STD.ALL; entity FrameGrabber is port( -- Memory ADDR: out std_logic_vector(18 downto 0); IO: inout std_logic_vector(7 downto 0); MEM_OE: out std_logic := '1'; -- Active low MEM_WE: out std_logic := '1'; -- Active low MEM_CE: out std_logic := '1'; -- Active low -- VGA HSYNC: in std_logic; VSYNC: in std_logic; DCLK: in std_logic; --RED: in std_logic_vector(7 downto 4); GREEN: in std_logic_vector(7 downto 4); --BLUE: in std_logic_vector(7 downto 4); -- Control State FRAME_GRAB_DONE: out std_logic; FRAME_WRITE_DONE: in std_logic ); end FrameGrabber; architecture Behavioral of FrameGrabber is -- Screen Constants constant SCREEN_WIDTH : integer := 800; constant SCREEN_HEIGHT : integer := 600; constant SCREEN_OVERSCAN : integer := (800*600)+10000; constant SCREEN_OVERSCAN_FLAG : integer := SCREEN_OVERSCAN-100; -- Left columns to ignore constant FRONT_PORCH : integer := 206; -- Rows to Ignore constant ROW_PORCH : integer := 26; -- State Frame Grab signal READY_GRAB: std_logic := '0'; signal CHECK_WRITE_DONE: std_logic := '0'; signal pixel_reset_clk: unsigned(0 to 1) := (others => '0'); signal pixel_count: unsigned(27 downto 0) := (others => '0'); signal VGA_COL : unsigned(10 downto 0) := (others => '0'); signal VGA_ROW : unsigned(10 downto 0) := (others => '0'); -- PIXEL caches signal SEEN_VSYNC: std_logic := '0'; signal PIXEL_00: std_logic_vector(3 downto 0) := (others => '0'); signal PIXEL_01: std_logic_vector(3 downto 0) := (others => '0'); signal PIXEL_02: std_logic_vector(3 downto 0) := (others => '0'); signal PIXEL_03: std_logic_vector(3 downto 0) := (others => '0'); signal PIXEL_04: std_logic_vector(3 downto 0) := (others => '0'); signal PIXEL_05: std_logic_vector(3 downto 0) := (others => '0'); signal PIXEL_06: std_logic_vector(3 downto 0) := (others => '0'); signal PIXEL_07: std_logic_vector(3 downto 0) := (others => '0'); -- Return decoded EPD Pixels function epdPix ( PIXEL : in std_logic_vector(3 downto 0); ADDRESS : in unsigned(1 downto 0) ) return STD_LOGIC_VECTOR IS variable decodedPixel : STD_LOGIC_VECTOR(1 downto 0); begin if (ADDRESS = "00") then if (PIXEL(3) = '1') then decodedPixel := "10"; else decodedPixel := "01"; end if; elsif (ADDRESS = "01") then if (PIXEL(2) = '1') then decodedPixel := "10"; else decodedPixel := "01"; end if; elsif (ADDRESS = "10") then if (PIXEL(1) = '1') then decodedPixel := "10"; else decodedPixel := "01"; end if; elsif (ADDRESS = "11") then if (PIXEL(0) = '1') then decodedPixel := "00"; else decodedPixel := "01"; end if; end if; return decodedPixel; end epdPix; begin -- Control clocking video into the SRAM MEM_WE <= DCLK when ( READY_GRAB = '1' and pixel_count < SCREEN_OVERSCAN and HSYNC = '0' and VGA_COL >= to_unsigned(FRONT_PORCH, VGA_COL'length) and VGA_COL < to_unsigned(SCREEN_WIDTH + FRONT_PORCH, VGA_COL'length) and VGA_ROW >= ROW_PORCH ) else '1'; -- Pixel Clock process(DCLK, HSYNC) begin if(rising_edge(HSYNC)) then if (VSYNC = '0') then VGA_ROW <= to_unsigned(0, VGA_ROW'length); else VGA_ROW <= VGA_ROW + 1; end if; end if; if(rising_edge(DCLK)) then -- Rising pixel clock if (pixel_reset_clk /= "11") then pixel_reset_clk <= pixel_reset_clk + 1; end if; if (pixel_reset_clk = "01") then FRAME_GRAB_DONE <= '0'; READY_GRAB <= '1'; CHECK_WRITE_DONE <= '1'; pixel_count <= (others => '0'); SEEN_VSYNC <= '0'; MEM_CE <= '1'; MEM_OE <= '1'; ADDR <= (others => 'Z'); IO <= (others => 'Z'); end if; if (READY_GRAB = '0') then -- Check for state with synchronizing flip flop READY_GRAB <= CHECK_WRITE_DONE; CHECK_WRITE_DONE <= FRAME_WRITE_DONE; else -- if READY_GRAB is '1' or it's time to grab a frame if (VSYNC = '0') then SEEN_VSYNC <= '1'; VGA_COL <= (others => '0'); VGA_ROW <= (others => '0'); else SEEN_VSYNC <= SEEN_VSYNC; end if; if (VSYNC = '1' and SEEN_VSYNC = '1') then if (pixel_count = to_unsigned(0, pixel_count'length)) then FRAME_GRAB_DONE <= '0'; READY_GRAB <= '1'; MEM_CE <= '0'; MEM_OE <= '1'; end if; if (pixel_count = to_unsigned(SCREEN_OVERSCAN_FLAG, pixel_count'length)) then FRAME_GRAB_DONE <= '1'; MEM_CE <= '1'; MEM_OE <= '1'; end if; -- PIXEL LOGIC if (pixel_count /= to_unsigned(SCREEN_OVERSCAN, pixel_count'length)) then if ( HSYNC = '0' and VGA_COL >= to_unsigned(FRONT_PORCH, VGA_COL'length) and VGA_COL < to_unsigned(SCREEN_WIDTH + FRONT_PORCH, VGA_COL'length) and VGA_ROW >= ROW_PORCH ) then case pixel_count(2 downto 0) is when "000" => PIXEL_00 <= GREEN(7 downto 4); when "001" => PIXEL_01 <= GREEN(7 downto 4); when "010" => PIXEL_02 <= GREEN(7 downto 4); when "011" => PIXEL_03 <= GREEN(7 downto 4); when "100" => PIXEL_04 <= GREEN(7 downto 4); when "101" => PIXEL_05 <= GREEN(7 downto 4); when "110" => PIXEL_06 <= GREEN(7 downto 4); when others => PIXEL_07 <= GREEN(7 downto 4); end case; if (pixel_count > to_unsigned(3, pixel_count'length)) then ADDR <= std_logic_vector(pixel_count(18 downto 0) - 4); case pixel_count(2) is when '0' => IO <= epdPix(PIXEL_04, pixel_count(1 downto 0)) & epdPix(PIXEL_05, pixel_count(1 downto 0)) & epdPix(PIXEL_06, pixel_count(1 downto 0)) & epdPix(PIXEL_07, pixel_count(1 downto 0)); when others => IO <= epdPix(PIXEL_00, pixel_count(1 downto 0)) & epdPix(PIXEL_01, pixel_count(1 downto 0)) & epdPix(PIXEL_02, pixel_count(1 downto 0)) & epdPix(PIXEL_03, pixel_count(1 downto 0)); end case; else IO <= "00000000"; ADDR <= (others => '1'); end if; pixel_count <= pixel_count + 1; MEM_CE <= '0'; end if; end if; -- HSYNC Row Rollover / Reset columns, new row if (HSYNC = '0') then VGA_COL <= VGA_COL + 1; else VGA_COL <= (others => '0'); end if; -- Rollover Logic if (pixel_count = to_unsigned(SCREEN_OVERSCAN, pixel_count'length)) then pixel_count <= to_unsigned(0, pixel_count'length); READY_GRAB <= '0'; CHECK_WRITE_DONE <= '0'; FRAME_GRAB_DONE <= '0'; ADDR <= (others => 'Z'); IO <= (others => 'Z'); MEM_CE <= '1'; SEEN_VSYNC <= '0'; VGA_COL <= (others => '0'); VGA_ROW <= (others => '0'); -- Just grabbed a frame from VGA - go write it to the EPD end if; end if; end if; -- Ready to Grab was 1 end if; -- end rising clock end process; -- End Pixel Clock from AD9883/MST9883 end Behavioral;
mit
abdd03884bcfe896cc72dd361f8baa1d
0.565217
2.923329
false
false
false
false
vvk/sysrek
skin_color_segm/ipcore_dir/LUT/simulation/LUT_tb.vhd
6
4,189
-------------------------------------------------------------------------------- -- -- DIST MEM GEN Core - Top File for the Example Testbench -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- Filename: LUT_tb.vhd -- Description: -- Testbench Top -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY work; USE work.ALL; ENTITY LUT_tb IS END ENTITY; ARCHITECTURE LUT_tb_ARCH OF LUT_tb IS SIGNAL STATUS : STD_LOGIC_VECTOR(8 DOWNTO 0); SIGNAL CLK : STD_LOGIC := '1'; SIGNAL RESET : STD_LOGIC; BEGIN CLK_GEN: PROCESS BEGIN CLK <= NOT CLK; WAIT FOR 100 NS; CLK <= NOT CLK; WAIT FOR 100 NS; END PROCESS; RST_GEN: PROCESS BEGIN RESET <= '1'; WAIT FOR 1000 NS; RESET <= '0'; WAIT; END PROCESS; --STOP_SIM: PROCESS BEGIN -- WAIT FOR 200 US; -- STOP SIMULATION AFTER 1 MS -- ASSERT FALSE -- REPORT "END SIMULATION TIME REACHED" -- SEVERITY FAILURE; --END PROCESS; -- PROCESS BEGIN WAIT UNTIL STATUS(8)='1'; IF( STATUS(7 downto 0)/="0") THEN ASSERT false REPORT "Simulation Failed" SEVERITY FAILURE; ELSE ASSERT false REPORT "Test Completed Successfully" SEVERITY FAILURE; END IF; END PROCESS; LUT_tb_synth_inst:ENTITY work.LUT_tb_synth GENERIC MAP (C_ROM_SYNTH => 0) PORT MAP( CLK_IN => CLK, RESET_IN => RESET, STATUS => STATUS ); END ARCHITECTURE;
gpl-2.0
c2199d6ee739c05d9d487d6ee6b90002
0.621867
4.613436
false
false
false
false
ILoveSpeccy/Aeon-Lite
cores/lvov-pk02-mips/src/host/VGA Console/mips_vram/mips_vram/simulation/mips_vram_tb.vhd
1
4,523
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Top File for the Example Testbench -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- Filename: mips_vram_tb.vhd -- Description: -- Testbench Top -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY work; USE work.ALL; ENTITY mips_vram_tb IS END ENTITY; ARCHITECTURE mips_vram_tb_ARCH OF mips_vram_tb IS SIGNAL STATUS : STD_LOGIC_VECTOR(8 DOWNTO 0); SIGNAL CLK : STD_LOGIC := '1'; SIGNAL CLKB : STD_LOGIC := '1'; SIGNAL RESET : STD_LOGIC; BEGIN CLK_GEN: PROCESS BEGIN CLK <= NOT CLK; WAIT FOR 100 NS; CLK <= NOT CLK; WAIT FOR 100 NS; END PROCESS; CLKB_GEN: PROCESS BEGIN CLKB <= NOT CLKB; WAIT FOR 100 NS; CLKB <= NOT CLKB; WAIT FOR 100 NS; END PROCESS; RST_GEN: PROCESS BEGIN RESET <= '1'; WAIT FOR 1000 NS; RESET <= '0'; WAIT; END PROCESS; --STOP_SIM: PROCESS BEGIN -- WAIT FOR 200 US; -- STOP SIMULATION AFTER 1 MS -- ASSERT FALSE -- REPORT "END SIMULATION TIME REACHED" -- SEVERITY FAILURE; --END PROCESS; -- PROCESS BEGIN WAIT UNTIL STATUS(8)='1'; IF( STATUS(7 downto 0)/="0") THEN ASSERT false REPORT "Test Completed Successfully" SEVERITY NOTE; REPORT "Simulation Failed" SEVERITY FAILURE; ELSE ASSERT false REPORT "TEST PASS" SEVERITY NOTE; REPORT "Test Completed Successfully" SEVERITY FAILURE; END IF; END PROCESS; mips_vram_synth_inst:ENTITY work.mips_vram_synth PORT MAP( CLK_IN => CLK, CLKB_IN => CLK, RESET_IN => RESET, STATUS => STATUS ); END ARCHITECTURE;
gpl-3.0
b652857ba4499225af2271f423744203
0.615742
4.587221
false
false
false
false
ILoveSpeccy/Aeon-Lite
cores/atari800xl/src/a8core/pokey_poly_5.vhdl
1
1,271
--------------------------------------------------------------------------- -- (c) 2013 mark watson -- I am happy for anyone to use this for non-commercial use. -- If my vhdl files are used commercially or otherwise sold, -- please contact me for explicit permission at scrameta (gmail). -- This applies for source and binary form and derived works. --------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.all; use ieee.numeric_std.all; ENTITY pokey_poly_5 IS PORT ( CLK : IN STD_LOGIC; RESET_N : IN STD_LOGIC; ENABLE : IN STD_LOGIC; INIT : IN STD_LOGIC; BIT_OUT : OUT STD_LOGIC ); END pokey_poly_5; ARCHITECTURE vhdl OF pokey_poly_5 IS signal shift_reg: std_logic_vector(4 downto 0); signal shift_next: std_logic_vector(4 downto 0); BEGIN -- register process(clk,reset_n) begin if (reset_n = '0') then shift_reg <= "01010"; elsif (clk'event and clk='1') then shift_reg <= shift_next; end if; end process; -- next state process(shift_reg,enable,init) begin shift_next <= shift_reg; if (enable = '1') then shift_next <= ((shift_reg(2) xnor shift_reg(0)) and not(init))&shift_reg(4 downto 1); end if; end process; -- output bit_out <= not(shift_reg(0)); END vhdl;
gpl-3.0
e2b4a89a3f414196e67c2e572d4080a5
0.598741
3.185464
false
false
false
false
APastorG/APG
general/common_data_types_pkg.vhd
1
5,832
/*************************************************************************************************** / / Author: Antonio Pastor González / ¯¯¯¯¯¯ / / Date: / ¯¯¯¯ / / Version: / ¯¯¯¯¯¯¯ / / Notes: / ¯¯¯¯¯ / This design makes use of some features from VHDL-2008, all of which have been implemented in / Xilinx's Vivado / A 3 space tab is used throughout the document / / / Description: / ¯¯¯¯¯¯¯¯¯¯¯ / This package contains common data types / **************************************************************************************************/ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.math_real.all; library work; use work.fixed_generic_pkg.all; use work.fixed_float_types.all; /*================================================================================================*/ /*================================================================================================*/ /*================================================================================================*/ package common_data_types_pkg is subtype T_sulv_index is integer range -2**30 to 2**30-1; type T_speed is (t_exc, t_min, t_low, t_medium, t_high, t_max); --std_ulogic_vector vector type sulv_v is array (natural range <>) of std_ulogic_vector; --std_ulogic_vector vector of vectors type sulv_vv is array (natural range <>) of sulv_v; type natural_v is array (natural range <>) of natural; type positive_v is array (natural range <>) of positive; type integer_v is array (natural range <>) of integer; type integer_vv is array (natural range <>) of integer_v; type real_v is array (natural range <>) of real; type boolean_v is array (natural range <>) of boolean; --u_sfixed vector type u_sfixed_v is array (integer range <>) of u_sfixed; --vector u_sfixed vectors type u_sfixed_vv is array (integer range <>) of u_sfixed_v; --vector of vector of u_sfixed vectors type u_sfixed_vvv is array (integer range <>) of u_sfixed_vv; --u_ufixed vector type u_ufixed_v is array (integer range <>) of u_ufixed; --vector of u_ufixed vectors type u_ufixed_vv is array (integer range <>) of u_ufixed_v; --sfixed vector type sfixed_v is array (integer range <>) of sfixed; --ufixed vector type ufixed_v is array (integer range <>) of ufixed; --vector in canonical signed digit representation. Each bit has the possible values of 0, 1, or -- -1, which are represented by 2 bits: 00, 01, or 11 respectively type T_csd is array (integer range<>) of bit_vector(2 downto 1); --types for describing the behavior of fixed and floating point data alias T_round_style is fixed_round_style_type; alias T_overflow_style is fixed_overflow_style_type; --type used for exceptions of generics of type positive (possible values: positive + '0'). -- Exception will portrayed by value 0 type positive_exc is range 0 to integer'high; --type used for exceptions of generics of type natural (possible values: natural + '-1'). -- Exception will portrayed by value -1 type natural_exc is range -1 to integer'high; --subtype used for exceptions of generics of type integer. -- Exception will portrayed by value integer'low subtype integer_exc is integer; --subtype used for exceptions of generics of type real subtype real_exc is real; --type used for exceptions of generics of type boolean (possible values: t_false, t_true, and -- t_exc) type boolean_exc is (t_exc, t_true, t_false); --types to use in testbenches to ease the testing of defined/undefined generics. They allow -- setting a value for a generic and whether the assignment has been done inside the instantiation type T_round_style_tb is record value : T_round_style; is_defined : boolean; end record; type T_overflow_style_tb is record value : T_overflow_style; is_defined : boolean; end record; type T_speed_tb is record value : T_speed; is_defined : boolean; end record; type boolean_tb is record value : boolean; is_defined : boolean; end record; type boolean_exc_tb is record value : boolean_exc; is_defined : boolean; end record; type natural_tb is record value : natural; is_defined : boolean; end record; type natural_exc_tb is record value : natural_exc; is_defined : boolean; end record; type positive_tb is record value : positive; is_defined : boolean; end record; type positive_exc_tb is record value : positive_exc; is_defined : boolean; end record; type integer_tb is record value : integer; is_defined : boolean; end record; type integer_exc_tb is record value : integer_exc; is_defined : boolean; end record; type real_tb is record value : real; is_defined : boolean; end record; type real_exc_tb is record value : real_exc; is_defined : boolean; end record; /* functions 1 */ /**************************************************************************************************/ --function to convert boolean_exc to boolean to prevent errors in the elaboration phase function to_boolean( arg : boolean_exc) return boolean; end package; package body common_data_types_pkg is function to_boolean( arg : boolean_exc) return boolean is begin if arg = t_true then return true; else return false; end if; end function; end package body;
mit
40b8de461f23f20fe5c589abcca7e5c0
0.571749
4.156272
false
false
false
false
sonologic/gmzpu
vhdl/roms/hello_bram.vhdl
1
72,756
------------------------------------------------------------------------------ ---- ---- ---- Single Port RAM that maps to a Xilinx BRAM ---- ---- ---- ---- http://www.opencores.org/ ---- ---- ---- ---- Description: ---- ---- This is a program+data memory for the ZPU. It maps to a Xilinx BRAM ---- ---- ---- ---- To Do: ---- ---- - ---- ---- ---- ---- Author: ---- ---- - Salvador E. Tropea, salvador inti.gob.ar ---- ---- ---- ------------------------------------------------------------------------------ ---- ---- ---- Copyright (c) 2008 Salvador E. Tropea <salvador inti.gob.ar> ---- ---- Copyright (c) 2008 Instituto Nacional de Tecnología Industrial ---- ---- ---- ---- Distributed under the BSD license ---- ---- ---- ------------------------------------------------------------------------------ ---- ---- ---- Design unit: SinglePortRAM(Xilinx) (Entity and architecture) ---- ---- File name: rom_s.in.vhdl (template used) ---- ---- Note: None ---- ---- Limitations: None known ---- ---- Errors: None known ---- ---- Library: work ---- ---- Dependencies: IEEE.std_logic_1164 ---- ---- IEEE.numeric_std ---- ---- Target FPGA: Spartan 3 (XC3S1500-4-FG456) ---- ---- Language: VHDL ---- ---- Wishbone: No ---- ---- Synthesis tools: Xilinx Release 9.2.03i - xst J.39 ---- ---- Simulation tools: GHDL [Sokcho edition] (0.2x) ---- ---- Text editor: SETEdit 0.5.x ---- ---- ---- ------------------------------------------------------------------------------ library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity SinglePortRAM is generic( WORD_SIZE : integer:=32; -- Word Size 16/32 BYTE_BITS : integer:=2; -- Bits used to address bytes BRAM_W : integer:=15); -- Address Width port( clk_i : in std_logic; we_i : in std_logic; re_i : in std_logic; addr_i : in unsigned(BRAM_W-1 downto BYTE_BITS); write_i : in unsigned(WORD_SIZE-1 downto 0); read_o : out unsigned(WORD_SIZE-1 downto 0); busy_o : out std_logic); end entity SinglePortRAM; architecture Xilinx of SinglePortRAM is type ram_type is array(natural range 0 to ((2**BRAM_W)/4)-1) of unsigned(WORD_SIZE-1 downto 0); signal addr_r : unsigned(BRAM_W-1 downto BYTE_BITS); signal ram : ram_type := ( 0 => x"0b0b0b0b", 1 => x"82700b0b", 2 => x"80cd800c", 3 => x"3a0b0b80", 4 => x"c58f0400", 5 => x"00000000", 6 => x"00000000", 7 => x"00000000", 8 => x"80088408", 9 => x"88080b0b", 10 => x"80c5d62d", 11 => x"880c840c", 12 => x"800c0400", 13 => x"00000000", 14 => x"00000000", 15 => x"00000000", 16 => x"71fd0608", 17 => x"72830609", 18 => x"81058205", 19 => x"832b2a83", 20 => x"ffff0652", 21 => x"04000000", 22 => x"00000000", 23 => x"00000000", 24 => x"71fd0608", 25 => x"83ffff73", 26 => x"83060981", 27 => x"05820583", 28 => x"2b2b0906", 29 => x"7383ffff", 30 => x"0b0b0b0b", 31 => x"83a70400", 32 => x"72098105", 33 => x"72057373", 34 => x"09060906", 35 => x"73097306", 36 => x"070a8106", 37 => x"53510400", 38 => x"00000000", 39 => x"00000000", 40 => x"72722473", 41 => x"732e0753", 42 => x"51040000", 43 => x"00000000", 44 => x"00000000", 45 => x"00000000", 46 => x"00000000", 47 => x"00000000", 48 => x"71737109", 49 => x"71068106", 50 => x"30720a10", 51 => x"0a720a10", 52 => x"0a31050a", 53 => x"81065151", 54 => x"53510400", 55 => x"00000000", 56 => x"72722673", 57 => x"732e0753", 58 => x"51040000", 59 => x"00000000", 60 => x"00000000", 61 => x"00000000", 62 => x"00000000", 63 => x"00000000", 64 => x"00000000", 65 => x"00000000", 66 => x"00000000", 67 => x"00000000", 68 => x"00000000", 69 => x"00000000", 70 => x"00000000", 71 => x"00000000", 72 => x"0b0b0b88", 73 => x"c4040000", 74 => x"00000000", 75 => x"00000000", 76 => x"00000000", 77 => x"00000000", 78 => x"00000000", 79 => x"00000000", 80 => x"720a722b", 81 => x"0a535104", 82 => x"00000000", 83 => x"00000000", 84 => x"00000000", 85 => x"00000000", 86 => x"00000000", 87 => x"00000000", 88 => x"72729f06", 89 => x"0981050b", 90 => x"0b0b88a7", 91 => x"05040000", 92 => x"00000000", 93 => x"00000000", 94 => x"00000000", 95 => x"00000000", 96 => x"72722aff", 97 => x"739f062a", 98 => x"0974090a", 99 => x"8106ff05", 100 => x"06075351", 101 => x"04000000", 102 => x"00000000", 103 => x"00000000", 104 => x"71715351", 105 => x"020d0406", 106 => x"73830609", 107 => x"81058205", 108 => x"832b0b2b", 109 => x"0772fc06", 110 => x"0c515104", 111 => x"00000000", 112 => x"72098105", 113 => x"72050970", 114 => x"81050906", 115 => x"0a810653", 116 => x"51040000", 117 => x"00000000", 118 => x"00000000", 119 => x"00000000", 120 => x"72098105", 121 => x"72050970", 122 => x"81050906", 123 => x"0a098106", 124 => x"53510400", 125 => x"00000000", 126 => x"00000000", 127 => x"00000000", 128 => x"71098105", 129 => x"52040000", 130 => x"00000000", 131 => x"00000000", 132 => x"00000000", 133 => x"00000000", 134 => x"00000000", 135 => x"00000000", 136 => x"72720981", 137 => x"05055351", 138 => x"04000000", 139 => x"00000000", 140 => x"00000000", 141 => x"00000000", 142 => x"00000000", 143 => x"00000000", 144 => x"72097206", 145 => x"73730906", 146 => x"07535104", 147 => x"00000000", 148 => x"00000000", 149 => x"00000000", 150 => x"00000000", 151 => x"00000000", 152 => x"71fc0608", 153 => x"72830609", 154 => x"81058305", 155 => x"1010102a", 156 => x"81ff0652", 157 => x"04000000", 158 => x"00000000", 159 => x"00000000", 160 => x"71fc0608", 161 => x"0b0b80cc", 162 => x"ec738306", 163 => x"10100508", 164 => x"060b0b0b", 165 => x"88aa0400", 166 => x"00000000", 167 => x"00000000", 168 => x"80088408", 169 => x"88087575", 170 => x"0b0b0b8b", 171 => x"8a2d5050", 172 => x"80085688", 173 => x"0c840c80", 174 => x"0c510400", 175 => x"00000000", 176 => x"80088408", 177 => x"88087575", 178 => x"0b0b0b8c", 179 => x"bc2d5050", 180 => x"80085688", 181 => x"0c840c80", 182 => x"0c510400", 183 => x"00000000", 184 => x"72097081", 185 => x"0509060a", 186 => x"8106ff05", 187 => x"70547106", 188 => x"73097274", 189 => x"05ff0506", 190 => x"07515151", 191 => x"04000000", 192 => x"72097081", 193 => x"0509060a", 194 => x"098106ff", 195 => x"05705471", 196 => x"06730972", 197 => x"7405ff05", 198 => x"06075151", 199 => x"51040000", 200 => x"05ff0504", 201 => x"00000000", 202 => x"00000000", 203 => x"00000000", 204 => x"00000000", 205 => x"00000000", 206 => x"00000000", 207 => x"00000000", 208 => x"810b0b0b", 209 => x"80ccfc0c", 210 => x"51040000", 211 => x"00000000", 212 => x"00000000", 213 => x"00000000", 214 => x"00000000", 215 => x"00000000", 216 => x"71810552", 217 => x"04000000", 218 => x"00000000", 219 => x"00000000", 220 => x"00000000", 221 => x"00000000", 222 => x"00000000", 223 => x"00000000", 224 => x"00000000", 225 => x"00000000", 226 => x"00000000", 227 => x"00000000", 228 => x"00000000", 229 => x"00000000", 230 => x"00000000", 231 => x"00000000", 232 => x"02840572", 233 => x"10100552", 234 => x"04000000", 235 => x"00000000", 236 => x"00000000", 237 => x"00000000", 238 => x"00000000", 239 => x"00000000", 240 => x"00000000", 241 => x"00000000", 242 => x"00000000", 243 => x"00000000", 244 => x"00000000", 245 => x"00000000", 246 => x"00000000", 247 => x"00000000", 248 => x"717105ff", 249 => x"05715351", 250 => x"020d0400", 251 => x"00000000", 252 => x"00000000", 253 => x"00000000", 254 => x"00000000", 255 => x"00000000", 256 => x"82c73f80", 257 => x"c4913f04", 258 => x"10101010", 259 => x"10101010", 260 => x"10101010", 261 => x"10101010", 262 => x"10101010", 263 => x"10101010", 264 => x"10101010", 265 => x"10101053", 266 => x"51047381", 267 => x"ff067383", 268 => x"06098105", 269 => x"83051010", 270 => x"102b0772", 271 => x"fc060c51", 272 => x"51043c04", 273 => x"72728072", 274 => x"8106ff05", 275 => x"09720605", 276 => x"71105272", 277 => x"0a100a53", 278 => x"72ed3851", 279 => x"51535104", 280 => x"fe3d0d0b", 281 => x"0b80dce8", 282 => x"08538413", 283 => x"0870882a", 284 => x"70810651", 285 => x"52527080", 286 => x"2ef03871", 287 => x"81ff0680", 288 => x"0c843d0d", 289 => x"04ff3d0d", 290 => x"0b0b80dc", 291 => x"e8085271", 292 => x"0870882a", 293 => x"81327081", 294 => x"06515151", 295 => x"70f13873", 296 => x"720c833d", 297 => x"0d0480cc", 298 => x"fc08802e", 299 => x"a43880cd", 300 => x"8008822e", 301 => x"bd388380", 302 => x"800b0b0b", 303 => x"80dce80c", 304 => x"82a0800b", 305 => x"80dcec0c", 306 => x"8290800b", 307 => x"80dcf00c", 308 => x"04f88080", 309 => x"80a40b0b", 310 => x"0b80dce8", 311 => x"0cf88080", 312 => x"82800b80", 313 => x"dcec0cf8", 314 => x"80808480", 315 => x"0b80dcf0", 316 => x"0c0480c0", 317 => x"a8808c0b", 318 => x"0b0b80dc", 319 => x"e80c80c0", 320 => x"a880940b", 321 => x"80dcec0c", 322 => x"0b0b80cc", 323 => x"c40b80dc", 324 => x"f00c04ff", 325 => x"3d0d80dc", 326 => x"f4335170", 327 => x"a73880cd", 328 => x"88087008", 329 => x"52527080", 330 => x"2e943884", 331 => x"1280cd88", 332 => x"0c702d80", 333 => x"cd880870", 334 => x"08525270", 335 => x"ee38810b", 336 => x"80dcf434", 337 => x"833d0d04", 338 => x"04803d0d", 339 => x"0b0b80dc", 340 => x"e408802e", 341 => x"8e380b0b", 342 => x"0b0b800b", 343 => x"802e0981", 344 => x"06853882", 345 => x"3d0d040b", 346 => x"0b80dce4", 347 => x"510b0b0b", 348 => x"f58e3f82", 349 => x"3d0d0404", 350 => x"803d0d80", 351 => x"ccc85185", 352 => x"de3f800b", 353 => x"800c823d", 354 => x"0d048c08", 355 => x"028c0cf9", 356 => x"3d0d800b", 357 => x"8c08fc05", 358 => x"0c8c0888", 359 => x"05088025", 360 => x"ab388c08", 361 => x"88050830", 362 => x"8c088805", 363 => x"0c800b8c", 364 => x"08f4050c", 365 => x"8c08fc05", 366 => x"08883881", 367 => x"0b8c08f4", 368 => x"050c8c08", 369 => x"f405088c", 370 => x"08fc050c", 371 => x"8c088c05", 372 => x"088025ab", 373 => x"388c088c", 374 => x"0508308c", 375 => x"088c050c", 376 => x"800b8c08", 377 => x"f0050c8c", 378 => x"08fc0508", 379 => x"8838810b", 380 => x"8c08f005", 381 => x"0c8c08f0", 382 => x"05088c08", 383 => x"fc050c80", 384 => x"538c088c", 385 => x"0508528c", 386 => x"08880508", 387 => x"5181a73f", 388 => x"8008708c", 389 => x"08f8050c", 390 => x"548c08fc", 391 => x"0508802e", 392 => x"8c388c08", 393 => x"f8050830", 394 => x"8c08f805", 395 => x"0c8c08f8", 396 => x"05087080", 397 => x"0c54893d", 398 => x"0d8c0c04", 399 => x"8c08028c", 400 => x"0cfb3d0d", 401 => x"800b8c08", 402 => x"fc050c8c", 403 => x"08880508", 404 => x"80259338", 405 => x"8c088805", 406 => x"08308c08", 407 => x"88050c81", 408 => x"0b8c08fc", 409 => x"050c8c08", 410 => x"8c050880", 411 => x"258c388c", 412 => x"088c0508", 413 => x"308c088c", 414 => x"050c8153", 415 => x"8c088c05", 416 => x"08528c08", 417 => x"88050851", 418 => x"ad3f8008", 419 => x"708c08f8", 420 => x"050c548c", 421 => x"08fc0508", 422 => x"802e8c38", 423 => x"8c08f805", 424 => x"08308c08", 425 => x"f8050c8c", 426 => x"08f80508", 427 => x"70800c54", 428 => x"873d0d8c", 429 => x"0c048c08", 430 => x"028c0cfd", 431 => x"3d0d810b", 432 => x"8c08fc05", 433 => x"0c800b8c", 434 => x"08f8050c", 435 => x"8c088c05", 436 => x"088c0888", 437 => x"050827ac", 438 => x"388c08fc", 439 => x"0508802e", 440 => x"a338800b", 441 => x"8c088c05", 442 => x"08249938", 443 => x"8c088c05", 444 => x"08108c08", 445 => x"8c050c8c", 446 => x"08fc0508", 447 => x"108c08fc", 448 => x"050cc939", 449 => x"8c08fc05", 450 => x"08802e80", 451 => x"c9388c08", 452 => x"8c05088c", 453 => x"08880508", 454 => x"26a1388c", 455 => x"08880508", 456 => x"8c088c05", 457 => x"08318c08", 458 => x"88050c8c", 459 => x"08f80508", 460 => x"8c08fc05", 461 => x"08078c08", 462 => x"f8050c8c", 463 => x"08fc0508", 464 => x"812a8c08", 465 => x"fc050c8c", 466 => x"088c0508", 467 => x"812a8c08", 468 => x"8c050cff", 469 => x"af398c08", 470 => x"90050880", 471 => x"2e8f388c", 472 => x"08880508", 473 => x"708c08f4", 474 => x"050c518d", 475 => x"398c08f8", 476 => x"0508708c", 477 => x"08f4050c", 478 => x"518c08f4", 479 => x"0508800c", 480 => x"853d0d8c", 481 => x"0c04fc3d", 482 => x"0d767079", 483 => x"7b555555", 484 => x"558f7227", 485 => x"8c387275", 486 => x"07830651", 487 => x"70802ea7", 488 => x"38ff1252", 489 => x"71ff2e98", 490 => x"38727081", 491 => x"05543374", 492 => x"70810556", 493 => x"34ff1252", 494 => x"71ff2e09", 495 => x"8106ea38", 496 => x"74800c86", 497 => x"3d0d0474", 498 => x"51727084", 499 => x"05540871", 500 => x"70840553", 501 => x"0c727084", 502 => x"05540871", 503 => x"70840553", 504 => x"0c727084", 505 => x"05540871", 506 => x"70840553", 507 => x"0c727084", 508 => x"05540871", 509 => x"70840553", 510 => x"0cf01252", 511 => x"718f26c9", 512 => x"38837227", 513 => x"95387270", 514 => x"84055408", 515 => x"71708405", 516 => x"530cfc12", 517 => x"52718326", 518 => x"ed387054", 519 => x"ff8339f7", 520 => x"3d0d7c70", 521 => x"525380c8", 522 => x"3f725480", 523 => x"085580cc", 524 => x"d8568157", 525 => x"80088105", 526 => x"5a8b3de4", 527 => x"11595382", 528 => x"59f41352", 529 => x"7b881108", 530 => x"52538183", 531 => x"3f800830", 532 => x"70800807", 533 => x"9f2c8a07", 534 => x"800c538b", 535 => x"3d0d04ff", 536 => x"3d0d7352", 537 => x"80cd8c08", 538 => x"51ffb43f", 539 => x"833d0d04", 540 => x"fd3d0d75", 541 => x"70718306", 542 => x"53555270", 543 => x"b8387170", 544 => x"087009f7", 545 => x"fbfdff12", 546 => x"0670f884", 547 => x"82818006", 548 => x"51515253", 549 => x"709d3884", 550 => x"13700870", 551 => x"09f7fbfd", 552 => x"ff120670", 553 => x"f8848281", 554 => x"80065151", 555 => x"52537080", 556 => x"2ee53872", 557 => x"52713351", 558 => x"70802e8a", 559 => x"38811270", 560 => x"33525270", 561 => x"f8387174", 562 => x"31800c85", 563 => x"3d0d04f2", 564 => x"3d0d6062", 565 => x"88110870", 566 => x"57575f5a", 567 => x"74802e81", 568 => x"90388c1a", 569 => x"2270832a", 570 => x"81327081", 571 => x"06515558", 572 => x"73863890", 573 => x"1a089138", 574 => x"795190a2", 575 => x"3fff5480", 576 => x"0880ee38", 577 => x"8c1a2258", 578 => x"7d085780", 579 => x"7883ffff", 580 => x"06700a10", 581 => x"0a708106", 582 => x"51565755", 583 => x"73752e80", 584 => x"d7387490", 585 => x"38760884", 586 => x"18088819", 587 => x"59565974", 588 => x"802ef238", 589 => x"74548880", 590 => x"75278438", 591 => x"88805473", 592 => x"5378529c", 593 => x"1a0851a4", 594 => x"1a085473", 595 => x"2d800b80", 596 => x"082582e6", 597 => x"38800819", 598 => x"75800831", 599 => x"7f880508", 600 => x"80083170", 601 => x"6188050c", 602 => x"56565973", 603 => x"ffb43880", 604 => x"5473800c", 605 => x"903d0d04", 606 => x"75813270", 607 => x"81067641", 608 => x"51547380", 609 => x"2e81c138", 610 => x"74903876", 611 => x"08841808", 612 => x"88195956", 613 => x"5974802e", 614 => x"f238881a", 615 => x"087883ff", 616 => x"ff067089", 617 => x"2a708106", 618 => x"51565956", 619 => x"73802e82", 620 => x"fa387575", 621 => x"278d3877", 622 => x"872a7081", 623 => x"06515473", 624 => x"82b53874", 625 => x"76278338", 626 => x"74567553", 627 => x"78527908", 628 => x"5185823f", 629 => x"881a0876", 630 => x"31881b0c", 631 => x"7908167a", 632 => x"0c745675", 633 => x"19757731", 634 => x"7f880508", 635 => x"78317061", 636 => x"88050c56", 637 => x"56597380", 638 => x"2efef438", 639 => x"8c1a2258", 640 => x"ff863977", 641 => x"78547953", 642 => x"7b525684", 643 => x"c83f881a", 644 => x"08783188", 645 => x"1b0c7908", 646 => x"187a0c7c", 647 => x"76315d7c", 648 => x"8e387951", 649 => x"8fdc3f80", 650 => x"08818f38", 651 => x"80085f75", 652 => x"19757731", 653 => x"7f880508", 654 => x"78317061", 655 => x"88050c56", 656 => x"56597380", 657 => x"2efea838", 658 => x"74818338", 659 => x"76088418", 660 => x"08881959", 661 => x"56597480", 662 => x"2ef23874", 663 => x"538a5278", 664 => x"5182d33f", 665 => x"80087931", 666 => x"81055d80", 667 => x"08843881", 668 => x"155d815f", 669 => x"7c58747d", 670 => x"27833874", 671 => x"58941a08", 672 => x"881b0811", 673 => x"575c807a", 674 => x"085c5490", 675 => x"1a087b27", 676 => x"83388154", 677 => x"75782584", 678 => x"3873ba38", 679 => x"7b7824fe", 680 => x"e2387b53", 681 => x"78529c1a", 682 => x"0851a41a", 683 => x"0854732d", 684 => x"80085680", 685 => x"088024fe", 686 => x"e2388c1a", 687 => x"2280c007", 688 => x"54738c1b", 689 => x"23ff5473", 690 => x"800c903d", 691 => x"0d047eff", 692 => x"a338ff87", 693 => x"39755378", 694 => x"527a5182", 695 => x"f83f7908", 696 => x"167a0c79", 697 => x"518e9b3f", 698 => x"8008cf38", 699 => x"7c76315d", 700 => x"7cfebc38", 701 => x"feac3990", 702 => x"1a087a08", 703 => x"71317611", 704 => x"70565a57", 705 => x"5280cd8c", 706 => x"0851848c", 707 => x"3f800880", 708 => x"2effa738", 709 => x"8008901b", 710 => x"0c800816", 711 => x"7a0c7794", 712 => x"1b0c7488", 713 => x"1b0c7456", 714 => x"fd993979", 715 => x"0858901a", 716 => x"08782783", 717 => x"38815475", 718 => x"75278438", 719 => x"73b33894", 720 => x"1a085675", 721 => x"752680d3", 722 => x"38755378", 723 => x"529c1a08", 724 => x"51a41a08", 725 => x"54732d80", 726 => x"08568008", 727 => x"8024fd83", 728 => x"388c1a22", 729 => x"80c00754", 730 => x"738c1b23", 731 => x"ff54fed7", 732 => x"39755378", 733 => x"52775181", 734 => x"dc3f7908", 735 => x"167a0c79", 736 => x"518cff3f", 737 => x"8008802e", 738 => x"fcd9388c", 739 => x"1a2280c0", 740 => x"0754738c", 741 => x"1b23ff54", 742 => x"fead3974", 743 => x"75547953", 744 => x"78525681", 745 => x"b03f881a", 746 => x"08753188", 747 => x"1b0c7908", 748 => x"157a0cfc", 749 => x"ae39fa3d", 750 => x"0d7a7902", 751 => x"8805a705", 752 => x"33565253", 753 => x"8373278a", 754 => x"38708306", 755 => x"5271802e", 756 => x"a838ff13", 757 => x"5372ff2e", 758 => x"97387033", 759 => x"5273722e", 760 => x"91388111", 761 => x"ff145451", 762 => x"72ff2e09", 763 => x"8106eb38", 764 => x"80517080", 765 => x"0c883d0d", 766 => x"04707257", 767 => x"55835175", 768 => x"82802914", 769 => x"ff125256", 770 => x"708025f3", 771 => x"38837327", 772 => x"bf387408", 773 => x"76327009", 774 => x"f7fbfdff", 775 => x"120670f8", 776 => x"84828180", 777 => x"06515151", 778 => x"70802e99", 779 => x"38745180", 780 => x"52703357", 781 => x"73772eff", 782 => x"b9388111", 783 => x"81135351", 784 => x"837227ed", 785 => x"38fc1384", 786 => x"16565372", 787 => x"8326c338", 788 => x"7451fefe", 789 => x"39fa3d0d", 790 => x"787a7c72", 791 => x"72725757", 792 => x"57595656", 793 => x"747627b2", 794 => x"38761551", 795 => x"757127aa", 796 => x"38707717", 797 => x"ff145455", 798 => x"5371ff2e", 799 => x"9638ff14", 800 => x"ff145454", 801 => x"72337434", 802 => x"ff125271", 803 => x"ff2e0981", 804 => x"06ec3875", 805 => x"800c883d", 806 => x"0d04768f", 807 => x"269738ff", 808 => x"125271ff", 809 => x"2eed3872", 810 => x"70810554", 811 => x"33747081", 812 => x"055634eb", 813 => x"39747607", 814 => x"83065170", 815 => x"e2387575", 816 => x"54517270", 817 => x"84055408", 818 => x"71708405", 819 => x"530c7270", 820 => x"84055408", 821 => x"71708405", 822 => x"530c7270", 823 => x"84055408", 824 => x"71708405", 825 => x"530c7270", 826 => x"84055408", 827 => x"71708405", 828 => x"530cf012", 829 => x"52718f26", 830 => x"c9388372", 831 => x"27953872", 832 => x"70840554", 833 => x"08717084", 834 => x"05530cfc", 835 => x"12527183", 836 => x"26ed3870", 837 => x"54ff8839", 838 => x"ef3d0d63", 839 => x"6567405d", 840 => x"427b802e", 841 => x"84fa3861", 842 => x"51a5b63f", 843 => x"f81c7084", 844 => x"120870fc", 845 => x"0670628b", 846 => x"0570f806", 847 => x"4159455b", 848 => x"5c415796", 849 => x"742782c3", 850 => x"38807b24", 851 => x"7e7c2607", 852 => x"59805478", 853 => x"742e0981", 854 => x"0682a938", 855 => x"777b2581", 856 => x"fc387717", 857 => x"80d4c80b", 858 => x"8805085e", 859 => x"567c762e", 860 => x"84bd3884", 861 => x"160870fe", 862 => x"06178411", 863 => x"08810651", 864 => x"55557382", 865 => x"8b3874fc", 866 => x"06597c76", 867 => x"2e84dd38", 868 => x"77195f7e", 869 => x"7b2581fd", 870 => x"38798106", 871 => x"547382bf", 872 => x"38767708", 873 => x"31841108", 874 => x"fc06565a", 875 => x"75802e91", 876 => x"387c762e", 877 => x"84ea3874", 878 => x"19185978", 879 => x"7b258489", 880 => x"3879802e", 881 => x"82993877", 882 => x"15567a76", 883 => x"24829038", 884 => x"8c1a0888", 885 => x"1b08718c", 886 => x"120c8812", 887 => x"0c557976", 888 => x"59578817", 889 => x"61fc0557", 890 => x"5975a426", 891 => x"85ef387b", 892 => x"79555593", 893 => x"762780c9", 894 => x"387b7084", 895 => x"055d087c", 896 => x"56790c74", 897 => x"70840556", 898 => x"088c180c", 899 => x"9017549b", 900 => x"7627ae38", 901 => x"74708405", 902 => x"5608740c", 903 => x"74708405", 904 => x"56089418", 905 => x"0c981754", 906 => x"a3762795", 907 => x"38747084", 908 => x"05560874", 909 => x"0c747084", 910 => x"0556089c", 911 => x"180ca017", 912 => x"54747084", 913 => x"05560874", 914 => x"70840556", 915 => x"0c747084", 916 => x"05560874", 917 => x"70840556", 918 => x"0c740874", 919 => x"0c777b31", 920 => x"56758f26", 921 => x"80c93884", 922 => x"17088106", 923 => x"78078418", 924 => x"0c771784", 925 => x"11088107", 926 => x"84120c54", 927 => x"6151a2e2", 928 => x"3f881754", 929 => x"73800c93", 930 => x"3d0d0490", 931 => x"5bfdba39", 932 => x"7856fe85", 933 => x"398c1608", 934 => x"88170871", 935 => x"8c120c88", 936 => x"120c557e", 937 => x"707c3157", 938 => x"588f7627", 939 => x"ffb9387a", 940 => x"17841808", 941 => x"81067c07", 942 => x"84190c76", 943 => x"81078412", 944 => x"0c761184", 945 => x"11088107", 946 => x"84120c55", 947 => x"88055261", 948 => x"518cf73f", 949 => x"6151a28a", 950 => x"3f881754", 951 => x"ffa6397d", 952 => x"52615194", 953 => x"f73f8008", 954 => x"59800880", 955 => x"2e81a338", 956 => x"8008f805", 957 => x"60840508", 958 => x"fe066105", 959 => x"55577674", 960 => x"2e83e638", 961 => x"fc185675", 962 => x"a42681aa", 963 => x"387b8008", 964 => x"55559376", 965 => x"2780d838", 966 => x"74708405", 967 => x"56088008", 968 => x"70840580", 969 => x"0c0c8008", 970 => x"75708405", 971 => x"57087170", 972 => x"8405530c", 973 => x"549b7627", 974 => x"b6387470", 975 => x"84055608", 976 => x"74708405", 977 => x"560c7470", 978 => x"84055608", 979 => x"74708405", 980 => x"560ca376", 981 => x"27993874", 982 => x"70840556", 983 => x"08747084", 984 => x"05560c74", 985 => x"70840556", 986 => x"08747084", 987 => x"05560c74", 988 => x"70840556", 989 => x"08747084", 990 => x"05560c74", 991 => x"70840556", 992 => x"08747084", 993 => x"05560c74", 994 => x"08740c7b", 995 => x"5261518b", 996 => x"b93f6151", 997 => x"a0cc3f78", 998 => x"5473800c", 999 => x"933d0d04", 1000 => x"7d526151", 1001 => x"93b63f80", 1002 => x"08800c93", 1003 => x"3d0d0484", 1004 => x"160855fb", 1005 => x"d1397553", 1006 => x"7b528008", 1007 => x"51efc73f", 1008 => x"7b526151", 1009 => x"8b843fca", 1010 => x"398c1608", 1011 => x"88170871", 1012 => x"8c120c88", 1013 => x"120c558c", 1014 => x"1a08881b", 1015 => x"08718c12", 1016 => x"0c88120c", 1017 => x"55797959", 1018 => x"57fbf739", 1019 => x"7719901c", 1020 => x"55557375", 1021 => x"24fba238", 1022 => x"7a177080", 1023 => x"d4c80b88", 1024 => x"050c757c", 1025 => x"31810784", 1026 => x"120c5d84", 1027 => x"17088106", 1028 => x"7b078418", 1029 => x"0c61519f", 1030 => x"c93f8817", 1031 => x"54fce539", 1032 => x"74191890", 1033 => x"1c555d73", 1034 => x"7d24fb95", 1035 => x"388c1a08", 1036 => x"881b0871", 1037 => x"8c120c88", 1038 => x"120c5588", 1039 => x"1a61fc05", 1040 => x"575975a4", 1041 => x"2681ae38", 1042 => x"7b795555", 1043 => x"93762780", 1044 => x"c9387b70", 1045 => x"84055d08", 1046 => x"7c56790c", 1047 => x"74708405", 1048 => x"56088c1b", 1049 => x"0c901a54", 1050 => x"9b7627ae", 1051 => x"38747084", 1052 => x"05560874", 1053 => x"0c747084", 1054 => x"05560894", 1055 => x"1b0c981a", 1056 => x"54a37627", 1057 => x"95387470", 1058 => x"84055608", 1059 => x"740c7470", 1060 => x"84055608", 1061 => x"9c1b0ca0", 1062 => x"1a547470", 1063 => x"84055608", 1064 => x"74708405", 1065 => x"560c7470", 1066 => x"84055608", 1067 => x"74708405", 1068 => x"560c7408", 1069 => x"740c7a1a", 1070 => x"7080d4c8", 1071 => x"0b88050c", 1072 => x"7d7c3181", 1073 => x"0784120c", 1074 => x"54841a08", 1075 => x"81067b07", 1076 => x"841b0c61", 1077 => x"519e8b3f", 1078 => x"7854fdbd", 1079 => x"3975537b", 1080 => x"527851ed", 1081 => x"a13ffaf5", 1082 => x"39841708", 1083 => x"fc061860", 1084 => x"5858fae9", 1085 => x"3975537b", 1086 => x"527851ed", 1087 => x"893f7a1a", 1088 => x"7080d4c8", 1089 => x"0b88050c", 1090 => x"7d7c3181", 1091 => x"0784120c", 1092 => x"54841a08", 1093 => x"81067b07", 1094 => x"841b0cff", 1095 => x"b639fa3d", 1096 => x"0d7880cd", 1097 => x"8c085455", 1098 => x"b8130880", 1099 => x"2e81b638", 1100 => x"8c152270", 1101 => x"83ffff06", 1102 => x"70832a81", 1103 => x"32708106", 1104 => x"51555556", 1105 => x"72802e80", 1106 => x"dc387384", 1107 => x"2a813281", 1108 => x"0657ff53", 1109 => x"7680f738", 1110 => x"73822a70", 1111 => x"81065153", 1112 => x"72802eb9", 1113 => x"38b01508", 1114 => x"5473802e", 1115 => x"9c3880c0", 1116 => x"15537373", 1117 => x"2e8f3873", 1118 => x"5280cd8c", 1119 => x"085187ca", 1120 => x"3f8c1522", 1121 => x"5676b016", 1122 => x"0c75db06", 1123 => x"53728c16", 1124 => x"23800b84", 1125 => x"160c9015", 1126 => x"08750c72", 1127 => x"56758807", 1128 => x"53728c16", 1129 => x"23901508", 1130 => x"802e80c1", 1131 => x"388c1522", 1132 => x"70810655", 1133 => x"53739e38", 1134 => x"720a100a", 1135 => x"70810651", 1136 => x"53728538", 1137 => x"94150854", 1138 => x"7388160c", 1139 => x"80537280", 1140 => x"0c883d0d", 1141 => x"04800b88", 1142 => x"160c9415", 1143 => x"08309816", 1144 => x"0c8053ea", 1145 => x"39725182", 1146 => x"fb3ffec4", 1147 => x"3974518c", 1148 => x"e83f8c15", 1149 => x"22708106", 1150 => x"55537380", 1151 => x"2effb938", 1152 => x"d439f83d", 1153 => x"0d7a5877", 1154 => x"802e8199", 1155 => x"3880cd8c", 1156 => x"0854b814", 1157 => x"08802e80", 1158 => x"ed388c18", 1159 => x"2270902b", 1160 => x"70902c70", 1161 => x"832a8132", 1162 => x"81065c51", 1163 => x"57547880", 1164 => x"cd389018", 1165 => x"08577680", 1166 => x"2e80c338", 1167 => x"77087731", 1168 => x"77790c76", 1169 => x"83067a58", 1170 => x"55557385", 1171 => x"38941808", 1172 => x"56758819", 1173 => x"0c807525", 1174 => x"a5387453", 1175 => x"76529c18", 1176 => x"0851a418", 1177 => x"0854732d", 1178 => x"800b8008", 1179 => x"2580c938", 1180 => x"80081775", 1181 => x"80083156", 1182 => x"57748024", 1183 => x"dd38800b", 1184 => x"800c8a3d", 1185 => x"0d047351", 1186 => x"81da3f8c", 1187 => x"18227090", 1188 => x"2b70902c", 1189 => x"70832a81", 1190 => x"3281065c", 1191 => x"51575478", 1192 => x"dd38ff8e", 1193 => x"39a48252", 1194 => x"80cd8c08", 1195 => x"5189f13f", 1196 => x"8008800c", 1197 => x"8a3d0d04", 1198 => x"8c182280", 1199 => x"c0075473", 1200 => x"8c1923ff", 1201 => x"0b800c8a", 1202 => x"3d0d0480", 1203 => x"3d0d7251", 1204 => x"80710c80", 1205 => x"0b84120c", 1206 => x"800b8812", 1207 => x"0c028e05", 1208 => x"228c1223", 1209 => x"02920522", 1210 => x"8e122380", 1211 => x"0b90120c", 1212 => x"800b9412", 1213 => x"0c800b98", 1214 => x"120c709c", 1215 => x"120c80c0", 1216 => x"970ba012", 1217 => x"0c80c0e3", 1218 => x"0ba4120c", 1219 => x"80c1df0b", 1220 => x"a8120c80", 1221 => x"c2b00bac", 1222 => x"120c823d", 1223 => x"0d04fa3d", 1224 => x"0d797080", 1225 => x"dc298c11", 1226 => x"547a5356", 1227 => x"578cad3f", 1228 => x"80088008", 1229 => x"55568008", 1230 => x"802ea238", 1231 => x"80088c05", 1232 => x"54800b80", 1233 => x"080c7680", 1234 => x"0884050c", 1235 => x"73800888", 1236 => x"050c7453", 1237 => x"80527351", 1238 => x"97f83f75", 1239 => x"5473800c", 1240 => x"883d0d04", 1241 => x"fc3d0d76", 1242 => x"a8f70bbc", 1243 => x"120c5581", 1244 => x"0bb8160c", 1245 => x"800b84dc", 1246 => x"160c830b", 1247 => x"84e0160c", 1248 => x"84e81584", 1249 => x"e4160c74", 1250 => x"54805384", 1251 => x"52841508", 1252 => x"51feb83f", 1253 => x"74548153", 1254 => x"89528815", 1255 => x"0851feab", 1256 => x"3f745482", 1257 => x"538a528c", 1258 => x"150851fe", 1259 => x"9e3f863d", 1260 => x"0d04f93d", 1261 => x"0d7980cd", 1262 => x"8c085457", 1263 => x"b8130880", 1264 => x"2e80c838", 1265 => x"84dc1356", 1266 => x"88160884", 1267 => x"1708ff05", 1268 => x"55558074", 1269 => x"249f388c", 1270 => x"15227090", 1271 => x"2b70902c", 1272 => x"51545872", 1273 => x"802e80ca", 1274 => x"3880dc15", 1275 => x"ff155555", 1276 => x"738025e3", 1277 => x"38750853", 1278 => x"72802e9f", 1279 => x"38725688", 1280 => x"16088417", 1281 => x"08ff0555", 1282 => x"55c83972", 1283 => x"51fed53f", 1284 => x"80cd8c08", 1285 => x"84dc0556", 1286 => x"ffae3984", 1287 => x"527651fd", 1288 => x"fd3f8008", 1289 => x"760c8008", 1290 => x"802e80c0", 1291 => x"38800856", 1292 => x"ce39810b", 1293 => x"8c162372", 1294 => x"750c7288", 1295 => x"160c7284", 1296 => x"160c7290", 1297 => x"160c7294", 1298 => x"160c7298", 1299 => x"160cff0b", 1300 => x"8e162372", 1301 => x"b0160c72", 1302 => x"b4160c72", 1303 => x"80c4160c", 1304 => x"7280c816", 1305 => x"0c74800c", 1306 => x"893d0d04", 1307 => x"8c770c80", 1308 => x"0b800c89", 1309 => x"3d0d04ff", 1310 => x"3d0da482", 1311 => x"52735186", 1312 => x"9f3f833d", 1313 => x"0d04803d", 1314 => x"0d80cd8c", 1315 => x"0851e83f", 1316 => x"823d0d04", 1317 => x"fb3d0d77", 1318 => x"70525696", 1319 => x"c43f80d4", 1320 => x"c80b8805", 1321 => x"08841108", 1322 => x"fc06707b", 1323 => x"319fef05", 1324 => x"e08006e0", 1325 => x"80055656", 1326 => x"53a08074", 1327 => x"24943880", 1328 => x"52755196", 1329 => x"9e3f80d4", 1330 => x"d0081553", 1331 => x"7280082e", 1332 => x"8f387551", 1333 => x"968c3f80", 1334 => x"5372800c", 1335 => x"873d0d04", 1336 => x"73305275", 1337 => x"5195fc3f", 1338 => x"8008ff2e", 1339 => x"a83880d4", 1340 => x"c80b8805", 1341 => x"08757531", 1342 => x"81078412", 1343 => x"0c5380d4", 1344 => x"8c087431", 1345 => x"80d48c0c", 1346 => x"755195d6", 1347 => x"3f810b80", 1348 => x"0c873d0d", 1349 => x"04805275", 1350 => x"5195c83f", 1351 => x"80d4c80b", 1352 => x"88050880", 1353 => x"08713156", 1354 => x"538f7525", 1355 => x"ffa43880", 1356 => x"0880d4bc", 1357 => x"083180d4", 1358 => x"8c0c7481", 1359 => x"0784140c", 1360 => x"7551959e", 1361 => x"3f8053ff", 1362 => x"9039f63d", 1363 => x"0d7c7e54", 1364 => x"5b72802e", 1365 => x"8283387a", 1366 => x"5195863f", 1367 => x"f8138411", 1368 => x"0870fe06", 1369 => x"70138411", 1370 => x"08fc065d", 1371 => x"58595458", 1372 => x"80d4d008", 1373 => x"752e82de", 1374 => x"38788416", 1375 => x"0c807381", 1376 => x"06545a72", 1377 => x"7a2e81d5", 1378 => x"38781584", 1379 => x"11088106", 1380 => x"515372a0", 1381 => x"38781757", 1382 => x"7981e638", 1383 => x"88150853", 1384 => x"7280d4d0", 1385 => x"2e82f938", 1386 => x"8c150870", 1387 => x"8c150c73", 1388 => x"88120c56", 1389 => x"76810784", 1390 => x"190c7618", 1391 => x"77710c53", 1392 => x"79819138", 1393 => x"83ff7727", 1394 => x"81c83876", 1395 => x"892a7783", 1396 => x"2a565372", 1397 => x"802ebf38", 1398 => x"76862ab8", 1399 => x"05558473", 1400 => x"27b43880", 1401 => x"db135594", 1402 => x"7327ab38", 1403 => x"768c2a80", 1404 => x"ee055580", 1405 => x"d473279e", 1406 => x"38768f2a", 1407 => x"80f70555", 1408 => x"82d47327", 1409 => x"91387692", 1410 => x"2a80fc05", 1411 => x"558ad473", 1412 => x"27843880", 1413 => x"fe557410", 1414 => x"101080d4", 1415 => x"c8058811", 1416 => x"08555673", 1417 => x"762e82b3", 1418 => x"38841408", 1419 => x"fc065376", 1420 => x"73278d38", 1421 => x"88140854", 1422 => x"73762e09", 1423 => x"8106ea38", 1424 => x"8c140870", 1425 => x"8c1a0c74", 1426 => x"881a0c78", 1427 => x"88120c56", 1428 => x"778c150c", 1429 => x"7a51938a", 1430 => x"3f8c3d0d", 1431 => x"04770878", 1432 => x"71315977", 1433 => x"05881908", 1434 => x"54577280", 1435 => x"d4d02e80", 1436 => x"e0388c18", 1437 => x"08708c15", 1438 => x"0c738812", 1439 => x"0c56fe89", 1440 => x"39881508", 1441 => x"8c160870", 1442 => x"8c130c57", 1443 => x"88170cfe", 1444 => x"a3397683", 1445 => x"2a705455", 1446 => x"80752481", 1447 => x"98387282", 1448 => x"2c81712b", 1449 => x"80d4cc08", 1450 => x"0780d4c8", 1451 => x"0b84050c", 1452 => x"53741010", 1453 => x"1080d4c8", 1454 => x"05881108", 1455 => x"5556758c", 1456 => x"190c7388", 1457 => x"190c7788", 1458 => x"170c778c", 1459 => x"150cff84", 1460 => x"39815afd", 1461 => x"b4397817", 1462 => x"73810654", 1463 => x"57729838", 1464 => x"77087871", 1465 => x"31597705", 1466 => x"8c190888", 1467 => x"1a08718c", 1468 => x"120c8812", 1469 => x"0c575776", 1470 => x"81078419", 1471 => x"0c7780d4", 1472 => x"c80b8805", 1473 => x"0c80d4c4", 1474 => x"087726fe", 1475 => x"c73880d4", 1476 => x"c008527a", 1477 => x"51fafd3f", 1478 => x"7a5191c6", 1479 => x"3ffeba39", 1480 => x"81788c15", 1481 => x"0c788815", 1482 => x"0c738c1a", 1483 => x"0c73881a", 1484 => x"0c5afd80", 1485 => x"39831570", 1486 => x"822c8171", 1487 => x"2b80d4cc", 1488 => x"080780d4", 1489 => x"c80b8405", 1490 => x"0c515374", 1491 => x"10101080", 1492 => x"d4c80588", 1493 => x"11085556", 1494 => x"fee43974", 1495 => x"53807524", 1496 => x"a7387282", 1497 => x"2c81712b", 1498 => x"80d4cc08", 1499 => x"0780d4c8", 1500 => x"0b84050c", 1501 => x"53758c19", 1502 => x"0c738819", 1503 => x"0c778817", 1504 => x"0c778c15", 1505 => x"0cfdcd39", 1506 => x"83157082", 1507 => x"2c81712b", 1508 => x"80d4cc08", 1509 => x"0780d4c8", 1510 => x"0b84050c", 1511 => x"5153d639", 1512 => x"f93d0d79", 1513 => x"7b585380", 1514 => x"0b80cd8c", 1515 => x"08535672", 1516 => x"722e80c0", 1517 => x"3884dc13", 1518 => x"5574762e", 1519 => x"b7388815", 1520 => x"08841608", 1521 => x"ff055454", 1522 => x"8073249d", 1523 => x"388c1422", 1524 => x"70902b70", 1525 => x"902c5153", 1526 => x"587180d8", 1527 => x"3880dc14", 1528 => x"ff145454", 1529 => x"728025e5", 1530 => x"38740855", 1531 => x"74d03880", 1532 => x"cd8c0852", 1533 => x"84dc1255", 1534 => x"74802eb1", 1535 => x"38881508", 1536 => x"841608ff", 1537 => x"05545480", 1538 => x"73249c38", 1539 => x"8c142270", 1540 => x"902b7090", 1541 => x"2c515358", 1542 => x"71ad3880", 1543 => x"dc14ff14", 1544 => x"54547280", 1545 => x"25e63874", 1546 => x"085574d1", 1547 => x"3875800c", 1548 => x"893d0d04", 1549 => x"7351762d", 1550 => x"75800807", 1551 => x"80dc15ff", 1552 => x"15555556", 1553 => x"ff9e3973", 1554 => x"51762d75", 1555 => x"80080780", 1556 => x"dc15ff15", 1557 => x"555556ca", 1558 => x"39ea3d0d", 1559 => x"688c1122", 1560 => x"700a100a", 1561 => x"81065758", 1562 => x"567480e4", 1563 => x"388e1622", 1564 => x"70902b70", 1565 => x"902c5155", 1566 => x"58807424", 1567 => x"b138983d", 1568 => x"c4055373", 1569 => x"5280cd8c", 1570 => x"085192ac", 1571 => x"3f800b80", 1572 => x"08249738", 1573 => x"7983e080", 1574 => x"06547380", 1575 => x"c0802e81", 1576 => x"8f387382", 1577 => x"80802e81", 1578 => x"91388c16", 1579 => x"22577690", 1580 => x"80075473", 1581 => x"8c172388", 1582 => x"805280cd", 1583 => x"8c085181", 1584 => x"9b3f8008", 1585 => x"9d388c16", 1586 => x"22820754", 1587 => x"738c1723", 1588 => x"80c31670", 1589 => x"770c9017", 1590 => x"0c810b94", 1591 => x"170c983d", 1592 => x"0d0480cd", 1593 => x"8c08a8f7", 1594 => x"0bbc120c", 1595 => x"548c1622", 1596 => x"81800754", 1597 => x"738c1723", 1598 => x"8008760c", 1599 => x"80089017", 1600 => x"0c88800b", 1601 => x"94170c74", 1602 => x"802ed338", 1603 => x"8e162270", 1604 => x"902b7090", 1605 => x"2c535558", 1606 => x"98a23f80", 1607 => x"08802eff", 1608 => x"bd388c16", 1609 => x"22810754", 1610 => x"738c1723", 1611 => x"983d0d04", 1612 => x"810b8c17", 1613 => x"225855fe", 1614 => x"f539a816", 1615 => x"0880c1df", 1616 => x"2e098106", 1617 => x"fee4388c", 1618 => x"16228880", 1619 => x"0754738c", 1620 => x"17238880", 1621 => x"0b80cc17", 1622 => x"0cfedc39", 1623 => x"f33d0d7f", 1624 => x"618b1170", 1625 => x"f8065c55", 1626 => x"555e7296", 1627 => x"26833890", 1628 => x"59807924", 1629 => x"747a2607", 1630 => x"53805472", 1631 => x"742e0981", 1632 => x"0680cb38", 1633 => x"7d518cd9", 1634 => x"3f7883f7", 1635 => x"2680c638", 1636 => x"78832a70", 1637 => x"10101080", 1638 => x"d4c8058c", 1639 => x"11085959", 1640 => x"5a76782e", 1641 => x"83b03884", 1642 => x"1708fc06", 1643 => x"568c1708", 1644 => x"88180871", 1645 => x"8c120c88", 1646 => x"120c5875", 1647 => x"17841108", 1648 => x"81078412", 1649 => x"0c537d51", 1650 => x"8c983f88", 1651 => x"17547380", 1652 => x"0c8f3d0d", 1653 => x"0478892a", 1654 => x"79832a5b", 1655 => x"5372802e", 1656 => x"bf387886", 1657 => x"2ab8055a", 1658 => x"847327b4", 1659 => x"3880db13", 1660 => x"5a947327", 1661 => x"ab38788c", 1662 => x"2a80ee05", 1663 => x"5a80d473", 1664 => x"279e3878", 1665 => x"8f2a80f7", 1666 => x"055a82d4", 1667 => x"73279138", 1668 => x"78922a80", 1669 => x"fc055a8a", 1670 => x"d4732784", 1671 => x"3880fe5a", 1672 => x"79101010", 1673 => x"80d4c805", 1674 => x"8c110858", 1675 => x"5576752e", 1676 => x"a3388417", 1677 => x"08fc0670", 1678 => x"7a315556", 1679 => x"738f2488", 1680 => x"d5387380", 1681 => x"25fee638", 1682 => x"8c170857", 1683 => x"76752e09", 1684 => x"8106df38", 1685 => x"811a5a80", 1686 => x"d4d80857", 1687 => x"7680d4d0", 1688 => x"2e82c038", 1689 => x"841708fc", 1690 => x"06707a31", 1691 => x"5556738f", 1692 => x"2481f938", 1693 => x"80d4d00b", 1694 => x"80d4dc0c", 1695 => x"80d4d00b", 1696 => x"80d4d80c", 1697 => x"738025fe", 1698 => x"b23883ff", 1699 => x"762783df", 1700 => x"3875892a", 1701 => x"76832a55", 1702 => x"5372802e", 1703 => x"bf387586", 1704 => x"2ab80554", 1705 => x"847327b4", 1706 => x"3880db13", 1707 => x"54947327", 1708 => x"ab38758c", 1709 => x"2a80ee05", 1710 => x"5480d473", 1711 => x"279e3875", 1712 => x"8f2a80f7", 1713 => x"055482d4", 1714 => x"73279138", 1715 => x"75922a80", 1716 => x"fc05548a", 1717 => x"d4732784", 1718 => x"3880fe54", 1719 => x"73101010", 1720 => x"80d4c805", 1721 => x"88110856", 1722 => x"5874782e", 1723 => x"86cf3884", 1724 => x"1508fc06", 1725 => x"53757327", 1726 => x"8d388815", 1727 => x"08557478", 1728 => x"2e098106", 1729 => x"ea388c15", 1730 => x"0880d4c8", 1731 => x"0b840508", 1732 => x"718c1a0c", 1733 => x"76881a0c", 1734 => x"7888130c", 1735 => x"788c180c", 1736 => x"5d587953", 1737 => x"807a2483", 1738 => x"e6387282", 1739 => x"2c81712b", 1740 => x"5c537a7c", 1741 => x"26819838", 1742 => x"7b7b0653", 1743 => x"7282f138", 1744 => x"79fc0684", 1745 => x"055a7a10", 1746 => x"707d0654", 1747 => x"5b7282e0", 1748 => x"38841a5a", 1749 => x"f1398817", 1750 => x"8c110858", 1751 => x"5876782e", 1752 => x"098106fc", 1753 => x"c238821a", 1754 => x"5afdec39", 1755 => x"78177981", 1756 => x"0784190c", 1757 => x"7080d4dc", 1758 => x"0c7080d4", 1759 => x"d80c80d4", 1760 => x"d00b8c12", 1761 => x"0c8c1108", 1762 => x"88120c74", 1763 => x"81078412", 1764 => x"0c741175", 1765 => x"710c5153", 1766 => x"7d5188c6", 1767 => x"3f881754", 1768 => x"fcac3980", 1769 => x"d4c80b84", 1770 => x"05087a54", 1771 => x"5c798025", 1772 => x"fef83882", 1773 => x"da397a09", 1774 => x"7c067080", 1775 => x"d4c80b84", 1776 => x"050c5c7a", 1777 => x"105b7a7c", 1778 => x"2685387a", 1779 => x"85b83880", 1780 => x"d4c80b88", 1781 => x"05087084", 1782 => x"1208fc06", 1783 => x"707c317c", 1784 => x"72268f72", 1785 => x"25075757", 1786 => x"5c5d5572", 1787 => x"802e80db", 1788 => x"38797a16", 1789 => x"80d4c008", 1790 => x"1b90115a", 1791 => x"55575b80", 1792 => x"d4bc08ff", 1793 => x"2e8838a0", 1794 => x"8f13e080", 1795 => x"06577652", 1796 => x"7d5187cf", 1797 => x"3f800854", 1798 => x"8008ff2e", 1799 => x"90388008", 1800 => x"76278299", 1801 => x"387480d4", 1802 => x"c82e8291", 1803 => x"3880d4c8", 1804 => x"0b880508", 1805 => x"55841508", 1806 => x"fc06707a", 1807 => x"317a7226", 1808 => x"8f722507", 1809 => x"52555372", 1810 => x"83e63874", 1811 => x"79810784", 1812 => x"170c7916", 1813 => x"7080d4c8", 1814 => x"0b88050c", 1815 => x"75810784", 1816 => x"120c547e", 1817 => x"525786fa", 1818 => x"3f881754", 1819 => x"fae03975", 1820 => x"832a7054", 1821 => x"54807424", 1822 => x"819b3872", 1823 => x"822c8171", 1824 => x"2b80d4cc", 1825 => x"08077080", 1826 => x"d4c80b84", 1827 => x"050c7510", 1828 => x"101080d4", 1829 => x"c8058811", 1830 => x"08585a5d", 1831 => x"53778c18", 1832 => x"0c748818", 1833 => x"0c768819", 1834 => x"0c768c16", 1835 => x"0cfcf339", 1836 => x"797a1010", 1837 => x"1080d4c8", 1838 => x"05705759", 1839 => x"5d8c1508", 1840 => x"5776752e", 1841 => x"a3388417", 1842 => x"08fc0670", 1843 => x"7a315556", 1844 => x"738f2483", 1845 => x"ca387380", 1846 => x"25848138", 1847 => x"8c170857", 1848 => x"76752e09", 1849 => x"8106df38", 1850 => x"8815811b", 1851 => x"70830655", 1852 => x"5b5572c9", 1853 => x"387c8306", 1854 => x"5372802e", 1855 => x"fdb838ff", 1856 => x"1df81959", 1857 => x"5d881808", 1858 => x"782eea38", 1859 => x"fdb53983", 1860 => x"1a53fc96", 1861 => x"39831470", 1862 => x"822c8171", 1863 => x"2b80d4cc", 1864 => x"08077080", 1865 => x"d4c80b84", 1866 => x"050c7610", 1867 => x"101080d4", 1868 => x"c8058811", 1869 => x"08595b5e", 1870 => x"5153fee1", 1871 => x"3980d48c", 1872 => x"08175880", 1873 => x"08762e81", 1874 => x"8d3880d4", 1875 => x"bc08ff2e", 1876 => x"83ec3873", 1877 => x"76311880", 1878 => x"d48c0c73", 1879 => x"87067057", 1880 => x"5372802e", 1881 => x"88388873", 1882 => x"31701555", 1883 => x"5676149f", 1884 => x"ff06a080", 1885 => x"71311770", 1886 => x"547f5357", 1887 => x"5384e43f", 1888 => x"80085380", 1889 => x"08ff2e81", 1890 => x"a03880d4", 1891 => x"8c081670", 1892 => x"80d48c0c", 1893 => x"747580d4", 1894 => x"c80b8805", 1895 => x"0c747631", 1896 => x"18708107", 1897 => x"51555658", 1898 => x"7b80d4c8", 1899 => x"2e839c38", 1900 => x"798f2682", 1901 => x"cb38810b", 1902 => x"84150c84", 1903 => x"1508fc06", 1904 => x"707a317a", 1905 => x"72268f72", 1906 => x"25075255", 1907 => x"5372802e", 1908 => x"fcf93880", 1909 => x"db398008", 1910 => x"9fff0653", 1911 => x"72feeb38", 1912 => x"7780d48c", 1913 => x"0c80d4c8", 1914 => x"0b880508", 1915 => x"7b188107", 1916 => x"84120c55", 1917 => x"80d4b808", 1918 => x"78278638", 1919 => x"7780d4b8", 1920 => x"0c80d4b4", 1921 => x"087827fc", 1922 => x"ac387780", 1923 => x"d4b40c84", 1924 => x"1508fc06", 1925 => x"707a317a", 1926 => x"72268f72", 1927 => x"25075255", 1928 => x"5372802e", 1929 => x"fca53888", 1930 => x"39807454", 1931 => x"56fedb39", 1932 => x"7d5183ae", 1933 => x"3f800b80", 1934 => x"0c8f3d0d", 1935 => x"04735380", 1936 => x"7424a938", 1937 => x"72822c81", 1938 => x"712b80d4", 1939 => x"cc080770", 1940 => x"80d4c80b", 1941 => x"84050c5d", 1942 => x"53778c18", 1943 => x"0c748818", 1944 => x"0c768819", 1945 => x"0c768c16", 1946 => x"0cf9b739", 1947 => x"83147082", 1948 => x"2c81712b", 1949 => x"80d4cc08", 1950 => x"077080d4", 1951 => x"c80b8405", 1952 => x"0c5e5153", 1953 => x"d4397b7b", 1954 => x"065372fc", 1955 => x"a338841a", 1956 => x"7b105c5a", 1957 => x"f139ff1a", 1958 => x"8111515a", 1959 => x"f7b93978", 1960 => x"17798107", 1961 => x"84190c8c", 1962 => x"18088819", 1963 => x"08718c12", 1964 => x"0c88120c", 1965 => x"597080d4", 1966 => x"dc0c7080", 1967 => x"d4d80c80", 1968 => x"d4d00b8c", 1969 => x"120c8c11", 1970 => x"0888120c", 1971 => x"74810784", 1972 => x"120c7411", 1973 => x"75710c51", 1974 => x"53f9bd39", 1975 => x"75178411", 1976 => x"08810784", 1977 => x"120c538c", 1978 => x"17088818", 1979 => x"08718c12", 1980 => x"0c88120c", 1981 => x"587d5181", 1982 => x"e93f8817", 1983 => x"54f5cf39", 1984 => x"7284150c", 1985 => x"f41af806", 1986 => x"70841e08", 1987 => x"81060784", 1988 => x"1e0c701d", 1989 => x"545b850b", 1990 => x"84140c85", 1991 => x"0b88140c", 1992 => x"8f7b27fd", 1993 => x"cf38881c", 1994 => x"527d51ec", 1995 => x"9d3f80d4", 1996 => x"c80b8805", 1997 => x"0880d48c", 1998 => x"085955fd", 1999 => x"b7397780", 2000 => x"d48c0c73", 2001 => x"80d4bc0c", 2002 => x"fc913972", 2003 => x"84150cfd", 2004 => x"a339fc3d", 2005 => x"0d767971", 2006 => x"028c059f", 2007 => x"05335755", 2008 => x"53558372", 2009 => x"278a3874", 2010 => x"83065170", 2011 => x"802ea238", 2012 => x"ff125271", 2013 => x"ff2e9338", 2014 => x"73737081", 2015 => x"055534ff", 2016 => x"125271ff", 2017 => x"2e098106", 2018 => x"ef387480", 2019 => x"0c863d0d", 2020 => x"04747488", 2021 => x"2b750770", 2022 => x"71902b07", 2023 => x"5154518f", 2024 => x"7227a538", 2025 => x"72717084", 2026 => x"05530c72", 2027 => x"71708405", 2028 => x"530c7271", 2029 => x"70840553", 2030 => x"0c727170", 2031 => x"8405530c", 2032 => x"f0125271", 2033 => x"8f26dd38", 2034 => x"83722790", 2035 => x"38727170", 2036 => x"8405530c", 2037 => x"fc125271", 2038 => x"8326f238", 2039 => x"7053ff90", 2040 => x"390404fd", 2041 => x"3d0d800b", 2042 => x"80dd800c", 2043 => x"765184ee", 2044 => x"3f800853", 2045 => x"8008ff2e", 2046 => x"88387280", 2047 => x"0c853d0d", 2048 => x"0480dd80", 2049 => x"08547380", 2050 => x"2ef03875", 2051 => x"74710c52", 2052 => x"72800c85", 2053 => x"3d0d04f9", 2054 => x"3d0d797c", 2055 => x"557b548e", 2056 => x"11227090", 2057 => x"2b70902c", 2058 => x"555780cd", 2059 => x"8c085358", 2060 => x"5683f33f", 2061 => x"80085780", 2062 => x"0b800824", 2063 => x"933880d0", 2064 => x"16088008", 2065 => x"0580d017", 2066 => x"0c76800c", 2067 => x"893d0d04", 2068 => x"8c162283", 2069 => x"dfff0655", 2070 => x"748c1723", 2071 => x"76800c89", 2072 => x"3d0d04fa", 2073 => x"3d0d788c", 2074 => x"11227088", 2075 => x"2a708106", 2076 => x"51575856", 2077 => x"74a9388c", 2078 => x"162283df", 2079 => x"ff065574", 2080 => x"8c17237a", 2081 => x"5479538e", 2082 => x"16227090", 2083 => x"2b70902c", 2084 => x"545680cd", 2085 => x"8c085256", 2086 => x"81b23f88", 2087 => x"3d0d0482", 2088 => x"5480538e", 2089 => x"16227090", 2090 => x"2b70902c", 2091 => x"545680cd", 2092 => x"8c085257", 2093 => x"82b83f8c", 2094 => x"162283df", 2095 => x"ff065574", 2096 => x"8c17237a", 2097 => x"5479538e", 2098 => x"16227090", 2099 => x"2b70902c", 2100 => x"545680cd", 2101 => x"8c085256", 2102 => x"80f23f88", 2103 => x"3d0d04f9", 2104 => x"3d0d797c", 2105 => x"557b548e", 2106 => x"11227090", 2107 => x"2b70902c", 2108 => x"555780cd", 2109 => x"8c085358", 2110 => x"5681f33f", 2111 => x"80085780", 2112 => x"08ff2e99", 2113 => x"388c1622", 2114 => x"a0800755", 2115 => x"748c1723", 2116 => x"800880d0", 2117 => x"170c7680", 2118 => x"0c893d0d", 2119 => x"048c1622", 2120 => x"83dfff06", 2121 => x"55748c17", 2122 => x"2376800c", 2123 => x"893d0d04", 2124 => x"fe3d0d74", 2125 => x"8e112270", 2126 => x"902b7090", 2127 => x"2c555151", 2128 => x"5380cd8c", 2129 => x"0851bd3f", 2130 => x"843d0d04", 2131 => x"fb3d0d80", 2132 => x"0b80dd80", 2133 => x"0c7a5379", 2134 => x"52785182", 2135 => x"fc3f8008", 2136 => x"558008ff", 2137 => x"2e883874", 2138 => x"800c873d", 2139 => x"0d0480dd", 2140 => x"80085675", 2141 => x"802ef038", 2142 => x"7776710c", 2143 => x"5474800c", 2144 => x"873d0d04", 2145 => x"fd3d0d80", 2146 => x"0b80dd80", 2147 => x"0c765184", 2148 => x"c63f8008", 2149 => x"538008ff", 2150 => x"2e883872", 2151 => x"800c853d", 2152 => x"0d0480dd", 2153 => x"80085473", 2154 => x"802ef038", 2155 => x"7574710c", 2156 => x"5272800c", 2157 => x"853d0d04", 2158 => x"fc3d0d80", 2159 => x"0b80dd80", 2160 => x"0c785277", 2161 => x"5186ac3f", 2162 => x"80085480", 2163 => x"08ff2e88", 2164 => x"3873800c", 2165 => x"863d0d04", 2166 => x"80dd8008", 2167 => x"5574802e", 2168 => x"f0387675", 2169 => x"710c5373", 2170 => x"800c863d", 2171 => x"0d04fb3d", 2172 => x"0d800b80", 2173 => x"dd800c7a", 2174 => x"53795278", 2175 => x"5184893f", 2176 => x"80085580", 2177 => x"08ff2e88", 2178 => x"3874800c", 2179 => x"873d0d04", 2180 => x"80dd8008", 2181 => x"5675802e", 2182 => x"f0387776", 2183 => x"710c5474", 2184 => x"800c873d", 2185 => x"0d04fb3d", 2186 => x"0d800b80", 2187 => x"dd800c7a", 2188 => x"53795278", 2189 => x"5182963f", 2190 => x"80085580", 2191 => x"08ff2e88", 2192 => x"3874800c", 2193 => x"873d0d04", 2194 => x"80dd8008", 2195 => x"5675802e", 2196 => x"f0387776", 2197 => x"710c5474", 2198 => x"800c873d", 2199 => x"0d04fe3d", 2200 => x"0d80dcf8", 2201 => x"0851708a", 2202 => x"3880dd84", 2203 => x"7080dcf8", 2204 => x"0c517075", 2205 => x"125252ff", 2206 => x"537087fb", 2207 => x"80802688", 2208 => x"387080dc", 2209 => x"f80c7153", 2210 => x"72800c84", 2211 => x"3d0d04fd", 2212 => x"3d0d800b", 2213 => x"80cd8008", 2214 => x"54547281", 2215 => x"2e9b3873", 2216 => x"80dcfc0c", 2217 => x"c4803fc2", 2218 => x"d73f80dc", 2219 => x"d0528151", 2220 => x"c5c63f80", 2221 => x"085185bb", 2222 => x"3f7280dc", 2223 => x"fc0cc3e6", 2224 => x"3fc2bd3f", 2225 => x"80dcd052", 2226 => x"8151c5ac", 2227 => x"3f800851", 2228 => x"85a13f00", 2229 => x"ff3900ff", 2230 => x"39f53d0d", 2231 => x"7e6080dc", 2232 => x"fc08705b", 2233 => x"585b5b75", 2234 => x"80c23877", 2235 => x"7a25a138", 2236 => x"771b7033", 2237 => x"7081ff06", 2238 => x"58585975", 2239 => x"8a2e9838", 2240 => x"7681ff06", 2241 => x"51c2fe3f", 2242 => x"81185879", 2243 => x"7824e138", 2244 => x"79800c8d", 2245 => x"3d0d048d", 2246 => x"51c2ea3f", 2247 => x"78337081", 2248 => x"ff065257", 2249 => x"c2df3f81", 2250 => x"1858e039", 2251 => x"79557a54", 2252 => x"7d538552", 2253 => x"8d3dfc05", 2254 => x"51c2873f", 2255 => x"80085684", 2256 => x"ab3f7b80", 2257 => x"080c7580", 2258 => x"0c8d3d0d", 2259 => x"04f63d0d", 2260 => x"7d7f80dc", 2261 => x"fc08705b", 2262 => x"585a5a75", 2263 => x"80c13877", 2264 => x"7925b338", 2265 => x"c1fa3f80", 2266 => x"0881ff06", 2267 => x"708d3270", 2268 => x"30709f2a", 2269 => x"51515757", 2270 => x"768a2e80", 2271 => x"c3387580", 2272 => x"2ebe3877", 2273 => x"1a567676", 2274 => x"347651c1", 2275 => x"f83f8118", 2276 => x"58787824", 2277 => x"cf387756", 2278 => x"75800c8c", 2279 => x"3d0d0478", 2280 => x"5579547c", 2281 => x"5384528c", 2282 => x"3dfc0551", 2283 => x"c1943f80", 2284 => x"085683b8", 2285 => x"3f7a8008", 2286 => x"0c75800c", 2287 => x"8c3d0d04", 2288 => x"771a568a", 2289 => x"76348118", 2290 => x"588d51c1", 2291 => x"b83f8a51", 2292 => x"c1b33f77", 2293 => x"56c239fb", 2294 => x"3d0d80dc", 2295 => x"fc087056", 2296 => x"54738838", 2297 => x"74800c87", 2298 => x"3d0d0477", 2299 => x"53835287", 2300 => x"3dfc0551", 2301 => x"c0cc3f80", 2302 => x"085482f0", 2303 => x"3f758008", 2304 => x"0c73800c", 2305 => x"873d0d04", 2306 => x"fa3d0d80", 2307 => x"dcfc0880", 2308 => x"2ea2387a", 2309 => x"55795478", 2310 => x"53865288", 2311 => x"3dfc0551", 2312 => x"c0a03f80", 2313 => x"085682c4", 2314 => x"3f768008", 2315 => x"0c75800c", 2316 => x"883d0d04", 2317 => x"82b63f9d", 2318 => x"0b80080c", 2319 => x"ff0b800c", 2320 => x"883d0d04", 2321 => x"fb3d0d77", 2322 => x"79565680", 2323 => x"70545473", 2324 => x"75259f38", 2325 => x"74101010", 2326 => x"f8055272", 2327 => x"16703370", 2328 => x"742b7607", 2329 => x"8116f816", 2330 => x"56565651", 2331 => x"51747324", 2332 => x"ea387380", 2333 => x"0c873d0d", 2334 => x"04fc3d0d", 2335 => x"76785555", 2336 => x"bc538052", 2337 => x"7351f5ca", 2338 => x"3f845274", 2339 => x"51ffb53f", 2340 => x"80087423", 2341 => x"84528415", 2342 => x"51ffa93f", 2343 => x"80088215", 2344 => x"23845288", 2345 => x"1551ff9c", 2346 => x"3f800884", 2347 => x"150c8452", 2348 => x"8c1551ff", 2349 => x"8f3f8008", 2350 => x"88152384", 2351 => x"52901551", 2352 => x"ff823f80", 2353 => x"088a1523", 2354 => x"84529415", 2355 => x"51fef53f", 2356 => x"80088c15", 2357 => x"23845298", 2358 => x"1551fee8", 2359 => x"3f80088e", 2360 => x"15238852", 2361 => x"9c1551fe", 2362 => x"db3f8008", 2363 => x"90150c86", 2364 => x"3d0d04e9", 2365 => x"3d0d6a80", 2366 => x"dcfc0857", 2367 => x"57759338", 2368 => x"80c0800b", 2369 => x"84180c75", 2370 => x"ac180c75", 2371 => x"800c993d", 2372 => x"0d04893d", 2373 => x"70556a54", 2374 => x"558a5299", 2375 => x"3dffbc05", 2376 => x"51ffbe9e", 2377 => x"3f800877", 2378 => x"53755256", 2379 => x"fecb3fbc", 2380 => x"3f778008", 2381 => x"0c75800c", 2382 => x"993d0d04", 2383 => x"fc3d0d81", 2384 => x"5480dcfc", 2385 => x"08883873", 2386 => x"800c863d", 2387 => x"0d047653", 2388 => x"97b95286", 2389 => x"3dfc0551", 2390 => x"ffbde73f", 2391 => x"8008548c", 2392 => x"3f748008", 2393 => x"0c73800c", 2394 => x"863d0d04", 2395 => x"80cd8c08", 2396 => x"800c04f7", 2397 => x"3d0d7b80", 2398 => x"cd8c0882", 2399 => x"c811085a", 2400 => x"545a7780", 2401 => x"2e80da38", 2402 => x"81881884", 2403 => x"1908ff05", 2404 => x"81712b59", 2405 => x"55598074", 2406 => x"2480ea38", 2407 => x"807424b5", 2408 => x"3873822b", 2409 => x"78118805", 2410 => x"56568180", 2411 => x"19087706", 2412 => x"5372802e", 2413 => x"b6387816", 2414 => x"70085353", 2415 => x"79517408", 2416 => x"53722dff", 2417 => x"14fc17fc", 2418 => x"1779812c", 2419 => x"5a575754", 2420 => x"738025d6", 2421 => x"38770858", 2422 => x"77ffad38", 2423 => x"80cd8c08", 2424 => x"53bc1308", 2425 => x"a5387951", 2426 => x"f9e93f74", 2427 => x"0853722d", 2428 => x"ff14fc17", 2429 => x"fc177981", 2430 => x"2c5a5757", 2431 => x"54738025", 2432 => x"ffa838d1", 2433 => x"398057ff", 2434 => x"93397251", 2435 => x"bc130853", 2436 => x"722d7951", 2437 => x"f9bd3fff", 2438 => x"3d0d80dc", 2439 => x"d80bfc05", 2440 => x"70085252", 2441 => x"70ff2e91", 2442 => x"38702dfc", 2443 => x"12700852", 2444 => x"5270ff2e", 2445 => x"098106f1", 2446 => x"38833d0d", 2447 => x"0404ffbd", 2448 => x"d23f0400", 2449 => x"00000040", 2450 => x"48656c6c", 2451 => x"6f20776f", 2452 => x"726c6421", 2453 => x"00000000", 2454 => x"0a000000", 2455 => x"43000000", 2456 => x"64756d6d", 2457 => x"792e6578", 2458 => x"65000000", 2459 => x"00ffffff", 2460 => x"ff00ffff", 2461 => x"ffff00ff", 2462 => x"ffffff00", 2463 => x"00000000", 2464 => x"00000000", 2465 => x"00000000", 2466 => x"00002e60", 2467 => x"00002690", 2468 => x"00000000", 2469 => x"000028f8", 2470 => x"00002954", 2471 => x"000029b0", 2472 => x"00000000", 2473 => x"00000000", 2474 => x"00000000", 2475 => x"00000000", 2476 => x"00000000", 2477 => x"00000000", 2478 => x"00000000", 2479 => x"00000000", 2480 => x"00000000", 2481 => x"0000265c", 2482 => x"00000000", 2483 => x"00000000", 2484 => x"00000000", 2485 => x"00000000", 2486 => x"00000000", 2487 => x"00000000", 2488 => x"00000000", 2489 => x"00000000", 2490 => x"00000000", 2491 => x"00000000", 2492 => x"00000000", 2493 => x"00000000", 2494 => x"00000000", 2495 => x"00000000", 2496 => x"00000000", 2497 => x"00000000", 2498 => x"00000000", 2499 => x"00000000", 2500 => x"00000000", 2501 => x"00000000", 2502 => x"00000000", 2503 => x"00000000", 2504 => x"00000000", 2505 => x"00000000", 2506 => x"00000000", 2507 => x"00000000", 2508 => x"00000000", 2509 => x"00000000", 2510 => x"00000001", 2511 => x"330eabcd", 2512 => x"1234e66d", 2513 => x"deec0005", 2514 => x"000b0000", 2515 => x"00000000", 2516 => x"00000000", 2517 => x"00000000", 2518 => x"00000000", 2519 => x"00000000", 2520 => x"00000000", 2521 => x"00000000", 2522 => x"00000000", 2523 => x"00000000", 2524 => x"00000000", 2525 => x"00000000", 2526 => x"00000000", 2527 => x"00000000", 2528 => x"00000000", 2529 => x"00000000", 2530 => x"00000000", 2531 => x"00000000", 2532 => x"00000000", 2533 => x"00000000", 2534 => x"00000000", 2535 => x"00000000", 2536 => x"00000000", 2537 => x"00000000", 2538 => x"00000000", 2539 => x"00000000", 2540 => x"00000000", 2541 => x"00000000", 2542 => x"00000000", 2543 => x"00000000", 2544 => x"00000000", 2545 => x"00000000", 2546 => x"00000000", 2547 => x"00000000", 2548 => x"00000000", 2549 => x"00000000", 2550 => x"00000000", 2551 => x"00000000", 2552 => x"00000000", 2553 => x"00000000", 2554 => x"00000000", 2555 => x"00000000", 2556 => x"00000000", 2557 => x"00000000", 2558 => x"00000000", 2559 => x"00000000", 2560 => x"00000000", 2561 => x"00000000", 2562 => x"00000000", 2563 => x"00000000", 2564 => x"00000000", 2565 => x"00000000", 2566 => x"00000000", 2567 => x"00000000", 2568 => x"00000000", 2569 => x"00000000", 2570 => x"00000000", 2571 => x"00000000", 2572 => x"00000000", 2573 => x"00000000", 2574 => x"00000000", 2575 => x"00000000", 2576 => x"00000000", 2577 => x"00000000", 2578 => x"00000000", 2579 => x"00000000", 2580 => x"00000000", 2581 => x"00000000", 2582 => x"00000000", 2583 => x"00000000", 2584 => x"00000000", 2585 => x"00000000", 2586 => x"00000000", 2587 => x"00000000", 2588 => x"00000000", 2589 => x"00000000", 2590 => x"00000000", 2591 => x"00000000", 2592 => x"00000000", 2593 => x"00000000", 2594 => x"00000000", 2595 => x"00000000", 2596 => x"00000000", 2597 => x"00000000", 2598 => x"00000000", 2599 => x"00000000", 2600 => x"00000000", 2601 => x"00000000", 2602 => x"00000000", 2603 => x"00000000", 2604 => x"00000000", 2605 => x"00000000", 2606 => x"00000000", 2607 => x"00000000", 2608 => x"00000000", 2609 => x"00000000", 2610 => x"00000000", 2611 => x"00000000", 2612 => x"00000000", 2613 => x"00000000", 2614 => x"00000000", 2615 => x"00000000", 2616 => x"00000000", 2617 => x"00000000", 2618 => x"00000000", 2619 => x"00000000", 2620 => x"00000000", 2621 => x"00000000", 2622 => x"00000000", 2623 => x"00000000", 2624 => x"00000000", 2625 => x"00000000", 2626 => x"00000000", 2627 => x"00000000", 2628 => x"00000000", 2629 => x"00000000", 2630 => x"00000000", 2631 => x"00000000", 2632 => x"00000000", 2633 => x"00000000", 2634 => x"00000000", 2635 => x"00000000", 2636 => x"00000000", 2637 => x"00000000", 2638 => x"00000000", 2639 => x"00000000", 2640 => x"00000000", 2641 => x"00000000", 2642 => x"00000000", 2643 => x"00000000", 2644 => x"00000000", 2645 => x"00000000", 2646 => x"00000000", 2647 => x"00000000", 2648 => x"00000000", 2649 => x"00000000", 2650 => x"00000000", 2651 => x"00000000", 2652 => x"00000000", 2653 => x"00000000", 2654 => x"00000000", 2655 => x"00000000", 2656 => x"00000000", 2657 => x"00000000", 2658 => x"00000000", 2659 => x"00000000", 2660 => x"00000000", 2661 => x"00000000", 2662 => x"00000000", 2663 => x"00000000", 2664 => x"00000000", 2665 => x"00000000", 2666 => x"00000000", 2667 => x"00000000", 2668 => x"00000000", 2669 => x"00000000", 2670 => x"00000000", 2671 => x"00000000", 2672 => x"00000000", 2673 => x"00000000", 2674 => x"00000000", 2675 => x"00000000", 2676 => x"00000000", 2677 => x"00000000", 2678 => x"00000000", 2679 => x"00000000", 2680 => x"00000000", 2681 => x"00000000", 2682 => x"00000000", 2683 => x"00000000", 2684 => x"00000000", 2685 => x"00000000", 2686 => x"00000000", 2687 => x"00000000", 2688 => x"00000000", 2689 => x"00000000", 2690 => x"00000000", 2691 => x"00000000", 2692 => x"00000000", 2693 => x"00000000", 2694 => x"00000000", 2695 => x"00000000", 2696 => x"00000000", 2697 => x"00000000", 2698 => x"00000000", 2699 => x"00000000", 2700 => x"00000000", 2701 => x"00000000", 2702 => x"00000000", 2703 => x"ffffffff", 2704 => x"00000000", 2705 => x"00020000", 2706 => x"00000000", 2707 => x"00000000", 2708 => x"00002a48", 2709 => x"00002a48", 2710 => x"00002a50", 2711 => x"00002a50", 2712 => x"00002a58", 2713 => x"00002a58", 2714 => x"00002a60", 2715 => x"00002a60", 2716 => x"00002a68", 2717 => x"00002a68", 2718 => x"00002a70", 2719 => x"00002a70", 2720 => x"00002a78", 2721 => x"00002a78", 2722 => x"00002a80", 2723 => x"00002a80", 2724 => x"00002a88", 2725 => x"00002a88", 2726 => x"00002a90", 2727 => x"00002a90", 2728 => x"00002a98", 2729 => x"00002a98", 2730 => x"00002aa0", 2731 => x"00002aa0", 2732 => x"00002aa8", 2733 => x"00002aa8", 2734 => x"00002ab0", 2735 => x"00002ab0", 2736 => x"00002ab8", 2737 => x"00002ab8", 2738 => x"00002ac0", 2739 => x"00002ac0", 2740 => x"00002ac8", 2741 => x"00002ac8", 2742 => x"00002ad0", 2743 => x"00002ad0", 2744 => x"00002ad8", 2745 => x"00002ad8", 2746 => x"00002ae0", 2747 => x"00002ae0", 2748 => x"00002ae8", 2749 => x"00002ae8", 2750 => x"00002af0", 2751 => x"00002af0", 2752 => x"00002af8", 2753 => x"00002af8", 2754 => x"00002b00", 2755 => x"00002b00", 2756 => x"00002b08", 2757 => x"00002b08", 2758 => x"00002b10", 2759 => x"00002b10", 2760 => x"00002b18", 2761 => x"00002b18", 2762 => x"00002b20", 2763 => x"00002b20", 2764 => x"00002b28", 2765 => x"00002b28", 2766 => x"00002b30", 2767 => x"00002b30", 2768 => x"00002b38", 2769 => x"00002b38", 2770 => x"00002b40", 2771 => x"00002b40", 2772 => x"00002b48", 2773 => x"00002b48", 2774 => x"00002b50", 2775 => x"00002b50", 2776 => x"00002b58", 2777 => x"00002b58", 2778 => x"00002b60", 2779 => x"00002b60", 2780 => x"00002b68", 2781 => x"00002b68", 2782 => x"00002b70", 2783 => x"00002b70", 2784 => x"00002b78", 2785 => x"00002b78", 2786 => x"00002b80", 2787 => x"00002b80", 2788 => x"00002b88", 2789 => x"00002b88", 2790 => x"00002b90", 2791 => x"00002b90", 2792 => x"00002b98", 2793 => x"00002b98", 2794 => x"00002ba0", 2795 => x"00002ba0", 2796 => x"00002ba8", 2797 => x"00002ba8", 2798 => x"00002bb0", 2799 => x"00002bb0", 2800 => x"00002bb8", 2801 => x"00002bb8", 2802 => x"00002bc0", 2803 => x"00002bc0", 2804 => x"00002bc8", 2805 => x"00002bc8", 2806 => x"00002bd0", 2807 => x"00002bd0", 2808 => x"00002bd8", 2809 => x"00002bd8", 2810 => x"00002be0", 2811 => x"00002be0", 2812 => x"00002be8", 2813 => x"00002be8", 2814 => x"00002bf0", 2815 => x"00002bf0", 2816 => x"00002bf8", 2817 => x"00002bf8", 2818 => x"00002c00", 2819 => x"00002c00", 2820 => x"00002c08", 2821 => x"00002c08", 2822 => x"00002c10", 2823 => x"00002c10", 2824 => x"00002c18", 2825 => x"00002c18", 2826 => x"00002c20", 2827 => x"00002c20", 2828 => x"00002c28", 2829 => x"00002c28", 2830 => x"00002c30", 2831 => x"00002c30", 2832 => x"00002c38", 2833 => x"00002c38", 2834 => x"00002c40", 2835 => x"00002c40", 2836 => x"00002c48", 2837 => x"00002c48", 2838 => x"00002c50", 2839 => x"00002c50", 2840 => x"00002c58", 2841 => x"00002c58", 2842 => x"00002c60", 2843 => x"00002c60", 2844 => x"00002c68", 2845 => x"00002c68", 2846 => x"00002c70", 2847 => x"00002c70", 2848 => x"00002c78", 2849 => x"00002c78", 2850 => x"00002c80", 2851 => x"00002c80", 2852 => x"00002c88", 2853 => x"00002c88", 2854 => x"00002c90", 2855 => x"00002c90", 2856 => x"00002c98", 2857 => x"00002c98", 2858 => x"00002ca0", 2859 => x"00002ca0", 2860 => x"00002ca8", 2861 => x"00002ca8", 2862 => x"00002cb0", 2863 => x"00002cb0", 2864 => x"00002cb8", 2865 => x"00002cb8", 2866 => x"00002cc0", 2867 => x"00002cc0", 2868 => x"00002cc8", 2869 => x"00002cc8", 2870 => x"00002cd0", 2871 => x"00002cd0", 2872 => x"00002cd8", 2873 => x"00002cd8", 2874 => x"00002ce0", 2875 => x"00002ce0", 2876 => x"00002ce8", 2877 => x"00002ce8", 2878 => x"00002cf0", 2879 => x"00002cf0", 2880 => x"00002cf8", 2881 => x"00002cf8", 2882 => x"00002d00", 2883 => x"00002d00", 2884 => x"00002d08", 2885 => x"00002d08", 2886 => x"00002d10", 2887 => x"00002d10", 2888 => x"00002d18", 2889 => x"00002d18", 2890 => x"00002d20", 2891 => x"00002d20", 2892 => x"00002d28", 2893 => x"00002d28", 2894 => x"00002d30", 2895 => x"00002d30", 2896 => x"00002d38", 2897 => x"00002d38", 2898 => x"00002d40", 2899 => x"00002d40", 2900 => x"00002d48", 2901 => x"00002d48", 2902 => x"00002d50", 2903 => x"00002d50", 2904 => x"00002d58", 2905 => x"00002d58", 2906 => x"00002d60", 2907 => x"00002d60", 2908 => x"00002d68", 2909 => x"00002d68", 2910 => x"00002d70", 2911 => x"00002d70", 2912 => x"00002d78", 2913 => x"00002d78", 2914 => x"00002d80", 2915 => x"00002d80", 2916 => x"00002d88", 2917 => x"00002d88", 2918 => x"00002d90", 2919 => x"00002d90", 2920 => x"00002d98", 2921 => x"00002d98", 2922 => x"00002da0", 2923 => x"00002da0", 2924 => x"00002da8", 2925 => x"00002da8", 2926 => x"00002db0", 2927 => x"00002db0", 2928 => x"00002db8", 2929 => x"00002db8", 2930 => x"00002dc0", 2931 => x"00002dc0", 2932 => x"00002dc8", 2933 => x"00002dc8", 2934 => x"00002dd0", 2935 => x"00002dd0", 2936 => x"00002dd8", 2937 => x"00002dd8", 2938 => x"00002de0", 2939 => x"00002de0", 2940 => x"00002de8", 2941 => x"00002de8", 2942 => x"00002df0", 2943 => x"00002df0", 2944 => x"00002df8", 2945 => x"00002df8", 2946 => x"00002e00", 2947 => x"00002e00", 2948 => x"00002e08", 2949 => x"00002e08", 2950 => x"00002e10", 2951 => x"00002e10", 2952 => x"00002e18", 2953 => x"00002e18", 2954 => x"00002e20", 2955 => x"00002e20", 2956 => x"00002e28", 2957 => x"00002e28", 2958 => x"00002e30", 2959 => x"00002e30", 2960 => x"00002e38", 2961 => x"00002e38", 2962 => x"00002e40", 2963 => x"00002e40", 2964 => x"00002660", 2965 => x"ffffffff", 2966 => x"00000000", 2967 => x"ffffffff", 2968 => x"00000000", 2969 => x"00000000", others => x"00000000" ); begin busy_o <= re_i; -- we're done on the cycle after we serve the read request do_ram: process (clk_i) variable iaddr : integer; begin if rising_edge(clk_i) then if we_i='1' then ram(to_integer(addr_i)) <= write_i; end if; addr_r <= addr_i; end if; end process do_ram; read_o <= ram(to_integer(addr_r)); end architecture Xilinx; -- Entity: SinglePortRAM
bsd-3-clause
b81ef5889e8c2f6df2dd91ce758aa6ad
0.534444
2.386773
false
false
false
false
ILoveSpeccy/Aeon-Lite
cores/korvet/src/clock/clock.vhd
1
6,329
-- file: clock.vhd -- -- (c) Copyright 2008 - 2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- ------------------------------------------------------------------------------ -- User entered comments ------------------------------------------------------------------------------ -- None -- ------------------------------------------------------------------------------ -- "Output Output Phase Duty Pk-to-Pk Phase" -- "Clock Freq (MHz) (degrees) Cycle (%) Jitter (ps) Error (ps)" ------------------------------------------------------------------------------ -- CLK_OUT1____32.500______0.000______50.0______815.385____150.000 -- ------------------------------------------------------------------------------ -- "Input Clock Freq (MHz) Input Jitter (UI)" ------------------------------------------------------------------------------ -- __primary______________50____________0.010 library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; use ieee.numeric_std.all; library unisim; use unisim.vcomponents.all; entity clock is port (-- Clock in ports CLK50 : in std_logic; -- Clock out ports CLK : out std_logic ); end clock; architecture xilinx of clock is attribute CORE_GENERATION_INFO : string; attribute CORE_GENERATION_INFO of xilinx : architecture is "clock,clk_wiz_v3_3,{component_name=clock,use_phase_alignment=true,use_min_o_jitter=false,use_max_i_jitter=false,use_dyn_phase_shift=false,use_inclk_switchover=false,use_dyn_reconfig=false,feedback_source=FDBK_AUTO,primtype_sel=DCM_SP,num_out_clk=1,clkin1_period=20.0,clkin2_period=20.0,use_power_down=false,use_reset=false,use_locked=false,use_inclk_stopped=false,use_status=false,use_freeze=false,use_clk_valid=false,feedback_type=SINGLE,clock_mgr_type=AUTO,manual_override=false}"; -- Input clock buffering / unused connectors signal clkin1 : std_logic; -- Output clock buffering signal clkfb : std_logic; signal clk0 : std_logic; signal clkfx : std_logic; signal clkfbout : std_logic; signal locked_internal : std_logic; signal status_internal : std_logic_vector(7 downto 0); begin -- Input buffering -------------------------------------- clkin1_buf : IBUFG port map (O => clkin1, I => CLK50); -- Clocking primitive -------------------------------------- -- Instantiation of the DCM primitive -- * Unused inputs are tied off -- * Unused outputs are labeled unused dcm_sp_inst: DCM_SP generic map (CLKDV_DIVIDE => 2.000, CLKFX_DIVIDE => 20, CLKFX_MULTIPLY => 13, CLKIN_DIVIDE_BY_2 => FALSE, CLKIN_PERIOD => 20.0, CLKOUT_PHASE_SHIFT => "NONE", CLK_FEEDBACK => "1X", DESKEW_ADJUST => "SYSTEM_SYNCHRONOUS", PHASE_SHIFT => 0, STARTUP_WAIT => FALSE) port map -- Input clock (CLKIN => clkin1, CLKFB => clkfb, -- Output clocks CLK0 => clk0, CLK90 => open, CLK180 => open, CLK270 => open, CLK2X => open, CLK2X180 => open, CLKFX => clkfx, CLKFX180 => open, CLKDV => open, -- Ports for dynamic phase shift PSCLK => '0', PSEN => '0', PSINCDEC => '0', PSDONE => open, -- Other control and status signals LOCKED => locked_internal, STATUS => status_internal, RST => '0', -- Unused pin, tie low DSSEN => '0'); -- Output buffering ------------------------------------- clkf_buf : BUFG port map (O => clkfb, I => clk0); clkout1_buf : BUFG port map (O => CLK, I => clkfx); end xilinx;
gpl-3.0
2da4b5d11c72f7f25156afd2e873a4c8
0.556644
4.285037
false
false
false
false
ILoveSpeccy/Aeon-Lite
cores/lvov-pk02-mips/src/host/plasma v3.0/ram_xilinx.vhd
1
23,423
--------------------------------------------------------------------- -- TITLE: Random Access Memory for Xilinx -- AUTHOR: Steve Rhoads ([email protected]) -- DATE CREATED: 11/06/05 -- FILENAME: ram_xilinx.vhd -- PROJECT: Plasma CPU core -- COPYRIGHT: Software placed into the public domain by the author. -- Software 'as is' without warranty. Author liable for nothing. -- DESCRIPTION: -- Implements the RAM for Spartan 3 Xilinx FPGA -- -- Compile the MIPS C and assembly code into "text.exe". -- Run convert.exe to change "text.exe" to "code.txt" which -- will contain the hex values of the opcodes. -- Next run "run_image ram_xilinx.vhd code.txt ram_image.vhd", -- to create the "ram_image.vhd" file that will have the opcodes -- corectly placed inside the INIT_00 => strings. -- Then include ram_image.vhd in the simulation/synthesis. --------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_misc.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; use work.mlite_pack.all; library UNISIM; use UNISIM.vcomponents.all; entity ram is generic(memory_type : string := "DEFAULT"); port(clk : in std_logic; enable : in std_logic; write_byte_enable : in std_logic_vector(3 downto 0); address : in std_logic_vector(31 downto 2); data_write : in std_logic_vector(31 downto 0); data_read : out std_logic_vector(31 downto 0)); end; --entity ram architecture logic of ram is begin RAMB16_S9_inst0 : RAMB16_S9 generic map ( INIT_00 => X"000000000000000000000000000000000000000000000000000000000c080400", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000") port map ( DO => data_read(31 downto 24), DOP => open, ADDR => address(12 downto 2), CLK => clk, DI => data_write(31 downto 24), DIP => ZERO(0 downto 0), EN => enable, SSR => ZERO(0), WE => write_byte_enable(3)); RAMB16_S9_inst1 : RAMB16_S9 generic map ( INIT_00 => X"000000000000000000000000000000000000000000000000000000000d090501", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000") port map ( DO => data_read(23 downto 16), DOP => open, ADDR => address(12 downto 2), CLK => clk, DI => data_write(23 downto 16), DIP => ZERO(0 downto 0), EN => enable, SSR => ZERO(0), WE => write_byte_enable(2)); RAMB16_S9_inst2 : RAMB16_S9 generic map ( INIT_00 => X"000000000000000000000000000000000000000000000000000000000e0a0602", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000") port map ( DO => data_read(15 downto 8), DOP => open, ADDR => address(12 downto 2), CLK => clk, DI => data_write(15 downto 8), DIP => ZERO(0 downto 0), EN => enable, SSR => ZERO(0), WE => write_byte_enable(1)); RAMB16_S9_inst3 : RAMB16_S9 generic map ( INIT_00 => X"000000000000000000000000000000000000000000000000000000000f0b0703", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000") port map ( DO => data_read(7 downto 0), DOP => open, ADDR => address(12 downto 2), CLK => clk, DI => data_write(7 downto 0), DIP => ZERO(0 downto 0), EN => enable, SSR => ZERO(0), WE => write_byte_enable(0)); end; --architecture logic
gpl-3.0
ff250f79545f313ab8be4d47af6a78bd
0.845793
7.207077
false
false
false
false
benjmarshall/hls_scratchpad
hls_cmd_line_testing/hls_gui_proj/hls_sin_proj/solution1/.autopilot/db/ip_tmp/prjsrcs/sources_1/ip/sin_taylor_series_ap_sitodp_4_no_dsp_32/synth/sin_taylor_series_ap_sitodp_4_no_dsp_32.vhd
4
12,554
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:floating_point:7.1 -- IP Revision: 4 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY floating_point_v7_1_4; USE floating_point_v7_1_4.floating_point_v7_1_4; ENTITY sin_taylor_series_ap_sitodp_4_no_dsp_32 IS PORT ( aclk : IN STD_LOGIC; aclken : IN STD_LOGIC; s_axis_a_tvalid : IN STD_LOGIC; s_axis_a_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); m_axis_result_tvalid : OUT STD_LOGIC; m_axis_result_tdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0) ); END sin_taylor_series_ap_sitodp_4_no_dsp_32; ARCHITECTURE sin_taylor_series_ap_sitodp_4_no_dsp_32_arch OF sin_taylor_series_ap_sitodp_4_no_dsp_32 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF sin_taylor_series_ap_sitodp_4_no_dsp_32_arch: ARCHITECTURE IS "yes"; COMPONENT floating_point_v7_1_4 IS GENERIC ( C_XDEVICEFAMILY : STRING; C_HAS_ADD : INTEGER; C_HAS_SUBTRACT : INTEGER; C_HAS_MULTIPLY : INTEGER; C_HAS_DIVIDE : INTEGER; C_HAS_SQRT : INTEGER; C_HAS_COMPARE : INTEGER; C_HAS_FIX_TO_FLT : INTEGER; C_HAS_FLT_TO_FIX : INTEGER; C_HAS_FLT_TO_FLT : INTEGER; C_HAS_RECIP : INTEGER; C_HAS_RECIP_SQRT : INTEGER; C_HAS_ABSOLUTE : INTEGER; C_HAS_LOGARITHM : INTEGER; C_HAS_EXPONENTIAL : INTEGER; C_HAS_FMA : INTEGER; C_HAS_FMS : INTEGER; C_HAS_ACCUMULATOR_A : INTEGER; C_HAS_ACCUMULATOR_S : INTEGER; C_A_WIDTH : INTEGER; C_A_FRACTION_WIDTH : INTEGER; C_B_WIDTH : INTEGER; C_B_FRACTION_WIDTH : INTEGER; C_C_WIDTH : INTEGER; C_C_FRACTION_WIDTH : INTEGER; C_RESULT_WIDTH : INTEGER; C_RESULT_FRACTION_WIDTH : INTEGER; C_COMPARE_OPERATION : INTEGER; C_LATENCY : INTEGER; C_OPTIMIZATION : INTEGER; C_MULT_USAGE : INTEGER; C_BRAM_USAGE : INTEGER; C_RATE : INTEGER; C_ACCUM_INPUT_MSB : INTEGER; C_ACCUM_MSB : INTEGER; C_ACCUM_LSB : INTEGER; C_HAS_UNDERFLOW : INTEGER; C_HAS_OVERFLOW : INTEGER; C_HAS_INVALID_OP : INTEGER; C_HAS_DIVIDE_BY_ZERO : INTEGER; C_HAS_ACCUM_OVERFLOW : INTEGER; C_HAS_ACCUM_INPUT_OVERFLOW : INTEGER; C_HAS_ACLKEN : INTEGER; C_HAS_ARESETN : INTEGER; C_THROTTLE_SCHEME : INTEGER; C_HAS_A_TUSER : INTEGER; C_HAS_A_TLAST : INTEGER; C_HAS_B : INTEGER; C_HAS_B_TUSER : INTEGER; C_HAS_B_TLAST : INTEGER; C_HAS_C : INTEGER; C_HAS_C_TUSER : INTEGER; C_HAS_C_TLAST : INTEGER; C_HAS_OPERATION : INTEGER; C_HAS_OPERATION_TUSER : INTEGER; C_HAS_OPERATION_TLAST : INTEGER; C_HAS_RESULT_TUSER : INTEGER; C_HAS_RESULT_TLAST : INTEGER; C_TLAST_RESOLUTION : INTEGER; C_A_TDATA_WIDTH : INTEGER; C_A_TUSER_WIDTH : INTEGER; C_B_TDATA_WIDTH : INTEGER; C_B_TUSER_WIDTH : INTEGER; C_C_TDATA_WIDTH : INTEGER; C_C_TUSER_WIDTH : INTEGER; C_OPERATION_TDATA_WIDTH : INTEGER; C_OPERATION_TUSER_WIDTH : INTEGER; C_RESULT_TDATA_WIDTH : INTEGER; C_RESULT_TUSER_WIDTH : INTEGER; C_FIXED_DATA_UNSIGNED : INTEGER ); PORT ( aclk : IN STD_LOGIC; aclken : IN STD_LOGIC; aresetn : IN STD_LOGIC; s_axis_a_tvalid : IN STD_LOGIC; s_axis_a_tready : OUT STD_LOGIC; s_axis_a_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_a_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_a_tlast : IN STD_LOGIC; s_axis_b_tvalid : IN STD_LOGIC; s_axis_b_tready : OUT STD_LOGIC; s_axis_b_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_b_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_b_tlast : IN STD_LOGIC; s_axis_c_tvalid : IN STD_LOGIC; s_axis_c_tready : OUT STD_LOGIC; s_axis_c_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_c_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_c_tlast : IN STD_LOGIC; s_axis_operation_tvalid : IN STD_LOGIC; s_axis_operation_tready : OUT STD_LOGIC; s_axis_operation_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axis_operation_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_operation_tlast : IN STD_LOGIC; m_axis_result_tvalid : OUT STD_LOGIC; m_axis_result_tready : IN STD_LOGIC; m_axis_result_tdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0); m_axis_result_tuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_result_tlast : OUT STD_LOGIC ); END COMPONENT floating_point_v7_1_4; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF sin_taylor_series_ap_sitodp_4_no_dsp_32_arch: ARCHITECTURE IS "floating_point_v7_1_4,Vivado 2017.1"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF sin_taylor_series_ap_sitodp_4_no_dsp_32_arch : ARCHITECTURE IS "sin_taylor_series_ap_sitodp_4_no_dsp_32,floating_point_v7_1_4,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF sin_taylor_series_ap_sitodp_4_no_dsp_32_arch: ARCHITECTURE IS "sin_taylor_series_ap_sitodp_4_no_dsp_32,floating_point_v7_1_4,{x_ipProduct=Vivado 2017.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=floating_point,x_ipVersion=7.1,x_ipCoreRevision=4,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED,C_XDEVICEFAMILY=zynq,C_HAS_ADD=0,C_HAS_SUBTRACT=0,C_HAS_MULTIPLY=0,C_HAS_DIVIDE=0,C_HAS_SQRT=0,C_HAS_COMPARE=0,C_HAS_FIX_TO_FLT=1,C_HAS_FLT_TO_FIX=0,C_HAS_FLT_TO_FLT=0,C_HAS_RECIP=0,C_HAS_RECIP_SQRT=0,C_HAS_ABSOLUTE=0,C_HAS_LOGARITHM=0,C_HAS_EXPONENTIAL=0,C_HAS_FMA=0,C_HAS_F" & "MS=0,C_HAS_ACCUMULATOR_A=0,C_HAS_ACCUMULATOR_S=0,C_A_WIDTH=32,C_A_FRACTION_WIDTH=0,C_B_WIDTH=32,C_B_FRACTION_WIDTH=0,C_C_WIDTH=32,C_C_FRACTION_WIDTH=0,C_RESULT_WIDTH=64,C_RESULT_FRACTION_WIDTH=53,C_COMPARE_OPERATION=8,C_LATENCY=4,C_OPTIMIZATION=1,C_MULT_USAGE=0,C_BRAM_USAGE=0,C_RATE=1,C_ACCUM_INPUT_MSB=32,C_ACCUM_MSB=32,C_ACCUM_LSB=-31,C_HAS_UNDERFLOW=0,C_HAS_OVERFLOW=0,C_HAS_INVALID_OP=0,C_HAS_DIVIDE_BY_ZERO=0,C_HAS_ACCUM_OVERFLOW=0,C_HAS_ACCUM_INPUT_OVERFLOW=0,C_HAS_ACLKEN=1,C_HAS_ARESETN=0,C_" & "THROTTLE_SCHEME=3,C_HAS_A_TUSER=0,C_HAS_A_TLAST=0,C_HAS_B=0,C_HAS_B_TUSER=0,C_HAS_B_TLAST=0,C_HAS_C=0,C_HAS_C_TUSER=0,C_HAS_C_TLAST=0,C_HAS_OPERATION=0,C_HAS_OPERATION_TUSER=0,C_HAS_OPERATION_TLAST=0,C_HAS_RESULT_TUSER=0,C_HAS_RESULT_TLAST=0,C_TLAST_RESOLUTION=0,C_A_TDATA_WIDTH=32,C_A_TUSER_WIDTH=1,C_B_TDATA_WIDTH=32,C_B_TUSER_WIDTH=1,C_C_TDATA_WIDTH=32,C_C_TUSER_WIDTH=1,C_OPERATION_TDATA_WIDTH=8,C_OPERATION_TUSER_WIDTH=1,C_RESULT_TDATA_WIDTH=64,C_RESULT_TUSER_WIDTH=1,C_FIXED_DATA_UNSIGNED=0}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 aclk_intf CLK"; ATTRIBUTE X_INTERFACE_INFO OF aclken: SIGNAL IS "xilinx.com:signal:clockenable:1.0 aclken_intf CE"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_a_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_A TVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_a_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 S_AXIS_A TDATA"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_result_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_RESULT TVALID"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_result_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_RESULT TDATA"; BEGIN U0 : floating_point_v7_1_4 GENERIC MAP ( C_XDEVICEFAMILY => "zynq", C_HAS_ADD => 0, C_HAS_SUBTRACT => 0, C_HAS_MULTIPLY => 0, C_HAS_DIVIDE => 0, C_HAS_SQRT => 0, C_HAS_COMPARE => 0, C_HAS_FIX_TO_FLT => 1, C_HAS_FLT_TO_FIX => 0, C_HAS_FLT_TO_FLT => 0, C_HAS_RECIP => 0, C_HAS_RECIP_SQRT => 0, C_HAS_ABSOLUTE => 0, C_HAS_LOGARITHM => 0, C_HAS_EXPONENTIAL => 0, C_HAS_FMA => 0, C_HAS_FMS => 0, C_HAS_ACCUMULATOR_A => 0, C_HAS_ACCUMULATOR_S => 0, C_A_WIDTH => 32, C_A_FRACTION_WIDTH => 0, C_B_WIDTH => 32, C_B_FRACTION_WIDTH => 0, C_C_WIDTH => 32, C_C_FRACTION_WIDTH => 0, C_RESULT_WIDTH => 64, C_RESULT_FRACTION_WIDTH => 53, C_COMPARE_OPERATION => 8, C_LATENCY => 4, C_OPTIMIZATION => 1, C_MULT_USAGE => 0, C_BRAM_USAGE => 0, C_RATE => 1, C_ACCUM_INPUT_MSB => 32, C_ACCUM_MSB => 32, C_ACCUM_LSB => -31, C_HAS_UNDERFLOW => 0, C_HAS_OVERFLOW => 0, C_HAS_INVALID_OP => 0, C_HAS_DIVIDE_BY_ZERO => 0, C_HAS_ACCUM_OVERFLOW => 0, C_HAS_ACCUM_INPUT_OVERFLOW => 0, C_HAS_ACLKEN => 1, C_HAS_ARESETN => 0, C_THROTTLE_SCHEME => 3, C_HAS_A_TUSER => 0, C_HAS_A_TLAST => 0, C_HAS_B => 0, C_HAS_B_TUSER => 0, C_HAS_B_TLAST => 0, C_HAS_C => 0, C_HAS_C_TUSER => 0, C_HAS_C_TLAST => 0, C_HAS_OPERATION => 0, C_HAS_OPERATION_TUSER => 0, C_HAS_OPERATION_TLAST => 0, C_HAS_RESULT_TUSER => 0, C_HAS_RESULT_TLAST => 0, C_TLAST_RESOLUTION => 0, C_A_TDATA_WIDTH => 32, C_A_TUSER_WIDTH => 1, C_B_TDATA_WIDTH => 32, C_B_TUSER_WIDTH => 1, C_C_TDATA_WIDTH => 32, C_C_TUSER_WIDTH => 1, C_OPERATION_TDATA_WIDTH => 8, C_OPERATION_TUSER_WIDTH => 1, C_RESULT_TDATA_WIDTH => 64, C_RESULT_TUSER_WIDTH => 1, C_FIXED_DATA_UNSIGNED => 0 ) PORT MAP ( aclk => aclk, aclken => aclken, aresetn => '1', s_axis_a_tvalid => s_axis_a_tvalid, s_axis_a_tdata => s_axis_a_tdata, s_axis_a_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_a_tlast => '0', s_axis_b_tvalid => '0', s_axis_b_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axis_b_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_b_tlast => '0', s_axis_c_tvalid => '0', s_axis_c_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axis_c_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_c_tlast => '0', s_axis_operation_tvalid => '0', s_axis_operation_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axis_operation_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_operation_tlast => '0', m_axis_result_tvalid => m_axis_result_tvalid, m_axis_result_tready => '0', m_axis_result_tdata => m_axis_result_tdata ); END sin_taylor_series_ap_sitodp_4_no_dsp_32_arch;
mit
f655f8331e1d02f956eea5acfddeff1b
0.649753
3.002631
false
false
false
false
VHDLTool/VHDL_Handbook_STD
Extras/VHDL/STD_05400_bad.vhd
1
2,826
------------------------------------------------------------------------------------------------- -- Company : CNES -- Author : Mickael Carl (CNES) -- Copyright : Copyright (c) CNES. -- Licensing : GNU GPLv3 ------------------------------------------------------------------------------------------------- -- Version : V1 -- Version history : -- V1 : 2015-04-10 : Mickael Carl (CNES): Creation ------------------------------------------------------------------------------------------------- -- File name : STD_05400_bad.vhd -- File Creation date : 2015-04-10 -- Project name : VHDL Handbook CNES Edition ------------------------------------------------------------------------------------------------- -- Softwares : Microsoft Windows (Windows 7) - Editor (Eclipse + VEditor) ------------------------------------------------------------------------------------------------- -- Description : Handbook example: Unsuitability of internal tristate: bad example -- -- Limitations : This file is an example of the VHDL handbook made by CNES. It is a stub aimed at -- demonstrating good practices in VHDL and as such, its design is minimalistic. -- It is provided as is, without any warranty. -- This example is compliant with the Handbook version 1. -- ------------------------------------------------------------------------------------------------- -- Naming conventions: -- -- i_Port: Input entity port -- o_Port: Output entity port -- b_Port: Bidirectional entity port -- g_My_Generic: Generic entity port -- -- c_My_Constant: Constant definition -- t_My_Type: Custom type definition -- -- My_Signal_n: Active low signal -- v_My_Variable: Variable -- sm_My_Signal: FSM signal -- pkg_Param: Element Param coming from a package -- -- My_Signal_re: Rising edge detection of My_Signal -- My_Signal_fe: Falling edge detection of My_Signal -- My_Signal_rX: X times registered My_Signal signal -- -- P_Process_Name: Process -- ------------------------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; --CODE entity STD_05400_bad is port ( i_A : in std_logic_vector(3 downto 0); -- Input data of tristate block i_OE : in std_logic_vector(3 downto 0); -- Enable vector of tristate buffers o_B : out std_logic -- Single module output ); end STD_05400_bad; architecture Behavioral of STD_05400_bad is begin -- Assign output with Z or an input value depending on enable signals o_B <= i_A(3) when (i_OE(3)='1') else 'Z'; o_B <= i_A(2) when (i_OE(2)='1') else 'Z'; o_B <= i_A(1) when (i_OE(1)='1') else 'Z'; o_B <= i_A(0) when (i_OE(0)='1') else 'Z'; end Behavioral; --CODE
gpl-3.0
fd797c0188106fa2cfb3026efec6f473
0.485492
4.361111
false
false
false
false
sonologic/gmzpu
vhdl/devices/timer.vhdl
1
4,770
------------------------------------------------------------------------------ ---- ---- ---- 64 bits clock counter ---- ---- ---- ---- http://www.opencores.org/ ---- ---- ---- ---- Description: ---- ---- This is a peripheral used by the PHI I/O layout. It just counts the ---- ---- elapsed number of clocks. ---- ---- ---- ---- To Do: ---- ---- - ---- ---- ---- ---- Author: ---- ---- - Øyvind Harboe, oyvind.harboe zylin.com ---- ---- - Salvador E. Tropea, salvador inti.gob.ar ---- ---- ---- ------------------------------------------------------------------------------ ---- ---- ---- Copyright (c) 2008 Øyvind Harboe <oyvind.harboe zylin.com> ---- ---- Copyright (c) 2008 Salvador E. Tropea <salvador inti.gob.ar> ---- ---- Copyright (c) 2008 Instituto Nacional de Tecnología Industrial ---- ---- ---- ---- Distributed under the BSD license ---- ---- ---- ------------------------------------------------------------------------------ ---- ---- ---- Design unit: Timer(Behave) (Entity and architecture) ---- ---- File name: timer.vhdl ---- ---- Note: None ---- ---- Limitations: None known ---- ---- Errors: None known ---- ---- Library: zpu ---- ---- Dependencies: IEEE.std_logic_1164 ---- ---- IEEE.numeric_std ---- ---- zpu.zpupkg ---- ---- Target FPGA: Spartan 3 (XC3S1500-4-FG456) ---- ---- Language: VHDL ---- ---- Wishbone: No ---- ---- Synthesis tools: Xilinx Release 9.2.03i - xst J.39 ---- ---- Simulation tools: GHDL [Sokcho edition] (0.2x) ---- ---- Text editor: SETEdit 0.5.x ---- ---- ---- ------------------------------------------------------------------------------ library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity Timer is port( clk_i : in std_logic; reset_i : in std_logic; we_i : in std_logic; data_i : in unsigned(31 downto 0); addr_i : in unsigned(0 downto 0); data_o : out unsigned(31 downto 0)); end entity Timer; architecture Behave of Timer is signal sample : std_logic; signal reset : std_logic; signal cnt : unsigned(63 downto 0); signal cnt_smp : unsigned(63 downto 0); begin reset <= '1' when (we_i='1' and data_i(0)='1') else '0'; sample <= '1' when (we_i='1' and data_i(1)='1') else '0'; -- Carry generation do_timer: process (clk_i) begin if rising_edge(clk_i) then if reset_i='1' or reset='1' then cnt <= (others => '0'); cnt_smp <= (others => '0'); else cnt <= cnt+1; if sample='1' then -- report "sampling" severity failure; cnt_smp <= cnt; end if; end if; -- else reset_i='1' end if; -- rising_edge(clk_i) end process do_timer; data_o <= cnt_smp(31 downto 0) when to_01(addr_i)="0" else cnt_smp(63 downto 32); end architecture Behave; -- Entity: Timer
bsd-3-clause
26f392f7078915e0729cecd1604952c6
0.287212
5.592028
false
false
false
false
freecores/lq057q3dc02
design/image_gen_bram_red.vhd
1
235,863
-------------------------------------------------------------------------------- -- Copyright (c) 1995-2007 Xilinx, Inc. All rights reserved. -------------------------------------------------------------------------------- -- ____ ____ -- / /\/ / -- /___/ \ / Vendor: Xilinx -- \ \ \/ Version: J.40 -- \ \ Application: netgen -- / / Filename: image_gen_bram_red.vhd -- /___/ /\ Timestamp: Thu Nov 06 16:30:20 2008 -- \ \ / \ -- \___\/\___\ -- -- Command : -intstyle ise -w -sim -ofmt vhdl D:\MyDocuments\OpenCores\projects\lq057q3dc02\coregen\tmp\_cg\image_gen_bram_red.ngc D:\MyDocuments\OpenCores\projects\lq057q3dc02\coregen\tmp\_cg\image_gen_bram_red.vhd -- Device : 2vp30ff896-7 -- Input file : D:/MyDocuments/OpenCores/projects/lq057q3dc02/coregen/tmp/_cg/image_gen_bram_red.ngc -- Output file : D:/MyDocuments/OpenCores/projects/lq057q3dc02/coregen/tmp/_cg/image_gen_bram_red.vhd -- # of Entities : 1 -- Design Name : image_gen_bram_red -- Xilinx : C:\Xilinx\ISE_9_2 -- -- Purpose: -- This VHDL netlist is a verification model and uses simulation -- primitives which may not represent the true implementation of the -- device, however the netlist is functionally correct and should not -- be modified. This file cannot be synthesized and should only be used -- with supported simulation tools. -- -- Reference: -- Development System Reference Guide, Chapter 23 -- Synthesis and Simulation Design Guide, Chapter 6 -- -------------------------------------------------------------------------------- -- synopsys translate_off library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; use UNISIM.VPKG.ALL; entity image_gen_bram_red is port ( clka : in STD_LOGIC := 'X'; addra : in STD_LOGIC_VECTOR ( 16 downto 0 ); douta : out STD_LOGIC_VECTOR ( 5 downto 0 ) ); end image_gen_bram_red; architecture STRUCTURE of image_gen_bram_red is signal BU2_U0_blk_mem_generator_valid_cstr_ram_ena13 : STD_LOGIC; signal BU2_N18 : STD_LOGIC; signal BU2_N16 : STD_LOGIC; signal BU2_N14 : STD_LOGIC; signal BU2_N12 : STD_LOGIC; signal BU2_U0_blk_mem_generator_valid_cstr_ram_douta3 : STD_LOGIC; signal BU2_U0_blk_mem_generator_valid_cstr_ram_douta8 : STD_LOGIC; signal BU2_U0_blk_mem_generator_valid_cstr_bindec_a_bindec_inst_a_enout_18_cmp_eq0000 : STD_LOGIC; signal BU2_U0_blk_mem_generator_valid_cstr_ram_ena3 : STD_LOGIC; signal BU2_U0_blk_mem_generator_valid_cstr_N13 : STD_LOGIC; signal BU2_U0_blk_mem_generator_valid_cstr_ram_ena : STD_LOGIC; signal BU2_U0_blk_mem_generator_valid_cstr_ram_ena0 : STD_LOGIC; signal BU2_U0_blk_mem_generator_valid_cstr_ram_ena1_2 : STD_LOGIC; signal BU2_U0_blk_mem_generator_valid_cstr_ram_ena12 : STD_LOGIC; signal BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_Mmux_dout_mux_5_f55 : STD_LOGIC; signal BU2_U0_blk_mem_generator_valid_cstr_N12 : STD_LOGIC; signal BU2_U0_blk_mem_generator_valid_cstr_ram_douta25 : STD_LOGIC; signal BU2_U0_blk_mem_generator_valid_cstr_ram_douta24 : STD_LOGIC; signal BU2_U0_blk_mem_generator_valid_cstr_N11 : STD_LOGIC; signal BU2_U0_blk_mem_generator_valid_cstr_ram_douta27 : STD_LOGIC; signal BU2_U0_blk_mem_generator_valid_cstr_ram_douta26 : STD_LOGIC; signal BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_Mmux_dout_mux_5_f54 : STD_LOGIC; signal BU2_U0_blk_mem_generator_valid_cstr_N10 : STD_LOGIC; signal BU2_U0_blk_mem_generator_valid_cstr_ram_douta20 : STD_LOGIC; signal BU2_U0_blk_mem_generator_valid_cstr_ram_douta19 : STD_LOGIC; signal BU2_U0_blk_mem_generator_valid_cstr_N9 : STD_LOGIC; signal BU2_U0_blk_mem_generator_valid_cstr_ram_douta22 : STD_LOGIC; signal BU2_U0_blk_mem_generator_valid_cstr_ram_douta21 : STD_LOGIC; signal BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_Mmux_dout_mux_5_f53 : STD_LOGIC; signal BU2_U0_blk_mem_generator_valid_cstr_N8 : STD_LOGIC; signal BU2_U0_blk_mem_generator_valid_cstr_ram_douta16 : STD_LOGIC; signal BU2_U0_blk_mem_generator_valid_cstr_ram_douta15 : STD_LOGIC; signal BU2_U0_blk_mem_generator_valid_cstr_N7 : STD_LOGIC; signal BU2_U0_blk_mem_generator_valid_cstr_ram_douta18 : STD_LOGIC; signal BU2_U0_blk_mem_generator_valid_cstr_ram_douta17 : STD_LOGIC; signal BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_Mmux_dout_mux_5_f52 : STD_LOGIC; signal BU2_U0_blk_mem_generator_valid_cstr_N6 : STD_LOGIC; signal BU2_U0_blk_mem_generator_valid_cstr_ram_douta10 : STD_LOGIC; signal BU2_U0_blk_mem_generator_valid_cstr_ram_douta9 : STD_LOGIC; signal BU2_U0_blk_mem_generator_valid_cstr_N5 : STD_LOGIC; signal BU2_U0_blk_mem_generator_valid_cstr_ram_douta12 : STD_LOGIC; signal BU2_U0_blk_mem_generator_valid_cstr_ram_douta11 : STD_LOGIC; signal BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_Mmux_dout_mux_5_f51 : STD_LOGIC; signal BU2_U0_blk_mem_generator_valid_cstr_N4 : STD_LOGIC; signal BU2_U0_blk_mem_generator_valid_cstr_ram_douta5 : STD_LOGIC; signal BU2_U0_blk_mem_generator_valid_cstr_ram_douta4 : STD_LOGIC; signal BU2_U0_blk_mem_generator_valid_cstr_N3 : STD_LOGIC; signal BU2_U0_blk_mem_generator_valid_cstr_ram_douta7 : STD_LOGIC; signal BU2_U0_blk_mem_generator_valid_cstr_ram_douta6 : STD_LOGIC; signal BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_Mmux_dout_mux_5_f5_5 : STD_LOGIC; signal BU2_U0_blk_mem_generator_valid_cstr_N2 : STD_LOGIC; signal BU2_U0_blk_mem_generator_valid_cstr_ram_douta0 : STD_LOGIC; signal BU2_U0_blk_mem_generator_valid_cstr_ram_douta : STD_LOGIC; signal BU2_U0_blk_mem_generator_valid_cstr_N1 : STD_LOGIC; signal BU2_U0_blk_mem_generator_valid_cstr_ram_douta2 : STD_LOGIC; signal BU2_U0_blk_mem_generator_valid_cstr_ram_douta1 : STD_LOGIC; signal BU2_N1 : STD_LOGIC; signal NLW_VCC_P_UNCONNECTED : STD_LOGIC; signal NLW_GND_G_UNCONNECTED : STD_LOGIC; signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v2_init_ram_dp1x1_ram_DOB_0_UNCONNECTED : STD_LOGIC; signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v2_init_ram_dp1x1_ram_DOB_0_UNCONNECTED : STD_LOGIC; signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v2_init_ram_dp1x1_ram_DOB_0_UNCONNECTED : STD_LOGIC; signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v2_init_ram_dp1x1_ram_DOB_0_UNCONNECTED : STD_LOGIC; signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v2_init_ram_dp1x1_ram_DOB_0_UNCONNECTED : STD_LOGIC; signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v2_init_ram_dp1x1_ram_DOB_0_UNCONNECTED : STD_LOGIC; signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_6_ram_r_v2_init_ram_dp1x1_ram_DOB_0_UNCONNECTED : STD_LOGIC; signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_7_ram_r_v2_init_ram_dp1x1_ram_DOB_0_UNCONNECTED : STD_LOGIC; signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_8_ram_r_v2_init_ram_dp1x1_ram_DOB_0_UNCONNECTED : STD_LOGIC; signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_9_ram_r_v2_init_ram_dp1x1_ram_DOB_0_UNCONNECTED : STD_LOGIC; signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_10_ram_r_v2_init_ram_dp1x1_ram_DOB_0_UNCONNECTED : STD_LOGIC; signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_11_ram_r_v2_init_ram_dp1x1_ram_DOB_0_UNCONNECTED : STD_LOGIC; signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_12_ram_r_v2_init_ram_dp1x1_ram_DOB_0_UNCONNECTED : STD_LOGIC; signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_13_ram_r_v2_init_ram_dp1x1_ram_DOB_0_UNCONNECTED : STD_LOGIC; signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_14_ram_r_v2_init_ram_dp2x2_ram_DOB_1_UNCONNECTED : STD_LOGIC; signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_14_ram_r_v2_init_ram_dp2x2_ram_DOB_0_UNCONNECTED : STD_LOGIC; signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_15_ram_r_v2_init_ram_dp4x4_ram_DOB_3_UNCONNECTED : STD_LOGIC; signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_15_ram_r_v2_init_ram_dp4x4_ram_DOB_2_UNCONNECTED : STD_LOGIC; signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_15_ram_r_v2_init_ram_dp4x4_ram_DOB_1_UNCONNECTED : STD_LOGIC; signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_15_ram_r_v2_init_ram_dp4x4_ram_DOB_0_UNCONNECTED : STD_LOGIC; signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_16_ram_r_v2_init_ram_dp1x1_ram_DOB_0_UNCONNECTED : STD_LOGIC; signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_17_ram_r_v2_init_ram_dp1x1_ram_DOB_0_UNCONNECTED : STD_LOGIC; signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_18_ram_r_v2_init_ram_dp1x1_ram_DOB_0_UNCONNECTED : STD_LOGIC; signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_19_ram_r_v2_init_ram_dp1x1_ram_DOB_0_UNCONNECTED : STD_LOGIC; signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_20_ram_r_v2_init_ram_dp1x1_ram_DOB_0_UNCONNECTED : STD_LOGIC; signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_21_ram_r_v2_init_ram_dp1x1_ram_DOB_0_UNCONNECTED : STD_LOGIC; signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_22_ram_r_v2_init_ram_dp1x1_ram_DOB_0_UNCONNECTED : STD_LOGIC; signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_23_ram_r_v2_init_ram_dp1x1_ram_DOB_0_UNCONNECTED : STD_LOGIC; signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_24_ram_r_v2_init_ram_dp2x2_ram_DOB_1_UNCONNECTED : STD_LOGIC; signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_24_ram_r_v2_init_ram_dp2x2_ram_DOB_0_UNCONNECTED : STD_LOGIC; signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_25_ram_r_v2_init_ram_dp1x1_ram_DOB_0_UNCONNECTED : STD_LOGIC; signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_26_ram_r_v2_init_ram_dp1x1_ram_DOB_0_UNCONNECTED : STD_LOGIC; signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_27_ram_r_v2_init_ram_dp1x1_ram_DOB_0_UNCONNECTED : STD_LOGIC; signal NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_28_ram_r_v2_init_ram_dp1x1_ram_DOB_0_UNCONNECTED : STD_LOGIC; signal addra_6 : STD_LOGIC_VECTOR ( 16 downto 0 ); signal douta_7 : STD_LOGIC_VECTOR ( 5 downto 0 ); signal BU2_U0_blk_mem_generator_valid_cstr_ram_douta13 : STD_LOGIC_VECTOR ( 1 downto 0 ); signal BU2_U0_blk_mem_generator_valid_cstr_ram_douta14 : STD_LOGIC_VECTOR ( 3 downto 0 ); signal BU2_U0_blk_mem_generator_valid_cstr_ram_douta23 : STD_LOGIC_VECTOR ( 1 downto 0 ); signal BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe : STD_LOGIC_VECTOR ( 4 downto 0 ); signal BU2_doutb : STD_LOGIC_VECTOR ( 0 downto 0 ); begin addra_6(16) <= addra(16); addra_6(15) <= addra(15); addra_6(14) <= addra(14); addra_6(13) <= addra(13); addra_6(12) <= addra(12); addra_6(11) <= addra(11); addra_6(10) <= addra(10); addra_6(9) <= addra(9); addra_6(8) <= addra(8); addra_6(7) <= addra(7); addra_6(6) <= addra(6); addra_6(5) <= addra(5); addra_6(4) <= addra(4); addra_6(3) <= addra(3); addra_6(2) <= addra(2); addra_6(1) <= addra(1); addra_6(0) <= addra(0); douta(5) <= douta_7(5); douta(4) <= douta_7(4); douta(3) <= douta_7(3); douta(2) <= douta_7(2); douta(1) <= douta_7(1); douta(0) <= douta_7(0); VCC_0 : VCC port map ( P => NLW_VCC_P_UNCONNECTED ); GND_1 : GND port map ( G => NLW_GND_G_UNCONNECTED ); BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v2_init_ram_dp1x1_ram : RAMB16_S1_S1 generic map( SRVAL_A => X"0", SRVAL_B => X"0", INIT_00 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_01 => X"7FFF3EDEFFFFEF6BFF9E7F70D97FF5F81F5EFC7FFFDF866FFFFFFFFFFFFFFFFF", INIT_02 => X"DEDE737BFEFFF0F9C35EFB7E4FCF87FFC31E27ECFFFFC3A42313C7B8DB12BFFF", INIT_03 => X"FBDFF53E979BF2FDD96949963FF7DBCA3D2C77EAE3D63017FBF33FAF7E178761", INIT_04 => X"A4C18795F79FF02EEC38716103C7BD8F3AF327977EE7CBFB968FC47C3FF5F8F7", INIT_05 => X"5821B26F3BE712A326C237FCF7CDF9E753FFCBFF53EDF9EFFF5EEDCEAB9BEDFF", INIT_06 => X"FC2EDFFF1CE3FCFAA7FDE2FF87EBF9FBFFFCF30E5033E15DD64D230D7F3FCF18", INIT_07 => X"73F8F2FF9FE4FA5BF9FCF93FDF51B3DDD2F340DBF9D7FF0BDF008F6DFFE3FBE5", INIT_08 => X"F7FEF03FFF98F7BFF7826262F73D799698FE168ED3E1FFF2F137FFFAFFFFCAFB", INIT_09 => X"C04DEB08EFAD7896087E674FFCBA7BFF781DFE21FCFFC5FCFFA8E73EB9F7F54B", INIT_0A => X"837122A5CD3DE6DF258FFAB34E3951CFF71FEFC23EE6790B73FDFFFFFFFFFFDF", INIT_0B => X"9F47F1826C10D15BCE3C6F08FDEF7F8BB1FDFFFFFFD67F9DEEEEDA3AF974241F", INIT_0C => X"FEBD573FFE7E7FB7B97DF7FFEBFEFFFFCDE9B65A41C6669BDFCF5BA7BFBFDAEF", INIT_0D => X"BC3EC7FF1F9E7FFFAB8F389EFD4346D9587EFCAF2FD7DFDF633D70D79F7F59FF", INIT_0E => X"BE38FD28475741C9EF3E184FA5E7FBF5A0AD52A9CB9FD5E7FDFCFA07FD7FBFDF", INIT_0F => X"567EE81F74F53BFD48E707ADA7B5D31F7BF9E8E0E9FF6FEE7FBE0FFF2F5C7B73", INIT_10 => X"D8F4D8BD7709E56F8DFD55FC6790EFFE7F7E7FFFEFBFFB3F8D1EF9EE1CD675DB", INIT_11 => X"F3F9ADFC57FFEDFE7F5FFFFFEFDFFA7F817E262A5AB3EBD6360016077FFC9EC4", INIT_12 => X"7EBFFFFFBDB7F7FF8FAA5F4690E2FFC3EC80DF3CFFFF13F47DEFDD3B8EF765F3", INIT_13 => X"98D64309F0BDF7FE3C7F7F98F43DDA89FEF74F3E77FB35386DF1FFFFCFFFC8FF", INIT_14 => X"3D5B7FFD33CF01E85D27DB7F3DF7FD1B7FE07FDFE6BEDFEBFF5FF8FE5E19FBE3", INIT_15 => X"9F90F00ABBEBF5FEDFB7CB5BE7F9FFD7F377F0F93BBCF7FBC0A14054BA63FE3C", INIT_16 => X"A7AFF0D7D1FEDDEBFBFFF079EBF1F3DBADA31CFB6C307FBE75BAFFFF19FFFEFF", INIT_17 => X"E7FDF0FDC7FFCFDB8F726B823A2F5E6F12FEFF81BFFF7FFFFFB84CEB03E3FEFF", INIT_18 => X"98D0EE484E63F3A7C1FFFEB9FDF87F7FFFDEE9BE6FFFE3FBC9FFFBF3EBEEF5B7", INIT_19 => X"C7CFFC7E7FBD77FDFE98196C4BF1EDFDE7FC71F5567BF0BFFEF8F1FFDF3FBFDB", INIT_1A => X"FFDFDDABD9FC75F1717FF88F3EDFE97FE9FD77FCFEA7DFEBA0B7AD7C6532C7E3", INIT_1B => X"FFA9FD6F3FFFFF7FFDBE7FF7FFAF5FEB9FFF944BD27AAFFDF287FE7FFAD5B3F8", INIT_1C => X"FBFD7FFFFBDBFFFFB52668D61F5A47EE3E67FF9EF8EAEFF83FFE5F3AECF17BE4", INIT_1D => X"B613251C7D4C69217E47FF8275FDFDF8E65F5F8CF47DE3CBFF8B5F4FFF7BF73C", INIT_1E => X"FF75FFE63AFFFFFDF4379FFC5C39EBE79C07DFFE3FFFE7BFFFED7FFFC3FEFFFB", INIT_1F => X"3EB7CF7507BCE3FFE93F1FFC773DDFFFF3EEFFEBA7FFF9FBAD4F58306A28377F", INIT_20 => X"E2FD7FDFFC7F97FE6BFEDFD5E3F7FB97AECFE41639293FFFB71A9FCEDD1E7E3F", INIT_21 => X"E1FFEFB7FFF5F9C7EF8F839B6170FA0B43A41F1C1FCFDD7FDEB1F39E14AF7FDF", INIT_22 => X"FFF3E45B6410A84F863A2E389FE7FEFC7F3CF1CF5556E3AFF3BF3FDFEB7FCFCD", INIT_23 => X"BCD915A8EFEFBFFC8E9E7DFFB1EFEBFE67E9BB7B2DFFDFD7F7FB976BFFFFE5EF", INIT_24 => X"BE1E3FFF9A77E3F9258FF77D66E29EE78F23D7DFFC7BEFEFBFFFDBD8374203EC", INIT_25 => X"F4BFFF4FE976AEFB7E4FD7FEBD6FD1EF97FFF8539A5DEF20FEFC49F6F3F7EFF8", INIT_26 => X"FDFD7FFE7D7FDBEFB3FC3A23AA328EC551B12B00FDF8BFD0BE97DFFFDB145BCB", INIT_27 => X"AC3EFD41DBA0086896D198F9F1FE1FD637FD93FFE0BBEFFFFF7F1715FD1F8AE7", INIT_28 => X"7FF8FED77FFFC3F2FE8FFDFEF85E2FBFFF3E3D99FF3F7FCF7DFC9FFF3FFF55EF", INIT_29 => X"BD7FE05ED4258FFFFFBC9B86FE7D1FDEFDFCFEEBBEF5DB3FAC1FFF41EE26D582", INIT_2A => X"FEFCFA73F27C9FEE9FFFFCEBBF0C7E3DAB5FFF67777F402F3DFE4182BABFEE79", INIT_2B => X"F7FD9CFDBF1DFFEFBC1FFFC77F52D82A7FE96BA917EFBE7D9AF3EC1EEE65187F", INIT_2C => X"A1209FC79FF6A1C53DBD6FF461FFDF7F80F3EF9FF7376AFFE5FE3FF713FEFDEF", INIT_2D => X"3D5D4787AFE7DF9FFFF9CFC79F63FDE720F3BE3FB5FD395FE7BE30F3F156778F", INIT_2E => X"339E6FF3B7BDCFE8976CBE31BAFF797FEAFF59F7FDB077DFD06B58E7EFF983BE", INIT_2F => X"FBD47FBAFE63F9C64EBD7FFBFE797FDBC7341D3FFFFFBC9276BE753BA9EF32AE", INIT_30 => X"BF1DFFFDFE79FDF3FFDBCD6F7E7E02B3FABFFDAF2C3D7C9FF3BE4BFBFFB8BFD9", INIT_31 => X"F847111CFFAFA229EF7FFD6E5DFFB1FBE3FC7DFFE68C3FCFFB97BA7FBF7A2DD0", INIT_32 => X"EE7CFC5FFFF7F7FBFBA7F2FFFF3A0F1FFC4F7EFF3DE8A7DFFFDFFAFCCE7CFBFB", INIT_33 => X"B1BFD35F3FF9DCFFFCEAEFFECDFF73ED7FFFF9FEFCF1FAF3CD979CE91751377F", INIT_34 => X"E420F77FDFD46BE47EFFF97FFD0BFCFDBEC369CAACFF1FFCE0F0DE07F3C3FEE1", INIT_35 => X"BEA6FD7BF6DFDFF9F5BDE63279CFFFF871741F1073E3FFF6FCF7BF93B7FEE4FF", INIT_36 => X"EFA5DE434717FF58F97DFF81F9F3EF7BB6BBBF9BD5FE187F2EF7CAFFEFAC4BEE", INIT_37 => X"FCFDFFD8BF3FFF59F4F03EA7FEFEEAF55CC2DE3FF7CB97E7DF7F5FFB62CFFFFD", INIT_38 => X"FD7D1FF9FEFED55881F99A17EBB61FF79E3F8FF970463EF9B597288319FBFFDE", INIT_39 => X"AB3D535B85621FEFCC5FFE1DF6867DFDC06F7C8C44BFFF3FF1FFFFF67F3FEF58", INIT_3A => X"EE3FDF3D660EF9F7FC5CE1D1DE127C0F30FF79797FFCFFFFFCF9E5C37F7CC17D", INIT_3B => X"DDC23EE6B7E4344EF9FC7ABDAFFE1F1F9F7DF302FFF0EFEAE3040F5DBEC20FF7", INIT_3C => X"FFFD647F7FAF7FA7DE7DE0FDAFF468F36C50907DFF1CBFCFFFEFAF3FF7FFFFA7", INIT_3D => X"DE4BFCF67DBA822121FDE17DD720BFFFEFF7BFFCFB1FFF8B8E334DB1C7A715DF", WRITE_MODE_B => "WRITE_FIRST", INIT_3E => X"FC7D59FFD365BF7FED9BCF7FE87FFFEBD91BEEDDF02352BB5EFECC7ECFDFBFF7", SIM_COLLISION_CHECK => "NONE", INIT_A => X"0", INIT_B => X"0", WRITE_MODE_A => "WRITE_FIRST", INIT_3F => X"BF0BFEFD83EBFFC5DF4023BE7F6D5892BF473D3F6FFEBFCF8FECF15A3639ABF8" ) port map ( CLKA => clka, CLKB => BU2_doutb(0), ENA => BU2_U0_blk_mem_generator_valid_cstr_ram_ena, ENB => BU2_N1, SSRA => BU2_doutb(0), SSRB => BU2_doutb(0), WEA => BU2_doutb(0), WEB => BU2_doutb(0), ADDRA(13) => addra_6(13), ADDRA(12) => addra_6(12), ADDRA(11) => addra_6(11), ADDRA(10) => addra_6(10), ADDRA(9) => addra_6(9), ADDRA(8) => addra_6(8), ADDRA(7) => addra_6(7), ADDRA(6) => addra_6(6), ADDRA(5) => addra_6(5), ADDRA(4) => addra_6(4), ADDRA(3) => addra_6(3), ADDRA(2) => addra_6(2), ADDRA(1) => addra_6(1), ADDRA(0) => addra_6(0), ADDRB(13) => BU2_doutb(0), ADDRB(12) => BU2_doutb(0), ADDRB(11) => BU2_doutb(0), ADDRB(10) => BU2_doutb(0), ADDRB(9) => BU2_doutb(0), ADDRB(8) => BU2_doutb(0), ADDRB(7) => BU2_doutb(0), ADDRB(6) => BU2_doutb(0), ADDRB(5) => BU2_doutb(0), ADDRB(4) => BU2_doutb(0), ADDRB(3) => BU2_doutb(0), ADDRB(2) => BU2_doutb(0), ADDRB(1) => BU2_doutb(0), ADDRB(0) => BU2_doutb(0), DIA(0) => BU2_doutb(0), DIB(0) => BU2_doutb(0), DOA(0) => BU2_U0_blk_mem_generator_valid_cstr_ram_douta, DOB(0) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_0_ram_r_v2_init_ram_dp1x1_ram_DOB_0_UNCONNECTED ); BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v2_init_ram_dp1x1_ram : RAMB16_S1_S1 generic map( SRVAL_A => X"0", SRVAL_B => X"0", INIT_00 => X"E61059FE7FBFB67C63A7DEEFF7FBFD828F9BF7C3A7B34DEE6A300FFEF975BF1F", INIT_01 => X"4BF0DF39FFFA3EC11FFEF1CF2E20E3ECB71A0FFE7CEDBF1F594FBFFFC7EC3E1F", INIT_02 => X"3FFEEAD8D1DD9FF47E355FFCBF25FF7FFDF79F7F03ECEDB5E40F6EE4FFFF1C7F", INIT_03 => X"A84EF7FDAF76FFFFFB5F7EBF35FEFDFFED287FFD9FFFF8D6903FEDC4F7F8BFFB", INIT_04 => X"96FE7E8F5BD737FFC529FF6DF7DFFE3B539FE2FAFEF73CFF3DBCE466F66DD3FA", INIT_05 => X"9C4BBFFFE7FADC73A1FFB1F9DDB77EFFBC1EB3B539C5FAF509CB33FCE586FFFF", INIT_06 => X"F2CFFCFF6FBF5EFBFC0FD0BFB2F749EFC60B98F5425EFF7FB7EDFFCE9DC238F7", INIT_07 => X"FFCF4F88D432FDFB229F640FDA96FF5FB7E3FF0E7FCFFDE3D61BD9F7EEE7AF00", INIT_08 => X"9B99B417B9AEFF8FFEF0EF1F43FFFD33FCC0B9137ABFC124E4EEFF1FBFAF25F2", INIT_09 => X"FC7EC79ED3FFFD4BF86CC5EA445FBBFC9B66FF979FF929F03E1F703230FDA7C1", INIT_0A => X"CFF761AE5C6C33FED8883E3F5DFCE7FC7FBFFDF54FB1EEACA9A8580FCCBEFF8F", INIT_0B => X"F95CBBEEA7F7EF7DFFF6A7F01F9B190A0B00EEDF059DFFDFF93DC7BB5FBFFD3F", INIT_0C => X"7FF6F666D855658199149F399341FFDCDDFFC7F8C73E527FB7B78C82FDED77BF", INIT_0D => X"9A96FFF49DDDFF9DB3F3F7FE3FDD8BFF9394AC7FC4911603FE2CDFF5FFFF74FF", INIT_0E => X"FCDFCF7E5E3FE0FFF8303F6E2523CE379F0FA1F8FFDFA46F7FFFFCA04A2E741A", INIT_0F => X"CD2F82A9697D373F97A00EFEFF4F89CCFC1FFD90198115FFA45B7FF07B5CFF8F", INIT_10 => X"FFE57D7F7E6FF85FB8B766261FBBA56D8B6EFFD23534FCFFD47FDF597FFBF9BF", INIT_11 => X"3BD71CDDAF94C2F719ABFDEFF8EEF8FFD26F9F4E97EFC7FD8382E7FCF78ECC7F", INIT_12 => X"24ACF07FFE6FFFFE6E3F9FEB3FE71DFF9432F02621139E3AFFFE49BFFE1FEEC9", INIT_13 => X"BFFE1FE073271FFFA7E57DAAC2D334BCEFFF286FFFFFF87F1BC6CD665928E258", INIT_14 => X"FE5C8552853AD2BFD17FFF51FFF7FF874DA21D2E710A6B0DCA78EF7FF0F7FFFE", INIT_15 => X"D1FDFEDFFDF89FF2A0F30A915296E454051ACE7FFAFBFFFD3FF80D2DFCBCBFFB", INIT_16 => X"F6C784B34685C7D3429C617FC6FBFFFD7FEC1B3571FDFFF185A8859195EF5D73", INIT_17 => X"2EB907FDAEF7FFFFFFE67A78657DFFD7D07319CDFE92CCDFEE7BFFE1DFFEDF45", INIT_18 => X"D930FBD471FD7587CA3A81E1A168377FFFF0FFFB0FB80FA42C4D18FA1728C922", INIT_19 => X"89397EE33D476CFFFFFCFCFEC3F79BFF8C1DFFB68A21FAA6D3BFD7F7DBF7FFFD", INIT_1A => X"FFFCFFFFFFFFFFE9AFADE9AFBCEF7D09A8DFB8F585E7FFF767FFF7243C3E9347", INIT_1B => X"CFFFDF6280D9757F848525F150EBFFD967FFFF9D7F72FF5FC5FD1A1A0BEEA1FF", INIT_1C => X"791C6DB705F3FFC78FFFFEC4FF79FF7FD39E0E917FFF3D6BFFFFFE7DFA1FF3F6", INIT_1D => X"FFFFFD1DFF13FEB9AF3724AAFF029A873FFBF3E3FB6FFD3FFDFFE95982BCF517", INIT_1E => X"B3F12C18FF8672073FF3E417FFC5FEDC0CFFFF91896E7B0C010A7DBF24FFFFF3", INIT_1F => X"5FFAF42FFFF2FFCFCD7FF9964354BBF8DE4F5E3F07FCFF7EFFFF7ED4BF8FFDFB", INIT_20 => X"D93FF9E74C7852911F3B1FBF1FFDFFFFFFFFFB397FDEFDF7C1FE170780964A6D", INIT_21 => X"D4411E3FCFFE7FE7FFFFAF7DEFBF7AABFC58351BFF6F745C6FFFF97F9FF72FFF", INIT_22 => X"FF8F7DDFEFBEBFEFC4813B66416856607BBF3FFEDF7EA97FE5BFB9E7B1B70F6A", INIT_23 => X"FA4EB2D62F85688FCDFFFFFF71FFD77F7A6C3DFCDBC901F1B48FBCFCFFFFFF9C", INIT_24 => X"2CFFFE1CE9FFD0FB1C5DFCE6A1B743A361A5FDFF7BFEFFFFFFD9FC25BF549F21", INIT_25 => X"EE4EFDC5D4969851E984FFFB4EFFFFFFFF99E667BFA1FFCF8E6298CE5B582AD1", INIT_26 => X"1B8A5BFE8EFFFFFFFF19E327FFFFFCC3DEA828814AA4475EE2A1FDEEF9FCE8F6", INIT_27 => X"FF79AFAFFFFFC67380CCCB7CB8FA82B94976624FE1FCFFA45E46FDDBAA003CFD", INIT_28 => X"84377CE62C349886C9FAEBFF97FC5EE2FF47FF9F5360AF83AB93E39A36FE3FFF", INIT_29 => X"06FAD7FFBFFEEF15BFCA5FFFCD1410F4ACD9FD1272FD01FFFFFFBCAFFFFFF9FF", INIT_2A => X"4FD859FFC81C3D0D0241FFED70FF86FFFF3FCAA7F7FE1AFD92E04B3244F1DA03", INIT_2B => X"BAA7F587D8F6557FF87FE627F7FCFBF9BE21003370CBA49AFFE5C7CFFFCF792E", INIT_2C => X"F0FFA777F87C1F7F9043041057A7F83CFFC7E7CFFF07E1D197F64A7F9983042D", INIT_2D => X"E59208CD1B0DCB1073E7F381DFA7F7FDB3F589BF0FA26C8DC2E7FE254BED443F", INIT_2E => X"6BEE2F9BC7B7F8BF2FF734BD747F3332E20C760945C4E23FF07F51AFF3A5FDFD", INIT_2F => X"C3F3C238499A9591EA0CFE7A7FC4B2BFF0FD4BF600EFF439944D83B79C6562B9", INIT_30 => X"FCF378B29F950EBFF0FCE4E886DFBFC3B1E21F61914F7E9DA0C7004794FFFB7F", INIT_31 => X"FFF298F13C1FDFF7CD0F0E302F3172554DC3AFBC0BFFFDFFED1992E5569C7AEE", INIT_32 => X"8D6E8C979FCE9FFCFD7BFFE9DBFFBF87E0199E566C035FADB96B66E6AFB2C9BF", INIT_33 => X"5EBFBFF315CFFFFE5FD60AA89A4E85632F7C86C877AAD9BFFFFE43F61F1FFFBF", INIT_34 => X"1FF36D446E846FCA3637B3C897DC89BFFFBB77E35FFFFF0FE6A1786334606793", INIT_35 => X"C399B6457FE4DCBFFCC8A67BFFE7017FEC9F7C22FEE3FAC34F9911FA59CDFFBF", INIT_36 => X"FE579FABFFFF9EFBCDE47F52824F40E26AB1CBEA0D763FEF3FD3EFE8A4612DEE", INIT_37 => X"806B1C07C5398CC3AD6AF6FDBD417F0FFFB506CE782FE77052B674AB874E1D7F", INIT_38 => X"DA3D31C404A80FB7FFFF6CD304513D0A5A2022DAC7AFB81E7EA1F9FFFFFECF8F", INIT_39 => X"FFF3A086273B6F0B8B1F6AC373E29AC0BEDEABFFFFFE5FC7B6EF8107D0F69D6B", INIT_3A => X"7B33414693A733C211D9B3FFFFFFE7FFA8D1FE002D12CA7829E3EFBD933DBDCB", INIT_3B => X"AB3FCFFFFFFFDBFDCD87F8FFB005731FFF2BFFB44FD52244F0F37350128BA40D", INIT_3C => X"FFCB80EB5773671FFFFFBFBB401EE2A54FF6DA3138BD6C575EA45245883F3FA0", INIT_3D => X"FFFFFFBC918FE233897DFBCF5396B904BB55F39DB4B7573E79FD8FFFFFF1F2FF", WRITE_MODE_B => "WRITE_FIRST", INIT_3E => X"A5FDFA3978D9751F9491C82DFBCB970F15FE3FFFFFDEE5FDAB53D30826C4FFDF", SIM_COLLISION_CHECK => "NONE", INIT_A => X"0", INIT_B => X"0", WRITE_MODE_A => "WRITE_FIRST", INIT_3F => X"6AB4228FF9EC028B07FFFFFFFF9FE6FFD3CA4F3F9DD1FFF5FFFFC1FE9F5C592C" ) port map ( CLKA => clka, CLKB => BU2_doutb(0), ENA => BU2_U0_blk_mem_generator_valid_cstr_ram_ena0, ENB => BU2_doutb(0), SSRA => BU2_doutb(0), SSRB => BU2_doutb(0), WEA => BU2_doutb(0), WEB => BU2_doutb(0), ADDRA(13) => addra_6(13), ADDRA(12) => addra_6(12), ADDRA(11) => addra_6(11), ADDRA(10) => addra_6(10), ADDRA(9) => addra_6(9), ADDRA(8) => addra_6(8), ADDRA(7) => addra_6(7), ADDRA(6) => addra_6(6), ADDRA(5) => addra_6(5), ADDRA(4) => addra_6(4), ADDRA(3) => addra_6(3), ADDRA(2) => addra_6(2), ADDRA(1) => addra_6(1), ADDRA(0) => addra_6(0), ADDRB(13) => BU2_doutb(0), ADDRB(12) => BU2_doutb(0), ADDRB(11) => BU2_doutb(0), ADDRB(10) => BU2_doutb(0), ADDRB(9) => BU2_doutb(0), ADDRB(8) => BU2_doutb(0), ADDRB(7) => BU2_doutb(0), ADDRB(6) => BU2_doutb(0), ADDRB(5) => BU2_doutb(0), ADDRB(4) => BU2_doutb(0), ADDRB(3) => BU2_doutb(0), ADDRB(2) => BU2_doutb(0), ADDRB(1) => BU2_doutb(0), ADDRB(0) => BU2_doutb(0), DIA(0) => BU2_doutb(0), DIB(0) => BU2_doutb(0), DOA(0) => BU2_U0_blk_mem_generator_valid_cstr_ram_douta0, DOB(0) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_1_ram_r_v2_init_ram_dp1x1_ram_DOB_0_UNCONNECTED ); BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v2_init_ram_dp1x1_ram : RAMB16_S1_S1 generic map( SRVAL_A => X"0", SRVAL_B => X"0", INIT_00 => X"43FFFFFFFF83EB3FEE9500E1A48FFFFA0F3FEFFFFFA787729FEA7CD3A0749A3B", INIT_01 => X"D8CA7FC0DD2F47DC78EFE7BFFFE9A2706FE6ED4BEBCC168FB4760BCAEB386BA7", INIT_02 => X"9FE3FFF0F7FADBB80850DF460A1245B92238DC5CB5A84252467FFFFFF87FFB4F", INIT_03 => X"9E6EFF75C730D3F07AA267B2FBB8A2E7A01FFFFFFFFF3DFF83B2B11D26F7087F", INIT_04 => X"E0E6A2209C439687F21FFFFE0FFF3F5DA4F1F3BCEAFE63FFFC0FFCF481FFEF1A", INIT_05 => X"B43FF03F1FFF3FFDC0CF3D5C3897FFFFFFFFEDF8FF1FF644CB1AFD6C4B2D640F", INIT_06 => X"B9610C8259BEFFFFFFFFEFFFFFFFC42CE97E3FE309D0E7EC69D086B37AF2FB2D", INIT_07 => X"3FF3FFFEFFFFCD830F9B0FE486096FC70B8B8A302D7135F0707FC003FFFF9FFD", INIT_08 => X"85EBE75FE4ADE08E386AD7DAC7FD8E2F67FF82F7FFFFFFF9843EF75C263DFFFE", INIT_09 => X"73AFD20E40D8787B80FF3337FFFFFFC1A3FE85BCFA7FFFFFFFFCFFFFFF7F88DF", INIT_0A => X"4FFE48EFFFFFFF97D1E1B4715D7F40FFFFFF7F67FC1F7F3CE86D30B38E7F32E7", INIT_0B => X"913E6C17EE3DFFFEDF1FFFAFF9F1FF60996690BB922B2E403ED99691A37CD015", INIT_0C => X"76EF3FFFFA13FDE17FF8AF754BFA195A655C73905870BADB8FC2AE6FFC1FFC21", INIT_0D => X"761C69FDF58DF52AB0D9BCFC5F11D7BFC081369FF07D7AF3BA3C9C1377E27FFB", INIT_0E => X"2785BEE96F42041A59281AFF43FE3FFDD0BDF487FE87219317D8DEFFF66FF1EF", INIT_0F => X"ED69CD3EE7F15FF1D3EA57DFFEAFC307FF7E25006B9BFFFFFCD912F6DDF3C4FB", INIT_10 => X"DE87FF9FFC5F601FFE6458073C91FCFFBFABF43FF53A52DD2D4BA2E5C697286D", INIT_11 => X"F9FF8214B04CFC3FB9DD0538D92C692F345073622768854E6937467ECFF1DDA3", INIT_12 => X"8A1A04673DE5817700A412C3E18BAE0CA5CB347F7FED5DB39E60FFBA7F505FAF", INIT_13 => X"5EF1FD716D7F83D21F6E28FFF9339E0983637FEA97FB9DABCCFFFCFFFFFDFC1F", INIT_14 => X"539327FFF71BEE5D9156FBFA40EA188D086E9FFFCD7FFDCFFA7978263C59B120", INIT_15 => X"B407EA52A5E16C88BF77FFE8597FFDEFE74870321A7DE0F608F20108ED1D96ED", INIT_16 => X"C6FFFFFF03FFA4ED4379FB4CAFFFAAF8A1A1D916DA8F494B0F489FFDF7A04209", INIT_17 => X"725D7FA001FAA83DA48723C3DBBFB85F0A437FFFF806B6D5B7524D041B92BE26", INIT_18 => X"2B96AD79C1B31E544984FFFFBA7E44F1BEE7E147229B0A1225FFFDFF9FFFFFE1", INIT_19 => X"703FFFFE548B95CDFD5BC0E113E11B6383FFE3FFFF80BFFDA94004738242DDAA", INIT_1A => X"FA32F6ED7D1761EEFFFFFFFFFF8EFF797ACC6441788ECFC61B2E549F01790A67", INIT_1B => X"FFBFFF4BFFFFFDFD808FB0EFB28A51A82CA2E99239453D57877B3FFF629C44BF", INIT_1C => X"BE979B004BB00AAF194682EE8ECC0797F1B01FFFF288525DB538CBAD5596627F", INIT_1D => X"B533846385E0226AA60F1FFCD221CF2BF1AD03F44463EFFFC73FFFEEFFFFB833", INIT_1E => X"718F807CADBB2AC7BCEA917F0CA8D9FE2FF0FFDF8FFE10C3FDAB847F7A049ECF", INIT_1F => X"F47B5BF337D875F35FE7E7FFFFFEF31F7035412002FE7C1F657A1512C2DE2B9B", INIT_20 => X"BFDE9B3FE389B7F79F869EB5B156AB1912B887F66279D130923FD31D80D2BD2F", INIT_21 => X"6F2C3C5ABADD9D4FC9BCA6F1FFE3D87D1B1957883C4ABC9BF170B495E9553FF4", INIT_22 => X"AE07EFBFF3706AB9C736C58E9404FFC3DD8A4CF44F5FFFFD7FBDEE4FFB8257F7", INIT_23 => X"B26D458F599C4B1BE38BFB84F3FFF6FD7FEFFF9FDAC13FC7FEF7247C67C64FF3", INIT_24 => X"83423480E7FF6FFCFFFFFEE7FF0DFFE3FB7DD52B574F4A5E446EC05FDACCF586", INIT_25 => X"8B37FF87FBBF7FE3F445FD3B0EC482C86A366258829061D76CE08AE748F70335", INIT_26 => X"EEFDBDD5EDB513B577D9299CAAD58DE7DCF74415C279A7CBD11A4F722F0FFFFF", INIT_27 => X"C3B7CC7968BE406E71D3EE56587789A1FAD3F4BD3C7FC07F2BF7F3EFE4F1DFFF", INIT_28 => X"2FB6380E44041D71C851387CFFEFD2438FFFEEF75BFAAFFFC7E03645E0A1E280", INIT_29 => X"E12FC50FD9F3224FFEFFF543CFF7CC7F6F93A6AA6366797FDC1FFDE3C7F13E76", INIT_2A => X"FC7E53E68F87C7FE7FB295CF19EE7B2B93EFF781DAF6FF1038A183F9C7FF2153", INIT_2B => X"FFFB717B8D7002F17C9FFFEE23E1FCC80CA32B0FFFFFCBBF99A33BB7AFEB2D6D", INIT_2C => X"62BD31467FFFE5289B1575FC1FFFA47FCA13E7FAFFBDD2ABC3AA68FAEA7FEE3D", INIT_2D => X"F05F17FFDF07643F83814F4EFC8A404D219B8978E17FF9FFFF2D780B6ABC9C2F", INIT_2E => X"A38656057E93165167F2FA7163FDF6F9FFE1E157A8DF518471200915FE1FD42A", INIT_2F => X"88A3E6926FFFFFEFFE9A79D7DF67BAFBBF9EC3C7FE165A1D01434BFFDF733E7F", INIT_30 => X"FE4DFE1EF3B781A3BFAF73FEFF50D07C8A347EFFDF77FF7FB700E67813B5727A", INIT_31 => X"873B97BE712184E230A8FD17BF77FFF9892378FAE047D47AAB87524DF3FFFFDF", INIT_32 => X"E7A91D33FF73FFFFFB4089FA7F1C697ED557EE3BB7FC0F67FDAFC529A1A43E7D", INIT_33 => X"EF1CF7EA6FFAA0085AEF7E7F77EC8F2D612FE6CAFEFE6613C0280EF35D5AB7F8", INIT_34 => X"BD09FFFF9F850C38FD4ED4411CF6736474765C477160960565FEDAFBFD79BFFF", INIT_35 => X"ECA314736398CD46D883BE7E929AE8267F6E2C7E7562CFFDCDDF7F20D718CC17", INIT_36 => X"15C8178FC7F85C795BE4F432EB4859FBC6374E8E24083C95DFE7FC3C5FBEFE3E", INIT_37 => X"BE583A518CECDAE59EF4DEFBDEAFF1A6C80BFFFEFFFC72F3E8A1AD8B82D26935", INIT_38 => X"B2133D6F246DF8A05FDFFFFFFEFFF1EBE7F944E0351110E5D526BE6E414FD6E7", INIT_39 => X"97DFFFFFC1FFF78FF7F1D5C9D1C1EFF495D8A67D9574AFEABF9954A3D022A533", INIT_3A => X"FFF599D31585FCEC0AE39A9B6138F9919AA2E0B6CF508219C7BF4EEC5471EE09", INIT_3B => X"BAFEBD679517FDB7F0A84DF078662235A8A64454C21DC41D387F7FFFEE7FF7BF", INIT_3C => X"05745565F438B2A3912FB85F2A7DDE79F37B1FFDE57E27FFFFFFD8EF51C98BFE", INIT_3D => X"81CBB09C95BFB26C7E6FCFFB5DFD2FFF7FFD719017F9D6F6E486BEFFD750FFFC", WRITE_MODE_B => "WRITE_FIRST", INIT_3E => X"FFF31FF87DF959FF7F7FD8E25B8D435D88CAB7BFCA9171E55D6747E47589E711", SIM_COLLISION_CHECK => "NONE", INIT_A => X"0", INIT_B => X"0", WRITE_MODE_A => "WRITE_FIRST", INIT_3F => X"FFFC65AEED8F8DD09AA1B793F99BB3FEBC36021D118D907B98A59291879D57CF" ) port map ( CLKA => clka, CLKB => BU2_doutb(0), ENA => BU2_U0_blk_mem_generator_valid_cstr_ram_ena1_2, ENB => BU2_doutb(0), SSRA => BU2_doutb(0), SSRB => BU2_doutb(0), WEA => BU2_doutb(0), WEB => BU2_doutb(0), ADDRA(13) => addra_6(13), ADDRA(12) => addra_6(12), ADDRA(11) => addra_6(11), ADDRA(10) => addra_6(10), ADDRA(9) => addra_6(9), ADDRA(8) => addra_6(8), ADDRA(7) => addra_6(7), ADDRA(6) => addra_6(6), ADDRA(5) => addra_6(5), ADDRA(4) => addra_6(4), ADDRA(3) => addra_6(3), ADDRA(2) => addra_6(2), ADDRA(1) => addra_6(1), ADDRA(0) => addra_6(0), ADDRB(13) => BU2_doutb(0), ADDRB(12) => BU2_doutb(0), ADDRB(11) => BU2_doutb(0), ADDRB(10) => BU2_doutb(0), ADDRB(9) => BU2_doutb(0), ADDRB(8) => BU2_doutb(0), ADDRB(7) => BU2_doutb(0), ADDRB(6) => BU2_doutb(0), ADDRB(5) => BU2_doutb(0), ADDRB(4) => BU2_doutb(0), ADDRB(3) => BU2_doutb(0), ADDRB(2) => BU2_doutb(0), ADDRB(1) => BU2_doutb(0), ADDRB(0) => BU2_doutb(0), DIA(0) => BU2_doutb(0), DIB(0) => BU2_doutb(0), DOA(0) => BU2_U0_blk_mem_generator_valid_cstr_ram_douta1, DOB(0) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_2_ram_r_v2_init_ram_dp1x1_ram_DOB_0_UNCONNECTED ); BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v2_init_ram_dp1x1_ram : RAMB16_S1_S1 generic map( SRVAL_A => X"0", SRVAL_B => X"0", INIT_00 => X"4264CBDFC65E326D06E095149942DA63C8FE9CF3DFFD982FBB07BFF87FE9BFFE", INIT_01 => X"410DDC78711C591FA40A3D04C03D16063DEFFFF96BC479FFFFFE7D140B7652A3", INIT_02 => X"8F9EC28267FAD5BAB1FFFFFFFFF4F6C7FFBD545704FE7832EDDA10EF9F29F8FB", INIT_03 => X"D3FFFF3FFFFCFB37FEBEC5F3DBF3EC9435BEE2E38FB93CC7208F198C4FF2DEA3", INIT_04 => X"FDBECBA86F0491F4263CEB2EE9A00760F5D7135953DC9B21F83873CBB93ACF7B", INIT_05 => X"58833F76A2A4ADCD1370381B2FEB35D79860BE44D4AB90F7CBF87C1FF8E5BF0F", INIT_06 => X"5A1D03A6A427306FFE8830516B2C6FFBF1F93A9F77F7FF3EFDB92BE78BF2897F", INIT_07 => X"9D83795EF0C3BFDDEFFB3C0FE48FFE3CFEB3BFB1DEAD2ED95F0827F6A0431A31", INIT_08 => X"F3FFBBDFC5BF7F1DD7333B40EECCD3BD62C4AF6ADFC26687B405A2E33663D09F", INIT_09 => X"F7EFF31D8D4D5EBD4C5F7BF9EAADE1C9FC2C88DCF0F3C72BF220762404B37FFF", INIT_0A => X"E15791EB9C0013F893E4AFA10F627CF1B2C3F026B24EFAFDF3C973DFD1BEFFC7", INIT_0B => X"9B6203A0F19759F5AFA711561760F97EBFD7F7CF015FFFFFF7CBE79DE95C7C7A", INIT_0C => X"ADF315FE9887F7BFBAB7E77D10DFFFFFF7DFDF8C89C9FBFD72E1B46F6A17B3F1", INIT_0D => X"5B3F9AB81473FFFFD7CFDFFECBEE1DFD8CED2210E0BA39E7E5B3561ECB67F499", INIT_0E => X"CFF3D7DDAFC853F932DC995AEC31E9F6A02253CD47400EC3AF1D788F4677F5FF", INIT_0F => X"58E5E71AD85553FF5F6F9D6FD5EA5975B4A793BCFBD7AEFFED838BFB13A7FFFF", INIT_10 => X"3EEB06230F96938DEE78AA7D7CD7FFBFCB7CEBF1B17FFFFFCF97878DDFC6CFF7", INIT_11 => X"F6A53BFEFE77FFFFC697FFD6FDC5833FCFE707E7F3B5F7CBF3F3F6A1B63453DF", INIT_12 => X"CDAFFBEB43DB6621DF0F6FBFFBDC37E790FFBD9F3E26F0FCFBFC08084F79C341", INIT_13 => X"EFFD9FFE3F143FFFA7FF59B40D3C83F5355BFFFC9C0D7F13EB87A77FFF7BFFF9", INIT_14 => X"9FFED5D40D7D19E9AAD4711E87EDF35B9826B9BFC3F9F4FDFD3FFDD4878E3C46", INIT_15 => X"963A5E7087E38FDF8FA53F5FEBEC143853FFD44057BC7001FEDDBF0B3F82FEFD", INIT_16 => X"C7B89CBFFFFE647E7A7FFFC8AF3C7FE6FFD9BE5471B0B7FF92E4254F618232F3", INIT_17 => X"30F38CDC3E3CFF7FB73C7F95AAF68B7DF2010A62FDE60FA2C700AEA77F27DA63", INIT_18 => X"BFBC3FA88FBDFAFFD509C86E27772B7D5CA3EE7A485294138CBA99C84F7742CB", INIT_19 => X"C9C9F8511B8D47F4D2A1EF489BBE04E9859F1FE7CEBA79A9267F387EBF7DFEBF", INIT_1A => X"D5E5CBF3F50F346FFA661BFE3D61A741DF7CB84A7BF9FDFFBBBD76D7E7D4E4C7", INIT_1B => X"BC5D2AFFFEFB7BE6DFBC7E27FAE7E0FF7B7C7850CF5D9F078AD9FEB5742DB3FF", INIT_1C => X"7F64ED29FFDFE9FFBA7C7EC0C6FC9DE767A7FF31059B3BEFD95B7805655259AB", INIT_1D => X"FD7CFFB78E73DCE3B70AFE517F96FA7F1B277385369AAEB9F2ED1BFEF94914B8", INIT_1E => X"DA0A3EDC990F3FF1843CB68EFC1003B191DF87837DFF021DFFECF0CCBFAFC7CF", INIT_1F => X"460F6A9D69B41153961E9FDFFFFEC44271F80FEBFFF9E7CF77FF1B07C369C9E3", INIT_20 => X"B7DCBFFFFC88EB16F1FD8C53F5F1B7BEFCFE7CAD1E1FF2CF76707ED045BD50F1", INIT_21 => X"F7E45C17F9FF67BD79DF2ED7563BA1D776C73D7A3C87FCFDF90227E321CD0B2D", INIT_22 => X"75FFB46D511589DFB917283C456B98F5BA9F6500530CBFD7B8EC7FFCFE5A2EF3", INIT_23 => X"3F63346DD7CAC37FEC29882BCC793A0DFD9BFFFBDC9C076BF47CA4C7F7F83FBE", INIT_24 => X"F57BB03E7844C2DDEA2B59DAF48B5A67FD727BBFFBE9773E7BAC36A5463D8DDF", INIT_25 => X"948880D9178713BD380A452DF7D7873FE3EEF449F0F7DBD638EAC38D86DCA9A7", INIT_26 => X"BB89ECDEA3093F3FFBAFE37CCB0BCFEF1E432A76A75C82A37D11C97BBB73A8E1", INIT_27 => X"F3FFFCEB60EDC3FBFFE71AF3DE74513B7FE3812135B551FDE37D5B984EACFFF8", INIT_28 => X"3FF5EA1113E4640DAFDEB3D3837CE3EBB57496D6D8ED87FC7B8E133EB74F473F", INIT_29 => X"F7DAA0D125FFF3E586DA72D3D65FE98F2E7EE2B39FDE9FFFE79FA6278C5D87FE", INIT_2A => X"8BDB7029C5F0DF815D8820047EA00FFFCF5EBD720D7FDCFFCE8152DC89A7875A", INIT_2B => X"3711BBD2FF67DFCF8F3F3814641FC2FEEFA1B0D38E23BA6DEFDFFE4FFCFFFD3F", INIT_2C => X"87FEF42C6C0B97BAEF21555E74C66BD4165FB7DA7E7FFF07A1A946149AB5DD02", INIT_2D => X"FFC8F25BD9C39E34F1F9E12259DFFFFF98CA5752EF445F19F1D58E03FDBB27DF", INIT_2E => X"E0FDBA7F1EDFFFFFE72D2291EEADB0BB6B66798FFAFE3FC64DF9E3A74C53C4FA", INIT_2F => X"FDD6CA4DEB088A3698ED07BFFB183BDE8E4FF6617AA7A05FFF83F873E3012DB6", INIT_30 => X"0BC74D7DFBFB3DDE43F3C1D9CE9BAC1BF9D0E5C7E964E3453EFED355BE3DFFFD", INIT_31 => X"4DF3E437D5279565B7C879DDF4C1591E5ABFE9E1DC7CFFF1DA67D895659708F5", INIT_32 => X"3ED57379FF170149CD300F18B47FF809E0C8105AF69434FC30EB16BFFB5C3ACC", INIT_33 => X"DC2FC824B77F807FE6D60FA294D635F933E7BC5C77257ACAE4AF55C49A6B9D10", INIT_34 => X"CAB69FADE394EDFDB6F8D53EDFD5FEFF69FF5F6BB7F26F960AEA57C9FE0E72FB", INIT_35 => X"BD581F7F5065FCD9606502F7CA5B2A3A976AB421F96DD5CD8B47F84B6EFFFFFF", INIT_36 => X"9FFB96EEA67C4818B79370ACF65E0FFC66F3FF06A1B8FFFFA16952D696C12BF9", INIT_37 => X"BA3442E97F50A9B752539F891B5C7F0786372DDA3CBAAC7D16BEBFFC35BAFC07", INIT_38 => X"A59E67F49E68FF31AA9F91EE0A33CD9C424A1FF8E64CFE43E7B9BFEF5DB50524", INIT_39 => X"8ABF02DE9F9470D8D7718FB39489BF535FF853EE504F3E38BFBAC2E97F138F86", INIT_3A => X"70FF2F13DF44FF3EFF1DE7B8BE73743C3FB679007FF6AC1E6A2673F2138D827D", INIT_3B => X"3FD8857945696CDEBF41C1C37FAACA5DBAFEDFFE5597CB0FB448E07C28CE7881", INIT_3C => X"BFF5E2BB3FEAA310A1A9C1FFA252E237C1CEFB18D132C4B59461671117ADBF7C", INIT_3D => X"6D42B8FF8C520E6FCA65632F58924B78CED8FF9F59A9DFF4FFEC99FB8CE35C62", WRITE_MODE_B => "WRITE_FIRST", INIT_3E => X"B5A4A68A76ECED73BAD5EC38D1ABCF2FFFEF47BF352812573F74B5F7E7EF01EE", SIM_COLLISION_CHECK => "NONE", INIT_A => X"0", INIT_B => X"0", WRITE_MODE_A => "WRITE_FIRST", INIT_3F => X"A89BFBE9E8CDD7ABE7E7FFCDD3C29C537F7060916F38D97703E04BFF9E4EB72D" ) port map ( CLKA => clka, CLKB => BU2_doutb(0), ENA => BU2_U0_blk_mem_generator_valid_cstr_ram_ena12, ENB => BU2_doutb(0), SSRA => BU2_doutb(0), SSRB => BU2_doutb(0), WEA => BU2_doutb(0), WEB => BU2_doutb(0), ADDRA(13) => addra_6(13), ADDRA(12) => addra_6(12), ADDRA(11) => addra_6(11), ADDRA(10) => addra_6(10), ADDRA(9) => addra_6(9), ADDRA(8) => addra_6(8), ADDRA(7) => addra_6(7), ADDRA(6) => addra_6(6), ADDRA(5) => addra_6(5), ADDRA(4) => addra_6(4), ADDRA(3) => addra_6(3), ADDRA(2) => addra_6(2), ADDRA(1) => addra_6(1), ADDRA(0) => addra_6(0), ADDRB(13) => BU2_doutb(0), ADDRB(12) => BU2_doutb(0), ADDRB(11) => BU2_doutb(0), ADDRB(10) => BU2_doutb(0), ADDRB(9) => BU2_doutb(0), ADDRB(8) => BU2_doutb(0), ADDRB(7) => BU2_doutb(0), ADDRB(6) => BU2_doutb(0), ADDRB(5) => BU2_doutb(0), ADDRB(4) => BU2_doutb(0), ADDRB(3) => BU2_doutb(0), ADDRB(2) => BU2_doutb(0), ADDRB(1) => BU2_doutb(0), ADDRB(0) => BU2_doutb(0), DIA(0) => BU2_doutb(0), DIB(0) => BU2_doutb(0), DOA(0) => BU2_U0_blk_mem_generator_valid_cstr_ram_douta2, DOB(0) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_3_ram_r_v2_init_ram_dp1x1_ram_DOB_0_UNCONNECTED ); BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v2_init_ram_dp1x1_ram : RAMB16_S1_S1 generic map( SRVAL_A => X"0", SRVAL_B => X"0", INIT_00 => X"8DF76FF0052F02993FF9CE322F3DE0E6166B137F5F39CA6DDD733570B8EF5BFA", INIT_01 => X"FFFFA31BC6FEAA4647FDEBBFFFCF5D8391B3885201FE2870D916F198D6FBF3E3", INIT_02 => X"2B7263BFFD31E7B7DFFBEC76FF3CB3ABEF75DF8373EFEBFBE6E995F6665B40AE", INIT_03 => X"DBB5F600E62FADBA87507FD448CDD78FEDFFC2EF041657AE34FF0CCD17BC4B95", INIT_04 => X"00E85FEF24B2FF2F6FE44C77A7BDB205707F4D677FBFC9A55C983D7B7E40ACAB", INIT_05 => X"7F363FE7C1470E2EFC7DEF0783CFBB5D9E2DD87F1FC53A69B726CC6D69A0EC2A", INIT_06 => X"397D687997CFBABC320E827E1FC2ACC7ED1EFD6AED0F3173FF7E1EAEC2EE3F8F", INIT_07 => X"A566E9FFFFE85F3DB47B8D789743C87D42EA7EEA1DACB2EFFFD89F5EE74F050A", INIT_08 => X"CECE23EBD23BE0F9193A7F1ECA0FFD5FF978EEEE7F57D93BBFFC4C7D6BCF53BA", INIT_09 => X"C30AD2C6C1BFED7EF9F95FD6EAE643341EFCBF9B838F2FE955511ABFFFFE1A9B", INIT_0A => X"F930FFDFF67C32A4AEFFAAF2296F67E6CB571B7FFFFFF55BEFFAD38BC1A7DBF8", INIT_0B => X"0E7FE27C6E6FB1D91B9342DFFFFF6B39EE7D0FA5EE87C8F93CFFC78CC1DFCFBD", INIT_0C => X"854A53AFFFFFD55BEAE5A13809DF24FE8E2DC819B99FDC3DF861FFD7FCF75E95", INIT_0D => X"D1E8C661C3AB69F841B5C6D1D41B0EFFF132DFC72FC4311A063FE7BDAE97A4A2", INIT_0E => X"180BE67802FEF95E7AEFFFCF9F98FCAC241FD49BFB1FD36195F911FBFFFFFFB3", INIT_0F => X"FAF1FFCFDFEB95CC9DDFF6F77ECFDDB9FA1C41891FFFFE07BA5C234270A611FD", INIT_10 => X"D8DFF10BCD97F23F9309E39E0FFFFD6DBA592E0947E731F610CFB63C711F50FE", INIT_11 => X"BD19F9EBEFFFFF7F964FE23B934141DCBA7F810F8E7B68FDCBC1FD0E3F2E3160", INIT_12 => X"8579A057FC0B51AD762F92E5B1B9CE5FC243FD6687756797660FFFAC12C7ED98", INIT_13 => X"B3EFDDD0705F42BFC357FFAEF7514EC931C0A805D2C9EB5C4E11297B37FFDFF5", INIT_14 => X"E207F88FB784B7F585E74A3E01B0F711D8048C0363FFFFF986149F208FE4F67D", INIT_15 => X"21E46593AC423FF7337602A5ABFFFFBDCAC0B2945CDC1E850708A03FEC3E2EFE", INIT_16 => X"F1BDE07DDFFFF8BFE42363FE547AE23EAF363ED3326FE07DC95FFB45FDCE3437", INIT_17 => X"D9E4D26191FF84F16CFFF7735C6F6AEF1147FD8C7CE749F481222D5D9C403DDE", INIT_18 => X"84D2ABDF64BC2C2F6A4FF20CDF6D3640B5A95EA94B27FF0C6C172AF3DBFFFFFF", INIT_19 => X"B8AF34999F0F3F5EDD9E422FE4EFFFED96205442E3FFFFFFC201FB220BEDEB0F", INIT_1A => X"A4AF7C058DEBFFD7F3559F20DFFFFFFFCAA7A0F825ED7EB796810047D7FFA757", INIT_1B => X"00A8276EF5FFFFFFF2EB3F8709EDBECB3C0BC6C9A83ED98FE7AE7F58DF6A42BE", INIT_1C => X"A9ACF7387DF9DE57B4D939A5AE7FCE94B78BB1153F0679CEE59FAE13B7F3FFC9", INIT_1D => X"9DA8ED3DED7A8FD2AAC2F561FFDC3424A953A89D957FFFE64D9E0EEA63FFFFFF", INIT_1E => X"323E26C5FE3773FA6A9F98DA5ECFFFFA35FAF7C8C2FFFFFFA31F844D85F167A8", INIT_1F => X"4321E97DF3B77FFE777D030C2EFFFFFFDF444745A9E957D30A5A8BEE726DE85B", INIT_20 => X"4684073073FFFFFFA4C07B7778E8B71A0AA96CB21C643EBB46BCE412F4F23A21", INIT_21 => X"C681319CA0E95787E5830BC320DB2A3B44CC45D5F518C9F3DD05779F1CCC7FFF", INIT_22 => X"433E55C910434FBDADB2F1AFC9FD0B09942EFE7ED9DF7FFFD8B03C8AE6FFFFFF", INIT_23 => X"C0D36EDDF2BDE828E2617F1EE9967FFF48F11465E0FFFFFFF914AFC58FE9C7AA", INIT_24 => X"03067FC7BE2B7FFF51C96614D3FFFFFFF9F3BD3B7FC8DB39882FDD28D509029D", INIT_25 => X"88CA98695F7FFFFF8D99B414FE1ECB3CD346F3EE2821EDA8E93E7D14436FC0DC", INIT_26 => X"9C5C63CBFE1DC776283A0340561A6A27415CBB93DB66239458987FE11A717FFF", INIT_27 => X"EA3DD79E7232801C53FE762A9CE7AA1B59D39FF889C67FFF104BBED79F7FFFFF", INIT_28 => X"43396EE4811CCC79AD9F9FFF6712FFFFE25434DB7F7FFFFFBB528D5DFCFDC77C", INIT_29 => X"A49A8FFFB783FFD7E0392F13C17FE7FFA0D76E15F935C77B6A667B0B71F6E61E", INIT_2A => X"FFFFFFFFFFFFFFFFA4DDBCF5FBB1D7A350DC2251806C3878BF36650319BDB5F2", INIT_2B => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", WRITE_MODE_B => "WRITE_FIRST", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", SIM_COLLISION_CHECK => "NONE", INIT_A => X"0", INIT_B => X"0", WRITE_MODE_A => "WRITE_FIRST", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( CLKA => clka, CLKB => BU2_doutb(0), ENA => BU2_U0_blk_mem_generator_valid_cstr_ram_ena3, ENB => BU2_doutb(0), SSRA => BU2_doutb(0), SSRB => BU2_doutb(0), WEA => BU2_doutb(0), WEB => BU2_doutb(0), ADDRA(13) => addra_6(13), ADDRA(12) => addra_6(12), ADDRA(11) => addra_6(11), ADDRA(10) => addra_6(10), ADDRA(9) => addra_6(9), ADDRA(8) => addra_6(8), ADDRA(7) => addra_6(7), ADDRA(6) => addra_6(6), ADDRA(5) => addra_6(5), ADDRA(4) => addra_6(4), ADDRA(3) => addra_6(3), ADDRA(2) => addra_6(2), ADDRA(1) => addra_6(1), ADDRA(0) => addra_6(0), ADDRB(13) => BU2_doutb(0), ADDRB(12) => BU2_doutb(0), ADDRB(11) => BU2_doutb(0), ADDRB(10) => BU2_doutb(0), ADDRB(9) => BU2_doutb(0), ADDRB(8) => BU2_doutb(0), ADDRB(7) => BU2_doutb(0), ADDRB(6) => BU2_doutb(0), ADDRB(5) => BU2_doutb(0), ADDRB(4) => BU2_doutb(0), ADDRB(3) => BU2_doutb(0), ADDRB(2) => BU2_doutb(0), ADDRB(1) => BU2_doutb(0), ADDRB(0) => BU2_doutb(0), DIA(0) => BU2_doutb(0), DIB(0) => BU2_doutb(0), DOA(0) => BU2_U0_blk_mem_generator_valid_cstr_ram_douta3, DOB(0) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_4_ram_r_v2_init_ram_dp1x1_ram_DOB_0_UNCONNECTED ); BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v2_init_ram_dp1x1_ram : RAMB16_S1_S1 generic map( SRVAL_A => X"0", SRVAL_B => X"0", INIT_00 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_01 => X"FFF3FF7EFF2FFFEFFFFFF7FCEBBFFBFDDFBDFFFFFFFFCFFFFFFFFFFFFFFFFFFF", INIT_02 => X"8F1FFFFCFFFFF9FE0BBDFFFFBFC3FAFFDDFF002BBFFFFADEFD1C4FCA67BE7FFF", INIT_03 => X"E73CFBFF0FDFD5FFF99DD19BDFFFE211C13023D38F96B0EFFDF00F4DFFB387EE", INIT_04 => X"A33A0970F97FD1C1EFC0CA1F17FF43D7F2EFEFDADBFDEFF7DE1EDAFFFFFBF1FF", INIT_05 => X"5FFF6233EFEF4805F511EFF3BBEDFFF73B7FF9FFBFF3F3F7FFBDF3FF27DFEFFF", INIT_06 => X"F7CD3FFEFFF1F9F773FEEDFF37F7F3E7F7FFFFFF8FBBC3BFFE3745F43EFFEBE1", INIT_07 => X"FBC0EDFF37F3F1E7F7FFFFFFFF8387DDFCA21E71E7CFF9FA5F00D0BEF7EF7AEB", INIT_08 => X"F1FDFFFFFFFDEF9FA55182DC50D13F8FF801D62EEFE1FFF3FA32FFFCDCFBFBFF", INIT_09 => X"85D56120F873648CE7FE0E2FC73B7FFDF2F7FFCE9FFF93F1FFCB7FFF3BECFB87", INIT_0A => X"EB00689FCEFC657EBB23FCC1AF3C16A0F70FFFFF3CFFFFC7B9FEFFFFF6E5BF1D", INIT_0B => X"D2E7FA015F74FA5FE59FFFF73CDE3FC731FEFFFFF7DEFFFFC671AAC38D2A9C3E", INIT_0C => X"FE7EEFC03DDFFFCF3DFEFFFF9BEFFFFF845B161641A11F2948302E9FEFFFC9CF", INIT_0D => X"3DFFFFFF93ECFFFFA7B20497F0640F76B7FF039F9FEFD7F7845778CFF63FC9E7", INIT_0E => X"C1085A7DEA9DFDF8E700F83F89EDFFFBC0499E9997FFE7E7FCFC04F83FFEFFEF", INIT_0F => X"4E00E7FFFBE03FF809E4FE6107BBE3EFFDFBE7FFF6FAFFFFBC7FFFFFD77CFCFF", INIT_10 => X"9EF33781DF344BFDE3F4F3FFFFDBFFFFFD3FFFFFEFBFFBFF8FB3DE8C451AFFF8", INIT_11 => X"49F3DBFFEF37FBFFFF3FFFFFF77FFAFF81CA073C6BF2F7DA0E000FFFDFFF6FE1", INIT_12 => X"FE9FFFFFF2ABF9FFF08DA75E038BEDFB9C803FC33FFF81F5BDE6F2C3DE56CB71", INIT_13 => X"90DAF890FBADF9FA7C00FFE7FC2E4E5AFFF7B7C0FF7EB23AE7EFFFFFE63EDCFF", INIT_14 => X"BA3CFFFE77E766C7BD7FC7B7DF77FF7F7FC9FFDBF57DDBF7FF7FFFFF7E7DF7FF", INIT_15 => X"7EE28B075BEFE77FBFA399AFF6F9DFE3FF8FFFFEB1A0E3E7CECBFE8A5667FFFF", INIT_16 => X"939FC5EFE27EFDF7E1FFFFFFFBE7E7E7ECC4D4E708B2BE1F5A7DFFFE3FFFFF3F", INIT_17 => X"FFFFFFFFCFFFE7E7E94C42773CE00F9F79FFFFFE3BFFFFFFFF7D3DAF5BF3E7FF", INIT_18 => X"F9AA35E31C69B3DFE3FFFFC6BEFC3FFFFD7DA0DAE7F3F873CFFFEBFD6766FBFF", INIT_19 => X"C1FFFF803B7B8FFBFCBEEDBB3BF1E7FBFEFCFFFC9FCFFBFFFCFCFFFCAFFFF7E7", INIT_1A => X"FFFCD272E7F0F6F47EBF7C7FCFEFF1BFFA2DFFF8BF2F8FC79E13A9A6D614D7FF", INIT_1B => X"FD667EEF5FFFEFBFF7DDFFF8FFC77FC7FE204821B3936FFFF7FFFF817E1FD7F8", INIT_1C => X"FBDEFFF7F3E7BFC7FD7B70237F486BFD7C1FFFE17CE8FBFAFFDDFF69E67EF5FD", INIT_1D => X"FDC77EC78353900FBE5FFFFD74FCF3FDF1DF3FD1C9F9ECE5FC27DD6F7FF9DFFF", INIT_1E => X"FF0BFFF8FD7F70FFF1773FEBEB7DE3E7E3718FFEFFBF37FCFBEFFFFFE3FE7F87", INIT_1F => X"3CB7BEF8743EFFEFF6FBEFFC7A79C7FC7BFFFFF3C3F97987B3DFBD9BEF91857F", INIT_20 => X"E7FEBFF9F1BF4FFDF1FDFFFFFFFEFFEFB1DFD9DC5A9062FF7FE37FF03FBF3FFF", INIT_21 => X"F7F91F9BFFFDFDFFF07FC2FC1F3FD6B2B77880E21F6FBA9F9FBFFDBFB82F6D8F", INIT_22 => X"FFFFC6197A80F8D3B3029E065FAFFDD93F3FF9DF3AFF6B8FCFDC7F9CFA7F2FFF", INIT_23 => X"FCEF4C66AFF3DFFB7F7EFE0FF25BF3FE9B8FFF3C27F46FEFE7D7D02FFFF5F7FF", INIT_24 => X"366FFFFFF965F3D1F7EFFFFE5EE14FCF9FFFCCBFFFF7E7FFFFFFF769FF3F53E1", INIT_25 => X"F9E7FF0FB90FE5D7FE67EF3F3EF7F3FFCFFFF2B8BD3D4029FF2C24509DF7AFCC", INIT_26 => X"5D7EFFFF5EFFFFFFA9FE3DF3DC0F21337941E6A9F5F18FD40757FFFFCE67330B", INIT_27 => X"BB1F3EBF1F9F2008DFA019AAF9FEDFD33BA7B3FFF185363FF8BF1D1BFB1EB9CF", INIT_28 => X"7BD2A9B3FFFFCFF7BF6FE4FFFE055E3FFAFE71D1FFFEFFFFBAFDFF7FFEFF3BF7", INIT_29 => X"7DBFF4DFFBB17EBFFF7E3947FFFDDFFC7FFFFFF7FFFEDF7FC06FFD3E7AE731CC", INIT_2A => X"FCFEBE7CFBFC1FDDBFFFF8F7FFEDBE3F81AFFF53F4B291D2BFEC287F0A7FFEFD", INIT_2B => X"8FFD7EF3FF62FF8FB2CFFFBFFEB9B7AEFFF24CCF051FFE7BFCF7EF17FF8AEDFF", INIT_2C => X"866D9FFFFFEF8F127F9FE3587DC99FFFFF73DF67FFDF45FFF67C7EE33EFB7FDF", INIT_2D => X"FFFD2F59C7E1BD0EFFF9CFC3FF6355FFEC74FE43FBF93FFFEB7F9DFDF97E7FA7", INIT_2E => X"CFFEF7EB97B0FFF7916DFC3779FBFFFF873D3EF9F7FC7FD7DCA68FF3FFFBFE3F", INIT_2F => X"B7183DFCF86277FF7E3EFEFDFD7DFFE3DC9CAA5FFFFFA9A2F97FFB858378EFCF", INIT_30 => X"9E3EF9FFFEFBFFE78096863FFF9A8F17F27FFF2169FD61CFF7DCE7EBA6181FE6", INIT_31 => X"D3E51BAE5F810B5BF0FF7C1FF7FFEFDBF7CEE9E7E79A3FFFFF32FCFF7AFCF3ED", INIT_32 => X"F1FDDFDFDFFFE7F9FFEFFAFFFF55BCFFF861FFFE35F773EF7FFFFCFFFE79FC73", INIT_33 => X"38FFFE7FFFFDAFFFFD227FFDEFAC27CF7FFFF9FDFB77FC73B62D827997D4D95F", INIT_34 => X"85CCD9FFEFB4A7CEBF7FFEFCEE23BFFDCB3C980209B78FFFFFF1DF3FFBE76AF5", INIT_35 => X"FFF6DDFCE0D77FFF8FBB812C18FFBFFCFEF3FFF1FFC7FBFC3E379FCF0FFFBFFF", INIT_36 => X"FCAE67B9A877FF3CFEFBFFE67CE7FE7DFB737FD3A37F5DFD2971E6BFFFDD27C7", INIT_37 => X"FFFFFFCA79F7FE7BF679BFC5F1F95DEDA105D6BFE7A86FCFBF767D7CFCA7FFFF", INIT_38 => X"FC785E39F9F8DAB02878EABFE7DAEFCFDF7FBF3E633F3FF9C5D3235CE70FFF7F", INIT_39 => X"B314E527F842EFC7BFFF8F3EE5F7FD73F36AD9BB53547C6FFFFFFFEF1F7FF71F", INIT_3A => X"DC1F9E3E7507FC73803D7FFB496AFE2FFFFF80E5DD5FF75FFE7FF237F8F875B9", INIT_3B => X"ACAADD6AE8F7E76FFFFE82791F9D8F8FDE7FF0C338FF74D2CEED3FE3FEE2FFCF", INIT_3C => X"FFFD38FCD7FE3FFFFCFEFDF87DB757F2B1EB89FFFF74FFFFDFC7BFFCDF6FFFC7", INIT_3D => X"FEDCFAFEFEF58E5B9BEE18FFEF95FFFFCFFBC7FDF66FFFFFBF230FFDD9BBA6BF", WRITE_MODE_B => "WRITE_FIRST", INIT_3E => X"6330FFFFED5AFFFFBEFBDFFDB97FFFDF9D038CBE6F951887DFFD3FFE1FD7BF8F", SIM_COLLISION_CHECK => "NONE", INIT_A => X"0", INIT_B => X"0", WRITE_MODE_A => "WRITE_FIRST", INIT_3F => X"DFCB9F7FDD7FFF63E54DEBFD7AFC95614F8FBE7F1FA5BFEBFF86F8FA777DB6F4" ) port map ( CLKA => clka, CLKB => BU2_doutb(0), ENA => BU2_U0_blk_mem_generator_valid_cstr_ram_ena, ENB => BU2_N1, SSRA => BU2_doutb(0), SSRB => BU2_doutb(0), WEA => BU2_doutb(0), WEB => BU2_doutb(0), ADDRA(13) => addra_6(13), ADDRA(12) => addra_6(12), ADDRA(11) => addra_6(11), ADDRA(10) => addra_6(10), ADDRA(9) => addra_6(9), ADDRA(8) => addra_6(8), ADDRA(7) => addra_6(7), ADDRA(6) => addra_6(6), ADDRA(5) => addra_6(5), ADDRA(4) => addra_6(4), ADDRA(3) => addra_6(3), ADDRA(2) => addra_6(2), ADDRA(1) => addra_6(1), ADDRA(0) => addra_6(0), ADDRB(13) => BU2_doutb(0), ADDRB(12) => BU2_doutb(0), ADDRB(11) => BU2_doutb(0), ADDRB(10) => BU2_doutb(0), ADDRB(9) => BU2_doutb(0), ADDRB(8) => BU2_doutb(0), ADDRB(7) => BU2_doutb(0), ADDRB(6) => BU2_doutb(0), ADDRB(5) => BU2_doutb(0), ADDRB(4) => BU2_doutb(0), ADDRB(3) => BU2_doutb(0), ADDRB(2) => BU2_doutb(0), ADDRB(1) => BU2_doutb(0), ADDRB(0) => BU2_doutb(0), DIA(0) => BU2_doutb(0), DIB(0) => BU2_doutb(0), DOA(0) => BU2_U0_blk_mem_generator_valid_cstr_ram_douta4, DOB(0) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_5_ram_r_v2_init_ram_dp1x1_ram_DOB_0_UNCONNECTED ); BU2_U0_blk_mem_generator_valid_cstr_ramloop_6_ram_r_v2_init_ram_dp1x1_ram : RAMB16_S1_S1 generic map( SRVAL_A => X"0", SRVAL_B => X"0", INIT_00 => X"BBBC4DEC3F3EDC0DBFC3FF1FEB123FCBFF39E7E983BC73FD669E0FFFF3C1FFFF", INIT_01 => X"F9F9FFC7FFE2FCF3FFF8E6FFEECC97E27BCB1FFFF009FFFFFEC75F7FD5E1FD37", INIT_02 => X"FFFFF4F0F0505FE236211FFF3FD1FFFF7A5FFFFF3BE1107DED102DE8FFFFFBF1", INIT_03 => X"EBCB9FFE1E03FFFFF9EE3F7F45E10EFBFFDCF6EDDFFFBBA667BCF5F8F8E07D7F", INIT_04 => X"F3F7FF7FFDE3F0FBF383FFF3E77FD57F8A5FF5FDFCDF3EFCFE3C710F7DF68FE7", INIT_05 => X"E087FFFFFF2DB8BFBCAFFAFF9F6FBF7FFEDE6257D8C479ED91C4E7FF1707FFFF", INIT_06 => X"BFFFFFFF9F1F9FFFFEEC0C79AA6704FAB9F917FEE4BBFFFFFFEDFF3EFFFFFDFF", INIT_07 => X"7EDF5219F8D204EA469D73FFFA47FFFFFFEFFFFFBFFFFFD7DEF3FFFFD86BE0AD", INIT_08 => X"C26D23EFC40FFFFFCFEBFFFCF7FFFF93BD2BF14D7BBFB708E5F3FFFF1F8F63FB", INIT_09 => X"FD3DFFFD53FFFE7FFC8E02377C7F3F9A89E8BE0F3FEFA7FA7F3FB142DD3B20EA", INIT_0A => X"A06501D496FF4FFF24683F0FBFE4F1F17F7F73F4CE101FC6248E97FFAA2FFFFF", INIT_0B => X"FD1C8FFFFFFB787E7FF1DDFFA411D6DC3E007F3F55BFFFFFFD7FFFDD4FFF3FBF", INIT_0C => X"7FFEF2FB580C3829DBF0FFFA831BFFFF3BFDFFDEB7FFCD7FC825BBC44EED8FFF", INIT_0D => X"798EBFF4190FFFFF39FFCFC7EE3F69FFB42764E088C747B7FFE0C3FEFFFFBC7E", INIT_0E => X"F3FFFFC81EFFFCFFC0C1FFF0DFFB1FFF7F7F02FC7F5FE55FBFFFFD232DA17C4C", INIT_0F => X"91110549A2FEC6FFD7F1947C7E6FD45F7FFFFC81B8FE4F57C539FFF434EBFFFF", INIT_10 => X"FFDFFF1FFF5FCE16BE8FFA24F3081709C224FFE47CEFFF7FC36FFFE3DFF7E97F", INIT_11 => X"3C1FE92CCDE03C7ACC62FFF0F4BFFFFFFCBFFF7A0FF7FA7FEF81F8000FD0AFFF", INIT_12 => X"9569FFFFE6FFFFFFBFFFFF9BA7FF9FFD9FFE001E20F1BCFDFFFC5EEFFFFFF8AC", INIT_13 => X"7FFFFFBD27FE7FFDBDFCFC66FC3CF87FF1FF5DE7BFFFF9EE07CFE948FCF5D45F", INIT_14 => X"DD9A7CC90608A87FE0FFFA09FFF8FEAF98D7FB15BD432A49E1EDF0FFC1EFFFFF", INIT_15 => X"E07FFCE4FFFB7F6CE426D38CDE83283F9B0DF1FFC1E7FFFD7FFFFE20EB7F7FFD", INIT_16 => X"8072D703E2E495E24609FFFFE3E7FFFD3FF3FC74623C7FFFA03A7C8806F45F3F", INIT_17 => X"F6337FFF95E3FFFD9FF9FD88F83CF3EFE9B5F8C3FCE7ECBFF1FCFCE7FFFBBFA3", INIT_18 => X"BFFFFDF87C3C7BCFCF4D001F5D8A5A9FFFFFFFFE7FC797DDE2F0D3F06CF4001C", INIT_19 => X"D24F7EE24187FACFFFFFFFFFEFCF07F2CF3E0B2D9CB1BFABD7CABFF9EDE3FFFF", INIT_1A => X"FFFFFFFFE2FF81E4AFFEF23299C003B2231115FB9BF3FFFB9FFFFFE37F7CAFBF", INIT_1B => X"C7FFE0CA0155FC77230E37FF87F7FFF79FFFFF65FFFEDF0DA94B81FA8B1007CF", INIT_1C => X"383B17FF12FFFFF7FFFFFEBCFFF5FF99C4226270FFFF7D87FFFCFFFFFB9FFCF8", INIT_1D => X"FFFFFF7DFFFFFFB983B82D67FF00F3E63FFCFC1FF837FEFDE8FFF056198EFB3C", INIT_1E => X"8AEF3148FF8077559FFCF80FFF95FF3F58FFF46097A80C71F02E3BFFE7FFFFE7", INIT_1F => X"7FFDF87FFFC4FFFFCAFFFB1E1420748711D31FFE6FFFFFE7FFFFFD03FFFFFFF9", INIT_20 => X"CF7BFFF1DC79D5571EC0FE7FBFFEFFE7FFFF7DC5EFBEFFF1F3E98B500090A489", INIT_21 => X"CDB99D7E0FFCFFFFFFFF593FCF3DFDF7F67869480006F47D4FFFFEFFFFFE9FFF", INIT_22 => X"FFFFAAFFCFFA7F95B43E992EFE018BD538BFFFFF3FFDCBFFEE3BFFFBBBDFD9A5", INIT_23 => X"D1807731508B67936B9FFFFCFFFF8FFF7B4FFEF972E3752C6D12BC7F8FFCFFFF", INIT_24 => X"A4CFFF3EF0FFC0BC3FFDFBE1E8473BE34C7E7EFCCDFFFFFFFFFFB539DFB81FF5", INIT_25 => X"7FAFFEFC925A6744BCBC9FF8F9FFFFFFFFFFF05DFFDE78F1B1B8103E0DC98E37", INIT_26 => X"4F0BCFF619FFFFFFFFFFDF27FFFFF68B993FB57FF22C0A695663FC07F0FFFB83", INIT_27 => X"FFFFD673FFFFC4F7F8F955FF4B81F4327BC6034BFFFFFCBABFEFFFCF02D687CC", INIT_28 => X"87C3D8074D2280A5FB7D23FFEFFFBE3A3F3FFFAFE812E7D3FE34F77E19FFFFFF", INIT_29 => X"01F2E7EFFFFF1F2A7FFF8FFF0761D0B01E79FEC7DDFEFFFFFFFFEE7BFFFFD0FF", INIT_2A => X"D7F231FF47E12F5495B9FD57CFFC01FFFFFFCCFFFFFC48FF82FF32C37A984809", INIT_2B => X"5CD4F8A6D7F86CFFFFFF8E77FFFD97FFBEFEB3C3FC26775CFFF3EFE7FFFF8787", INIT_2C => X"FFFC26FFFFF17EBFFFBCF3E0140B2157FFE7E7EDE78FFFE123F662DE454F2856", INIT_2D => X"E7FDB7F0D99AE3387FDBE7F4FFAFF8F8C1F5A45E8E23A7042139FAB47FF182FF", INIT_2E => X"1E071F18ADFFFF7CDBF72EDFE0B89936E4AC7B89BFF7FAFFFFFFC3FEFCC3F93F", INIT_2F => X"E5F5D5D99A339712E343FE3B87E8327FFFF85FE6FF1FF8FF88737FC9DE5731F9", INIT_30 => X"CFAEBD0B07D64E7FFFFD2FDE7F3FFFFFCF02FF7FD362C116E11F6F73D6FFFCFF", INIT_31 => X"FFE70DE6FFFF3FCFFC0FFE3FA42BF09268CFCF8B8BFFFEFFE784FE2B0CDBD3C5", INIT_32 => X"9C10FC9E780648AB9AFFBFEB45FFFFFFDFC0C66F1724B3B938191A97E7EB8B7F", INIT_33 => X"9BBF8FFF76B7FFFF8FF7F451ECFC8797664BFBA0AFE7C37FFFEE25FFFFFFFFCF", INIT_34 => X"FFDAA3B869D28B32936C2BE7CFBD137FFFC46DFF3FFFFFFFEC9E78F24C96E371", INIT_35 => X"DC93F4478F99567FFF35B1C73FFFFFFFD8407C6D42E927C22138C7FF18EDFFFF", INIT_36 => X"FF150FC7FFFFE1FDC15C7FBCD136EE9D6443EA50AC2AFF1FFFFCBC275BE1B665", INIT_37 => X"F927E321E89B88BF1EF4FDFDD3AD9FFFFF7CA1563E7E80B49C4528AD3FC1A6FF", INIT_38 => X"540BFFE6B86ECFCFFFFC9C9B9DA82322364F0D63BF0EB3FFFD03D7FFFFFF3FFF", INIT_39 => X"FFEF22B2782C395AEAB452956695AFFF7B6E67FFFFFF3FFFA6A0FF006BEE1FE5", INIT_3A => X"D78D27FF8A0B17FFE69D8FFFFFFF1FFFA6B101FF9BFAA96B76D9FFC6E5B19984", INIT_3B => X"6F7C3FFFFFFFE7FFA47807006CD10067FF14BF89E56C2583AFECF26418BB6F5F", INIT_3C => X"F7F37F14AB57976FFFFF7D9AEA171E3FC8E0FB5ED5B507B376953F9284B627DF", INIT_3D => X"FFFF99FBF716B2279CFA7B8E95793D135990E04646D68FC0D5FE7FFFFFFFE1FD", WRITE_MODE_B => "WRITE_FIRST", INIT_3E => X"AEE07A3F024C0E9E3996CBC53E0BCFCB41FFFFFFFFE1F4FFDA9B7FF7FBD37FFF", SIM_COLLISION_CHECK => "NONE", INIT_A => X"0", INIT_B => X"0", WRITE_MODE_A => "WRITE_FIRST", INIT_3F => X"108527EF4F9B5F2671FFFFFFFFE1F67F8DECFFC0534BFFF8FFFFA1FF3CD5CC34" ) port map ( CLKA => clka, CLKB => BU2_doutb(0), ENA => BU2_U0_blk_mem_generator_valid_cstr_ram_ena0, ENB => BU2_doutb(0), SSRA => BU2_doutb(0), SSRB => BU2_doutb(0), WEA => BU2_doutb(0), WEB => BU2_doutb(0), ADDRA(13) => addra_6(13), ADDRA(12) => addra_6(12), ADDRA(11) => addra_6(11), ADDRA(10) => addra_6(10), ADDRA(9) => addra_6(9), ADDRA(8) => addra_6(8), ADDRA(7) => addra_6(7), ADDRA(6) => addra_6(6), ADDRA(5) => addra_6(5), ADDRA(4) => addra_6(4), ADDRA(3) => addra_6(3), ADDRA(2) => addra_6(2), ADDRA(1) => addra_6(1), ADDRA(0) => addra_6(0), ADDRB(13) => BU2_doutb(0), ADDRB(12) => BU2_doutb(0), ADDRB(11) => BU2_doutb(0), ADDRB(10) => BU2_doutb(0), ADDRB(9) => BU2_doutb(0), ADDRB(8) => BU2_doutb(0), ADDRB(7) => BU2_doutb(0), ADDRB(6) => BU2_doutb(0), ADDRB(5) => BU2_doutb(0), ADDRB(4) => BU2_doutb(0), ADDRB(3) => BU2_doutb(0), ADDRB(2) => BU2_doutb(0), ADDRB(1) => BU2_doutb(0), ADDRB(0) => BU2_doutb(0), DIA(0) => BU2_doutb(0), DIB(0) => BU2_doutb(0), DOA(0) => BU2_U0_blk_mem_generator_valid_cstr_ram_douta5, DOB(0) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_6_ram_r_v2_init_ram_dp1x1_ram_DOB_0_UNCONNECTED ); BU2_U0_blk_mem_generator_valid_cstr_ramloop_7_ram_r_v2_init_ram_dp1x1_ram : RAMB16_S1_S1 generic map( SRVAL_A => X"0", SRVAL_B => X"0", INIT_00 => X"AFFFFFFFFFFFF37FE019FF003577FFFDF0FFF9FFFF16A58A4FF17C201249A0FE", INIT_01 => X"F80C80001C6FBFFF80D7E7CFFFE83499CFEBFC37A5F1E769E517B154B8F25CE6", INIT_02 => X"E09FFF0F0FFD1B207F13FF543C13E8058681E51BD9CDCD1931FFFFFFFFFFFC3F", INIT_03 => X"EE4AFF65C53E9046BE9B075B2D1F19CC3FFFFFFFFFFFFEDD8FBC001961BF87FF", INIT_04 => X"C41E449D6F73996EBDFFFFFFFFFFFFBFA301033C7E7F9FFFFFFFF3F87FFFA4AC", INIT_05 => X"7BFFFFFFFFFFFFFD80F0C181B2B8FFFFFFFFF3FCFFFFE2743006FD6D31CFA29D", INIT_06 => X"C180FFE130F9FFFFFFFFF3FCFFFFE0AFE7FFFF62B35F786A5E7C3B858E74C607", INIT_07 => X"FFF8FFFFFFFFEFE90F7BFF60024ED7E1A5B5DF3F7EF40E96FFFFFFFFFFFFFFFD", INIT_08 => X"79E71F40E108243129CC13799A7ABAA2FFFFFD0FFFFFFFF7B8C1F0B1FDBBFFFF", INIT_09 => X"49D15E9D2A3900DC7FFFC30FFFFFFFDBBCFE7CCC5CFFFFFFFFF9FFFFFFFFF09D", INIT_0A => X"BFFF70DFFFFFFFCFEE1F81347D5FBFFEFFFFFF9FFFFFFFB3339C0F8F7D185AC8", INIT_0B => X"EFFE376157FFFFFC7EFFFFDFFE0EFFF1F21E8F879DF88AA3BD774A97DFCD05DB", INIT_0C => X"2EFEFFFFFC0FFFFFA42360736FF98CC67A7FB886A2A05197FFFC42DFFFFFAC65", INIT_0D => X"7E07E7FCDB0ED126397DBF60CC60302B3CFEB2BFFFFE8BFDBE3F831CDFBBFEFB", INIT_0E => X"49324957905007B4EA27093FBFFB85FDFE40B7FFFE2633FDCFE35FFFF867FFF0", INIT_0F => X"D9BC24FF1FFACFE781595F5FFFDF42EFFFBF19FC4F0FFFFFFB9C0EFE1C880624", INIT_10 => X"83D3FFFFFDFFE0FFFF847FFFBCF4FFFFD9F50C3FF36CD12805B4AA27FBA433D8", INIT_11 => X"FE00093330BCFFFFB65FFC3F347F951600A65913B5D829DA596ED1FF3FE7FEA9", INIT_12 => X"D051FC7814598F58E74382BA2EE31B00755693FFFF33F853FB2A7E39FA0FBE9F", INIT_13 => X"5793BF0204603E928BA267FFFD3E82A79516FFF368FCBC67F0FF2AFFFFFFFFFF", INIT_14 => X"52BB9FFFFD0645FFA4FA07F60D0CB802F84F9FFFFEFFFE3FC874F8381448F0B9", INIT_15 => X"C3DFEDC9C1F139C7707BFFF086FFFE1FC6C8F03C37347377409022D5AFBCC6A8", INIT_16 => X"383FFFFFFFFFFF1C6278FB19797CF472DE497A3494B7D8A4A2A67FFFF4EB94C5", INIT_17 => X"12E4FFB6FE7235FE8EAE0FA495BF945C4945FFFFE4A8CA65AC95388E1F8378C0", INIT_18 => X"95FC35F46C7FF378921FFFFFDA38CC85FFB4A5111DBC04CE60BF03FFFFFF3E1E", INIT_19 => X"74FFFFFF90CEC9BDFFD868C8CCA20225C7FF1FFFFFFF7F095BDDFFF6FA1CFBAC", INIT_1A => X"FA2C6F08DEB455DFFFFFFFFFFFF1FF542BA39FF9743344E875D4E4A3A0DD297B", INIT_1B => X"FE7FFFF1FFFFFF5F28D80F00F59C0FBCE0B83EC4813322F5E1BCFFFF08AEDC2B", INIT_1C => X"FC0F84003C4326A340A0DF5173BDDB2A33FFFFFFEEC6ED3FC0A70E9A0A4B523F", INIT_1D => X"640C2D85F9FF810A2FDFFFFFD6C5C23F87BF2EAE1A20DCFFFCFFFFF1FFFFA2DE", INIT_1E => X"719FFFFF3E9D6687FA0B03169AA3C5FEDFFFFFFFFFFFA4DFFA5460FFC05D71CE", INIT_1F => X"FA6A5A96AAC375FC3FF8FFFFFFFD37F7FCAFD1DFF211C689E7040EADFCD70279", INIT_20 => X"7FE1DFDFE7FE268FFFD4A80D0C4D7F9A8D3AB0F8FCFC5CD6CC5FE3159E5BEE45", INIT_21 => X"9F0496874D228163BFB29FFFFFB7820725C69B9005E39F93F1905FD2186B11F8", INIT_22 => X"1ED4787FFF31C3AB297FFA6DE2305C039268EF61314FFFF9FFC3C7DFFA68478F", INIT_23 => X"F4F17989F54B637B80262AAE3C7FF9F9FFFFFEB7D919FFFFFF3E914062E6A73D", INIT_24 => X"9CD521AD47FFF7FF7FFFFFDBFF8FFFFFFEF0F1334323E3D793A6AC5F21927E02", INIT_25 => X"37CFFFC7FC7F3FFFF09AC133607337AD095A9A9C2CF4A3D3860B39A68C1A23CF", INIT_26 => X"E2E3F6D92964D6F023531DBF2C931A6D7913894883A9181BC019269E5FFFFFFF", INIT_27 => X"970FFFF290BC5FF50812C0CD78597011D0BCB688BE3FEE3F8BEFD7F335FBFFFF", INIT_28 => X"BFAF20FE83F8EC919DBAB36C78EF5B87FFFFF1E733FB1FFFDBF555C983EE9543", INIT_29 => X"B2DB4ADFE6E2D387FFFFA61F27D7B3FFEFE2170C631E50D69497FFC33AFD7E25", INIT_2A => X"FEFC0BE32FA7F67F5FD70E4E1744A95858FFFF39FEF9FFA24B20F9F8FFFF40CD", INIT_2B => X"7FB58A42BBD0CD30DBCFFF18F7FFFE8CA89BD700FFFF889FEEAA15CFDFDF6121", INIT_2C => X"C9AEBAA877FFC9CC9F407AFFFFFFC33F84325F997FB22887CF309F43010FF6FF", INIT_2D => X"B7C9FBFF3FFF7CFFD33EA836FAD444757687E7A891BFF0F8FF833AB213F67278", INIT_2E => X"E788ED28E2DD31DB6FF6695F05FFF9F1FF0E7126D6C62848D74527DBFFFF47CD", INIT_2F => X"D89813D85FFFFFEBFF19F2E707FCCAD28F75B1E7FFE9E3E3E9CBBFFF3F8FC1FF", INIT_30 => X"FFFB3821EC7E2EF0802367FFFF9A5AC5DB021FFF3F8FFFFFB149ED225A262EB8", INIT_31 => X"873857FF8E6AAF5EC321BE0FFF8FFFFFB61320FFD298B170E75F349BEFFFFFFB", INIT_32 => X"346A7E0FFF77FFFFD56D41E4CAE225DECC335D37E3FFFF1FFE734DD7EA420116", INIT_33 => X"8B5CD7CA530932F4B6183EFEE7F37F3DFD4EEEC6C6D477AC3F7AEF474132F5A0", INIT_34 => X"7B5BFFFD6FC3FCDEF6DEB0947FBB61842F8F7E3F84782342E84D3DFCFE787FFF", INIT_35 => X"F79DF56A5638435AF7F87FFCD92CE5EC9474A9FAFA81BFFFF0F9476A65613CAC", INIT_36 => X"33F8F0895F68C27472B0107A717647FDB873AE1AB9D2BFA3A62FFFFD3FC1FAF9", INIT_37 => X"FC8383C3758D4607912FFDD16062F599FC15FFFFFFFFFFFFF07EF6E5DE750B68", INIT_38 => X"F43CEEBA4396FE90F3AFFFFFF1FFF1F7F8F789E10030B52F33E5CB7111A7A6C3", INIT_39 => X"81FFFFFFECFFD3FFFFFFE20BA6902320F3C062134D8F71CFFD49BE931ABE9CC1", INIT_3A => X"FFFF9CE09023AC3779E0DB7DF553F7CBFEB1C990159E81E7B7F21D599249FA90", INIT_3B => X"C61CBE1FF317F361AB551ABF8286C1FDB1338DB70657F95C9BFCFFFFCEFF5BFF", INIT_3C => X"B58DBDBCD6C0219FC4DCB848D95FD08B0AFCFFFFF93F77FCFFFDCE31DCB273FA", INIT_3D => X"861303368DBFD7E8FFF33FFCFE3D9FFCFFFFCCDF7EAED6F083A7BF6FCD65F003", WRITE_MODE_B => "WRITE_FIRST", INIT_3E => X"7FCFFFFFFE7FBCFCFFFCB5FC215F8E19E8BB87C7FCCB70D7BB3C31EDF6C676E1", SIM_COLLISION_CHECK => "NONE", INIT_A => X"0", INIT_B => X"0", WRITE_MODE_A => "WRITE_FIRST", INIT_3F => X"FFFD0C3F82C4AD1BD69EDBEFDE4070EC2D9EF08CC2C156EFEB3D0CD6C07E7834" ) port map ( CLKA => clka, CLKB => BU2_doutb(0), ENA => BU2_U0_blk_mem_generator_valid_cstr_ram_ena1_2, ENB => BU2_doutb(0), SSRA => BU2_doutb(0), SSRB => BU2_doutb(0), WEA => BU2_doutb(0), WEB => BU2_doutb(0), ADDRA(13) => addra_6(13), ADDRA(12) => addra_6(12), ADDRA(11) => addra_6(11), ADDRA(10) => addra_6(10), ADDRA(9) => addra_6(9), ADDRA(8) => addra_6(8), ADDRA(7) => addra_6(7), ADDRA(6) => addra_6(6), ADDRA(5) => addra_6(5), ADDRA(4) => addra_6(4), ADDRA(3) => addra_6(3), ADDRA(2) => addra_6(2), ADDRA(1) => addra_6(1), ADDRA(0) => addra_6(0), ADDRB(13) => BU2_doutb(0), ADDRB(12) => BU2_doutb(0), ADDRB(11) => BU2_doutb(0), ADDRB(10) => BU2_doutb(0), ADDRB(9) => BU2_doutb(0), ADDRB(8) => BU2_doutb(0), ADDRB(7) => BU2_doutb(0), ADDRB(6) => BU2_doutb(0), ADDRB(5) => BU2_doutb(0), ADDRB(4) => BU2_doutb(0), ADDRB(3) => BU2_doutb(0), ADDRB(2) => BU2_doutb(0), ADDRB(1) => BU2_doutb(0), ADDRB(0) => BU2_doutb(0), DIA(0) => BU2_doutb(0), DIB(0) => BU2_doutb(0), DOA(0) => BU2_U0_blk_mem_generator_valid_cstr_ram_douta6, DOB(0) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_7_ram_r_v2_init_ram_dp1x1_ram_DOB_0_UNCONNECTED ); BU2_U0_blk_mem_generator_valid_cstr_ramloop_8_ram_r_v2_init_ram_dp1x1_ram : RAMB16_S1_S1 generic map( SRVAL_A => X"0", SRVAL_B => X"0", INIT_00 => X"5A9847E1D64D3C3C039497FBFB8377E9827B01BA0FBE1F0A7FCFFFFFFFFFF6FF", INIT_01 => X"CA0C5F7F7C1E6DCFB57A3E79F05EF1B5F3CFFFFFF7C5FCFFFFFE7B102017EEFC", INIT_02 => X"F006FCFFF8BB33A383EFFFFFFFBF75FFFF7C1F904A188DF1227843F6CF50BC34", INIT_03 => X"E3FFFFFFFFA4FFCFFF7C874E3128F5E712B8328A8CBE13409523ED5F25FB0419", INIT_04 => X"FE7B63C1B2CD3FE3E7BAF30A3F6DD0B1ACA0F02F3828B71DBAA983C998F4FB7B", INIT_05 => X"0A82FF2D37AD71B1B331B418EBF3726593573FC792EF83FBEBFC3FFFFC07FFFF", INIT_06 => X"435F0C30E7066485F3C34FCF439277FFE3FFBD7FFCFFBFFFFE75B3849CA027E8", INIT_07 => X"953B873008739FFFF3F9BBFFC7C73FFFFF7377DA03F7B23C308DA2F35B96C8D8", INIT_08 => X"F3FF7FE79DDFFFFFEFE777ED0A876E7F65F26A62EBFCA3F2B78132E3C9BBF29B", INIT_09 => X"CFFCFFCD516BA9F8E51F56B8C38C7FD9A38AEAC004085865E4C30D575B527FFD", INIT_0A => X"582F1E48E36817FC6FAAB69E03E927BFF3A88ADF521BFDFFF9D7FFD7E0BFFFFF", INIT_0B => X"D8A25F9CF48FC08FEE0317C6ECF4FCFF78E9FF8F1FFFFFFFCFCBFF0DE9514A7C", INIT_0C => X"A305F6E7F631FA7FF8B7FF9C117FFFFFCFCBE7CD2DC661EEF9902C896650EBFA", INIT_0D => X"7CF4E7382EBFFFFFEFCFE78A1DA0B3D78A7FFD1A136E33FF17B4E8C07167ED79", INIT_0E => X"FF97EFE1FFFC53FFE27F74EFE39749CF3E6D06A2024721C3D338F38CA613E81F", INIT_0F => X"6DF2BFEEFB9FCD8F4D04D0D873EDBFF9F2411EDD3BF7FF1FCCA1F7FE28FBFFFF", INIT_10 => X"A51052EF6FF90E49939402FD7F77FFDFDC97F7F06D7BFFFFFFD7FFC7CFCA9BF7", INIT_11 => X"D121DBFFFF67FFFF4EF9FFE422F3FFFFFFA7FFFBFFD117C791FEBA88B50FB7FF", INIT_12 => X"90F7F7BB43E799DFEF6F9F0DF7FB3FFFBCF79F0F2E7F3CFFAA997C873F7EB80F", INIT_13 => X"FF7F7F94FFFD37FF99FFBAFB0E33A27C745584E47FFE3A7DE43AF97FFF7FFCFD", INIT_14 => X"82FFADBB8B1A0669A9A2BF1E871E750DF428427FFFF38BF69B6FC07719FFC3BA", INIT_15 => X"6F27D6BC80E3842F967824DFF7FAEA5CE1FFD5A7F3FFFFFBF77EFE0C7F6FC3FF", INIT_16 => X"E6608083E739F2508BFFC94F87FFFEF9F6BEFD469E59B0FD8F6E852F66C88236", INIT_17 => X"7D7F21BF3FFFFFFFFE5EFB42096098FFE507F100BAAD42FACC9D31D4001C0AD1", INIT_18 => X"FCBEF9B44334677FC8C2F7801D434F68474CA7B2F0CC827DBD78C9E9EFF5EB84", INIT_19 => X"A129F06E861745F9235B648F9C835193FE6C5FF87FF35270B3FF92A4ACFFFFFF", INIT_1A => X"DA0AC61CF90AD311E4A187FFFDB268488FFDDDE8FAFFFD7FFF7EFEF6DF2AE83F", INIT_1B => X"E17C09FF59ACD3E45EBCFD5CF1FFCEFFFB7FFBB3BFF932FFA3CEFCC9E9780FFF", INIT_1C => X"3F9E5573FFDFF4FFB8FFF07BD73232FFE756FE3D536ABBDFA58E1D9709D83867", INIT_1D => X"BCFF7A84C72CC1FF147EFF662ADBD98FF9C95074F09F4E6BB133C7FF1E1A74FF", INIT_1E => X"5796FEFAA65E76FE6A7ECD699012506B8F225FFFFDFEF0319FFCC441FFDFB9FF", INIT_1F => X"8D9EC58FC8B2BFC98EDFBFFFFFFFFD91BFFF0C61FFFF8FFFF9FC3E089F9768FF", INIT_20 => X"97797FFFFF61F06DF3EC0FD7FBF7C7FF7FFCBBC56388DEF767193E992C5328F8", INIT_21 => X"F3EB1CC7F0F4AFFE71FD3D25856E97EF63E49F3C95FBB3F4AE14FC4D9A4753E5", INIT_22 => X"699DCDE26A99EBE77A7FE938975C45FBE6F6022D06412A33E366FFFFFE6C0943", INIT_23 => X"3F8A126339A7E67FE3D95951CA0CD71B9986FFFE7F502127F885BC67F6F677FC", INIT_24 => X"FD0576BED8B7E8CB9DA6A63B3D1C60ACB8A5C73FF2FC4BFCF39E5D185F37AFE7", INIT_25 => X"8D807FD845475BFCFA8B829DF9C64FFCEF9FC02501E3EDEF7E06D4A0B14540DF", INIT_26 => X"F905DDD97F55F7FCC39FF8636015D1F33AB5422B2305CF5BFEBA5B5E76034815", INIT_27 => X"975FE0FB622FF9F71CCFA86EB86837DFBFBB1CEA4378E70BC97A88A95A1CEFFA", INIT_28 => X"DEC069913EA8D8125FDE05E24FFFF919C8D68F8647D5EFF62D7A10B57F3C3FFF", INIT_29 => X"8FFB1A0312FFFD1DEF1633376E3D9C0A32FA90B03FA1DFFFB73FD9F4AADFF1FF", INIT_2A => X"A9B9231C2BE4F9B8438AEDDABEBA0FFFCFBF43868A4FBDFDFCFE8921A39BFE14", INIT_2B => X"4EE199C7F76927F7DEFECA7A8C578C7DFFB47D179D07CEAADE3F2184BFFFFE01", INIT_2C => X"CE7E726784FB8F7DF6355B86F5AAD2FB0F87D97FFCFFFFFFEF45699F34218F93", INIT_2D => X"FB0053D9F9B2D818CBFFCFA0BD3FFFFF9FFB253748C07AC1B6E44AEFFE16DFE7", INIT_2E => X"75FCFA635C3FFFFFDAE9A1FA56B04DB419BD366FFF6613E7AD76769844DDDC5D", INIT_2F => X"8B649C4F8613535AADC506AFFB80B3ECFCEDEE9771A7D79FFF98D90BE1824F35", INIT_30 => X"135ACE3FFFEA73EF0BC7CD91187DD897F1E29D8DF940D332AFFC26C0BDFFFFFF", INIT_31 => X"1AC79B1209E7DCD15EBEEB8BFE82D64F58FC2850DFFFFFFFA3F7138752F8B339", INIT_32 => X"C9FD4B6DF92961830BAFE46C48FFFFFFBFB3343D72AD36F09152FC7FE65FBDFC", INIT_33 => X"954BF30217FFFFFFC17BA49C6FF78DFFCE7F14FFB67A7DFC671788D78C07D58E", INIT_34 => X"A924D76EF8D1E9FC1A375C9FC826FDDAF3E60DD6666D16BBA2F77FCFFB867B2F", INIT_35 => X"1641ACFFBE07FF7FE57ED7766C3B8E38FD4A3F93FD7129DA41FFFFF3627FFFFF", INIT_36 => X"C6FA2667581442D4F905F725FC5A6DB49DC9FFD1377FFFFF90629DB8EEEEC1F8", INIT_37 => X"78253F17FE8E464947577F70C33EFFF9F957CF44BF52F5FD09199FFC5185FF7B", INIT_38 => X"AC03DFE045397F3FCABE60A0493C6260C07A3FFE9FB47FBD4BDDCDECC24E4798", INIT_39 => X"AA8E8140D32301A49BDBF796FE57FFB6AF1C5AFDA06015F4BD6AE95FFFAAFB95", INIT_3A => X"11648734B743BFA91F9C8BFFB81617723F81B753FF090C8AB46BF7FD9C5575A9", INIT_3B => X"7F3BCBB8E21AFFB17FE41DA1FFCFBD1875772BFFF800F673C1CD82BFB49A5576", INIT_3C => X"FFC78E2ACFF48B48CB5FBBFF1DA41659FA4B79BF1D5A517AD5938FBF571FFFF2", INIT_3D => X"0EF9C5FFDEB56A89FD53E66FE3D7EA7F7588E7D26F19FFE97FF1E137DB1C2FD2", WRITE_MODE_B => "WRITE_FIRST", INIT_3E => X"C85012B34643367DB619F3F21F1DFFC8FFE5A7FE87D163C55FE43C1A2FF7335C", SIM_COLLISION_CHECK => "NONE", INIT_A => X"0", INIT_B => X"0", WRITE_MODE_A => "WRITE_FIRST", INIT_3F => X"E127F1E1CABFEFF9CFFC7FC947F761C6BF661AAF8BFFC3586D122AFF0F7294E7" ) port map ( CLKA => clka, CLKB => BU2_doutb(0), ENA => BU2_U0_blk_mem_generator_valid_cstr_ram_ena12, ENB => BU2_doutb(0), SSRA => BU2_doutb(0), SSRB => BU2_doutb(0), WEA => BU2_doutb(0), WEB => BU2_doutb(0), ADDRA(13) => addra_6(13), ADDRA(12) => addra_6(12), ADDRA(11) => addra_6(11), ADDRA(10) => addra_6(10), ADDRA(9) => addra_6(9), ADDRA(8) => addra_6(8), ADDRA(7) => addra_6(7), ADDRA(6) => addra_6(6), ADDRA(5) => addra_6(5), ADDRA(4) => addra_6(4), ADDRA(3) => addra_6(3), ADDRA(2) => addra_6(2), ADDRA(1) => addra_6(1), ADDRA(0) => addra_6(0), ADDRB(13) => BU2_doutb(0), ADDRB(12) => BU2_doutb(0), ADDRB(11) => BU2_doutb(0), ADDRB(10) => BU2_doutb(0), ADDRB(9) => BU2_doutb(0), ADDRB(8) => BU2_doutb(0), ADDRB(7) => BU2_doutb(0), ADDRB(6) => BU2_doutb(0), ADDRB(5) => BU2_doutb(0), ADDRB(4) => BU2_doutb(0), ADDRB(3) => BU2_doutb(0), ADDRB(2) => BU2_doutb(0), ADDRB(1) => BU2_doutb(0), ADDRB(0) => BU2_doutb(0), DIA(0) => BU2_doutb(0), DIB(0) => BU2_doutb(0), DOA(0) => BU2_U0_blk_mem_generator_valid_cstr_ram_douta7, DOB(0) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_8_ram_r_v2_init_ram_dp1x1_ram_DOB_0_UNCONNECTED ); BU2_U0_blk_mem_generator_valid_cstr_ramloop_9_ram_r_v2_init_ram_dp1x1_ram : RAMB16_S1_S1 generic map( SRVAL_A => X"0", SRVAL_B => X"0", INIT_00 => X"F5E89FD68938E34E1F3A1A76B7FC52BB189DBAFFBE698EB180FC93C50555A471", INIT_01 => X"BF3F2E8B3F3DF068C606C27FFE8E40458FBCE7C6AE0177717EA4FFFADC95CFC1", INIT_02 => X"5504237FFE4885C3F1321F057F579C7E6CF03FDE5D8FC7DFF0FDCAF64E18E168", INIT_03 => X"F5F1F4FE643A606DDBEC5FB655B5E313F3E98BF7981EE1683B6E0930EF7EB9CB", INIT_04 => X"35233FE0615EFBB7FF5B2FF7C7FEBC12F8FF0187FBFF077E79C519FCFF827FFF", INIT_05 => X"9FDAAE5FD4598C18F8FEAA3C63FF3971A74CC0FCFFF841E7E21A7F9C5D937C7F", INIT_06 => X"7CFE7F895FFF38ECE7B9A0FFFFFC0FE3B3017E6030C3B0764A81FFDDA5AD7F17", INIT_07 => X"1383817FFFFFF1EFC785FE9D5ABF87F5F6B9FF45A7CEF61F9CDFEEFFFF918606", INIT_08 => X"F4801C1E5B7F90F23635FEA87ADFF7BFF4EA7FFFE79E4239FCFF653EEDFF9E5D", INIT_09 => X"2DB3EBD874EFFE7FF1DE6FF7F4C1C23C3FFFC71F9DFFC947B3D8CD7FFFFF884B", INIT_0A => X"FCE3EFD7FFA3F33CAFFFF4DB0B9FF554392C911FFFFF3F9DF544CC0145E7B4F0", INIT_0B => X"CFFFEF4AF91F8B09056FB6CFFFFFD3A3F441C03A4227ABF0AD17DEE26BCFFEBE", INIT_0C => X"812698E7FFFFEFF9F3B9602DF12781F6B131C4F92C5FFD7EF0BFEFFFFC63BF1E", INIT_0D => X"E14D3EEAB7F7C5F7FFCFDF3E073FFDECF785EFDFDF608E1BDFFFCB76878FF3E1", INIT_0E => X"7E3FA81371781CEC7D41FF8FCFED7C598FFFE5D99D2FCD86F21446B5FFFFFB45", INIT_0F => X"E569FF978FB5EE0FE63FF633FA8FF0D94415C6BAFFFFFFF3C496FF49FD5353F0", INIT_10 => X"C73FF3AA0C3FE56F23F3F8A37FFFFF07C492F2BAC550B3ECFEF78F947FD8320F", INIT_11 => X"8A00D3B19FFFFF63E896F28441F173FF70CF985B0E78288FF6D7FF078F33D776", INIT_12 => X"F9AEFC37C2C283CF54BF8557003E1F3FF8DBFF17FFBADF8865FFFE06DE1FFF70", INIT_13 => X"7F7C475D803E1CBFF907FD07FF4EC5C18FFFF9C62617F708F6F762B28FFFFFE3", INIT_14 => X"F8DFFDAEFF99739F45F888691B5FFBF5C11579096FFFFFFBFBB8F17EF4FA65AE", INIT_15 => X"A6F8BAF929EDFC126C660493E7FFFFF1F357DEAD64FA65168B7E180A90BF75BE", INIT_16 => X"5220E5D4B3FFFFFFF76B90446ABEB953C34CF5385C3F47BCC7BFFE2EFF90FFA4", INIT_17 => X"EEAF0E440C7A43DE8C81CCC37F7FBF9F214FFB6FFFF7BC3DE2FCB68A39EFFF2D", INIT_18 => X"F2C737D95B1FA94FCB3FF9473FFBC07489F6A2C38DDFFFAAE1C4195337FFFFFF", INIT_19 => X"B75FF4273F92DF9B4CE79E25B9D7FFCA7414C82917FFFFFFECAAB39A3AF636FB", INIT_1A => X"82079C030A27FFE4768B45150BFFFFFFEC0B775A5FF6D7384E5639E348BC8A37", INIT_1B => X"86C5A51A0BFFFFFFC44CD70283F6970FADBDE46FBF7C01D293CFF2F33F8604D1", INIT_1C => X"CDCF28057BF237E0AAE9DC342DBC4B7B424A7CEEFFF4291FB437CE058CCFFFF3", INIT_1D => X"390AC76A137FEC56558B0B86FF3D57F9ED67CF0335AFFFFB8B22A01919FFFFFF", INIT_1E => X"DAFF804CFFFB57B924FBEF0336BFFFFCA4A15F38D9FFFFFFCA9C283DF3FAEFB7", INIT_1F => X"8793F1838AFFFFFF255057FC65FFFFFF9578A8C2C7F20F9C4B44CD8A1872CD3A", INIT_20 => X"282850CCD5FFFFFFB16070CCA7F34F6CDEEAA9B7B06413DFE5DE7AE4F838A46E", INIT_21 => X"EBA0B0B81FF3EF15CF06ABF6AA3CACBEE8DCA9DFE6BA8962EF91F8624E77FFFF", INIT_22 => X"70193A7C0A1D66F97EC0655AEA5E4606A19DFF80E2CCFFFF30D45C06E9FFFFFF", INIT_23 => X"C702F14DD4DF3245539CFFE011FDFFFF302A4C63E9FFFFFFBD8282567FF0FF07", INIT_24 => X"147AFFF8B154FFFF30E52EF3CAFFFFFFBD8488EDFFF1E79A0051B2BD7574EAAD", INIT_25 => X"4118B718CAFFFFFFB9E2A9F9FFE1E798350BB0BB3DA30FE843BD361F9ADE1366", INIT_26 => X"B8E313D1FFE3E396DA4770841D1A7E4C577C5388065FA9D6E7FFFFFE61C4FFFF", INIT_27 => X"E696E03AB37F71ACA1BEBE80055E2EA748327FFF1082FFFFB6E690CF4AFFFFFF", INIT_28 => X"B737430E19BE8E3811B27FFF857AFFFFD90D10C72AFFFFFFBCE3ADDBFF03E39D", INIT_29 => X"7EB57FFFF7FAFFFFE6D9D80FAAFFFFFFA7E0B7A3FE33E39E19144824B2ACAF4F", INIT_2A => X"FFFFFFFFFFFFFFFFA76D2853FC37E3E6F5CF3D435BD04CD82F3FE31A9FBF517B", INIT_2B => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", WRITE_MODE_B => "WRITE_FIRST", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", SIM_COLLISION_CHECK => "NONE", INIT_A => X"0", INIT_B => X"0", WRITE_MODE_A => "WRITE_FIRST", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( CLKA => clka, CLKB => BU2_doutb(0), ENA => BU2_U0_blk_mem_generator_valid_cstr_ram_ena3, ENB => BU2_doutb(0), SSRA => BU2_doutb(0), SSRB => BU2_doutb(0), WEA => BU2_doutb(0), WEB => BU2_doutb(0), ADDRA(13) => addra_6(13), ADDRA(12) => addra_6(12), ADDRA(11) => addra_6(11), ADDRA(10) => addra_6(10), ADDRA(9) => addra_6(9), ADDRA(8) => addra_6(8), ADDRA(7) => addra_6(7), ADDRA(6) => addra_6(6), ADDRA(5) => addra_6(5), ADDRA(4) => addra_6(4), ADDRA(3) => addra_6(3), ADDRA(2) => addra_6(2), ADDRA(1) => addra_6(1), ADDRA(0) => addra_6(0), ADDRB(13) => BU2_doutb(0), ADDRB(12) => BU2_doutb(0), ADDRB(11) => BU2_doutb(0), ADDRB(10) => BU2_doutb(0), ADDRB(9) => BU2_doutb(0), ADDRB(8) => BU2_doutb(0), ADDRB(7) => BU2_doutb(0), ADDRB(6) => BU2_doutb(0), ADDRB(5) => BU2_doutb(0), ADDRB(4) => BU2_doutb(0), ADDRB(3) => BU2_doutb(0), ADDRB(2) => BU2_doutb(0), ADDRB(1) => BU2_doutb(0), ADDRB(0) => BU2_doutb(0), DIA(0) => BU2_doutb(0), DIB(0) => BU2_doutb(0), DOA(0) => BU2_U0_blk_mem_generator_valid_cstr_ram_douta8, DOB(0) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_9_ram_r_v2_init_ram_dp1x1_ram_DOB_0_UNCONNECTED ); BU2_U0_blk_mem_generator_valid_cstr_ramloop_10_ram_r_v2_init_ram_dp1x1_ram : RAMB16_S1_S1 generic map( SRVAL_A => X"0", SRVAL_B => X"0", INIT_00 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_01 => X"FFFFFFBF7FDFAFF7FFFEEFFFF7FFFFFE3FFFFFFFFFFFCFFFFFFFFFFFFFFFFFFF", INIT_02 => X"FFFEF8FFFFFFFFFFF7FFFFFFFFFFF7FFE1FF10717FFFE9013EE0C7BBCD34FFFF", INIT_03 => X"F3FFFFFFFFE7D3FFD9FE36257FFFF91FFEC03A8C7BDF4FFFFFFFFF9CBFCF9FEB", INIT_04 => X"FFFC79B38FFFF80F10001600F7D7000FFDF01FE3FFC397E7EF7FF9FFFFFFFFFF", INIT_05 => X"A000C2133FEF31C3F8E01FFF1FF3FFFFE7FFE7FFFFFFFFFFF3FFFFFFDFE7F3FF", INIT_06 => X"F80CFFFF9FFFFDFF73FFFFFFFBFFFFFFFBFFFFFFFFC7FFFFA1F8FE531CFFC201", INIT_07 => X"F7FFFFFFFBFFFFFFFBFFFFFFFFFFCFBFA09DE027E23FFBFBA0FFE00EEBE3FDFD", INIT_08 => X"FBFFFFFFFFFEEFFDB9CFFD2ACD1CFA7F07FF19FFEBF3FFF9FC31FFFF9FFFFFFF", INIT_09 => X"86CD9F1A052D5F831FFE091FE7FEFFFEFCF3FFF1DFFFFCFBFF97FFFFFFF3FFFF", INIT_0A => X"1B000E7FFDBE1BFFF5E7FF00FCFF8BB1DFFFFFFFFFFFFFFFF3FFFFFFFFFF7FFF", INIT_0B => X"232FFC00AE38C2A4CE7FFFFFFEDF7FFFFBFFFFFFFFEFFFFFFE90E22120238E31", INIT_0C => X"FCFFFFFFFEFF3FFFFBFFFFFFF7EFFFFFFC7809C6B68D0F07B8000E7FDFDFC7FF", INIT_0D => X"FFFFFFFFE7BFFFFFDF3E03402522FFAEF000007FCFCFEFEFBFFF80C03FFF30E7", INIT_0E => X"FF0FD829BC4CFED8E0FF07FFCCECFFFFF6D71E861FFFF8FFFFFFFFFFFEFE7FFF", INIT_0F => X"41FF1FFF99FD7FFFC6F0FE1E07DFFCFFFFFC1FFFFFFB7FFFFFFFFFFFE7DFFFFF", INIT_10 => X"BBF5F07E4F9830FF7FFB8FFFFFE7FFFFFEFFFFFFF7BFFCFF8F8458A813C9FFF8", INIT_11 => X"E7FFFFFFFFCFFDFFFCFFFFFFFF3FFDFF81CD801FA619FFE601FFFFFFBFFE1FF7", INIT_12 => X"FD7FFFFFFF47FFFF808F804E0111F3FB837FFFFFFCFFCDFB7CE70FFC3EF830FF", INIT_13 => X"9F220708012FFFFF03FFFFFF7BDF7C39FFF7CFFF3AB7CC7DF3F3FFFFFF7FFBFF", INIT_14 => X"41FFFFFFFBFF9BDFFF67D7CF3B73E53CFFEB9FE7F97FF9FFFF8FFFFFBC83FFFF", INIT_15 => X"FE3CD8FF3DFBFDFF7FF7C7C7F87EFBFFFFFFFFFF7FCDFFFFB0F301FE396AFFFE", INIT_16 => X"CFCFE3FFFFFFFDFFF3FFFFFFF7F5FFFFED07E51F2F8E5FFE01FFFFFFF3FFFFFF", INIT_17 => X"F5FFFFFFFFFFFFFF967F8A0F1FA8D7FFB7FFFFFFFFFFFFFFFF5A2C903FF7F3FF", INIT_18 => X"F93BC6073FD0C7FFF7FFFFFF7FFFFFFFFF5B4F421BF3EBFFEBFFF7FE9FFFF3FF", INIT_19 => X"E3FFFFFF7CFFFFFFFFDD3163C1FBEFF6EDFE7FFE0FE6FBFFF5FFFFFFDFFFCFFF", INIT_1A => X"FFFFEC12C7FDFEFFF07D7FFF1FFFF3FFF1FEFFFF7FDFFFFF80D240C237F2D3FF", INIT_1B => X"FE1FFC1FFFFFE7FFFBEEFFFFFFFFBFFF803DEA4F73F60FFFF9FFFFFE79E3EFFF", INIT_1C => X"FFEEFFFBFFFFBFFF82FEB34DFF468B7FFFFFFFFE73F7F7FCFFFEFF59C77FFEF8", INIT_1D => X"83B942757F4101FFFE3FFFFE7AFEF3FEFF8EFFDDE1F9EFF9FFC7BE9FFFFFE7FF", INIT_1E => X"FF9FFFFF7F7FFBFEFE8FFFFEF07AEFCFFF839FFF7E78AFFFFFFEFFF3FFFF7DFF", INIT_1F => X"FF79FFFFFB7EF3FFFFFF9FFEFCFEDFFFFFFFFFF3FFFEFFFFBF3C61B4118084FF", INIT_20 => X"FFFFFFFCF97F17FFF3FFFFEFFFF9FBFFC03F9EF87D80E1FFFF9CFFFFBE7E787F", INIT_21 => X"E3FFFFDBFFFBF1FFFFFFE3DE64003183BF607FFFDF9FBCBF3F79FE7F7F3FFFFF", INIT_22 => X"FFFFD8BC457F18C03D1D81FF4FC79F3F9FFFFE3FFC47FBDFE7FEFFF9F3FFC7FF", INIT_23 => X"7D2F3C1F87EBDFFC9F3FFFFFCC57EFCC67C67FFCDEF81FFFCF8FEFA7FFFBF3FF", INIT_24 => X"CF3F7FFFD85BC3E07BC7FFFF8FF01FFFCF57E31FFFFFE7FFFFFFE5ACD9FFB3E3", INIT_25 => X"F87FFFFFC6FF3BEF9F9FFFFFFFFFDFFFBFFFF92292FDA024FE13E3CF63F5FFFF", INIT_26 => X"BFFFFFFFBFFFD7FFE7FFFF327DFFE00BBC7E1E67FDFFEFEFFFAF3FFFEE5E1FF7", INIT_27 => X"98FFFCCE607FE003FE41E799F9FFBFECFFAFDDFFF7C3071FFBFFEF0BFFFFBFFF", INIT_28 => X"FAE33071F5FF9FFA7C9FC5FFF2CB0F7FFE7F0CB3FFFE3FFFFCFE7FFFFFFFE3FF", INIT_29 => X"FE7FC83FF966EF7FFCFF7DB8FFFF9FFFFBFFFFFFFFBEFAFFDFDFFFDFE5E7F144", INIT_2A => X"FE7F7DFEBCFB7FFE7FFFFFFFFFD1FDBFDD5FFFBFFD7EF1F73FF04FFE72FFDFF8", INIT_2B => X"FFFEF9FFFFDCFFFFEC9FFFFFFD6D4FD8FFFC8F0FFBDFDEFFFF7FDDFFFD1C16FF", INIT_2C => X"EF1A7FFFFFFB7FF0FF7D1C607BBBFF7FFFFFFFB7FFB082FFF8FFFFFFE1F97FFF", INIT_2D => X"3F3F1F9EFBFDFF7FFFFFFFE7FFF16FFFCCF87E03FFFCFFFFF7FC78FFFFBC7F9F", INIT_2E => X"FFFDCFF7BFD28FFFD1FB7E6BFCFCFFFFC7FEFAFFF87EFFCFB410B9FFFFFF9E3D", INIT_2F => X"CD72FD3F7CF0FFFFEFFFFAFFFEFEFFF3B34941BFFFFFD43CFFFFFFC13A9C1C1F", INIT_30 => X"BFFFFCFFFFFCFFEBFFDBED3FFFFDF5B2FDFFF8DE4BBE9FFFCFFFFFF7F7EEFFFF", INIT_31 => X"9F43171B3FC0F217FFFFF8FF1FFFDFE7CFFFF3FFFEB57FFFFDA3FD7D7DF97FFE", INIT_32 => X"FFFB3F3FE3FFEFE7E7F7FC7FFF8B3FFFFDE2FAFC7FD87FFE7FFFFDFFFFF9FFF7", INIT_33 => X"7FF7FF3FFFFDBFFFF8A0F6FFFFF87FFE7FFFFCFFFCFBFFFFBAD2F10607931BBF", INIT_34 => X"F29DE0FFEB9F7FFFFFFFFAFFFAF7FFFB8ECAD2B23FCFFFFFFFF83F7FFFFFFDF9", INIT_35 => X"BF7938FFE127BFF9F76D78691BDFFFFFFFF9FF0FF9FFFF78B9FFFF3FFFFCBFFF", INIT_36 => X"F787021C8FDFFFFFFFFFFFA9F8FFDDFFFAFF9FE1FFFD7FFE3D9FCC7FF7CFFFFF", INIT_37 => X"FFFFFFD9FD7FCEBDFEFF1FF7FFFCBFF66C2FDC7FF3DDFFFF3FF99FFFE7073FF9", INIT_38 => X"F8FFBFF3FFFD11209FD7F57FE57BFFFFBFFFDFFFF6B7FFFFF9EC38CFFFFFFEDF", INIT_39 => X"62B3DFFFEB4BFFFFDE3FDFFF7E3FFEFF8C6FDBA7B01EFC3FFFFFFFF4FDBFCF1D", INIT_3A => X"CEFFCFFFEF3FFFFF80079FCFB8F23BFFFFFFFFF23EFFCFAFFFFFFFF8FFFC523B", INIT_3B => X"F39FDFF6BAF8589FFFFFFDFC7F3FFFEFFEFFFFFC7FF9D5C9364CFFFFFFCBFFFF", INIT_3C => X"FFFFFFFF0FDFFFAFFE7FFFF07FFE27ACFC168FFFFEFCFFFFDFFFF7FFDEDFFFFF", INIT_3D => X"FF3FFDF67D3A763D09718FFFE77CFFFFCFFFCFFFFEDFFFC7C09CADBEE78D587F", WRITE_MODE_B => "WRITE_FIRST", INIT_3E => X"64804FFFF735FFFFEFFFFFFFD7FFFF879EFC6EBDF906FC7FBFFE1FFF37EF7FC7", SIM_COLLISION_CHECK => "NONE", INIT_A => X"0", INIT_B => X"0", WRITE_MODE_A => "WRITE_FIRST", INIT_3F => X"1CF7FFFFD0FFFF9F865A4FFFFAB9F9009FFFDFFFB7C37FCFFF19FCED7ABE4AF9" ) port map ( CLKA => clka, CLKB => BU2_doutb(0), ENA => BU2_U0_blk_mem_generator_valid_cstr_ram_ena, ENB => BU2_N1, SSRA => BU2_doutb(0), SSRB => BU2_doutb(0), WEA => BU2_doutb(0), WEB => BU2_doutb(0), ADDRA(13) => addra_6(13), ADDRA(12) => addra_6(12), ADDRA(11) => addra_6(11), ADDRA(10) => addra_6(10), ADDRA(9) => addra_6(9), ADDRA(8) => addra_6(8), ADDRA(7) => addra_6(7), ADDRA(6) => addra_6(6), ADDRA(5) => addra_6(5), ADDRA(4) => addra_6(4), ADDRA(3) => addra_6(3), ADDRA(2) => addra_6(2), ADDRA(1) => addra_6(1), ADDRA(0) => addra_6(0), ADDRB(13) => BU2_doutb(0), ADDRB(12) => BU2_doutb(0), ADDRB(11) => BU2_doutb(0), ADDRB(10) => BU2_doutb(0), ADDRB(9) => BU2_doutb(0), ADDRB(8) => BU2_doutb(0), ADDRB(7) => BU2_doutb(0), ADDRB(6) => BU2_doutb(0), ADDRB(5) => BU2_doutb(0), ADDRB(4) => BU2_doutb(0), ADDRB(3) => BU2_doutb(0), ADDRB(2) => BU2_doutb(0), ADDRB(1) => BU2_doutb(0), ADDRB(0) => BU2_doutb(0), DIA(0) => BU2_doutb(0), DIB(0) => BU2_doutb(0), DOA(0) => BU2_U0_blk_mem_generator_valid_cstr_ram_douta9, DOB(0) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_10_ram_r_v2_init_ram_dp1x1_ram_DOB_0_UNCONNECTED ); BU2_U0_blk_mem_generator_valid_cstr_ramloop_11_ram_r_v2_init_ram_dp1x1_ram : RAMB16_S1_S1 generic map( SRVAL_A => X"0", SRVAL_B => X"0", INIT_00 => X"C3145FFE7FFEF80CC7FFFFFFC7E1FFE9FFFCFB0D02FFCBF6649E3FFFED37FFFF", INIT_01 => X"EBFFFFFFF3F1FFE1FFFDFBFDE7B30FF4FC16DFFFF8F9FFFF3CE7BFFF967FFFF9", INIT_02 => X"FFFDFB0979233FF4F93C3FFFFFE7FFFFFCF73FFFDDFFF8FBF6717DF7BFFF3C00", INIT_03 => X"EC36BFFFFFE3FFFFFEE7FFFF7BFFF6FFF5FAEBF38FFFFC79F87FFBFFF9F3FEFD", INIT_04 => X"FFEFFFFFE3FFF9FFFA78FFFF9F5FF8FFFC3FF8FFF8E3FF7FFFFFFA043102BFF4", INIT_05 => X"FF67FFFF8F81C07FC3DFFCFFFE1F7FFFFF3C7817DDC107F605C25FFFEE63FFFF", INIT_06 => X"C1FFFFFFFF1F3FFFFF1E3655EB0B03F30BF84FFFFA57FFFFCFFFFFFF21FFFFF3", INIT_07 => X"FF3FB4BABB1603F38D9C2FFFEF07FFFFCFFDFFFFABFFFFE3AF673CFFC3D1D613", INIT_08 => X"150C6FFFD70FFFFFFFFDFFFFEFFFFFD7FF88E5C1E1FFC3901BFFFFFFFFDF9FFC", INIT_09 => X"FEF9FFFCF7FFFF87FD8FFBED6F3F3FFC87F07FFFFFC7CDFCFFFFF8C0B838DFF3", INIT_0A => X"FFF901F1561F3FFFC3F71FFFFFF5EAFEFFFFBBFB95F0FFF73A714FFF8E1FFFFF", INIT_0B => X"FEE3C7FFFFFBF9FFFFF837EA03F0CFEF2300DFFFC9BFFFFFFEF9FFFFDFFFFE7F", INIT_0C => X"FFF9F9E60783EBCEF50FBFFCE99FFFFFFFFBFFF87FFF3EFFC03987FF8F1DBFFF", INIT_0D => X"A27E7FFFBBF3FFFFFFFFFFFA0FFFF6FFB7C7E3000D4537FFFF1FEDFFFFFD70BF", INIT_0E => X"F9FFFFF39FFFF3FF80FFFFFF38A337FFFF9FF6FFFFBFDE1E7FFFFF9A6FDF8990", INIT_0F => X"9EFF07F61C2FDFFFEFAFF87FFF9FC9EE3FFFFE3D0FFF666748F8FFFF7DF7FFFF", INIT_10 => X"FFDFFE3FFFBFD8307F7FFE74108D678E961DFFF8F13FFFFFF99FFFB81FFFF7FF", INIT_11 => X"FFEFF344E288F97C9419FFFFF0FFFFFFCF1FFFA15FFFFCFFF07FFFFFFFCF9FFF", INIT_12 => X"1C1BFFFFEFFFFFFFDFFFFF781FFF3FFFE001FFFE200E1FFFFFFF3F57FFFFF0DA", INIT_13 => X"7FFFFF4AFFFF3FFFC1FC03E100032BFFFFFF83BBFFFFFD443FFFF3E1B58B805D", INIT_14 => X"E1E603C7F80A01FFFFFFFA17FFFFFDB33B0FE22943CE04CCC81BFFFFC4FFFFFE", INIT_15 => X"FFFFFBFCFFFCFEF3E311E3110E7E9CBAA8FBFFFFFDFFFFFF7FFFFFE6F7FE7FFF", INIT_16 => X"00D1E7BAF51B779811FBFFFF9DFFFFFFFFFFFF9E7FFE7FFFBEC60387F8FE36FF", INIT_17 => X"51C8FFFF9FFFFFFEFFFFFF8BFFFE7FFF86CC07C000FDF67FFFFFFFF7DFFC7F3E", INIT_18 => X"FFFFFF82FFFEFFFFB87BFFFF01F66DBFFFFFFFF66FFF7FDDE32FE3A1FE18B324", INIT_19 => X"C87B811D01F8F49FFFFFFFFFBBFFFFDE5E1FF3139065A20770067FFFC9FFFFFF", INIT_1A => X"FFFFFFFFEEFFFFF7CFDFFC1E9059D2E56016BBFF23FFFFFFFFFFFFDFFFF89FCF", INIT_1B => X"F7FFFF4202CB2E7960005BFF4BFFFFE3FFFFFF9D7FF63FFFE58400058BFF3AD7", INIT_1C => X"F8039BFF27FFFFE3FFFFFF9E7FEFFFFFC3C19E0FFFFFB917FFFFFFFFF3BFFFFB", INIT_1D => X"FFFFFF07FFE7FFFDF0C0231FFF00FFEFFFFFFFFFFCEFFFFDC3FFFF0408622D22", INIT_1E => X"8C1FC338FF802BC9BFFFFFFFFFDFFFFFC2FFF8A2B004DDE4FF159FFFDFFFFFFF", INIT_1F => X"1FFFFF9FFFEAFFFFB17FFC088597D564E0C4BFFFEFFFFFFFFFFFFC05FFFFFFF3", INIT_20 => X"F6BFFFE548FBF5F4E1FF5FFE0FFFFFFFFFFFFF2EFFFFFFF985E7913000905351", INIT_21 => X"3C255EFE3FFFFFFFFFFFF9DFFFFEFFD1B878F338000000C3FFFFFFFFFFFC5FFF", INIT_22 => X"FFFFD819FFFDFF29BBC0671E000603CC177FFFFFFFFD18FFF15FFFD75C613DC4", INIT_23 => X"FFFF0F0F00868D8898BFFFFFFFFF9DFFFCBFF9CFAD7679C41CC77EFFBFFFFFFF", INIT_24 => X"DCDFFF7FFFFFF27FFFAFFAF8628753C75F025FFF7FFFFFFFFFFFC357FFFFFE1B", INIT_25 => X"9FDFF9EC949E576360805FFC7FFFFFFFFFFFDBF7FFFFFD07BFC5E801FFC619BB", INIT_26 => X"CE3487FE1FFFFFFFFFFFCC77FFFFF8939FC53C000DDC797B8E1FFE7FFFFFF381", INIT_27 => X"FFFFFD6BFFFFE37F80FBB8000400F2818739FCEFFFFFFD45FF1FFF873A99D7EC", INIT_28 => X"F8001BF801E6BFDBF8FFC7E7FFFFFFC67F87FFDF465A37E38F39CFE81FFFFFFF", INIT_29 => X"FFFD0FFFFFFFFF38BF8BFFFF42A3E0CF6C72FF13DFFFFFFFFFFFBD6FFFFFD67F", INIT_2A => X"CFEE0FFF82DFCF8C6272FE6FDFFFFFFFFFFFFD67FFFE07FFFD0037FC0343F358", INIT_2B => X"1ABAFECECFFF83FFFFFF7CEFFFFC8FFFC10013FC070DC735FFFFFFFFFFFFFFC0", INIT_2C => X"FFFFFFEFFFFA1FFF80000FFFE12B0432FFFFFFF2FFFFFFFE37EE223F03E3CF72", INIT_2D => X"98007FFFE9C3FDBEFFE7FFFBFFDFFFFF27EED83F71B0C8FE385FFC3C67FE01FF", INIT_2E => X"01FFFFE7CFCFFFFFCAF5C67DB8518E4C2B4CFDF1EFF8F9FFFFFF93CFFFFFFEFF", INIT_2F => X"EBFC177DD10FA6F12800FB43EFF0C9FFFFFBF3CFFFFFFFFFFF80FFFFE96DB55D", INIT_30 => X"EB403A43EFE785FFFFF6CBE7FFFFFFFFFFFDFF7FE4873D48E0E39F8FE5FFFFFF", INIT_31 => X"FFFAEBFFFFFFFFFFFC0FFE3FD73999B050339FC7F3FFFFFFF77B2E5755381ED8", INIT_32 => X"FC00FC9F80005467BC3FDFF7B97FFFFFFF03C335A7C7A59D4326D8B70FCC00FF", INIT_33 => X"BC7FDFFF888FFFFFFFD8EF130F0216561AA7E75F0FCBC8FFFFD933FFFFFFFFFF", INIT_34 => X"FFF1DD2C3FB3D0528A6328982FD648FFFF9E03FFFFFFFFFFE280780383F0C4F0", INIT_35 => X"C6F73CA0EFD58DFFFF0DAFFFFFFFFFFF85C07CF181E751C2E0FF8FFF98F7FFFF", INIT_36 => X"FFC9C7FFFFFFFFFF833C7F60E0FC9E809DFCF43F3331BFFFFFE82CCC2F5DD433", INIT_37 => X"FF1FFF81F06B5480FDFFFE02E0E93FFFFFFDC122A773A596195362772F95DDFF", INIT_38 => X"20F6FFF8C010D7FFFFFE7EBC238F006EC2B3DD5BAFB8D7FFFF57CFFFFFFFFFFF", INIT_39 => X"FFF0A3853F30964EA81F8F3E2F5427FFFEDE1FFFFFFFFFFFE69F00FFFC08BFE3", INIT_3A => X"71145AB0C4A24FFFF3FE7FFFFFFFFFFF9E8E00007CE36598FFC63FF8F931CFFF", INIT_3B => X"B97FFFFFFFFFFFFF9C0000001914F76FFFFF7F1FF67C7BFFDFE1F3799CC43144", INIT_3C => X"F00300001A988F9FFFFFFF1DF31DAEC387FCFB9E66DE9A708F707B50C1D09FFF", INIT_3D => X"FFFFFFFC0A1D08C2DBFB7BF1666541F0E6A324DC45DFBFFF21FFFFFFFFFFFFFF", WRITE_MODE_B => "WRITE_FIRST", INIT_3E => X"9FFD7A3F035688F09FD8EC398932BFF3C9FFFFFFFFFFFBFFFBE300001A10FFFF", SIM_COLLISION_CHECK => "NONE", INIT_A => X"0", INIT_B => X"0", WRITE_MODE_A => "WRITE_FIRST", INIT_3F => X"BAC604DE3E023FCB9BFFFFFFFFFFF9FF81F0000034E7FFFFFFFFA3FFFE6D083E" ) port map ( CLKA => clka, CLKB => BU2_doutb(0), ENA => BU2_U0_blk_mem_generator_valid_cstr_ram_ena0, ENB => BU2_doutb(0), SSRA => BU2_doutb(0), SSRB => BU2_doutb(0), WEA => BU2_doutb(0), WEB => BU2_doutb(0), ADDRA(13) => addra_6(13), ADDRA(12) => addra_6(12), ADDRA(11) => addra_6(11), ADDRA(10) => addra_6(10), ADDRA(9) => addra_6(9), ADDRA(8) => addra_6(8), ADDRA(7) => addra_6(7), ADDRA(6) => addra_6(6), ADDRA(5) => addra_6(5), ADDRA(4) => addra_6(4), ADDRA(3) => addra_6(3), ADDRA(2) => addra_6(2), ADDRA(1) => addra_6(1), ADDRA(0) => addra_6(0), ADDRB(13) => BU2_doutb(0), ADDRB(12) => BU2_doutb(0), ADDRB(11) => BU2_doutb(0), ADDRB(10) => BU2_doutb(0), ADDRB(9) => BU2_doutb(0), ADDRB(8) => BU2_doutb(0), ADDRB(7) => BU2_doutb(0), ADDRB(6) => BU2_doutb(0), ADDRB(5) => BU2_doutb(0), ADDRB(4) => BU2_doutb(0), ADDRB(3) => BU2_doutb(0), ADDRB(2) => BU2_doutb(0), ADDRB(1) => BU2_doutb(0), ADDRB(0) => BU2_doutb(0), DIA(0) => BU2_doutb(0), DIB(0) => BU2_doutb(0), DOA(0) => BU2_U0_blk_mem_generator_valid_cstr_ram_douta10, DOB(0) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_11_ram_r_v2_init_ram_dp1x1_ram_DOB_0_UNCONNECTED ); BU2_U0_blk_mem_generator_valid_cstr_ramloop_12_ram_r_v2_init_ram_dp1x1_ram : RAMB16_S1_S1 generic map( SRVAL_A => X"0", SRVAL_B => X"0", INIT_00 => X"B7FFFFFFFFFFFCFFE01E000069E7FFFFFFFFBBFFFF2799F99FFE7C00F3A9F4EC", INIT_01 => X"F80F00004EBFFFFFFF3FFFFFFFF73009D7F0FC00DED173C2DB4A12717F4D3F33", INIT_02 => X"FF7FFFFFFFF752607ABFFF45BFC9D59C265C263FF2333E4FAFFFFFFFFFFFFFFF", INIT_03 => X"F176FF648695D1B17E5E483C45397D519FFFFFFFFFFFFF3FFFBF0019317FFFFF", INIT_04 => X"03DB537E1F9D62477FFFFFFFFFFFFFFDDFFE033C28FFFFFFFFFFFFFFFFFFFE60", INIT_05 => X"FFFFFFFFFFFFFFFFFF000103887FFFFFFFFFFFFFFFFFFC97FFFEFD6C423435AF", INIT_06 => X"FE00001D00FFFFFFFFFFFFFFFFFFFF601FFFFF62C2794BE483582771D3F60616", INIT_07 => X"FFFFFFFFFFFFF0F8F0FBFF60039CA3379E18A7C86F780EA5FFFFFFFFFFFFFFFF", INIT_08 => X"FE1FFF40E1DE10FA5651AF8F3B7CA589FFFFFFFFFFFFFFF9BF000FFED03FFFFF", INIT_09 => X"BEC068F4B975ADCBFFFFFCFFFFFFFFEBBF01FC0EFB7FFFFFFFFFFFFFFFFFFF7C", INIT_0A => X"FFFF803FFFFFFF7BFFFF834CDF7FFFFFFFFFFFFFFFFFFFE03C03FF8001ABFAE4", INIT_0B => X"FFFE02B6FE7EFFFD3F9FFFFFFFFFFFFEDC017F80619F3ABD75C0F2FF9366B337", INIT_0C => X"E11F7FFFFFFFFFFFE81C1F708FF8E8D2FB4A731CDF99C84FFFFF7E3FFFFFDE61", INIT_0D => X"EDF81FFC1F0D7867F54B797EF0F6F067FF00CE7FFFFF04F9BE3FE4634E237FFE", INIT_0E => X"3501C95B10D7078DF3DFF8FFFFFC83FBFE0045677FD87D0E3FFDFFFFFF9FFFFF", INIT_0F => X"EE3BE3FFFFFC3FF7FF38E71FFFFFBC1FFFC001038F07FFFFFFE3FEFE1C0EB4E2", INIT_10 => X"FE4F7FFFFFFF9FFFFFFB80FFC3F3FFFFF9C3FC3FF09662021901930C1CCC3C3A", INIT_11 => X"FFFFF00FCF03FFFFF463FC3FF3982E091000422A063831C7A645CFFFFFF8FF35", INIT_12 => X"C19FFC7FF381B053100003AF305CD3FECD958FFFFFC0FD77F918FF7DBAFF017F", INIT_13 => X"90903E2C43202B1287391FFFFE3E78E18CF0FEEC5600831FFF0011FFFFFFFFFF", INIT_14 => X"2EA47FFFF9FE32CDEC77FBFE0C0F7800078FBFFFFFFFFFFFC987F83FF396081A", INIT_15 => X"D077061801FEF9FFF077FFFFFFFFFFFFC033F03FF02263A3A6913D31248313D8", INIT_16 => X"FF5FFFFFFFFFFFFEC203FB19F9F1157620CA2B08B27F975F615EFFFFFF181833", INIT_17 => X"F207FFB0FFE49FB3C08C438A4C7FCDFF2750FFFFF7600683B81134721F83F8FF", INIT_18 => X"319CC81123F34B3FACAFFFFFEDFCC303FF383CE3FF8000EE1C7FFFFFFFFFFFF9", INIT_19 => X"D75FFFFFE70C41B3FE99DE0B3F9C03AEBFFFFFFFFFFFFFF7071FFFF0FD07FC95", INIT_1A => X"FF72D90B3F88462FFFFFFFFFFFFFFF9ADBBFFFF97ACC3828719C093A9FFEB783", INIT_1B => X"FFFFFFFFFFFFFD9F8F67FFFFFA94BF51E0D852F67EDDA876A27FFFFFF3C03C53", INIT_1C => X"9FA07FFFF2B0416DC0202D39FC518AE3F77FFFFFF40020DDFAFEF187C3C3457F", INIT_1D => X"E4C03A4FFE0A3DD2D8BFFFFFFB021CE3FAB4B19FC3E3CAFFFFFFFFFFFFFFFCF6", INIT_1E => X"9B3FFFFF10986149FCF05F344363C9FFFFFFFFFFFFFF7337FEC01F003F706610", INIT_1F => X"FC7BA6B4633C85FFFFFFFFFFFFFE5F0FFFCFCE000CB9F12EE7C0C173FF3433CF", INIT_20 => X"FFFFE7FFFFFD1BFFFFFC670222D3EBBD3FF907FFFFC621E92ABFFCE620D0E049", INIT_21 => X"FF918F007F6F2F6A3FFCD5FFFFC8BCF8EBBFE36006078023FA4E5DAFF987B3FF", INIT_22 => X"3E3897FFFFC023B31BFFFCF3A420C0BDE4464D03FE1FFFFEFFFFFF3FD9F045FF", INIT_23 => X"F2017E74C613DC05BCA1F64DCBFFFFFEFFFFFF4FE7ECFFFFFFC17180659707C8", INIT_24 => X"DF43FC4C9FFFFFFFFFFFFFE7FFF3FFFFFC9071C3542DC95BF07B5FBFD0A1922A", INIT_25 => X"FFFFFFE3FFFFFFFFF8E37EC3625D9371E723BD3D30F255FB020C38620F1D6301", INIT_26 => X"F1FDB02155B9B387EF86FE73D0F0A5F6841BFB3B03C88005E0E1C2DEDFFFFFFF", INIT_27 => X"4FDFFFFD00BF9F79781A05C3879F000F9E74B23BFFFFC77FF7FFE3E7FBFF3FFF", INIT_28 => X"3FB63A01FFFF0C0FFC71B78BFF776B43FFFFC3FF77F7FFFFE7FBBE31F1EBCC24", INIT_29 => X"AE95C70FFFF70C07FFFFD8E76FF7FFFFA7F57D70C1D276BB4CDFFFE201FEFF79", INIT_2A => X"FE7FFC601F2FF9FEFFEF2B30C637DB97C88FFFF79FFFFFC38CDFE807FFFF803F", INIT_2B => X"3FD5253C19E04633C8DFFFCEC3FFFF0F307CD0FFFFFF087FBAC10E8FFFCFE1C3", INIT_2C => X"D896C7A5BFFFF1F0DF38D7FFFFFF00FFA7A185BAFF1F0731FD9C004E1DDFF9FD", INIT_2D => X"3030B7FFFFFF83FF96FFD200FCE0BC654F7FE01E31BFFFFEFFD2D37C87DDE829", INIT_2E => X"F6A7DAE4FB1E0FAF600E98BB53FFFFF9FFD5F2F8ED1DF9CDCFCF3693FFFF980F", INIT_2F => X"3887F03C97FFFFFFFFC3FFF8130344E98007DDFFFFFE83FF19CCC7FFFFFFFFFF", INIT_30 => X"FFA3F43FBAFF9F378023CFFFFFE35CC34404FFFFFFFFFFFFEAA3331C0C381EBE", INIT_31 => X"873887FFFFB3B7C1BC227FFFFFFFFFFFCFD7EBFB1CE073FC1FC0F0A8D7FFFFF7", INIT_32 => X"785B3FFFFF8FFFFFD40117F773011CF93C0FC167F7FFFFDFFFB749FF7E00000F", INIT_33 => X"C2FECBD404076EA30E04FFFF37FFFFFFFE9F2B3E5BE3799FFFF93FBFBE5DDB60", INIT_34 => X"B8C5FFFEEFFFFFFFF83F430C515F7F741FFDFFFFF957C1392E7E7FFFFF78FFFF", INIT_35 => X"F87EA26668A42FEECFFAFFFF1D5BE38CC6785FFDFCFF7FFF86BCEFB3796BAFC3", INIT_36 => X"0FFA0F726063C1E5BE783FFDFD813FFF85BB9F2CC1CA3CC03D21FFFEFFFFF87F", INIT_37 => X"FCBC043CF90CC1F98B43EF1D801B78DFF9F3FFFFFFFFFAFFFFFF6AE3E6ECC7CC", INIT_38 => X"DB37BD3380F7FFDFF59FFFFFFFFFF2FFFFFFECE3FF90FCCC0FE4F86DABE479E7", INIT_39 => X"8BFFFFFFF3FFFDFFFFFFBD085BD05CC30FC0E39A5804FFDFFD3AD88CE33E7C01", INIT_3A => X"FFFF9A0005FFDFC387E07E665C74FFE9FEB2118FE61E7FFFD900626E1153FCDF", INIT_3B => X"01FC3E6FC071FF8BCD1E0F8003060003DF9E11D8011FF19C77FFFFFFF1FFAFFF", INIT_3C => X"2A920A8B37003F81F5D2B790075FFF075C7FFFFFFEFF5FFFFFFFD3C1D6E0E406", INIT_3D => X"A4948C267D7F941B7FFFFFFFFFFC2FFFFFFF51E1E7EF000C00673F9FF31DFFA4", WRITE_MODE_B => "WRITE_FIRST", INIT_3E => X"FFFFFFFFFFFB1FFFFFFE05309B3EE8E5087B3FFFC33A7FF42FF474FBD70029E3", SIM_COLLISION_CHECK => "NONE", INIT_A => X"0", INIT_B => X"0", WRITE_MODE_A => "WRITE_FIRST", INIT_3F => X"FFFF13F08503CAE71E7FA3FFCFC03FEACC9575D3D30189EDA98200E640FF5FEC" ) port map ( CLKA => clka, CLKB => BU2_doutb(0), ENA => BU2_U0_blk_mem_generator_valid_cstr_ram_ena1_2, ENB => BU2_doutb(0), SSRA => BU2_doutb(0), SSRB => BU2_doutb(0), WEA => BU2_doutb(0), WEB => BU2_doutb(0), ADDRA(13) => addra_6(13), ADDRA(12) => addra_6(12), ADDRA(11) => addra_6(11), ADDRA(10) => addra_6(10), ADDRA(9) => addra_6(9), ADDRA(8) => addra_6(8), ADDRA(7) => addra_6(7), ADDRA(6) => addra_6(6), ADDRA(5) => addra_6(5), ADDRA(4) => addra_6(4), ADDRA(3) => addra_6(3), ADDRA(2) => addra_6(2), ADDRA(1) => addra_6(1), ADDRA(0) => addra_6(0), ADDRB(13) => BU2_doutb(0), ADDRB(12) => BU2_doutb(0), ADDRB(11) => BU2_doutb(0), ADDRB(10) => BU2_doutb(0), ADDRB(9) => BU2_doutb(0), ADDRB(8) => BU2_doutb(0), ADDRB(7) => BU2_doutb(0), ADDRB(6) => BU2_doutb(0), ADDRB(5) => BU2_doutb(0), ADDRB(4) => BU2_doutb(0), ADDRB(3) => BU2_doutb(0), ADDRB(2) => BU2_doutb(0), ADDRB(1) => BU2_doutb(0), ADDRB(0) => BU2_doutb(0), DIA(0) => BU2_doutb(0), DIB(0) => BU2_doutb(0), DOA(0) => BU2_U0_blk_mem_generator_valid_cstr_ram_douta11, DOB(0) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_12_ram_r_v2_init_ram_dp1x1_ram_DOB_0_UNCONNECTED ); BU2_U0_blk_mem_generator_valid_cstr_ramloop_13_ram_r_v2_init_ram_dp1x1_ram : RAMB16_S1_S1 generic map( SRVAL_A => X"0", SRVAL_B => X"0", INIT_00 => X"99FF39FFDF01FE640196EC48EA0386EDEEF801C2B0DDDF187FFFFFFFFFF079FF", INIT_01 => X"C61E5F976E1F8CF3FB043F80BF7FF072BFFFFFFFFFE273FFFFFDDE9F2AADC6C3", INIT_02 => X"BF44FF01FFBDF0077FFFFFFFFFF1FBFFFFFFDB9F6BA5044FC57FEBF9CE767D7F", INIT_03 => X"FFFFFFFFFF24FFFFFFFEFBF15AB9EC572A3F45CD2E47363F8C310EC019FC02C5", INIT_04 => X"FFFC7F3064836F8B3D3F3CB2D20ED67F9CC00F0F03F08FC7842DFC35D83CF877", INIT_05 => X"D68335584BB6038173B113E7EC030FFF9587C03F518B88FFF7FFFFFFFF09FFFF", INIT_06 => X"C39E20301806038FF50F8038395AEFFFFFFB7FFFF527FFFFFFFC5FF9BED36024", INIT_07 => X"90CC00F7BA1A7FFFFFFC7FFFE32FFFFFFFFD7B8A32EABE7BDF8EA56B9C1B060A", INIT_08 => X"FFFF7FFFC2EFFFFFFFF6FF5AE3A789FE98F5E89DF3FFD5A1B7CC6CE3003BF16F", INIT_09 => X"FFEEFF709CD0DF3F267EF0C7F38C0FFFBFD6E4C00707C015A74B034E81E1FFFF", INIT_0A => X"C2673077000A73FAD0392B800BE71877AC738637EE877FFFFFE3FFEF9EFFFFFF", INIT_0B => X"28388480F87F3F81B8C312C7816FFFFFFC47FFFF019FFFFFFFF3FF9F4B236174", INIT_0C => X"F6B1F01F8DFFFDFF3F31FFFE113FFFFFFFC3FFFE21ED8DF902B65C0E6196B7FC", INIT_0D => X"BB01FFFC3FFFFFFFFFE3FFC9FFEDBFE773CE4C1CF0AD1BFF7C4089C07F67E3F9", INIT_0E => X"FFDFFFD7DFD2A9C31A91E4F0E0164BFF25CF32607E47E03DD5492B1F99E3FFFF", INIT_0F => X"95D030F107DD99FFE4D785388FEFFF01D26E6CFCFAEFFFFFF77BFFFA3C37FFFF", INIT_10 => X"8C23CC1F9FFFFE31C2F380FC7BEFFFFFF06BFFF838E7FFFFFFA7FFABFFF7EBCB", INIT_11 => X"A123617EFD7FFFFFAE6EFFFC38EFFFFFFFAFFF83FFFEEFFFC5E27D704C191DFF", INIT_12 => X"226FEBFB4BFFFFFFFFBFFF05FFE817FFFBFFFF0FD3744DFE7B02FB7FFF7F78F3", INIT_13 => X"FF7FFF4FFFA517FFF9FFFFFFF27FCDFDB64A8303FFFFF9FDEA3B7BFFFFFFFEFC", INIT_14 => X"FAFF7EFF777D50B552ECC0E187FFF30DFA24F7BFFFFBF8F3DA1FF47791FFFFFD", INIT_15 => X"F457667F80E38333F9EAD37FFFF305F80B7FADE7EBFFFFFCFFBF7F9D3FFE1FFF", INIT_16 => X"99F63D7FFFC201607F7FD64FDFFFFFFFFE3F7D55BFE8C3FFFBDF7E6F1F7E1299", INIT_17 => X"F57FCEFFBFFFFFFFFF1FFCD177F17BFF95B6FC6087C7E591370A404700000403", INIT_18 => X"FEBFFE6D0775E3FFB24FFA600383EB6847211F8200C08C03C316F3F7FFFD0C00", INIT_19 => X"ECE3FDE003E5B9F6BC3D21B06083CE7FC2E27FFFFE789C0067FFECE77FFFFF7F", INIT_1A => X"3FF07DBFFEF34FFDC2623FFFFC7BB0308FFF41D37DFFF9FFFF7FF5F337A703FF", INIT_1B => X"C33EF7FFBE909C183F7C4EC7FFFFC9FFFC7FFDD4F666B6FFEEA3FE9E667967FF", INIT_1C => X"1FF1C6E4FFEFE9FFFF7FFFABDCE76AFFB7F5FE324F0157FFC510C18CF1DC07E1", INIT_1D => X"FBFFFBC5CFEB26FFCBFDFE096317E7FFFE0E4FF00F63F1E7CFEABFFFF9E5E400", INIT_1E => X"E39DFEEBE454B1FFFD00030BF4139FE7FFEBBFFFFFFFDF4EFFE6C7CAFFFFE5FF", INIT_1F => X"FD7F7C9383B0DFC7FECBFFFFFFFFDD707FE20FF1FFFBCFFFFAFFFB5C304B88FF", INIT_20 => X"F7D57FFFFF1DC961FFEC0FF7FFFF07FFF1FF1CACAE4C9FFFF01AFDFE6822B9FF", INIT_21 => X"FFA31CDFFFF41FFFF5FE1784319BDDFFEF037F3A240B96FBC4F0BCCC273C63E3", INIT_22 => X"FFFEBB8D4FBFD3FFF0669F3C250A5EFFD0F040931BC433F1E3CC7FFFFF9FC6AB", INIT_23 => X"F812785FE3A539FFF80666C0B3041BF981AB7FFF3E8FC187FFE7BCAFF9F0BBFF", INIT_24 => X"FEFE4F7E47300C3980747FFDE2403F0DFD67FFBFFDF18FFFFBFFFE6693EFF1FF", INIT_25 => X"83AA003C5FC77C7F3E0BFF9FFFE40FFFFBFFE4F6D233D7FFB91644079875D0BF", INIT_26 => X"F982831FFFFA87FFC7FFC189C717FBFFFF129491157F9093FD8399BEF1FCF2F3", INIT_27 => X"F7BFD7F1C31FD9FFFCC5A81302632BD8FF231EEEC0FFFA07D8D7079FAF3CFFF8", INIT_28 => X"FDCCA7FED3FF9270FF86060970FFFDF9D4C80054A9BF9FFC5FF9503BFFCB9FFF", INIT_29 => X"7FFC11E8FFFFFE03CAE4B3A03A39860C8806DF7FFFB45FFF97FFDDFACCFFF3FF", INIT_2A => X"B3D24354EED5F06ABF89C4A7FFCDFFFFFFFF02060CFF92FFFF8F278E23ECC463", INIT_2B => X"7FFF66CFFF6FFFFF2FFEB3830887CE7FFFC0457A7A6B3AF3BFFFDA0B7FFFFFFF", INIT_2C => X"37FDBB9A0807CF3FFF47D6D5D1E253D4BF7FFA7FFFFFFFFFCBA98F4F26E8385E", INIT_2D => X"F7F08C03EE81439567FFE520FEFFFFFFC8AC04F4CB01BD6CCFFB38DFFCFEAFFF", INIT_2E => X"73FFD060BFFFFFFFBCB19F03C0A1B22AB13C0FDFFD9D3FFF6EF8FB7D48F78CBF", INIT_2F => X"F278BF4F5DEDBC789F02069FF8FBBFF65DFFF4457CB98FBFF3F0DF33FC72D23C", INIT_30 => X"A93CFFFFF7FABFFE67D7EAF870AFE1B3FF9B6FB3F29D2E683EFFD6A81FFFFFFF", INIT_31 => X"060FD7F971CF86EEE1C3D3B7FB3DE9EF167FC0AB5FFFFFFFBC071C87CD51E37B", INIT_32 => X"95F27B2FFD222824C89FF760FFFFFFFFC07CD800F362ACFA2D3CCFFFB25E7FFD", INIT_33 => X"E327FC4128FFFFFF80C3C87FFF0C3FF8F500363FD67FFFFF7FFFAB33F06987E0", INIT_34 => X"C898E710F8ED67F9F3305E7F187AFFF96B7F57EF987AE55F05F0A993FC4562C4", INIT_35 => X"F776DFFF9079FFF1627EA6FE901E6D403AF916AFFEC4A17C682BFFA341FFFFFF", INIT_36 => X"77FF84E78014CDA439EF2EF3FF36A16034D3FFE5ECFFFFFFA0DC1F80010E0FFD", INIT_37 => X"3C6CD098FF70002857BAFFFD7801FFFFD0C8F0C03FE2C3F9FF367FFE51FEFFFD", INIT_38 => X"DA0A3FFF2218FFC1DD8100608D20E1F83E849FFEDFFEFFF36DFA41FD80184890", INIT_39 => X"E2717F3F1920C0785EE2FF34DFDC7FF33FFD0BFF401738ECFE39E0E0FF7268B2", INIT_3A => X"DAF1FF4ED8C0FFFEFF7C15FD580518F47FFFD700FF080507C46A4FFFC8330E2D", INIT_3B => X"7FF9D5F0E00DF075BFBF16CCFFF6089A047DA7FFEA0FF5BFA8CF7E7FC5EDDCF8", INIT_3C => X"7FBDF463FFFACC95687B57FFFAB00C4BD133077FE49ADCFFC6927FE59885FFFC", INIT_3D => X"B093D3FF3F909023ADC5E11009E8F6FC7E58FFF87083FFCC7FFE3BF1B009F037", WRITE_MODE_B => "WRITE_FIRST", INIT_3E => X"ADBAF1836C8EC2FCCAABFFF7E085FFFFFFFD2FF21009FC323FE1C625C7FA9F92", SIM_COLLISION_CHECK => "NONE", INIT_A => X"0", INIT_B => X"0", WRITE_MODE_A => "WRITE_FIRST", INIT_3F => X"AD8AFFF20985FFAEFFE5AFF80C0FFE309FF403B36FFD4F9F5E9D99FFFFDDCA23" ) port map ( CLKA => clka, CLKB => BU2_doutb(0), ENA => BU2_U0_blk_mem_generator_valid_cstr_ram_ena12, ENB => BU2_doutb(0), SSRA => BU2_doutb(0), SSRB => BU2_doutb(0), WEA => BU2_doutb(0), WEB => BU2_doutb(0), ADDRA(13) => addra_6(13), ADDRA(12) => addra_6(12), ADDRA(11) => addra_6(11), ADDRA(10) => addra_6(10), ADDRA(9) => addra_6(9), ADDRA(8) => addra_6(8), ADDRA(7) => addra_6(7), ADDRA(6) => addra_6(6), ADDRA(5) => addra_6(5), ADDRA(4) => addra_6(4), ADDRA(3) => addra_6(3), ADDRA(2) => addra_6(2), ADDRA(1) => addra_6(1), ADDRA(0) => addra_6(0), ADDRB(13) => BU2_doutb(0), ADDRB(12) => BU2_doutb(0), ADDRB(11) => BU2_doutb(0), ADDRB(10) => BU2_doutb(0), ADDRB(9) => BU2_doutb(0), ADDRB(8) => BU2_doutb(0), ADDRB(7) => BU2_doutb(0), ADDRB(6) => BU2_doutb(0), ADDRB(5) => BU2_doutb(0), ADDRB(4) => BU2_doutb(0), ADDRB(3) => BU2_doutb(0), ADDRB(2) => BU2_doutb(0), ADDRB(1) => BU2_doutb(0), ADDRB(0) => BU2_doutb(0), DIA(0) => BU2_doutb(0), DIB(0) => BU2_doutb(0), DOA(0) => BU2_U0_blk_mem_generator_valid_cstr_ram_douta12, DOB(0) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_13_ram_r_v2_init_ram_dp1x1_ram_DOB_0_UNCONNECTED ); BU2_U0_blk_mem_generator_valid_cstr_ramloop_14_ram_r_v2_init_ram_dp2x2_ram : RAMB16_S2_S2 generic map( SRVAL_A => X"0", SRVAL_B => X"0", INIT_00 => X"B06A3C75506BFFFFFFFFE50EABFCFBF3CE5B6FE4FF005A940E43EA205006FFF8", INIT_01 => X"FFEFFDA959FFF4F76CE450155550056A9FFFFFEFF00FC3E87EBFFFFE924FA550", INIT_02 => X"CE5B7FE4FC00054FA500FC859006FFF88F2FBFE3FFFFF8A503F16ACFFFFFDF07", INIT_03 => X"AFFFFFF40C0FB0FA2EBFFFFEA4E3EA5061AA41B6556BFFFFFFFFAA4F9B00EBEF", INIT_04 => X"8C1FAAF7FFFFE4E543F06BCBFFFFCF2FFFEBF7A962FBFD7E2BE554155554016A", INIT_05 => X"61A941B6A56AFFFFFFFFEAA4AB053BEBCEACBFE00000003E4000061AA406FFA4", INIT_06 => X"FFFFEEA577FBFC3F8AF954015554016AFFFFFFFF4FC3AC3ED97FFFFFA4F7FE50", INIT_07 => X"D3F1BFE40040FFFF4140186BE506FFA7401BFFF7EFFFE02543F06B0BFFFFCF3F", INIT_08 => X"9FFFFFFFDEC3FC3F033FFFFFE4E40E55AC690061A41AFFFFFFFFFFFA3AB0FFAB", INIT_09 => X"0F0B0FB7FFFFE0E54FF05892FFAF8A7FFFFFCE545BFFF53FE3E40001655555AA", INIT_0A => X"BC55401C541BFFFFFFFFFFFE43AAACEBE556FFFA4000FFF080032CBFE4F6FFA2", INIT_0B => X"FFFF8E55ABFFFA3FFCE944006A55556A9FFFFFFFA3BC4FF0007FFFFFE3A403FE", INIT_0C => X"FAAAFFFE40003C00900061BFF406FFE23FC70FCBFFFF93950FF01AE5BFAF7CBF", INIT_0D => X"DFFFFFFFF8AC4FC3F06FFFFFE3A5C0F0FC55501B101BFFFFFFFFFFFFA495613B", INIT_0E => X"3F07FB2FFFFD3F950FF01FFABFFEE3FFFFFE3E5A3FFFFA3FFD9554006A955556", INIT_0F => X"00555556C01AFFFFFFFFFFFFE4396CFFFFEAAAA9000000039401B1BFF906FFE6", INIT_10 => X"FFD53A6CBFFFFA3FFED554015AA550158BFFFFFFFE2C4FFFAD6FFFFFE790FEB0", INIT_11 => X"FFEA55550000000F9416F1BFF95BFFF74F06FB6FFFF4FA553FC15EFFFFFFBBFF", INIT_12 => X"C7FFFFFFFFBB03FAB1AFFFFFE493EAB000555955B01AFFFFFFFFFFFFF90FAFBB", INIT_13 => X"4C05BC6BFE50E9553F055AFFFFFA8FFFFF803961FFFFFA7FFF7A55555AA55006", INIT_14 => X"0015AA40706AFFFFFFFFFFFFF93FFE57FFE94000F000000E955AB2FFF95BFFF8", INIT_15 => X"FF8035B6FFFFFA7FFFDEA55555A55006C3FFFFFFFBCAF3FAF2BFFFFFE4D3EAF0", INIT_16 => X"FFEA4003F0000FFA9556B2FFFA6BFFF84C05B05BF800E5553C1553FFFFF16FFF", INIT_17 => X"F2FFFFFFFBD9F0FAADBFFFFFF9E3FFC30005AA4F71ABAFFFFFFFFFFFFE4FFA57", INIT_18 => X"4C55BC6FF954E4140C1AA7FFFFFDFFFFFFD0F5B6FFFFF67FFFF8E55555555406", INIT_19 => X"C005AA4C75BFABFFFFFFFFFFFF93E91BFFFA5003FC000FA95555B2FFFAAFFFE4", INIT_1A => X"FFCFBAF6FFFFF67FFFFDE55A55555416ACBFFFFFFFEDB0396DAABFFFF923FC00", INIT_1B => X"FFFE9503FFFCFFA51541B2FFEAAFFFE77C556C6FF800E400005AF7FFFFEA3FFF", INIT_1C => X"6DFFFFFFFFE6750D6C69ABFFFE240000FF01A940B6FFA5AFFFFFFFFFFFF4EAAF", INIT_1D => X"7C56B1BFF000E40F006FF7FFFFCABFFFFF8E9BF6FFFFE37FFFFFE5194005401A", INIT_1E => X"3FF1A940B6FFA56BFFFFFFFFFFF93FFFFFFFA940FFFF3FA40005B6FFE9BFFFE7", INIT_1F => X"FF795BCBFFFFD37FFFFF35590000001A6DFFFFFFFFE4B14EAC651BFFFE7903C3", INIT_20 => X"FFFFA950FFFC0E500545B6FFA5BFFF933C56B6FFF3FFA43F006FCFFFFFF7BFFF", INIT_21 => X"1CBFFFFFFFF9FD0FF154C6FFFF8E90FF0FFC5550B1BFA556FFFFFFFFFFFE953F", INIT_22 => X"3C56CBFFF2BE94FA156C1FFFFF14BFFFF8E51F1FFFFFC07FFFFF75550303FF1A", INIT_23 => X"C0FC5555B06AA555BFFFFFFFFFFFEA4FFFFFA940FFFC0E400555B6FE95BFFE4E", INIT_24 => X"F7A51F3FFFFFB07FFFFF755503C3BFC5076FFFFFFFAA783FF050B6FFFF93E400", INIT_25 => X"FFFEA500FFF039400556CBFA55BFFE393046DBFFF2A943EA15B02FFFFDB0FFFF", INIT_26 => X"162FFFFFFFBEBB3FFC50B6FFFFE43900F03C0055FC16A551BFFFFFFFFFFFFF93", INIT_27 => X"0001CBFFDD543EAF15B01FFFE770BFFFF7A46F7FFFFF717FFFFFCE5503C49BF0", INIT_28 => X"F000005AFFC5AA50ABFFFFFFFFFFFFA7FFFAA400FF03E555555B1BFA41AFF9E5", INIT_29 => X"E3A56F7FFFFF317FFFFFE2540EC096EC061FFFFFFFBFCBC3FF13B6FFFFF93943", INIT_2A => X"FFFA943FFF0FA559955C6FFA41AFF8D01501CBFA34153ABC15B0C7FFDE73BFFF", INIT_2B => X"C2F2FFFFFABF93C3FBC3B7FFFFFE4E903C003C5BFFF16A906BFFFFFFFFFFFFFF", INIT_2C => X"1501CBE44F053AC055B033FFD383BFFD5395BF7FFFFB31BFFFFFF8E40EAF92EC", INIT_2D => X"30500C6FABF05A956AFFFFFFFFFFFFFFFFEA90FAFF0E955A956DBFFA01BFE79F", INIT_2E => X"A395F07FFFFA31BFFFFFFE770E5A91AFC697FFFFFAAFA8BFFAC3B7FFFFFE90E9", INIT_2F => X"FFAA50EAFFFA955AAAB6FFFA46FF924F15068BE23FF13F0F55B073FFE3946FFD", INIT_30 => X"C646BFFFFAABA9EBFFC3B6FFFFFFFA53415405AFFFC005A5AAFFFFFFFFFFFFFF", INIT_31 => X"C5567BD90E6FFEBF55B15BFFE36041BF9351C1FFFFFD00BFFFFFFF74039695AF", INIT_32 => X"959555AFF000016AAAFFFFFFFFFFFFFFFFAA50FABFFAA416AB2BFFEA56FF890F", INIT_33 => X"5E41C6FFFFEDCF7FFFFFFE750395A96BF648BFFFFEABFA2ACFC3B6FFFFFFFFA4", INIT_34 => X"FFAA50FAFFEA9416AC6FFFEA5AFF84000556B573F92EAAAB55B16FFF9E6CE8FE", INIT_35 => X"B299BFFFFEAFFF89C3BFC7FFFFFFFFE9EAE5556AF03E005AAABFFFFFFFFFFFFF", INIT_36 => X"515ABF9D556EAA6C606C6FFF8EB35DF90E41C6BFFFF8BE3FFFFFFF8A54EAA95B", INIT_37 => X"003A555ABC3E0016AABFFFFFFFFFFFFFFFEA40FFFE955556B1BFFFEA6AFF8900", INIT_38 => X"3E42053FFFFBBEEFFFFFFFD3A4A9A907A8486AFFFFABFFDD83AFCBFFFFFFFFF9", INIT_39 => X"FFA900FFFA55555AF1BFFFFAAAFF89555156AA5D547DBAA1A01C7FFE7FBFA295", INIT_3A => X"92B606FFFFAAFFE2C3EEC7FFFFFFFFFA550EA556BC000015AABFFFFFFFFFFFFF", INIT_3B => X"5005FA5E55B8AA6C531DFFF9EBAFFBD63E461A7FFFF1BEEFFFFFFFE7A8D55506", INIT_3C => X"A543A555AF0000155ABFFFFFFFFFFFFFFFA503FFFA55555AC6FFFFFAABFF9955", INIT_3D => X"3E571BFFFFEDAE9FFFFFFFED59255501DC62B1BFFFAAFFF4FFEBB2FFFFFFFFFF", WRITE_MODE_B => "WRITE_FIRST", INIT_3E => X"FE943FFFFA555AAB1BFFFFFAFFFF9D555516EA9355B86A6BFEDEFFF99BAF0BFA", SIM_COLLISION_CHECK => "NONE", INIT_A => X"0", INIT_B => X"0", WRITE_MODE_A => "WRITE_FIRST", INIT_3F => X"906C6CBFFFFEFFF9ABFBADFFFFFFFFFFE543E955AF00000556AFFFFFFFFFFFFF" ) port map ( CLKA => clka, CLKB => BU2_doutb(0), ENA => BU2_U0_blk_mem_generator_valid_cstr_ram_ena13, ENB => BU2_doutb(0), SSRA => BU2_doutb(0), SSRB => BU2_doutb(0), WEA => BU2_doutb(0), WEB => BU2_doutb(0), ADDRA(12) => addra_6(12), ADDRA(11) => addra_6(11), ADDRA(10) => addra_6(10), ADDRA(9) => addra_6(9), ADDRA(8) => addra_6(8), ADDRA(7) => addra_6(7), ADDRA(6) => addra_6(6), ADDRA(5) => addra_6(5), ADDRA(4) => addra_6(4), ADDRA(3) => addra_6(3), ADDRA(2) => addra_6(2), ADDRA(1) => addra_6(1), ADDRA(0) => addra_6(0), ADDRB(12) => BU2_doutb(0), ADDRB(11) => BU2_doutb(0), ADDRB(10) => BU2_doutb(0), ADDRB(9) => BU2_doutb(0), ADDRB(8) => BU2_doutb(0), ADDRB(7) => BU2_doutb(0), ADDRB(6) => BU2_doutb(0), ADDRB(5) => BU2_doutb(0), ADDRB(4) => BU2_doutb(0), ADDRB(3) => BU2_doutb(0), ADDRB(2) => BU2_doutb(0), ADDRB(1) => BU2_doutb(0), ADDRB(0) => BU2_doutb(0), DIA(1) => BU2_doutb(0), DIA(0) => BU2_doutb(0), DIB(1) => BU2_doutb(0), DIB(0) => BU2_doutb(0), DOA(1) => BU2_U0_blk_mem_generator_valid_cstr_ram_douta13(1), DOA(0) => BU2_U0_blk_mem_generator_valid_cstr_ram_douta13(0), DOB(1) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_14_ram_r_v2_init_ram_dp2x2_ram_DOB_1_UNCONNECTED, DOB(0) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_14_ram_r_v2_init_ram_dp2x2_ram_DOB_0_UNCONNECTED ); BU2_U0_blk_mem_generator_valid_cstr_ramloop_15_ram_r_v2_init_ram_dp4x4_ram : RAMB16_S4_S4 generic map( SRVAL_A => X"0", SRVAL_B => X"0", INIT_00 => X"CBBBA9ABCDEFFFFFFEEEEDAAAABAACEFFFFFFFFFFFFFFEB9899A976665565445", INIT_01 => X"9AA99ABCBBABAA875545676556556666566678ACDDEFFEEDBAAAAAABBCFFFFED", INIT_02 => X"FFEEEDDCCBBBBBBBCBA9999999AAAABCDEEFFFFFFFFFFFEEFFFFFFFFFDBA9999", INIT_03 => X"FEDDCCCCBBAA9999AABBCCCCCCCCCCDDCCDDEEFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_04 => X"5555567789ABDEFFFFFFFFFFFFFFFFEECAABBAABBABDFFFFFFFFFFFFFFFFFFFF", INIT_05 => X"CBBBAABCDDDEFFFFEEEEDCAAAACA9BDEFFFFFEEEEFFFFFCA889A987665566545", INIT_06 => X"9AAAABCCDDCCB97655456755555566665667788ACDEEEEDCBA9A99ABBDFFFEDD", INIT_07 => X"FEEEDDCCCCBBBBBBCCA999999AAAABCDEFFFFFFFFFFFFFEEFFFFFFFFFECAA998", INIT_08 => X"FEDCCCCCBBBAA999AABBBBCCCCCCCCCDCCCDDEFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_09 => X"46666677789ABCEFFFFFFFFFFFFFFFFFDCBBBBAAAACEFFFFFFFFFFFFFFFFFFFF", INIT_0A => X"CBBBAABCDEDDFFDDDDDDCABBBBCB9ACFFFFFEEDDEEFFFFECA89A976665566544", INIT_0B => X"AAAABCDEEDDC9875555566555555567667766779BCEEEDCBB99A989BBDFFFEDC", INIT_0C => X"FEDDDDDCCCCCCBABBCBA999AAABBCCDEFFFFFFFFFFFFFFFFFFFFFFFFFECBA988", INIT_0D => X"FEDCCCCCCCBBAA99AABBBBCCCBBCCCCCCCCDDEFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_0E => X"45666667789ABCEFFFFFFFFFFFFFFFFFEDCBBBBAAABDFFFFFFFFFFFFFFFFFFFF", INIT_0F => X"BBCCBBCDEEDDEDA9ABCCBABCCBCB99CEFFFEEDDDDEFFFFFDCA99876654566543", INIT_10 => X"ABBBBCDEEDEDA875455566555655567666656567ABDEEDCBA99A999BBEFECCDC", INIT_11 => X"FEDDDDDCCCCCCBBBBCBA9ABBBBBCCDEEFFFFFFFFFFFFFFFFFFFFFFFFFECA9988", INIT_12 => X"FEDCCCCCCCCCBAA9AAABBBBCBBBBCCCCCCDDDEEFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_13 => X"45666567889ABCDFFFFFFFFFFFFFFFFFEECBBBBBBABDFFFFFFFFFFFFFFFFFFFF", INIT_14 => X"BBCCBCDEEEEFFDA78ABBA9BDDCCBA9BEFFFEDDCDDEFFFFFFECB9876544566544", INIT_15 => X"ABBBCCDDEEFEA8654555665556555666665555679BCEEDBAA99A99ABCEFECEEC", INIT_16 => X"FEDDDDDCCCCCCCBBABAAABCCCCCCDEFFFFFFFFFFFFFFFFFFFFFFFFFFFECA9999", INIT_17 => X"FEEDCCCCDDDCBBAA9AAABBBBBBBBBCCCCCDDDEEFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_18 => X"44566556789ABCDEFFFFFFFFFFFFFFFFFEDCBBBBBABDFFFFFFFFFFFFFFFFFFFF", INIT_19 => X"BBCBBCEFEDFFFDB88ACBAABDCCCCBABEFFFEDCCDEEFFFFFFEDBA887545656555", INIT_1A => X"ABCCCDDEEEFEB865555566555666667765556678ABCCCCAAA8899ABDEEFFFFFB", INIT_1B => X"FEDDDDDDCCCCCCBAAAAABCCCCCDDEFFFFFFFFFFFFFFFFFFFFFFFFFFFFECAA99A", INIT_1C => X"FFEDDCCDDDDDCBAA9AAABBBBAABBBBBBCCDDDEEFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_1D => X"54566666789ACDDEFFFFFFFFFFFFFFFFFFECBBBBAABDFFEFFFFFFFFFFFFFFFFF", INIT_1E => X"ABBBBDFFEEFFECBAACCBAACECCCDCAADFFFEDCDDEEFFFFFFEDBA887645555555", INIT_1F => X"ABCDDDDEEFFEC976555566555666677865457889BBBBBA999889ABCCDDDFFFFB", INIT_20 => X"FEDDDDDDCCCCCCA99AABBCDCCCDDEFFFFFFFFFFFFFFFFFFFFFFFFFFFFECA99AA", INIT_21 => X"FFFEDDDDEEEDCBBAAAAABBBBAABBBBBBCCCDDEEFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_22 => X"55567776689ACDDEFFFFFFFFFFFFFFFFFFEDCBBAA9ACEFEFFFFFFFFFFFFFFFFF", INIT_23 => X"ABBBCEFFFFFFECCBCCCBABCDCCCDC99CFFFEDDDDEFFFFFFFDCB9777644444555", INIT_24 => X"BCDDDEEEFFFEDA876555565556677788654579ABBCBBA999888AAAAAAACFFFCA", INIT_25 => X"FEDEEDDDCCCCCBA99AABCCCCCDDEFFFFFFFFFFFFFFEEFFFFFFFFFFFFFECA999A", INIT_26 => X"FFFFEDDEEEEDCCBAAAAAABBBBBBBBBBBBCCDDEEFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_27 => X"55567777689ACDEEFFFFFFFFFFFFFFFFFFEDDCBA99ACEFEFFFFFFFFFFFFFFFFF", INIT_28 => X"ABBCDFFFEFFFDBBBCCBAABCCCCCCCA9CFFFEDDDDEFFFFFFEDBA8888654444434", INIT_29 => X"BCDDEEFFFFFECA876655555566777777655569BCCCCBA999989AAAA989BEFFBA", INIT_2A => X"FEDEEDDDDCCCBAA9ABBCCDDDDDEEFFFFFFFFFFFFFFEEFFFFFFFFFFFFFECBA99A", INIT_2B => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_2C => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_2D => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_2E => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_2F => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", WRITE_MODE_B => "WRITE_FIRST", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", SIM_COLLISION_CHECK => "NONE", INIT_A => X"0", INIT_B => X"0", WRITE_MODE_A => "WRITE_FIRST", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( CLKA => clka, CLKB => BU2_doutb(0), ENA => BU2_U0_blk_mem_generator_valid_cstr_bindec_a_bindec_inst_a_enout_18_cmp_eq0000, ENB => BU2_doutb(0), SSRA => BU2_doutb(0), SSRB => BU2_doutb(0), WEA => BU2_doutb(0), WEB => BU2_doutb(0), ADDRA(11) => addra_6(11), ADDRA(10) => addra_6(10), ADDRA(9) => addra_6(9), ADDRA(8) => addra_6(8), ADDRA(7) => addra_6(7), ADDRA(6) => addra_6(6), ADDRA(5) => addra_6(5), ADDRA(4) => addra_6(4), ADDRA(3) => addra_6(3), ADDRA(2) => addra_6(2), ADDRA(1) => addra_6(1), ADDRA(0) => addra_6(0), ADDRB(11) => BU2_doutb(0), ADDRB(10) => BU2_doutb(0), ADDRB(9) => BU2_doutb(0), ADDRB(8) => BU2_doutb(0), ADDRB(7) => BU2_doutb(0), ADDRB(6) => BU2_doutb(0), ADDRB(5) => BU2_doutb(0), ADDRB(4) => BU2_doutb(0), ADDRB(3) => BU2_doutb(0), ADDRB(2) => BU2_doutb(0), ADDRB(1) => BU2_doutb(0), ADDRB(0) => BU2_doutb(0), DIA(3) => BU2_doutb(0), DIA(2) => BU2_doutb(0), DIA(1) => BU2_doutb(0), DIA(0) => BU2_doutb(0), DIB(3) => BU2_doutb(0), DIB(2) => BU2_doutb(0), DIB(1) => BU2_doutb(0), DIB(0) => BU2_doutb(0), DOA(3) => BU2_U0_blk_mem_generator_valid_cstr_ram_douta14(3), DOA(2) => BU2_U0_blk_mem_generator_valid_cstr_ram_douta14(2), DOA(1) => BU2_U0_blk_mem_generator_valid_cstr_ram_douta14(1), DOA(0) => BU2_U0_blk_mem_generator_valid_cstr_ram_douta14(0), DOB(3) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_15_ram_r_v2_init_ram_dp4x4_ram_DOB_3_UNCONNECTED, DOB(2) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_15_ram_r_v2_init_ram_dp4x4_ram_DOB_2_UNCONNECTED, DOB(1) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_15_ram_r_v2_init_ram_dp4x4_ram_DOB_1_UNCONNECTED, DOB(0) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_15_ram_r_v2_init_ram_dp4x4_ram_DOB_0_UNCONNECTED ); BU2_U0_blk_mem_generator_valid_cstr_ramloop_16_ram_r_v2_init_ram_dp1x1_ram : RAMB16_S1_S1 generic map( SRVAL_A => X"0", SRVAL_B => X"0", INIT_00 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_01 => X"FFFFFFFFFFFFDFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_02 => X"FFFFE7FFFFFFFFFFFFFFFFFFFFFFCFFFFE00F004FFFFF7FFC0003FC433CFFFFF", INIT_03 => X"FFFFFFFFFFFFEFFFE6000F833FFFE7E00000390037EFFFFFFFFFFFFE7FFFFFF7", INIT_04 => X"C00006705FFFD7F000001E003FEFFFFFFFFFFFFC3FFFFFFFFFFFE7FFFFFFFFFF", INIT_05 => X"0000020CF7F7FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_06 => X"FFF3FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC0000030FDFFFDFE", INIT_07 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC08000101EFFFC040000001FF7F7FFF3", INIT_08 => X"FFFFFFFFFFFF1FFFC1C000193CE3FC000000E01FF7FFFFFFFFCFFFFFFFFFFCFF", INIT_09 => X"F8C200F9FCCCBE800001F0FFF87DFFFFFF0FFFFFFFFFFE7FFFFFFFFFFFFFFFFF", INIT_0A => X"04FFF1FFFE7FFFFFFE1FFFFFDFFFFC7FEFFFFFFFFFFFFFFFFFFFFFFFFFFEFFFF", INIT_0B => X"FC1FFFFFDFFF3DFFFFFFFFFFFF3FFFFFFFFFFFFFFFFFFFFF810F1DE08CCE7F30", INIT_0C => X"FFFFFFFFFF3E7FFFFFFFFFFFFFDFFFFF8387FFC6478CFFC007FFF1FFFFFFFFFF", INIT_0D => X"FFFFFFFFFFDFFFFF80C1FFC7A6E1FFC10FFFFFFFFFFFFFFFC00FFF3FCFFFFFFF", INIT_0E => X"80F027EE5FC3FFE71FFFFFFFF3F3FFFFB1EFE17FE7FFFFFFFFFFFFFFFFFC7FFF", INIT_0F => X"BFFFFFFFFFFEFFFF81EF01FFFFFFFFFEFFFFFFFFFFFCFFFFFFFFFFFFFFBFFFFF", INIT_10 => X"7DEE0FFFBFFFFFFEFFFFFFFFFFFFFFFFFFFFFFFFFF7FFFFFF078276FCFC7FFE7", INIT_11 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE307FFFE1F7FFE1FFFFFFFFFFFFFFF8", INIT_12 => X"FFFFFFFFFFFFFFFFFF707FBE00F7FFE47FFFFFFFFFFFFE00FFFFFFFFFF3FFFFF", INIT_13 => X"9FFDFFF800DBFFFCFFFFFFFFFFFF8007FCEFFFFFFC7FFFFFFFFFFFFFFFFFFDFF", INIT_14 => X"FFFFFFFFFFFFFC3FFEFFEFFFFCFFFEFFFFF7FFFFFEFFFDFFFFFFFFFFFFFFFFFF", INIT_15 => X"FF7FE7FFFFF7FE7FFFCFFFFFFFFFFDFFFFFFFFFFFFF3FFFF80FCFFFE0099FFFE", INIT_16 => X"FFFFFFFFFFFFFBFFFFFFFFFFFFFBFFFF9207FBFF107E3FFFFFFFFFFFFFFFFFFF", INIT_17 => X"FBFFFFFFFFFFFFFFFF800DFF006FCFFFCFFFFFFFFFFFFFFFFE3CCC7FFFFFFC7F", INIT_18 => X"F9C407FF003FF7FFCFFFFFFFFFFFFFFFFEBC0F3DFFFFF4FFF7FFFFFFFFFFF9FF", INIT_19 => X"FFFFFFFFFFFFFFFFFFFFC11CFFFFF0F9F3FFFFFFFFFFF1FFFBFFFFFFFFFFFFFF", INIT_1A => X"FFFFFF0DF8FBE1F8FFFEFFFFFFFFFFFFFFFFFFFFFFFFFFFF80EC06FE080ED7FF", INIT_1B => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF803E008F0C0E0BFFFFFFFFFFFFFFFFFF", INIT_1C => X"FFFFFFFFFFFF7FFF8003C87700BE08FFFFFFFFFFFFFFFFFFFFFFFF87F8F9E1FF", INIT_1D => X"807D7E7F00BF017FFFFFFFFFFFFFFFFFFFFFFFE3FE7FF1FFFFFFFFFFFFFFEFFF", INIT_1E => X"FFFFFFFFFEFEFFFFFFFFFFF1FFFFF1FFFFFFFFFFFFFFCFFFFFFFFFFFFFFFF9FF", INIT_1F => X"FFFFFFFFFFFFE1FFFFFFFFFFFFFF2FFFFFFFFFFFFFFFF9FFC0FFBE78007F84FF", INIT_20 => X"FFFFFFFFFEFFEFFFFFFFFFF3FFFFF9FFFFFFDF3F807FE0FFFFFFFFFFFFFFFFFF", INIT_21 => X"FFFFFFE7FFFFFBFFFFFFC33F98FFF07C7F9FFFFFBFFF7F7FFFFFFFFFFFDEEDFF", INIT_22 => X"FFFFE0FE58FFF8C07E007FFFBFFFFFFFFFFFFFFFFF8FE9FFFFFFFFFFFCFFFFFF", INIT_23 => X"FFEF03FF7FF7FFFFFFFFFFFFFF97EBFFFFFFFFFFFFFFFFFFFFFFFFDFFFFFF9FF", INIT_24 => X"FFFFFFFFE79BE7FFFFFFFFFFFFFFFFFFFF8FFFFFFFFFF9FFFFFFF9EEC0FFF3E0", INIT_25 => X"FFFFFFFFFFFFDFFFFFFFFFFFFFFFEFFFFFFFFDE361FDE023FDFFE03FFFFBDFFF", INIT_26 => X"FEFFFFFFFFFF8FFF9FFFFDEC13FFE007FE7FFE1FFBFFDFFFFFFFFFFFF19DE7FF", INIT_27 => X"87FFFEDFEFFFE0073981FF87FFFFCFFFFFDFE3FFF800FFFFFC7FFE07FFFF5FFF", INIT_28 => X"3DFC3FF0FBFFFFFDFFFFFBFFFA30FFFFFC7FFE8FFFFF9FFFFFFFFFFFFFFF9FFF", INIT_29 => X"FFFFFFFFFD181FFFFE7FFE7FFFFE3FFFFFFFFFFFFFFF3CFFC03FFE3FF7E7F1C3", INIT_2A => X"FFFFFFFF7FFC3FFFFFFFFFFFFFBE7E7FC13FFFFFF9FEF1F07FFF8FFEFDFFFFFF", INIT_2B => X"FFFFFFFFFFBF7F1FE0BFFFFFFE0C7FF8BFFFF00F003FFFFFFFFFFE0FFE000FFF", INIT_2C => X"E0073FFFFFF1BFF0BFFEFF807BC7FEFFFFFFFFCFFF3001FFFFFFFFFFFFFC3FFF", INIT_2D => X"7FFEFFE0FBC3FEFFFFFFFFFFFFB083FFF3FFFFFFFFFF7FFFFFFFFFFFFFFFFFCF", INIT_2E => X"FFFFFFFFCFD1FFFFEEF0FE1FFFFF7FFFFFFFFDFFFFFFFFE7F3DF5FFFFFFFDE3C", INIT_2F => X"FEE1FF7FFFFF7FFF9FFFFDFFFFFFFFE7F0EE20FFFFFFDC3DFFFFFFFE39FFFFFF", INIT_30 => X"7FFFFFFFFFFFFFF7FFA31C1FFFFFDC31FFFFFFFF887FFFFFFFFFFFFFCFC1FFFF", INIT_31 => X"E0C0AF07FFFFD98FFFFFFFFFE3FFFFFFFFFFFFFFFF48FFFFFE41FEFEFFEA7FFF", INIT_32 => X"FFFFFEFFFFFFFFFFFFFFFFFFFFFD7FFFFE61FDFFFBF8FFFFFFFFFFFFFFFFFFFF", INIT_33 => X"FFFFFFFFFFFFFFFFFF21F9FFF3D8FFFFFFFFFFFFFFFFFFFFC1F1DF000FEFE7FF", INIT_34 => X"F903FFFFF7D0FFFF7FFFFDFFF1FFFFFFF1F9E3823BFFFFFFFFFFFFFFFFFFFFFE", INIT_35 => X"7FFFFFFFF3FFFFFFF8C4FDEF183FFFFFFFFFFFFFFFFFFCFF7FFFFFFFFFFEFFFF", INIT_36 => X"F842FCFF8FCFFFFFFFFFFFDFFFFFFE7FFDFFFFFFFFFE3FFFCC0FFFFFFF80FFFF", INIT_37 => X"FFFFFFE7FEFFFF7FF9FFFFFBFFFF1FF80C1FEFFFFF80FFFFFFFFFFFFF3FFFFFF", INIT_38 => X"FFFFFFFFFFFF8FC06FCFCFFFF382FFFFFFFFFFFFFFCFFFFFFE02C77FFFFBFF3F", INIT_39 => X"F58F8FFFF7B2FFFFFFFFFFFFFFCFFFFFFF9127FFF01DFE1FFFFFFFE3FE7FFFFF", INIT_3A => X"FFFFFFFFFFCFFFFFFFF8BFEFF8027C1FFFFFFFFFFF3FFFDFFFFFFFFFFFFFF038", INIT_3B => X"807C7FF639003FFFFFFFFFFFFFFFFFDFFFFFFFFFFFFD8DC7F033AFFFFF32FFFF", INIT_3C => X"FFFEFFFEFFFFFFDFFFFFFFFFFE7BAF9F0AE11FFFFF05FFFFEFFFCFFFEF5FFFFF", INIT_3D => X"FFFFFFF9FE7C4EFE0F001FFFFE05FFFFFFFFFFFFCF3FFFFF807FFE78E0713FFF", WRITE_MODE_B => "WRITE_FIRST", INIT_3E => X"67805FFFE105FFFFDFFFFFFFCE7FFFFF9FFFE77EF0F83FFFFFFFFFFFCFFFFFFF", SIM_COLLISION_CHECK => "NONE", INIT_A => X"0", INIT_B => X"0", WRITE_MODE_A => "WRITE_FIRST", INIT_3F => X"FFFFFFFF8E7FFFFFF843FFFEFD1E3EFFFFFFFFFFCFFFFFF7FFFFFFF0FC7FB9FE" ) port map ( CLKA => clka, CLKB => BU2_doutb(0), ENA => BU2_U0_blk_mem_generator_valid_cstr_ram_ena, ENB => BU2_N1, SSRA => BU2_doutb(0), SSRB => BU2_doutb(0), WEA => BU2_doutb(0), WEB => BU2_doutb(0), ADDRA(13) => addra_6(13), ADDRA(12) => addra_6(12), ADDRA(11) => addra_6(11), ADDRA(10) => addra_6(10), ADDRA(9) => addra_6(9), ADDRA(8) => addra_6(8), ADDRA(7) => addra_6(7), ADDRA(6) => addra_6(6), ADDRA(5) => addra_6(5), ADDRA(4) => addra_6(4), ADDRA(3) => addra_6(3), ADDRA(2) => addra_6(2), ADDRA(1) => addra_6(1), ADDRA(0) => addra_6(0), ADDRB(13) => BU2_doutb(0), ADDRB(12) => BU2_doutb(0), ADDRB(11) => BU2_doutb(0), ADDRB(10) => BU2_doutb(0), ADDRB(9) => BU2_doutb(0), ADDRB(8) => BU2_doutb(0), ADDRB(7) => BU2_doutb(0), ADDRB(6) => BU2_doutb(0), ADDRB(5) => BU2_doutb(0), ADDRB(4) => BU2_doutb(0), ADDRB(3) => BU2_doutb(0), ADDRB(2) => BU2_doutb(0), ADDRB(1) => BU2_doutb(0), ADDRB(0) => BU2_doutb(0), DIA(0) => BU2_doutb(0), DIB(0) => BU2_doutb(0), DOA(0) => BU2_U0_blk_mem_generator_valid_cstr_ram_douta15, DOB(0) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_16_ram_r_v2_init_ram_dp1x1_ram_DOB_0_UNCONNECTED ); BU2_U0_blk_mem_generator_valid_cstr_ramloop_17_ram_r_v2_init_ram_dp1x1_ram : RAMB16_S1_S1 generic map( SRVAL_A => X"0", SRVAL_B => X"0", INIT_00 => X"FC0867FFFFFF3FF3FFFFFFFFFFFFFFF7FFFFFCF17C7F87F8679E1FFFF105FFFF", INIT_01 => X"F7FFFFFFFFFFFFFFFFFFFC01E07FFFF8FF1E3FFFFF0BFFFFFFFFFFFF88FFFFFF", INIT_02 => X"FFFFFC068900FFF8FF3CFFFFFF03FFFFFFEFFFFF80FFFFFFF870B1FF7FFFFFFF", INIT_03 => X"EFFE7FFFFF07FFFFFFFFFFFF81FFF9FFF9F9F1FFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_04 => X"FFFFFFFF01FFFFFFFC07FFFFCFBFFFFFFFFFFFFFFFFFFFFFFFFFFC034EFE7FF8", INIT_05 => X"FFFFFFFFC71FFFFFFFFFFFFFFFFFFFFFFFFFFC139E3CFFF8063E3FFFFE07FFFF", INIT_06 => X"FFFFFFFFFFFFFFFFFFFFF813CBF8FFFC0C073FFFFC17FFFFFFFFFFFE43FFFFFF", INIT_07 => X"FFFFF859D811FFFC08631FFFF30FFFFFFFFFFFFE4BFFFFFFFFFFFFFFE73FF9FF", INIT_08 => X"18F31FFFE71FFFFFFFFFFFFE0BFFFFEFFE77193EF07FFFFFFFFFFFFFFFFFFFFF", INIT_09 => X"FFFFFFFE17FFFFFFFE7003E13AFFC7FF7FFFFFFFFFFFF3FFFFFFFFE1DBC7FFFC", INIT_0A => X"C00101F1DBFE07FFFFFFFFFFFFFBF1FFFFFFFFE01C0FFFF831FF3FFFCE1FFFFF", INIT_0B => X"FFFFFFFFFFFCF0FFFFFFFBF3FFF03FF031003FFF819FFFFFFFFFFFFD17FFFFFF", INIT_0C => X"FFFFFFF43FFFE7F0E3007FFF1DBFFFFFFFFFFFFC3FFFFFFF803E7FFFF2FF87FF", INIT_0D => X"C601FFF87DBFFFFFFFFFFFFA1FFFFFFFB7F81FFFF3390FFFFFFFF3FFFFFEF87F", INIT_0E => X"FFFFFFFA3FFFFFFFFF00000000630FFFFFFFF9FFFFFFF83FFFFFFE6C2FFFFBE0", INIT_0F => X"E000F800001FCFFFFFDFFFFFFFFFFEDFFFFFFE924FFF7D878407FFF8F9BFFFFF", INIT_10 => X"FFE0FFFFFFFFE70FFFFFFCFB5F8EFC0F0E03FFFFF97FFFFFFFFFFFF03FFFFEFF", INIT_11 => X"FFFFFDCB4F8F047F0C07FFFFF87FFFFFFFFFFFF03FFFFFFF80000000003F5FFF", INIT_12 => X"0C07FFFFF6FFFFFFFFFFFFE87FFFFFFF80000001DFFFBFFFFFFFFF8FFFFFFF07", INIT_13 => X"FFFFFFE87FFFFFFF81FC001FFFFF77FFFFFFFFC7FFFFFE83FFFFFDD79D8F025E", INIT_14 => X"81FE003FFFF66BFFFFFFFDE3FFFFFE4007FFFC1719CE4DCED807FFFFE5FFFFFF", INIT_15 => X"FFFFFC03FFFFFF00E00FFC0718FE2C7C9807FFFFDDFFFFFEFFFFFFC07FFFFFFF", INIT_16 => X"00CFF83DF1FF19BC3007FFFFDDFFFFFEFFFFFFD0FFFFFFFFC0FE007FFF021DFF", INIT_17 => X"3007FFFFDDFFFFFFFFFFFFC47FFFFFFF80FC003FFF03FDFFFFFFFFF83FFFFFC0", INIT_18 => X"FFFFFFCD7FFFFFFFF8780000FE01847FFFFFFFF99FFFFFE21C1FFC3FFFFF8218", INIT_19 => X"B8780000FE00F4BFFFFFFFFFC7FFFFE13FFFFCDF9FE6631B3001FFFF8BFFFFFF", INIT_1A => X"FFFFFFFFF1FFFFF81FFFFFDE9FC23318E0107FFFC7FFFFFFFFFFFF8C7FFF7FFF", INIT_1B => X"0FFFFF8203C21F80E0003FFF87FFFFFFFFFFFF0EFFF9FFFF9DFC0000740030CF", INIT_1C => X"F803BFFFAFFFFFFFFFFFFF8FFFF3FFFDBFFFFE000000320FFFFFFFFFFC7FFFFC", INIT_1D => X"FFFFFE0E7FFFFFF9FFFFDF0000FF081FFFFFFFFFFF1FFFFE07FFFF8805E31EC1", INIT_1E => X"8FFFFF07007FE33E7FFFFFFFFFE3FFFF81FFFF2C8DE13E03FF07BFFF6FFFFFFF", INIT_1F => X"3FFFFFFFFFF1FFFFC0FFFFD8B9F13603FFC7BFFF7FFFFFFFFFFFFE0E7FFFFFFB", INIT_20 => X"E07FFFDDF0813613FFFFFFFF1FFFFFFFFFFFFD1FFFFFFFF1861F9F0FFF6FC4C1", INIT_21 => X"FC3DFFFF1FFFFFFFFFFFFD3FFFFFFFE1BF87FF07FFFFF83FDFFFFFFFFFF83FFF", INIT_22 => X"FFFFFC3FFFFFFFA1BFFFFF01FFFFFC3C0FFFFFFFFFFE07FFFE3FFFFFC085FE03", INIT_23 => X"FFFFFF00FF7FE178077FFFFFFFFFDCFFFF1FFFEFE0847E03FC1FDFFE9FFFFFFF", INIT_24 => X"033FFFFFFFFFE1FFFFDFFDF064FC9C00BF3FFFFDDFFFFFFFFFFFF83BFFFFFF01", INIT_25 => X"FFFFFFF310E598801FBC3FFDDFFFFFFFFFFFE03BFFFFFE03BFFCF800003FF8C8", INIT_26 => X"313DFFFBBFFFFFFFFFFFECFBFFFFFF679FFC3C000003877801FFFFFFFFFFFC7F", INIT_27 => X"FFFFDCF7FFFFFFFF80F800000000F18000FFFFF7FFFFFE03FFFFFFFFBAE4180C", INIT_28 => X"80003C0001E6804007FFFFFFFFFFFF01FFFFFFFFFE663803713DFFF3BFFFFFFF", INIT_29 => X"FFFFFFFFFFFFFFC77FF7FFFFFE3FFF00F27FFFE47FFFFFFFFFFFFCF7FFFFEFFF", INIT_2A => X"3FF1FFFF7E1FF003FE7FFF887FFFFFFFFFFFBCFFFFFFFFFF8000140003C03CC7", INIT_2B => X"E6FFFF097FFFFFFFFFFFBDFFFFFE7FFF80000C00070C38F3FFFFFFFFFFFFFFFF", INIT_2C => X"FFFF3DFFFFFCFFFF80000000073703F1FFFFFFFFFFFFFFFFCFF61DFF7F03F071", INIT_2D => X"800000000F18FB81FFFFFFFFE7FFFFFFC3F740FE7F4CF0FDC7BEFF3BDFFFFFFF", INIT_2E => X"FFFFFFFFF3FFFFFFE9FE263E3F16F07DC82CFEFE5FFF07FFFFFD97FFFFFFFFFF", INIT_2F => X"F0F9373E1F2778F0C8207C7C5FFF07FFFFFEF7FFFFFFFFFF800000000F82333E", INIT_30 => X"08207C7C5FF803FFFFFCE7FFFFFFFFFF800000800700033F1FFFFFFFFBFFFFFF", INIT_31 => X"FFF5E7FFFFFFFFFF83F001C00739BF8FBFFFFFFFFCFFFFFFF8FD1E389BD85CC0", INIT_32 => X"83FF0360000007E07FFFFFFFFEFFFFFFFFFD3E043807058107E03CC85FF007FF", INIT_33 => X"7FFFFFFFFF7FFFFFFFE0F21FF00163CB06E01B805FF3C7FFFFEFEFFFFFFFFFFF", INIT_34 => X"FFE01333F071EBCF8660D3005FE7C7FFFFDFDFFFFFFFFFFFE17F87FC000F27F0", INIT_35 => X"C2F0C7185FE643FFFFBD9FFFFFFFFFFFFC3F83FE001F8FC21FFFFFFFE703FFFF", INIT_36 => X"FF7C3FFFFFFFFFFFFF0380FF0002FE8003FFFFFFC0C07FFFFFF033F3F0C3EFED", INIT_37 => X"FF00007E0009388003FFFFFF0011BFFFFFF9FEFEC0FE7E7F1BB0A3189FE643FF", INIT_38 => X"FFFFFFFF0000CFFFFFFDFF7FC070BCE68113FE3D9FCF4FFFFE703FFFFFFFFFFF", INIT_39 => X"FFFDA378C0C04CC6D70FFC791F9B9FFFFCC1FFFFFFFFFFFFE6800000000A7DE0", INIT_3A => X"8E0C7C79BF313FFFFBBFFFFFFFFFFFFFFE80000000061E07FF3FFFFF0131FBFF", INIT_3B => X"CFFFFFFFFFFFFFFFFC00000000EC0F9FFFFFFFFFF87C45FFFFF1F3809F001AC3", INIT_3C => X"F003000002E87FFFFFFFFFFFFC1C33FFFFECFBE1070F03F0000C7CD9BEE27FFF", INIT_3D => X"FFFFFFFFFC1C0E01E7E87BFF079181F0007FE7D539227FFFBCFFFFFFFFFFFFFF", WRITE_MODE_B => "WRITE_FIRST", INIT_3E => X"7FF27A3F03B0F0F0605F2FF372067FFC7BFFFFFFFFFFFFFF8403000002E7FFFF", SIM_COLLISION_CHECK => "NONE", INIT_A => X"0", INIT_B => X"0", WRITE_MODE_A => "WRITE_FIRST", INIT_3F => X"7D47E732F406FFF3F7FFFFFFFFFFFFFFFE00000008F7FFFFFFFFDFFFFF7DCFC2" ) port map ( CLKA => clka, CLKB => BU2_doutb(0), ENA => BU2_U0_blk_mem_generator_valid_cstr_ram_ena0, ENB => BU2_doutb(0), SSRA => BU2_doutb(0), SSRB => BU2_doutb(0), WEA => BU2_doutb(0), WEB => BU2_doutb(0), ADDRA(13) => addra_6(13), ADDRA(12) => addra_6(12), ADDRA(11) => addra_6(11), ADDRA(10) => addra_6(10), ADDRA(9) => addra_6(9), ADDRA(8) => addra_6(8), ADDRA(7) => addra_6(7), ADDRA(6) => addra_6(6), ADDRA(5) => addra_6(5), ADDRA(4) => addra_6(4), ADDRA(3) => addra_6(3), ADDRA(2) => addra_6(2), ADDRA(1) => addra_6(1), ADDRA(0) => addra_6(0), ADDRB(13) => BU2_doutb(0), ADDRB(12) => BU2_doutb(0), ADDRB(11) => BU2_doutb(0), ADDRB(10) => BU2_doutb(0), ADDRB(9) => BU2_doutb(0), ADDRB(8) => BU2_doutb(0), ADDRB(7) => BU2_doutb(0), ADDRB(6) => BU2_doutb(0), ADDRB(5) => BU2_doutb(0), ADDRB(4) => BU2_doutb(0), ADDRB(3) => BU2_doutb(0), ADDRB(2) => BU2_doutb(0), ADDRB(1) => BU2_doutb(0), ADDRB(0) => BU2_doutb(0), DIA(0) => BU2_doutb(0), DIB(0) => BU2_doutb(0), DOA(0) => BU2_U0_blk_mem_generator_valid_cstr_ram_douta16, DOB(0) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_17_ram_r_v2_init_ram_dp1x1_ram_DOB_0_UNCONNECTED ); BU2_U0_blk_mem_generator_valid_cstr_ramloop_18_ram_r_v2_init_ram_dp1x1_ram : RAMB16_S1_S1 generic map( SRVAL_A => X"0", SRVAL_B => X"0", INIT_00 => X"6FFFFFFFFFFFFFFF9FE000001E8FFFFFFFFFC7FFFFC77E07BFF07C00F3E6F8E0", INIT_01 => X"87F000003FFFFFFFFFFFFFFFFFF7CFF9CFF0FC00FFCE7BDC44C3F3BCF484FFC4", INIT_02 => X"FFFFFFFFFFF86BE079DFFF443FBC618E9DC1E7FFBBC8FF909FFFFFFFFFFFFFFF", INIT_03 => X"FF7EFF6407886D8F05C3CFFFD9C8FE637FFFFFFFFFFFFFFF8040001911BFFFFF", INIT_04 => X"FBC3DFFFFFF0FC82FFFFFFFFFFFFFFFF8000033C18BFFFFFFFFFFFFFFFFFC0E0", INIT_05 => X"FFFFFFFFFFFFFFFF8000010078BFFFFFFFFFFFFFFFFFFFF7FFFEFD6C83E855F2", INIT_06 => X"8000000300BFFFFFFFFFFFFFFFFFFF5FFFFFFF6203ADA1ABFC403FF1E109FB0D", INIT_07 => X"FFFFFFFFFFFFFF47FFFBFF6003DD59A17F003FF84DFFF493FFFFFFFFFFFFFFFF", INIT_08 => X"FFFFFF40E1DDBAA53F403FF899FF4867FFFFFFFFFFFFFFFFC0000002387FFFFF", INIT_09 => X"B0C078F3870E33C7FFFFFFFFFFFFFFF7C00003F23C3FFFFFFFFFFFFFFFFFFFA3", INIT_0A => X"FFFFFFFFFFFFFF8780007F7C3EBFFFFFFFFFFFFFFFFFFFC03FFFFF8001E5C0A7", INIT_0B => X"8001FE300F9FFFFEFFFFFFFFFFFFFFFF1FFFFF8001F9E0FEB5C0F4F0F390CF0F", INIT_0C => X"1FFFFFFFFFFFFFFFCFFFFF700FF8E2ADB348F5F02CC9383FFFFF81FFFFFFFF9F", INIT_0D => X"F7FFFFFC1F0C72197949F9932F4FF01FFFFF01FFFFFFFFFDC1C07D863FDCFFFC", INIT_0E => X"F901C9B14F6F0783FC0007FFFFFF7FFD81FFF39FFFFFFEFFFFFE3FFFFFFFFFFF", INIT_0F => X"F0381FFFFFFFFFF980F80FBFFFFFFFFFFFFFFEFFF0FFFFFFFBFFFEFE1C0E371E", INIT_10 => X"81C0FF3FFFFFFFFFFFFFFF00000FFFFFFDFFFC3FF00673F8010183E540FC3FF9", INIT_11 => X"FFFFFFFFFFFFFFFFC87FFC3FF0003FF9F00043E087F83E3EC07C3FFFFFFFFFC3", INIT_12 => X"E01FFC7FF0015FB3F00003633FC01C01FDEC7FFFFFFFFE718707FFFE7DFFFFFF", INIT_13 => X"10903FE07F1FCC127F38FFFFFFC1FCE1FC0FFFF039FF7FFFFFFFFFFFFFFFFFFF", INIT_14 => X"FE40FFFFFE01FBCDE38FFCE1F3F007FFFFF07FFFFFFFFFFFE807F83FF01F6F82", INIT_15 => X"CF8FF6E7FE0006000F8FFFFFFFFFFFFFE003F03FF03FB4FAC0903EF1DC7FE4F8", INIT_16 => X"003FFFFFFFFFFFFF8203FB19F9F1BFE7C0C86CFF71FFE5C0E081FFFFF807E001", INIT_17 => X"F207FFB0FFEF785E008C5471C3FFE9C0E04FFFFFF81F01019FEEDC01E07C0700", INIT_18 => X"319CD7E31FFF9300609FFFFFF000C001FFC03C00007FFF0E03FFFFFFFFFFFFFD", INIT_19 => X"373FFFFFF80FC181FF187E08007FFC207FFFFFFFFFFFFFFAFF1FFFF0FF0FFF5C", INIT_1A => X"FC497F08007FB81FFFFFFFFFFFFFFFE3FBBFFFF97E00FFC8719CD7C67FECC003", INIT_1B => X"FFFFFFFFFFFFFE1F9DFFFFFFFE6780E1E098C50DFFF227779EFFFFFFFCFFFC3D", INIT_1C => X"7DBFFFFFFE7B0011C04088FBFFF204E3F2FFFFFFF8FFE03FFCC8FF803C3CB8FF", INIT_1D => X"E4605133FFE1C1C2FA7FFFFFE3FFC01DFCB1BF803C1C31FFFFFFFFFFFFFFC0F1", INIT_1E => X"F77FFFFFDF646031FF031F083C1C33FFFFFFFFFFFFFFB00FFC1FFFFFFF38B0C0", INIT_1F => X"FF8D3E881C0007FFFFFFFFFFFFFF70FFFFD03FFFFF8046EFE76072FFFFEDC3CF", INIT_20 => X"FFFFFFFFFFFE01FFFFA31FFFD3DFAD7F3F58DDFFFFE801FFE67FFFF83F28E031", INIT_21 => X"FFB17FFF92F029D23F5D13FFFFD080FFE77FFC0007FF807DFC1E63800600CFFF", INIT_22 => X"3EDD0FFFFFA01C43070000FF983FC07FF87E7300003FFFFFFFFFFFFFE7FFBBFF", INIT_23 => X"0E017FFC381CC0FFC0DF3E0C07FFFFFFFFFFFFFFFFF3FFFFFF6DF1FF8A67EFB0", INIT_24 => X"9FBF3C0C0FFFFFFFFFFFFFFFFFFFFFFFFF0DF1FCB7CE2723F0BE3FFFE0C00ECD", INIT_25 => X"FFFFFFFFFFFFFFFFFFFEFFFC9E9E7101E0BE7FFE3F0E8CE3FE0FC7E1F01F1CFF", INIT_26 => X"FFFC4FFE823E7187E01DFFFBFF4F3CF8FC1C0707FC087FFFFFF9FE1E3FFFFFFF", INIT_27 => X"C01BFFF7FFFFE0FE781DFC3FFFE0FFFFE1F14E387FFFFFFFFFFFFFFFFFFFFFFF", INIT_28 => X"3FB939FFFFFFF3FF83F24FE7FFFF843FFFFFFFFF8FFFFFFFFFFDCFFE01EC43E7", INIT_29 => X"A1983FDFFFE00003FFFFFFFF9FEFFFFFDFF8B1FF31C5CF13C317FFF5FF7FFFFE", INIT_2A => X"FFFFFF9FFFDFFFFF3FF6C5FF3807BA2BC71FFFB865FFFFFC0FFFE7FFFFFFFFFF", INIT_2B => X"FFE4C1FF06003FEBC73FFF71F7FFFFF03FFFCFFFFFFFF7FFB9F0FF5FFFEFE1FB", INIT_2C => X"C779FFDCCFFFFE00E0FFCFFFFFFFFFFFAFC04679FFDFFFCBFE7FFFB1FE3FFFFE", INIT_2D => X"CFFF8FFFFFFFFFFF830043FDFFFF039280001FF1CE7FFFF9FFE1E3FF001C07EF", INIT_2E => X"949FC3E3F3E0005D600107FC8FFFFFF3FFE3FBFF0C1C0633C0373D17FFFFE00F", INIT_2F => X"F8800FFF0FFFFFEBFFE7FBFFE0003F078007E7FFFFFF03FFF9CFBFFFFFFFFFFF", INIT_30 => X"FFC7F83FC7007FCF80237BFFFFFC5F3FC007BFFFFFFFFFFF9C181BFFEFC001FC", INIT_31 => X"87380FFFFFC3B83F8023BFFFFFFFFFFF803011FC1F000FF8FFC00F770FFFFFD7", INIT_32 => X"007BBFFFFFFFFFFF93DEE3F87C00FCEFFC003EFFCFFFFF8FFFCFB1FF47FFFFFF", INIT_33 => X"863FE7E07800E13FFE03FFFFCFFFFF7FFFFF13FE67FF807FFFF81FFFFF9FE0E0", INIT_34 => X"C7C3FFFF1FFFFEBFFFFF3BFC697F80FBFFFC3FFFFE0FFF06107FDFFFFF87FFFF", INIT_35 => X"FFFF7B9E78DCF0F13FF9FFFFE187E073387FDFFFFF00FFFF827FFFC381679EFF", INIT_36 => X"FFF9FFFC7F983FFBFCFFEFFFFE00FFFF837C7FCF01C67EFFC323FFFFFFFFFCFF", INIT_37 => X"FE7FEFFFFE0C3FFF87301E1E0006FEE0040FFFFFFFFFFAFFFFFFF31FFE9C10F3", INIT_38 => X"F7FC2E3C000DF0E00C7FFFFFFFFFF9FFFFFFF11FFFF023F3FFE4F861C7E3FFF9", INIT_39 => X"78FFFFFFFFFFE3FFFFFFC1F7C3307FFFFFC0E3F46403FFE1FFFBF77FFC3E03FF", INIT_3A => X"FFFFD8FFB91FFFFFFFE03C6F9C73FFC7FCB3F67FF81E0001F6206C7010B7F0E0", INIT_3B => X"FFFC7F9FE073FFC7F11FF87FFC060001F65BF1E000CFE1E3F0FFFFFFFFFFC7FF", INIT_3C => X"CF9FF87008003F81F27B4FE000FFDFFF3FFFFFFFFFFF8FFFFFFF98FE671FFFFE", INIT_3D => X"BC277FC602BFD7F8FFFFFFFFFFFEDFFFFFFF9AFE48105FFCFFE77FFFE07FFFC3", WRITE_MODE_B => "WRITE_FIRST", INIT_3E => X"FFFFFFFFFFFCFFFFFFFFC3FF2D00B7FDF7FB7FFFE746FFE430FB8C0FC8005FE1", SIM_COLLISION_CHECK => "NONE", INIT_A => X"0", INIT_B => X"0", WRITE_MODE_A => "WRITE_FIRST", INIT_3F => X"FFFEFEFF3600B7FFE1FF87FFE77F7FFEF39B8C1FCC01FFEDB77FFF063FBF9FE3" ) port map ( CLKA => clka, CLKB => BU2_doutb(0), ENA => BU2_U0_blk_mem_generator_valid_cstr_ram_ena1_2, ENB => BU2_doutb(0), SSRA => BU2_doutb(0), SSRB => BU2_doutb(0), WEA => BU2_doutb(0), WEB => BU2_doutb(0), ADDRA(13) => addra_6(13), ADDRA(12) => addra_6(12), ADDRA(11) => addra_6(11), ADDRA(10) => addra_6(10), ADDRA(9) => addra_6(9), ADDRA(8) => addra_6(8), ADDRA(7) => addra_6(7), ADDRA(6) => addra_6(6), ADDRA(5) => addra_6(5), ADDRA(4) => addra_6(4), ADDRA(3) => addra_6(3), ADDRA(2) => addra_6(2), ADDRA(1) => addra_6(1), ADDRA(0) => addra_6(0), ADDRB(13) => BU2_doutb(0), ADDRB(12) => BU2_doutb(0), ADDRB(11) => BU2_doutb(0), ADDRB(10) => BU2_doutb(0), ADDRB(9) => BU2_doutb(0), ADDRB(8) => BU2_doutb(0), ADDRB(7) => BU2_doutb(0), ADDRB(6) => BU2_doutb(0), ADDRB(5) => BU2_doutb(0), ADDRB(4) => BU2_doutb(0), ADDRB(3) => BU2_doutb(0), ADDRB(2) => BU2_doutb(0), ADDRB(1) => BU2_doutb(0), ADDRB(0) => BU2_doutb(0), DIA(0) => BU2_doutb(0), DIB(0) => BU2_doutb(0), DOA(0) => BU2_U0_blk_mem_generator_valid_cstr_ram_douta17, DOB(0) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_18_ram_r_v2_init_ram_dp1x1_ram_DOB_0_UNCONNECTED ); BU2_U0_blk_mem_generator_valid_cstr_ramloop_19_ram_r_v2_init_ram_dp1x1_ram : RAMB16_S1_S1 generic map( SRVAL_A => X"0", SRVAL_B => X"0", INIT_00 => X"E7FFFBFFEF81BF0BFF9AFC78E403F9ED8E07FE027FBE1F07FFFFFFFFFFFFFFFF", INIT_01 => X"3E125FF0601FF3FF80FFC0007F3DF00F7FFFFFFFFFFFFFFFFFFE3F1F933C3EFF", INIT_02 => X"80C70000FFFDF07FFFFFFFFFFFCEFFFFFFFE3F1FD33CFC7FFC7FF9FFFF8F3F00", INIT_03 => X"FFFFFFFFFFDBFFFFFFFF1F7FC3381C77393F1DF0DFFFFA007C3F0FC001FFFEFD", INIT_04 => X"FFFF9FBFE7001FE33C3F6DC20CF0D8007CFFFF0F03FF7FFD806E00031878F8FF", INIT_05 => X"1E83748003B8007EF3CE0FFFEFFCFFFD91B80002907387FFFFFFFFFFFFF3FFFF", INIT_06 => X"C3E01FCFFFF9FF8DF1B00005B8E21FFFFFFCFFFFFBC3FFFFFFFBFFBFBF0C1FF8", INIT_07 => X"91B0000D39E2FFFFFFFFFFFFFFC7FFFFFFF8FFBA331C41DC1F8F94639FE301FB", INIT_08 => X"FFFFFFFFFF1FFFFFFFFAFFF8E367F03E00F1E8FFFC000C2DB7FE1F1CFFC40FF9", INIT_09 => X"FFF1FFEEF1C010FE187770FFFC73FBFDBFF31F3FF8003FF3E73300BB0000FFFF", INIT_0A => X"3C6FB07FFFF617FC1FD5DC7FF3E0FFF1E00381F70100FFFFFFFFFFFFFF1FFFFF", INIT_0B => X"D7D4F87F0000FF81E70311CF7E63FFFFFF83FFFFFE3FFFFFFFE7FF9E65008FFB", INIT_0C => X"EF31F20E7E03FFFFFC33FFFFEE3FFFFFFFE7FF9FDE0C0CF3FC8F7C0F9FED5BFF", INIT_0D => X"FC03FFFFC0BFFFFFFFC7FFF7FFCC3DFBFC30CC1F0FDBC3FFA3F0F03F80981FF9", INIT_0E => X"FFD7FFE3FFE1BBFFFDE1B4FF1FFF93FF83F0FA1F81B81FFFCE79E6FE7FFFFFFF", INIT_0F => X"FBE077FFFFCE1BFFC3E7B907001000FFCC9FDDFE7DFFFFFFF807FFFDC07FFFFF", INIT_10 => X"83C3C000000001FFC30FA1FEFCFFFFFFE007FFFFC0FFFFFFFFCFFFCBFFF9BFFF", INIT_11 => X"FEDF20FFFEFFFFFFCE07FFE3C1FFFFFFFFDFFFBBFFF9B7FFFBF1F7FFFCFDF3FF", INIT_12 => X"3E1FF7C4B1FFFFFFFFDFFFBFFFF1BFFFC1FFFAF0FEFA23FF4403F800008007FF", INIT_13 => X"FF9FFF7DFFC4BFFFC0FFFD00FEF9A0F9C8438000000007FD91C6F8FFFFFFFFFE", INIT_14 => X"C2FFFE00FF3A3076FCE0000078000F0D81DDF07FFFFC07FBE6FFE38863FFFFFF", INIT_15 => X"F87086007F1C7F3F8019F03FFFFC03EFF8FFC21807FFFFFFFF1FFEFCFFBE77FF", INIT_16 => X"801183FFFFFC007FF8FF8FB00FFFFFFFFF1FFFB47FB8F7FFC33FFE90FFDCF29F", INIT_17 => X"F2FF9F002FFFFFFFFE3FFD30FFB01FFFC98FFE9F7FEE679FF8078038FFFFFFFF", INIT_18 => X"FEFFFB1CFFB407FFCCC7FC9FFFF793FFB81E007DFF3F7FFF80F1BFFFFFF90FFF", INIT_19 => X"DEE7FE9FFEFFFEF8C0011E7FFF7C3FFF81E13FFFFFFC1FFFEFFF1F181FFFFFFF", INIT_1A => X"A0003E7FFFFC3FFD81E17FFFFEFC3FFF5FFE3E3CFFFFFEFFFD7FFF0ECF2607FF", INIT_1B => X"80FD7FFFFC7F1FFFDFFE3038FFFFF0FFFD7FF7EDCF6631FFDCE1FFFF9DC4FFFF", INIT_1C => X"BFF83818FFFFCDFFFB7FFB89EEE6B9FFCBF5FF61BF270FFFEAE03E7C01DFFFE1", INIT_1D => X"FB7FFFBBFDEEBDFFE3FDFF519C59EFFFEFF0400C0003FFE180197FFFFCFE04FF", INIT_1E => X"E39DFFD31807DFFFF1FF00F7F3EC1FE180197FFFFFFFE07FBFF53831FFFFC3FF", INIT_1F => X"FFFF839FF84F1FC181397FFFFFFFE270FFF1F003FFFC07FFFAFFFF3FCDCEB5FF", INIT_20 => X"8833FFFFFFFE0663FFF3F013FFF80FFFF9FFFB9E23CDA3FFE019FEBB9015D7FF", INIT_21 => X"FFDCE317FFFB07FFFBFFFC8E31F9A1FFF000FD7FC400C1FFFEF0C3CC3F0383E1", INIT_22 => X"F3FF748678CBA5FFFF807D7DC48BD5FFE0F07F80E3C3C3F19C22FFFFFF0000E7", INIT_23 => X"FFE40C3DC0259AFFF0007FC07C03E3F9FE66FFFCFF0001EFFF18432FFFFF07FF", INIT_24 => X"F8007FFE3FCFF0F9FFEDFFFD1F80001E7E18002FFFFE37FFE7FFE900E8ABE7FF", INIT_25 => X"FF99FFFD43873FFD7C74001FFFF837FFE7FFEA07E8A7C7FFFFE0C87EA0740D7F", INIT_26 => X"BD7F003FFF838FFFFFFFEF0F7FFFC5FFFDE0F057B97CF64DFE7C19FE0FFFFCF1", INIT_27 => X"CFFFE7FF7CEFC5FFFF34D829BC608BC9FFDCE0EE3FFFFC01B830007DE7BD1FFC", INIT_28 => X"FE355FD5ACE04479FFF9F80F3FFFFE07B3C0FFC8E6FDCFF83707907FFF8C8FFF", INIT_29 => X"FFFFE00FBFFFFFFFA607339046FBCFF08E011F7FFF38CFFFCFFFE1FEF02FEEFF", INIT_2A => X"E81C7CCCB5330DE4FF880B7FFFF0EFFF87FFFC06F02FEEFFFE76BFC5FCE02676", INIT_2B => X"7FFF093FFF90EFFF87FF7C03F04FBEFFFE789D89DC631AF97FFFEE0FBFFFFFFF", INIT_2C => X"0FFE7C03F047BF7FFFF93F33EBE24ADD7FFFEE7FBFFFFFFFD831F0C03D187DCC", INIT_2D => X"FFFEAFC7F280471CDFFFF420BFFFFFFFB8CFFBF7C83F7DDEFFFF0E3FFF019FFF", INIT_2E => X"2FFFE160BFFFFFFFFF3E7FFCC09F7B9CC93C003FFC037FFE1FFFFC01B083BCBF", INIT_2F => X"FC7F7FB0C1DF693CC9000F7FFE07FFFE0FF3F83980D3BFBFFFFE6F07F10141BC", INIT_30 => X"D500F7FFFC05FFFC9FEFF3BE80BFBFBFFFFCD783FC820EB861FFE6E0BFFFFFFF", INIT_31 => X"FFFFE4BE81CFD9D3FFFCC383FC41CF0F31FFF0E3FFFFFFFFC0071F78C1CF51FD", INIT_32 => X"63EC7B13FE83EF77D87FF860DFFFFFFF80001FFFF3E16FFCD100F7FFC5A17FFE", INIT_33 => X"EF1FFF801FFFFFFF803C0FFFFFFD19FD17003FFFA981FFFCFE7FCCBE0077D8E0", INIT_34 => X"887F0700F8FCABFC15304FFFA780FFFCF57F98B60069B8F375EC39BFFFA79DB7", INIT_35 => X"1170DFFF2F82FFF8EFFF39660009B0EC76E4D09FFFA7DEC067E7FFC35FFFFFFF", INIT_36 => X"C2FC3AFF000390687A80C0EFFFA5DEEF3337FFF9E3FFFFFFC03FE07F000E17FC", INIT_37 => X"7DE3E0F7FF7BFFE79009FFFE78FFFFFFE03FFFC0C002AFFC1930DFFF6E03FFF4", INIT_38 => X"D806FFFFBF07FFFF907F001F0EC05FFD1800BFFCE003FFF4BBFC7CFF00089070", INIT_39 => X"CDFF00FFE2C0BFFD3803BFC8E022FFF42FFE79FD800F201CFEE7F097FF67F771", INIT_3A => X"3E077F80E03DFFFCDFFEF3FE580EE00C7FEFE777FFF20BFEDC693FFFEFF0FFD3", INIT_3B => X"3FFD33FF4006000DFFAFE7FBFFFB0FE6DC7C9FFFF3FFF3C1CFCF01FFF90D23FD", INIT_3C => X"BFCE0739FFFC0FE30878CFFFFCCFFD879F0300FFF91823FD3A65FF19E07FFFEC", INIT_3D => X"80F7CFFFFF2FFE27B339E0FFF2FA01FE822EFF3B807BFFECFFF717F82006000F", WRITE_MODE_B => "WRITE_FIRST", INIT_3E => X"B37CF07C88FA01FE068EFFFC007FFFDEFFFA0FFA1806000FBFFE075DFFFCDFE1", SIM_COLLISION_CHECK => "NONE", INIT_A => X"0", INIT_B => X"0", WRITE_MODE_A => "WRITE_FIRST", INIT_3F => X"258FFFF4087FFFFFFFFA0FFDC800000F3FF803DEF7FE6FE0C0F407FFFF9FFE21" ) port map ( CLKA => clka, CLKB => BU2_doutb(0), ENA => BU2_U0_blk_mem_generator_valid_cstr_ram_ena12, ENB => BU2_doutb(0), SSRA => BU2_doutb(0), SSRB => BU2_doutb(0), WEA => BU2_doutb(0), WEB => BU2_doutb(0), ADDRA(13) => addra_6(13), ADDRA(12) => addra_6(12), ADDRA(11) => addra_6(11), ADDRA(10) => addra_6(10), ADDRA(9) => addra_6(9), ADDRA(8) => addra_6(8), ADDRA(7) => addra_6(7), ADDRA(6) => addra_6(6), ADDRA(5) => addra_6(5), ADDRA(4) => addra_6(4), ADDRA(3) => addra_6(3), ADDRA(2) => addra_6(2), ADDRA(1) => addra_6(1), ADDRA(0) => addra_6(0), ADDRB(13) => BU2_doutb(0), ADDRB(12) => BU2_doutb(0), ADDRB(11) => BU2_doutb(0), ADDRB(10) => BU2_doutb(0), ADDRB(9) => BU2_doutb(0), ADDRB(8) => BU2_doutb(0), ADDRB(7) => BU2_doutb(0), ADDRB(6) => BU2_doutb(0), ADDRB(5) => BU2_doutb(0), ADDRB(4) => BU2_doutb(0), ADDRB(3) => BU2_doutb(0), ADDRB(2) => BU2_doutb(0), ADDRB(1) => BU2_doutb(0), ADDRB(0) => BU2_doutb(0), DIA(0) => BU2_doutb(0), DIB(0) => BU2_doutb(0), DOA(0) => BU2_U0_blk_mem_generator_valid_cstr_ram_douta18, DOB(0) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_19_ram_r_v2_init_ram_dp1x1_ram_DOB_0_UNCONNECTED ); BU2_U0_blk_mem_generator_valid_cstr_ramloop_20_ram_r_v2_init_ram_dp1x1_ram : RAMB16_S1_S1 generic map( SRVAL_A => X"0", SRVAL_B => X"0", INIT_00 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_01 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_02 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF003FFFFFFFFFFFFFFFFFFFFFFFF", INIT_03 => X"FFFFFFFFFFFFFFFFFFFFFF80FFFFFFFFFFFFC7FFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_04 => X"FFFFFFF03FFFEFFFFFFFE1FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_05 => X"FFFFFDFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_06 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF003FFFFFF", INIT_07 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF7FFFF001FFFFFFFFFFFFFFFFFFFFFF", INIT_08 => X"FFFFFFFFFFFFFFFFFE3FFFF803FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_09 => X"FF3FFFF803F3FF7FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_0A => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_0B => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE073F1FFCF", INIT_0C => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC63873FFFFFFFFFFFFFFFFFFFF", INIT_0D => X"FFFFFFFFFFFFFFFFFFFFFFC7981FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_0E => X"FFFFFFEFC03FFFFFFFFFFFFFFFFFFFFFCFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_0F => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_10 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFEFC03FFFFF", INIT_11 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE00FFFFFFFFFFFFFFFFFFFFF", INIT_12 => X"FFFFFFFFFFFFFFFFFFFFFFFE000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_13 => X"9FFFFFF80007FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_14 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_15 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF80FFFFFE0007FFFF", INIT_16 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF8007FFFF0001FFFFFFFFFFFFFFFFFFFF", INIT_17 => X"FFFFFFFFFFFFFFFF80000FFF00103FFFFFFFFFFFFFFFFFFFFFFFF3FFFFFFFFFF", INIT_18 => X"860007FF00000FFFFFFFFFFFFFFFFFFFFFFFF0FFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_19 => X"FFFFFFFFFFFFFFFFFFFFFEFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_1A => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0000FE00012FFF", INIT_1B => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC0070F0001F7FFFFFFFFFFFFFFFFFF", INIT_1C => X"FFFFFFFFFFFFFFFFFFFC07870001F7FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_1D => X"FFFE81870000FEFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_1E => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_1F => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC00000007BFF", INIT_20 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE00000001FFFFFFFFFFFFFFFFFFF", INIT_21 => X"FFFFFFFFFFFFFFFFFFFFFC0000000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF3FF", INIT_22 => X"FFFFFF004000073FFFFFFFFFFFFFFFFFFFFFFFFFFFFFF7FFFFFFFFFFFFFFFFFF", INIT_23 => X"FE10FFFFFFFFFFFFFFFFFFFFFFEFF7FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_24 => X"FFFFFFFFFFE7FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE10C0000C1F", INIT_25 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE1C00021FDFFE001FFFFFFFFFFF", INIT_26 => X"FFFFFFFFFFFFFFFFFFFFFE1FE0001FFFFF8001FFFFFFFFFFFFFFFFFFFFE3FFFF", INIT_27 => X"FFFFFF3FF0001FFFFFFE007FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_28 => X"FFFFC00FFFFFFFFFFFFFFFFFFDFFFFFFFFFFFF7FFFFFFFFFFFFFFFFFFFFFFFFF", INIT_29 => X"FFFFFFFFFEFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFBFFFFFFFF8180E3F", INIT_2A => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFBEFFFFFFFE010E0FFFFFF001FFFFFFFF", INIT_2B => X"FFFFFFFFFFFFFFFF9F7FFFFFFFF380077FFFFFF0FFFFFFFFFFFFFFFFFFFFFFFF", INIT_2C => X"9FFFFFFFFFFFC00F7FFFFFFF87FFFFFFFFFFFFFFFFCFFFFFFFFFFFFFFFFFFFFF", INIT_2D => X"FFFFFFFF07FFFFFFFFFFFFFFFFCFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_2E => X"FFFFFFFFFFEFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF8FE03FFFFFFFE1C3", INIT_2F => X"FFFFFEFFFFFFFFFFFFFFFFFFFFFFFFFF8FF01FFFFFFFE3C3FFFFFFFFC7FFFFFF", INIT_30 => X"FFFFFFFFFFFFFFFF807C03FFFFFFE3CFFFFFFFFFF7FFFFFFFFFFFFFFFFFFFFFF", INIT_31 => X"803FC0FFFFFFE7FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF7FFFF", INIT_32 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFEFFFFFF9FFFFFFFE7FFFFFFFFFFFFFFFFFFFF", INIT_33 => X"FFFFFFFFFFFE7FFFFFDFFFFFFFE7FFFFFFFFFFFFFFFFFFFF800FE0FFFFFFFFFF", INIT_34 => X"FFFFFFFFFFEFFFFFFFFFFFFFFFFFFFFF8007FC7DC7FFFFFFFFFFFFFFFFFFFFFF", INIT_35 => X"FFFFFFFFFFFFFFFF8003FE10E7FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF7FFF", INIT_36 => X"8001FF00703FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF3FFFFFFFFFFFFFF", INIT_37 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF3FFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_38 => X"FFFFFFFFFFFFFFFFF03FFFFFFFFDFFFFFFFFFFFFFFFFFFFF8001FF800007FFFF", INIT_39 => X"F87FFFFFFFFDFFFFFFFFFFFFFFFFFFFF8000FFC00FE3FFFFFFFFFFFFFFFFFFFF", INIT_3A => X"FFFFFFFFFFFFFFFF80007FF007FDFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF8FC7", INIT_3B => X"80003FF9C7FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE023FF9FFDFFFFFFDFFFF", INIT_3C => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFC207FF1FFFFFFFFFBFFFFFFFFFFFFFFBFFFFF", INIT_3D => X"FFFFFFFFFFFF81FFF0FFFFFFFFFBFFFFFFFFFFFFFFFFFFFF80001FFFFFFEFFFF", WRITE_MODE_B => "WRITE_FIRST", INIT_3E => X"987FBFFFFEFBFFFFFFFFFFFFFFFFFFFFE0001FFFFFFFFFFFFFFFFFFFFFFFFFFF", SIM_COLLISION_CHECK => "NONE", INIT_A => X"0", INIT_B => X"0", WRITE_MODE_A => "WRITE_FIRST", INIT_3F => X"FFFFFFFFFFFFFFFFFFBC07FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC7FF" ) port map ( CLKA => clka, CLKB => BU2_doutb(0), ENA => BU2_U0_blk_mem_generator_valid_cstr_ram_ena, ENB => BU2_N1, SSRA => BU2_doutb(0), SSRB => BU2_doutb(0), WEA => BU2_doutb(0), WEB => BU2_doutb(0), ADDRA(13) => addra_6(13), ADDRA(12) => addra_6(12), ADDRA(11) => addra_6(11), ADDRA(10) => addra_6(10), ADDRA(9) => addra_6(9), ADDRA(8) => addra_6(8), ADDRA(7) => addra_6(7), ADDRA(6) => addra_6(6), ADDRA(5) => addra_6(5), ADDRA(4) => addra_6(4), ADDRA(3) => addra_6(3), ADDRA(2) => addra_6(2), ADDRA(1) => addra_6(1), ADDRA(0) => addra_6(0), ADDRB(13) => BU2_doutb(0), ADDRB(12) => BU2_doutb(0), ADDRB(11) => BU2_doutb(0), ADDRB(10) => BU2_doutb(0), ADDRB(9) => BU2_doutb(0), ADDRB(8) => BU2_doutb(0), ADDRB(7) => BU2_doutb(0), ADDRB(6) => BU2_doutb(0), ADDRB(5) => BU2_doutb(0), ADDRB(4) => BU2_doutb(0), ADDRB(3) => BU2_doutb(0), ADDRB(2) => BU2_doutb(0), ADDRB(1) => BU2_doutb(0), ADDRB(0) => BU2_doutb(0), DIA(0) => BU2_doutb(0), DIB(0) => BU2_doutb(0), DOA(0) => BU2_U0_blk_mem_generator_valid_cstr_ram_douta19, DOB(0) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_20_ram_r_v2_init_ram_dp1x1_ram_DOB_0_UNCONNECTED ); BU2_U0_blk_mem_generator_valid_cstr_ramloop_21_ram_r_v2_init_ram_dp1x1_ram : RAMB16_S1_S1 generic map( SRVAL_A => X"0", SRVAL_B => X"0", INIT_00 => X"FFFF83FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFEFFFFFFFF9861FFFFFEFBFFFF", INIT_01 => X"FFFFFFFFFFFFFFFFFFFFFFFE1FFFFFFF00E1FFFFFFF7FFFFFFFFFFFFFFFFFFFF", INIT_02 => X"FFFFFFFF06FFFFFF00C3FFFFFFFFFFFFFFFFFFFFFFFFFFFFFF8FC3FFFFFFFFFF", INIT_03 => X"1001FFFFFFFFFFFFFFFFFFFFFFFFFFFFFE07FFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_04 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF8001FFFF", INIT_05 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFEFE003FFFFF801FFFFFFFFFFFF", INIT_06 => X"FFFFFFFFFFFFFFFFFFFFFFEFF407FFFFF000FFFFFFEFFFFFFFFFFFFFFFFFFFFF", INIT_07 => X"FFFFFFE7E7EFFFFFF000FFFFFCFFFFFFFFFFFFFFF7FFFFFFFFFFFFFFFFFFFFFF", INIT_08 => X"E000FFFFF8FFFFFFFFFFFFFFF7FFFFFFFFFFFEFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_09 => X"FFFFFFFFEFFFFFFFFFFFFC1EF1FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE7FFFFFF", INIT_0A => X"FFFEFE0E21FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE3FFFFFFC000FFFFF1FFFFFF", INIT_0B => X"FFFFFFFFFFFFFFFFFFFFFFFC000FFFFFC0FFFFFFFE7FFFFFFFFFFFFEEFFFFFFF", INIT_0C => X"FFFFFFF800001FFF00FFFFFFFE7FFFFFFFFFFFFFCFFFFFFFFFC0000001FE7FFF", INIT_0D => X"01FFFFFFFE7FFFFFFFFFFFFDFFFFFFFFC800000000FEFFFFFFFFFFFFFFFFFFFF", INIT_0E => X"FFFFFFFDFFFFFFFF80000000001CFFFFFFFFFFFFFFFFFFFFFFFFFFF0100007FF", INIT_0F => X"8000000000003FFFFFFFFFFFFFFFFF3FFFFFFF60300083F803FFFFFFFE7FFFFF", INIT_10 => X"FFFFFFFFFFFFFFFFFFFFFF00207003F001FFFFFFFEFFFFFFFFFFFFFFFFFFFFFF", INIT_11 => X"FFFFFE303070038003FFFFFFFFFFFFFFFFFFFFFFFFFFFFFF8000000000003FFF", INIT_12 => X"03FFFFFFF9FFFFFFFFFFFFF7FFFFFFFF8000000000007FFFFFFFFFFFFFFFFFFF", INIT_13 => X"FFFFFFF7FFFFFFFF81FC00000000FFFFFFFFFFFFFFFFFFFFFFFFFE38627001A0", INIT_14 => X"81FE00000001F7FFFFFFFFFFFFFFFFFFFFFFFFF8E6318C30C7FFFFFFFBFFFFFF", INIT_15 => X"FFFFFFFFFFFFFFFF1FFFFFF8E701CC0087FFFFFFE3FFFFFFFFFFFFFFFFFFFFFF", INIT_16 => X"FF3FFFC00E00E1800FFFFFFFE3FFFFFFFFFFFFEFFFFFFFFF80FE00000001E3FF", INIT_17 => X"0FFFFFFFE3FFFFFFFFFFFFFFFFFFFFFF80FC0000000003FFFFFFFFFFFFFFFFFF", INIT_18 => X"FFFFFFFFFFFFFFFFF8780000000003FFFFFFFFFFFFFFFFFFFFFFFFC000007C00", INIT_19 => X"F87800000000F37FFFFFFFFFFFFFFFFFFFFFFFE060181C030FFFFFFFF7FFFFFF", INIT_1A => X"FFFFFFFFFFFFFFFFFFFFFFE1603C0C001FEFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_1B => X"FFFFFFFDFC3C00001FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFDFC00000000373F", INIT_1C => X"07FC7FFFDFFFFFFFFFFFFF7FFFFFFFFFFFFFFE00000037FFFFFFFFFFFFFFFFFF", INIT_1D => X"FFFFFFFFFFFFFFFFFFFFFF00000007FFFFFFFFFFFFFFFFFFFFFFFFFFFE1C0000", INIT_1E => X"8FFFFF0000001CFFFFFFFFFFFFFFFFFFFFFFFFDF7E1E000000F87FFF9FFFFFFF", INIT_1F => X"FFFFFFFFFFFFFFFFFFFFFFE77E0E080000387FFF9FFFFFFFFFFFFFFFFFFFFFFD", INIT_20 => X"FFFFFFE23F06081000003FFFFFFFFFFFFFFFFEFFFFFFFFFF87FF9F000000383E", INIT_21 => X"03C23FFFFFFFFFFFFFFFFEFFFFFFFFFFBFFFFF00000000003FFFFFFFFFFFFFFF", INIT_22 => X"FFFFFFFFFFFFFFDFBFFFFF0000000003FFFFFFFFFFFFFFFFFFFFFFE03F020000", INIT_23 => X"FFFFFF0000001E07FFFFFFFFFFFFE3FFFFFFFFF01F03800003E03FFF7FFFFFFF", INIT_24 => X"FFFFFFFFFFFFFFFFFFFFFFFF9F03E00000C03FFE3FFFFFFFFFFFFFFFFFFFFFFF", INIT_25 => X"FFFFFFFFEF03E0000043FFFE3FFFFFFFFFFFFFFFFFFFFFFFBFFCF80000000707", INIT_26 => X"00C3FFFC7FFFFFFFFFFFF3FFFFFFFFFF9FFC3C0000000087FFFFFFFFFFFFFFFF", INIT_27 => X"FFFFE3FFFFFFFFFF80F800000000F07FFFFFFFFFFFFFFFFFFFFFFFFFC503E00C", INIT_28 => X"8000000001E6803FFFFFFFFFFFFFFFFFFFFFFFFF8181C00300C3FFFC7FFFFFFF", INIT_29 => X"FFFFFFFFFFFFFFFFFFFFFFFF81C000000181FFF83FFFFFFFFFFFC3FFFFFFFFFF", INIT_2A => X"FFFFFFFF81E000000181FFF03FFFFFFFFFFFC3FFFFFFFFFF8000080003C0003F", INIT_2B => X"0101FFF03FFFFFFFFFFFC3FFFFFFFFFF80000000070C000FFFFFFFFFFFFFFFFF", INIT_2C => X"FFFFC3FFFFFFFFFF80000000073F000FFFFFFFFFFFFFFFFFFFF9FFFF80FC0070", INIT_2D => X"800000000F18F87FFFFFFFFFFFFFFFFFFFF83FFF80FF00FC0001FFC03FFFFFFF", INIT_2E => X"FFFFFFFFFFFFFFFFF7F819FFC0EF007C0813FF003FFFFFFFFFFE6FFFFFFFFFFF", INIT_2F => X"FFFE08FFE0C000F0081FFF803FFFFFFFFFFC0FFFFFFFFFFF800000000F0030FF", INIT_30 => X"081FFF803FFFFFFFFFF81FFFFFFFFFFF80000000070000FFFFFFFFFFFFFFFFFF", INIT_31 => X"FFF81FFFFFFFFFFF800000000739807FFFFFFFFFFFFFFFFFFFFE01FFE01820C0", INIT_32 => X"800000000000381FFFFFFFFFFFFFFFFFFFFE01FBC0077981001FFF003FFFFFFF", INIT_33 => X"FFFFFFFFFFFFFFFFFFFF01E00000FBC3011FFC003FFC3FFFFFF01FFFFFFFFFFF", INIT_34 => X"FFFFE0C0000FF3C3819FFC003FF83FFFFFE03FFFFFFFFFFFE00000000000180F", INIT_35 => X"C10FF8003FF83FFFFFC27FFFFFFFFFFFFC0000000000003DFFFFFFFFFFFFFFFF", INIT_36 => X"FF83FFFFFFFFFFFFFF0000000001017FFFFFFFFFFFFFFFFFFFFFC000003FF7E1", INIT_37 => X"FF0000000008FF7FFFFFFFFFFFFE7FFFFFFE00010001F7F0180FDC007FF83FFF", INIT_38 => X"FFFFFFFFFFFF3FFFFFFE0000000077E1000C00007FF03FFFFF8FFFFFFFFFFFFF", INIT_39 => X"FFFE5C00000037C100000000FFE07FFFFF3FFFFFFFFFFFFFE68000000009FE1F", INIT_3A => X"000380007FC0FFFFFC7FFFFFFFFFFFFFFE8000000001FFFFFFFFFFFFFECE07FF", INIT_3B => X"F0FFFFFFFFFFFFFFFC0000000003FFFFFFFFFFFFFF8383FFFFFE0C00600003C0", INIT_3C => X"F00300000207FFFFFFFFFFFFFFE3C1FFFFF30400F80003F0000380207F01FFFF", INIT_3D => X"FFFFFFFFFFE3F1FFFFF78400F80E01F000001824FE01FFFFC3FFFFFFFFFFFFFF", WRITE_MODE_B => "WRITE_FIRST", INIT_3E => X"FFFF85C0FC0F00F000201000FC01FFFF87FFFFFFFFFFFFFF80030000020FFFFF", SIM_COLLISION_CHECK => "NONE", INIT_A => X"0", INIT_B => X"0", WRITE_MODE_A => "WRITE_FIRST", INIT_3F => X"00381801F801FFFC0FFFFFFFFFFFFFFF80000000000FFFFFFFFFFFFFFF823001" ) port map ( CLKA => clka, CLKB => BU2_doutb(0), ENA => BU2_U0_blk_mem_generator_valid_cstr_ram_ena0, ENB => BU2_doutb(0), SSRA => BU2_doutb(0), SSRB => BU2_doutb(0), WEA => BU2_doutb(0), WEB => BU2_doutb(0), ADDRA(13) => addra_6(13), ADDRA(12) => addra_6(12), ADDRA(11) => addra_6(11), ADDRA(10) => addra_6(10), ADDRA(9) => addra_6(9), ADDRA(8) => addra_6(8), ADDRA(7) => addra_6(7), ADDRA(6) => addra_6(6), ADDRA(5) => addra_6(5), ADDRA(4) => addra_6(4), ADDRA(3) => addra_6(3), ADDRA(2) => addra_6(2), ADDRA(1) => addra_6(1), ADDRA(0) => addra_6(0), ADDRB(13) => BU2_doutb(0), ADDRB(12) => BU2_doutb(0), ADDRB(11) => BU2_doutb(0), ADDRB(10) => BU2_doutb(0), ADDRB(9) => BU2_doutb(0), ADDRB(8) => BU2_doutb(0), ADDRB(7) => BU2_doutb(0), ADDRB(6) => BU2_doutb(0), ADDRB(5) => BU2_doutb(0), ADDRB(4) => BU2_doutb(0), ADDRB(3) => BU2_doutb(0), ADDRB(2) => BU2_doutb(0), ADDRB(1) => BU2_doutb(0), ADDRB(0) => BU2_doutb(0), DIA(0) => BU2_doutb(0), DIB(0) => BU2_doutb(0), DOA(0) => BU2_U0_blk_mem_generator_valid_cstr_ram_douta20, DOB(0) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_21_ram_r_v2_init_ram_dp1x1_ram_DOB_0_UNCONNECTED ); BU2_U0_blk_mem_generator_valid_cstr_ramloop_22_ram_r_v2_init_ram_dp1x1_ram : RAMB16_S1_S1 generic map( SRVAL_A => X"0", SRVAL_B => X"0", INIT_00 => X"1FFFFFFFFFFFFFFF80000000007FFFFFFFFFFFFFFFF800007FFF83FF0C1F00E0", INIT_01 => X"80000000007FFFFFFFFFFFFFFFF800063FFF03FF003F83C0383C0C03F803FFF8", INIT_02 => X"FFFFFFFFFFFF841F87E000BBC07F8180783E18007C07FFE07FFFFFFFFFFFFFFF", INIT_03 => X"0081009BF87F8180F83C30003E07FF80FFFFFFFFFFFFFFFF800000190E7FFFFF", INIT_04 => X"FC3C2000000FFF01FFFFFFFFFFFFFFFF8000033C077FFFFFFFFFFFFFFFFFFF1F", INIT_05 => X"FFFFFFFFFFFFFFFF80000100077FFFFFFFFFFFFFFFFFFF0800010293FC1F8981", INIT_06 => X"80000000FF7FFFFFFFFFFFFFFFFFFF800000009DFC1E1D91FFBFC00E00FFFC03", INIT_07 => X"FFFFFFFFFFFFFF800004009FFC3E3D98FFFFC0078CFFF88FFFFFFFFFFFFFFFFF", INIT_08 => X"000000BF1E3E7C98FFBFC007D8FFF01FFFFFFFFFFFFFFFFF80000001FFFFFFFF", INIT_09 => X"7F3F870FC0FFC03FFFFFFFFFFFFFFFFF80000001FFFFFFFFFFFFFFFFFFFFFFC0", INIT_0A => X"FFFFFFFFFFFFFFFF80000083FFFFFFFFFFFFFFFFFFFFFFFFC000007FFE1E3C98", INIT_0B => X"800001CFFFFFFFFFFFFFFFFFFFFFFFFFE000007FFE061C807A3F0F0F0C0F00FF", INIT_0C => X"FFFFFFFFFFFFFFFFF000008FF0071C807CB70E0F1F0607FFFFFFFFFFFFFFFFFF", INIT_0D => X"F8000003E0F38C00FEB6060C1F800FFFFFFFFFFFFFFFFFFF800003FFFFFFFFFF", INIT_0E => X"FEFE360D3F80F87FFFFFFFFFFFFFFFFF80000FFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_0F => X"FFC7FFFFFFFFFFFF8007FFFFFFFFFFFFFFFFFFFFFFFFFFFFFC000101E3F1C801", INIT_10 => X"803FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE0003C00FF98C07FEFE7C193F03C007", INIT_11 => X"FFFFFFFFFFFFFFFFFF8003C00FFFC0060FFFBC1C7807C001FF83FFFFFFFFFFFF", INIT_12 => X"FFE003800FFEE00C0FFFFC1CC03FE0000203FFFFFFFFFF8F80FFFFFFFFFFFFFF", INIT_13 => X"EF6FC01F80FFF01200C7FFFFFFFFFF1F83FFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_14 => X"01FFFFFFFFFFFC339FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF7F807C00FE0F07D", INIT_15 => X"BFFFF9FFFFFFFFFFFFFFFFFFFFFFFFFFFFFC0FC00FC0787DFF6FC00E03FFF8F8", INIT_16 => X"FFFFFFFFFFFFFFFFFDFC04E6060E78F9FF3790000FFFF9C01FFFFFFFFFFFFFFF", INIT_17 => X"0DF8004F001FFFE1FF73B8003FFFF1C01FBFFFFFFFFFFFFFFFFFE3FFFFFFFFFF", INIT_18 => X"CE633800FFFFE3001F7FFFFFFFFF3FFFFFFFC3FFFFFFFFF1FFFFFFFFFFFFFFFE", INIT_19 => X"08FFFFFFFFF03E7FFFE781F7FFFFFFDFFFFFFFFFFFFFFFFC00E0000F00FFFFE3", INIT_1A => X"FF8780F7FFFFFFFFFFFFFFFFFFFFFFFC0440000681FFFFF78E633801FFF30003", INIT_1B => X"FFFFFFFFFFFFFFE07E00000001F87FFE1F673803FFE0207781FFFFFFFF0003FF", INIT_1C => X"FE40000001FCFFFE3FFF7007FFE000E3F1FFFFFFFF001FFFFF07007FFFFFFFFF", INIT_1D => X"1BFFE0FFFFF001C2F9FFFFFFFC003FFFFF4E407FFFFFFFFFFFFFFFFFFFFFFF0F", INIT_1E => X"F0FFFFFFE0039FFFFFFCE0FFFFFFFFFFFFFFFFFFFFFFCFFFFFE0000000FFCF3F", INIT_1F => X"FFF0C17FFFFFFBFFFFFFFFFFFFFF8FFFFFE00000007F8F1018FFE1FFFFF403CF", INIT_20 => X"FFFFFFFFFFFFFFFFFFC000000C202600C0E7E3FFFFF001FFE1FFFFFFC0071FFF", INIT_21 => X"FFCE00000C00263DC0E3EFFFFFE080FFE0FFFFFFF8007FFFFFE1807FFFFFFFFF", INIT_22 => X"C1E3FFFFFFC0000300FFFF007FC03FFFFF8180FFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_23 => X"01FE8003FFE03FFFFF00C1F3FFFFFFFFFFFFFFFFFFFFFFFFFF9E0E001C07E07F", INIT_24 => X"E000C3F3FFFFFFFFFFFFFFFFFFFFFFFFFFFE0E00080FE0FC0FC1FFFFFF0001F0", INIT_25 => X"FFFFFFFFFFFFFFFFFFFC0000011FF0FE1FC1FFFFC00103FC01F0001FFFE0FFFF", INIT_26 => X"FFFE0000003FF0781FE3FFFC003FC3FF03E000FFFFF7FFFF800601E1FFFFFFFF", INIT_27 => X"3FE7FFF8007FFFFF87E003FFFFFFFFFF800E01C7FFFFFFFFFFFFFFFFFFFFFFFF", INIT_28 => X"C040C7FFFFFFFFFF800C001FFFFFFFFFFFFFFFFFFFFFFFFFFFFE000001EFC018", INIT_29 => X"A060003FFFFFFFFFFFFFFFFFFFFFFFFFFFFFCE0001C7C00C3FEFFFF800FFFFFF", INIT_2A => X"FFFFFFFFFFFFFFFFFFF9FE000007841C3FFFFFC003FFFFFFF0001FFFFFFFFFFF", INIT_2B => X"FFFBFE000000001C3FFFFF800FFFFFFFC0003FFFFFFFFFFFB800003FFFF01E07", INIT_2C => X"3FFFFF03FFFFFFFF00003FFFFFFFFFFFA0003807FFE00007FFFFFFFFFFFFFFFF", INIT_2D => X"00007FFFFFFFFFFF8C003C03FF00000FFFFFFFFFFFFFFFFFFFFFFC00001C0010", INIT_2E => X"88803C1FFC00003E9FFFFFFFFFFFFFFFFFFFFC000C1C00003FF8C3EFFFFFFFF0", INIT_2F => X"077FFFFFFFFFFFF7FFFFFC00000000007FF803FFFFFFFC0006307FFFFFFFFFFF", INIT_30 => X"FFFFFFC0000000007FDC87FFFFFFA0003FF87FFFFFFFFFFF8007FCFFF000007F", INIT_31 => X"78C7FFFFFFFC40007FDC7FFFFFFFFFFF800FFFFFE00000FF003FFFFFFFFFFFEF", INIT_32 => X"FF847FFFFFFFFFFF903FFFFF800003F003FFFFFFFFFFFFFFFFFFFE0080000000", INIT_33 => X"81FFFFFF80001FC001FFFFFFFFFFFF9FFFFFFC01800000000007FFFFFFE0001F", INIT_34 => X"003FFFFFFFFFFF7FFFFFFC03868000000003FFFFFF8000FFFF803FFFFFFFFFFF", INIT_35 => X"FFFFFC01870300000007FFFFFE001FFFFF803FFFFFFFFFFF81FFFFFC01607F00", INIT_36 => X"0007FFFF8007FFFFFF001FFFFFFFFFFF80FFFFF001C1FF0000DFFFFFFFFFFFFF", INIT_37 => X"FF001FFFFFF3FFFF80FFFFE00001FF0003FFFFFFFFFFFDFFFFFFFC000103E000", INIT_38 => X"F003DFC00003FF0003FFFFFFFFFFFFFFFFFFFE00000FC000001B079E001FFFFF", INIT_39 => X"07FFFFFFFFFFFFFFFFFFFE003C0F8000003F1C0F83FFFFFFFE040FFFFFC1FFFF", INIT_3A => X"FFFFE7007E000000001FFF9FE38FFFFFFF4C0FFFFFE1FFFFF01F9F80100FFF00", INIT_3B => X"0003FFFFFF8FFFFFFEE007FFFFF9FFFFF03C0E00003FFE000FFFFFFFFFFFFFFF", INIT_3C => X"F06007FFFFFFC07FF03C0000003FE000FFFFFFFFFFFFFFFFFFFFE70038000001", INIT_3D => X"BC780006007FE807FFFFFFFFFFFFFFFFFFFFE700300020030018FFFFFF83FFFF", WRITE_MODE_B => "WRITE_FIRST", INIT_3E => X"FFFFFFFFFFFFFFFFFFFFFE00710080020004FFFFFF81FFFBC00003F03FFF801F", SIM_COLLISION_CHECK => "NONE", INIT_A => X"0", INIT_B => X"0", WRITE_MODE_A => "WRITE_FIRST", INIT_3F => X"FFFFFF007800800000007FFFFF80FFF1006003E03FFE0013BF000006007FE01F" ) port map ( CLKA => clka, CLKB => BU2_doutb(0), ENA => BU2_U0_blk_mem_generator_valid_cstr_ram_ena1_2, ENB => BU2_doutb(0), SSRA => BU2_doutb(0), SSRB => BU2_doutb(0), WEA => BU2_doutb(0), WEB => BU2_doutb(0), ADDRA(13) => addra_6(13), ADDRA(12) => addra_6(12), ADDRA(11) => addra_6(11), ADDRA(10) => addra_6(10), ADDRA(9) => addra_6(9), ADDRA(8) => addra_6(8), ADDRA(7) => addra_6(7), ADDRA(6) => addra_6(6), ADDRA(5) => addra_6(5), ADDRA(4) => addra_6(4), ADDRA(3) => addra_6(3), ADDRA(2) => addra_6(2), ADDRA(1) => addra_6(1), ADDRA(0) => addra_6(0), ADDRB(13) => BU2_doutb(0), ADDRB(12) => BU2_doutb(0), ADDRB(11) => BU2_doutb(0), ADDRB(10) => BU2_doutb(0), ADDRB(9) => BU2_doutb(0), ADDRB(8) => BU2_doutb(0), ADDRB(7) => BU2_doutb(0), ADDRB(6) => BU2_doutb(0), ADDRB(5) => BU2_doutb(0), ADDRB(4) => BU2_doutb(0), ADDRB(3) => BU2_doutb(0), ADDRB(2) => BU2_doutb(0), ADDRB(1) => BU2_doutb(0), ADDRB(0) => BU2_doutb(0), DIA(0) => BU2_doutb(0), DIB(0) => BU2_doutb(0), DOA(0) => BU2_U0_blk_mem_generator_valid_cstr_ram_douta21, DOB(0) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_22_ram_r_v2_init_ram_dp1x1_ram_DOB_0_UNCONNECTED ); BU2_U0_blk_mem_generator_valid_cstr_ramloop_23_ram_r_v2_init_ram_dp1x1_ram : RAMB16_S1_S1 generic map( INIT_3B => X"FFFE0FFF800000027FDFF807FFFC0FFE1C7C7FFFFC000FFFF030FFFFFE0CFFFE", WRITE_MODE_B => "WRITE_FIRST", INIT_A => X"0", INIT_B => X"0", WRITE_MODE_A => "WRITE_FIRST", INIT_3A => X"01F8FFFF0003FFF33FFF0FFF98000003FF1FF88FFFFC0FFE1C68FFFFF00FFFFF", INIT_3E => X"C0FF0FFFF0F9FFFF0171FFF80003FFE1FFF01FFDE00000007FFFF8E3FFFF1FFF", SIM_COLLISION_CHECK => "NONE", INIT_3C => X"7FFFF8C7FFFF0FFF08783FFFFF0003FFE0FCFFFFFE19FFFE01F8FFFE0003FFF3", INIT_3D => X"80F03FFFFFC001DFC0FE1FFFFCF9FFFF01F1FFFC0007FFF3FFF80FFFC0000000", SRVAL_A => X"0", SRVAL_B => X"0", INIT_00 => X"000007FFFFFE7FF0006103871FFC00138E000002007FE0FFFFFFFFFFFFFFFFFF", INIT_01 => X"01E1A00F9FE000018000000000FE0FFFFFFFFFFFFFFFFFFFFFFFFFE07C3C0100", INIT_02 => X"80380000007E0FFFFFFFFFFFFFFFFFFFFFFFFFE03C3C0380038007FFFFFFFE00", INIT_03 => X"FFFFFFFFFFFFFFFFFFFFFF803C380388C7C0E3FFFFFFFC0003C0F03FFE000103", INIT_04 => X"FFFFFFC01800001CC3C0F3FDFFFF2000030000F0FC00000380100000E7FF07FF", INIT_05 => X"E17CFBFFFC4000000C0000001000000391800001EFFC7FFFFFFFFFFFFFFFFFFF", INIT_06 => X"3C00000000000073F1800003C7FDFFFFFFFFFFFFFFFFFFFFFFFFFFC04000001F", INIT_07 => X"91800003C7FDFFFFFFFFFFFFFFFFFFFFFFFFFFC5CC00003FE0707B9C60030004", INIT_08 => X"FFFFFFFFFFFFFFFFFFFDFF871C18007FFF0E1700000003DE4800000000000007", INIT_09 => X"FFFFFF9F0E3FE07FFF8F8F00000007FE400C00000000000FE7030007FFFFFFFF", INIT_0A => X"FF9FCF800001EFFFE00E000003E0000FE003800FFFFFFFFFFFFFFFFFFFFFFFFF", INIT_0B => X"E00F00000000007FE003103FFF9FFFFFFFFFFFFFFFFFFFFFFFFFFFFF9EFFF0FF", INIT_0C => X"E031F1FFFFFFFFFFFFCFFFFFFFFFFFFFFFFFFFFFFFF3F3FFFF7F83F00003E7FF", INIT_0D => X"FFFFFFFFFF7FFFFFFFFFFFFFFFF3C3FFFFFF33E00007E7FFC00F000000000007", INIT_0E => X"FFEFFFFFFFFFC7FFFFFE7B00000FE7FFC000020000000001C079E1FFFFFFFFFF", INIT_0F => X"FFFFF800003FE7FF8007810000000001C0FFC3FFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_10 => X"C003C00000000001C3FF9FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF7FFFFC7FF", INIT_11 => X"FFFF1FFFFFFFFFFFF1FFFFFFFFFFFFFFFFFFFFC7FFFFCFFFFFFFF80003FE0FFF", INIT_12 => X"C1FFFFFFFFFFFFFFFFFFFFC3FFFFCFFFFFFFFC0001FC1FFF8003F80000000001", INIT_13 => X"FFFFFF83FFFBCFFFFFFFFE0001FD9FFE0043800000000003FFFE07FFFFFFFFFF", INIT_14 => X"FDFFFF0000FC0FF800E00000000000F3FFFC0FFFFFFFFFFC01FFFFFFFFFFFFFF", INIT_15 => X"00700600000000C1FFF80FFFFFFFFFF007FFFFFFFFFFFFFFFFFFFF03FFC18FFF", INIT_16 => X"FFF07FFFFFFFFF8007FFFFFFFFFFFFFFFFFFFE0BFFC70FFFFCFFFF00003F0D60", INIT_17 => X"0FFFFFFFDFFFFFFFFFFFFE0FFFCFE7FFFE7FFF00001F98600000000000000001", INIT_18 => X"FF7FFC03FFCBFFFFFF3FFF00000FFCF00000000000000001FFF07FFFFFFEF000", INIT_19 => X"FF1FFF000103FFFF0001000000000001FFE0FFFFFFFFE0001FFFFFFFFFFFFFFF", INIT_1A => X"C000000000000003FFE0FFFFFFFFC0003FFFFFFFFFFFFFFFFEFFF801FFD9FFFF", INIT_1B => X"FFFCFFFFFFFFE0003FFFFFFFFFFFFFFFFEFFF803FF99CFFFFF1FFF000383FFFF", INIT_1C => X"7FFFFFFFFFFFF3FFFCFFFC77FF19C7FFFC0BFF9E00C0FFFFF0000003FE20001F", INIT_1D => X"FCFFFC7FFE11C3FFFC03FFBE00201FFFF0004003FFFC001FFFF8FFFFFFFFFB00", INIT_1E => X"FC63FF3C00380FFFFE0000000FFFE01FFFF8FFFFFFFFFF807FFBFFFFFFFFFFFF", INIT_1F => X"FE00006007FFE03FFFF8FFFFFFFFFF8FFFFFFFFFFFFFFFFFFDFFFCFFFE31C3FF", INIT_20 => X"FFF0FFFFFFFFFF9FFFFFFFEFFFFFFFFFFFFFFC7FDC33C1FFFFE7FF7C000E0FFF", INIT_21 => X"FFFFFFEFFFFFFFFFFFFFF87FCE07C3FFFFFFFEFC04070FFFFF0F0033C0FFFC1F", INIT_22 => X"FFFFF87F8707C3FFFFFFFEFE040993FFFF0F807FFC3FFC0FFFE1FFFFFFFFFF1F", INIT_23 => X"FFFFFFFE0024D9FFFFFF803FFFFFFC07FFE1FFFFFFFFFE1FFFFFFFDFFFFFFFFF", INIT_24 => X"FFFF8001FFFFFF07FFE3FFFEFFFFFFFFFFFFFFDFFFFFFFFFFFFFF0FF0727C3FF", INIT_25 => X"FF87FFFEBFF8FFFEFFFFFFFFFFFFFFFFFFFFF1F8072FE3FFFFFF3FFF4074ECFF", INIT_26 => X"7EFFFFFFFFFC7FFFFFFFF0F0800FE3FFFFFF0FEFC17C663FFFFFE601FFFFFF0F", INIT_27 => X"FFFFF800801FE3FFFFFB07C7C06073C7FFFFFF11FFFFFFFFF80FFFFE1FC3FFFF", INIT_28 => X"FFFB8013C0E03877FFFFFFF0FFFFFFFFF03F003F1F03FFFFF8FFEFFFFFF07FFF", INIT_29 => X"FFFFFFF07FFFFFFFE1F8338F8107FFFF71FFE0FFFFC03FFFFFFFFE01001FC1FF", INIT_2A => X"E7E07FC33C0FFE1F0077F0FFFF001FFFFFFFFFF9001FC1FFFFF9C003C0E01871", INIT_2B => X"8000F0FFFE001FFFFFFFFFFC003FC1FFFFFFE207E06304F8FFFFF1F07FFFFFFF", INIT_2C => X"FFFFFFFC003FC0FFFFFEE00FFDE244DCFFFFF1807FFFFFFFC7C1FFC03C07FE3F", INIT_2D => X"FFFF703FFC80401C3FFFFBDF7FFFFFFF870FFFF7C800FE3F0000F1FFFE007FFF", INIT_2E => X"1FFFFF9F7FFFFFFF803FFFFFC080FC7F06C3FFFFFE00FFFFFFFFFFFE00BFC37F", INIT_2F => X"807FFFFFC1C0F0FF06FFFFFFFC007FFFFFFFFFFE00BFC07FFFFF30FFFE00403C", INIT_30 => X"0EFF0FFFF8007FFFFFFFFC7F009FC07FFFFF387FFF000E381FFFF91F7FFFFFFF", INIT_31 => X"FFFFF87F01DFE03FFFFF3C7FFF81CF0F0FFFFF1C3FFFFFFF80071FFFC1C0E0FE", INIT_32 => X"FFFF84FFFFC3EF07C7FFFF9F3FFFFFFF80001FFFF3E0CDFF0EFF0FFFF800FFFF", INIT_33 => X"E0FFFFFFFFFFFFFF80000FFFFFFCDBFE08FFCFFFC000FFFFFFFFF07F006FE01F", INIT_34 => X"88000700F8FC27FE08CFBFFFC001FFFFFEFFE07F0067C00CFBFFC67FFFC7FF87", INIT_35 => X"088F3FFFC001FFFFF0FFC0FF0007C01FF9FFEF7FFFC7FFC0601FFFFCBFFFFFFF", INIT_36 => X"E1FFC1FE000FE01FFC7FFF1FFFC7FFE0300FFFFE1FFFFFFF80000000000E0FFE", INIT_37 => X"FE1FFF0FFF83FFE01007FFFF87FFFFFF8000003F00029FFE00CF3FFF8001FFFB", INIT_38 => X"1801FFFFC0FFFFFFE000FFFFF0003FFE01FF7FFF0001FFFBC7FF83FE0007E00F", INIT_39 => X"F000FFFFFC007FFE01FC7FFF0001FFFBDFFF87FE0000C0037F1FFF0FFF9BFFF0", INIT_3F => X"2271FFF80803FFC1FFF01FFE300000007FFFFC61FFFF8FFFC0F3FFFFFFE001DF" ) port map ( CLKA => clka, CLKB => BU2_doutb(0), ENA => BU2_U0_blk_mem_generator_valid_cstr_ram_ena12, ENB => BU2_doutb(0), SSRA => BU2_doutb(0), SSRB => BU2_doutb(0), WEA => BU2_doutb(0), WEB => BU2_doutb(0), ADDRA(13) => addra_6(13), ADDRA(12) => addra_6(12), ADDRA(11) => addra_6(11), ADDRA(10) => addra_6(10), ADDRA(9) => addra_6(9), ADDRA(8) => addra_6(8), ADDRA(7) => addra_6(7), ADDRA(6) => addra_6(6), ADDRA(5) => addra_6(5), ADDRA(4) => addra_6(4), ADDRA(3) => addra_6(3), ADDRA(2) => addra_6(2), ADDRA(1) => addra_6(1), ADDRA(0) => addra_6(0), ADDRB(13) => BU2_doutb(0), ADDRB(12) => BU2_doutb(0), ADDRB(11) => BU2_doutb(0), ADDRB(10) => BU2_doutb(0), ADDRB(9) => BU2_doutb(0), ADDRB(8) => BU2_doutb(0), ADDRB(7) => BU2_doutb(0), ADDRB(6) => BU2_doutb(0), ADDRB(5) => BU2_doutb(0), ADDRB(4) => BU2_doutb(0), ADDRB(3) => BU2_doutb(0), ADDRB(2) => BU2_doutb(0), ADDRB(1) => BU2_doutb(0), ADDRB(0) => BU2_doutb(0), DIA(0) => BU2_doutb(0), DIB(0) => BU2_doutb(0), DOA(0) => BU2_U0_blk_mem_generator_valid_cstr_ram_douta22, DOB(0) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_23_ram_r_v2_init_ram_dp1x1_ram_DOB_0_UNCONNECTED ); BU2_U0_blk_mem_generator_valid_cstr_ramloop_24_ram_r_v2_init_ram_dp2x2_ram : RAMB16_S2_S2 generic map( WRITE_MODE_B => "WRITE_FIRST", WRITE_MODE_A => "WRITE_FIRST", INIT_B => X"0", INIT_A => X"0", SIM_COLLISION_CHECK => "NONE", INIT_3E => X"FFFFEAAAAAAAAAAAFFFFFFFFFFFFFAAAAAAAAAA955555555556BFFFFAAAAFFFF", SRVAL_A => X"0", INIT_3D => X"EAAAFFFFFFFAAABFFFFFFFFAAA955555515AAFFFFFFFFFFFAAAAAFFFFFFFFFFF", INIT_3C => X"FFFEAAAAAAFFFFFFFFFFFFFFFFFFFFFFFFFFFEAAAAAAAAAABFFFFFFFFFFFFAAA", INIT_3B => X"AAAAAAA555555556A9ABFFFFAAAAAFFFEAAAFFFFFFFEAABFFFFFFFFEAA555555", INIT_3A => X"555AFFFFFFFFFFFEBEAABFFFFFFFFFFFFFFAAAAAABFFFFFFFFFFFFFFFFFFFFFF", INIT_39 => X"FFFFFFAAAAAAAAAAAFFFFFFFFFFFFAAAAAAAAAA55555555AAAABFFFFEAAAAFFF", INIT_38 => X"EAAAFFFFFFFEAABFFFFFFFFEAA55555556ABFFFFFFFFFFFABEAABFFFFFFFFFFF", INIT_37 => X"FFEAAAAAABEAFFFFFFFFFFFFFFFFFFFFFFFFFFAAAAAAAAAAAFFFFFFFFFFFFAAA", INIT_36 => X"AAAAAAA555555556AAABFFFFFAAEABFFFAAABFFFFFFFAAFFFFFFFFFAAA555555", INIT_35 => X"5AABFFFFFFFFFFFABEAABFFFFFFFFFFFAAAAAAAAAFEAFFFFFFFFFFFFFFFFFFFF", INIT_34 => X"FFFFFFAAAAAAAAAAABFFFFFFFFFFFAAAAAAAAFE955555555AAAFFFFFFAABABFF", INIT_33 => X"FAAABFFFFFFFBAFFFFFFFFEAA95555555AABFFFFFFFFFFEABABEAFFFFFFFFFFF", INIT_32 => X"AAAAAAAAAFFFFFFFFFFFFFFFFFFFFFFFFFFFFFAAAAAAAAAAAAFFFFFFFFFFFAA5", INIT_31 => X"6AAAAFFAA5555555AAAFFFFFFEAFFFFFFEAABFFFFFFFFFFFFFFFFFEAA9555555", INIT_30 => X"6AAAFFFFFFFFFFAAAABEAFFFFFFFFFFEAAAAAAAAAABFFFFFFFFFFFFFFFFFFFFF", INIT_2F => X"FFFFFFAAAAAAAAAAAAAFFFFFFFFFFEA5AAAABFFE955A95A5AAAFEFFFFEABFFFF", INIT_2E => X"FEAAAFFFFFFFEFFFFFFFFFE9A55555556AAAFFFFFFFFFFAAAABEAFFFFFFFFFAA", INIT_2D => X"9AAAA6AAAAAFFFFFFFFFFFFFFFFFFFFFFFFFFFAAAAFAAAAAAAABFFFFFFFFFEA5", INIT_2C => X"AAAABFFFA5AA956AAAAFEFFFFEAAFFFFFEAAAAFFFFFFEFFFFFFFFFAAA5555556", INIT_2B => X"6AAFFFFFFFFFFEBEAABEAFFFFFFFFAAA96AA96AAAAAFFFFFFFFFFFFFFFFFFFFF", INIT_2A => X"FFFFFFEAAAFAAAAAAAABFFFFFFFFFFAAAAAABFFFEAAA9556AAAFBFFFFA9AFFFF", INIT_29 => X"FEAAAAFFFFFFEFFFFFFFFEAAA56A5556AAFFFFFFFFFFFABEAAFEAFFFFFFFEAA9", INIT_28 => X"5AAAAAAAAABFFFFFFFFFFFFFFFFFFFFFFFFFFFFFAAFEAAAAAAAAFFFFFFFFFFAA", INIT_27 => X"AAAABFFFFAAA9555AAAFFFFFFE9BFFFFFEAAAAFFFFFFEFFFFFFFFAAAA96A555A", INIT_26 => X"AAFFFFFFFFFFEAEAABFFAFFFFFFFEAAA5A96AAAAABFFFFFFFFFFFFFFFFFFFFFF", INIT_25 => X"FFFFFFFFAAAFEAAAAAAABFFFFFFFFFEA9AAABFFFFEAAA955AAAFFFFFFF9BFFFF", INIT_24 => X"FEAAAAFFFFFFEFFFFFFFEAAAA969556AAAFFFFFFFFFFEBEAAFFFAFFFFFFEAAAA", INIT_23 => X"6A56AAAAAFFFFFFFFFFFFFFFFFFFFFFBFFFFFFFFAAABFAAAAAAAAFFFFFFFFFFA", INIT_22 => X"96AABFFFFEAAAA55AAABFFFFFFEBFFFFFFAAAAFFFFFFFFFFFFFFEAAAA9A955AA", INIT_21 => X"ABFFFFFFFFFFABFAAFFFBFFFFFFAAA55A556AAAAAFFFFFFFFFFFFFFFFFFFFFEB", INIT_20 => X"FFFFFFFFAAABFAAAAAAAAFFFFFFFFFFE96AAAFFFFEAAAA95AAAABFFFFFEAFFFF", INIT_1F => X"FFEAAABFFFFFFEFFFFFFEAAAAAAAAAAAABFFFFFFFFFFAFFAABFFFFFFFFEAA969", INIT_1E => X"955AAAAAAFFFFFFFFFFFFFFFFFFFEAABFFFFFFFFAAAAEAAAAAAAAFFFFFFFFFFE", INIT_1D => X"96AAAFFFFFFFAAA5AAAAAFFFFFFAFFFFFFFAAAAFFFFFFEFFFFFFAAAAAAAAAAAA", INIT_1C => X"ABFFFFFFFFFEAFFAABFFFFFFFFEAAAAA55AAAAAAAFFFFFFFFFFFFFFFFFFFAAAB", INIT_1B => X"FFFFFFFEAAABAAAAAAAAAFFFFFFFFFFE96AAABFFFFFFAAAAAAAAAFFFFFFAFFFF", INIT_1A => X"FFFAAAAFFFFFFEFFFFFFAAAAAAAAAAAAABFFFFFFFFFAAFEAABFFFFFFFFE956AA", INIT_19 => X"6AAAAAA6AFFFFFFFFFFFFFFFFFFEAAABFFFFFFFEABFFFAAAAAAAAFFFFFFFFFFF", INIT_18 => X"A6AAABFFFFFFAAAAA6AAAFFFFFFABFFFFFFFAAAFFFFFFEFFFFFFAAAAAAAAAAAA", INIT_17 => X"AFFFFFFFFFFAAFAAABFFFFFFFFA95569AAAAAAA5AFFFFFFFFFFFFFFFFFFAAAAB", INIT_16 => X"FFFFFFFEAFFFFAAAAAAAAFFFFFFFFFFFA6AAAFFFFFFFAAAA96AAAFFFFFFEBFFF", INIT_15 => X"FFFFEAAFFFFFFEFFFFFAAAAAAAAAAAAABFFFFFFFFFFAAEAAAFFFFFFFFFA9555A", INIT_14 => X"AAAAAAAAAFFFFFFFFFFFFFFFFFEAAAABFFFFFFFFAFFFFFFAAAAAAFFFFFFFFFFF", INIT_13 => X"A6AAABFFFFFFAAAA95AAABFFFFFEBFFFFFFFEAAFFFFFFEFFFFEAAAAAAAAAAAAA", INIT_12 => X"BFFFFFFFFFEAFEAAAFFFFFFFFFA9555AAAAAAAAAAFFFFFFFFFFFFFFFFFFAAAAB", INIT_11 => X"FFFFFFFFFFFFFFFAAAAAAFFFFFFFFFFEA5AAAAFFFFFFAAAA956AABFFFFFEAFFF", INIT_10 => X"FFFFEAABFFFFFEFFFFAAAAAAAAAAAAAABFFFFFFFFFEBFAAAABFFFFFFFEAA555A", INIT_0F => X"AAAAAAAABFFFFFFFFFFFFFFFFFEAABABFFFFFFFFFFFFFFFEAAAAAFFFFFFFFFFE", INIT_0E => X"95AAAAFFFFFFEAAAA55AABFFFFFFAFFFFFFFEAAAFFFFFEFFFFAAAAAAAAAAAAAA", INIT_0D => X"BFFFFFFFFFABFABEAFFFFFFFFEAA6A5A56AAAAAAFFFFFFFFFFFFFFFFFFAAAFEB", INIT_0C => X"FFFFFFFFFFFFEBFFAAAAAFFFFFFFFFFE956AFABFFFFFFEAAA55AABFFFFFFEBFF", INIT_0B => X"FFFFFAAABFFFFEFFFFAAAAAAAAAAAAAABFFFFFFFFEABFAAFFFFFFFFFFEAAA955", INIT_0A => X"56AAAAABFFFFFFFFFFFFFFFFFEAAABABFFFFFFFFFFFFAAAFAAA9ABFFFFAFFFFE", INIT_09 => X"A5AAFAAFFFFFFFAAA55AABFFFFFFFAFFFFFFFAAABFFFFEFFFEAAAAAAAAAAAAAA", INIT_08 => X"BFFFFFFFFABEABEAFEFFFFFFFFAAA55556AAAAAFFFFFFFFFFFFFFFFFEAAFAAAB", INIT_07 => X"FEAFFFFFFFFFAAAAAAAAABFFFFFFFFFEAAAAAAAFFFFFFFEAA95AAAFFFFFFFAFF", INIT_06 => X"FFFFFAAAAFFFFAFFFAAAAAAAAAAAAAAABFFFFFFFFABEABEABFFFFFFFFFA95555", INIT_05 => X"5AAAAAAFFFFFFFFFFFFFFFFFAAFFEAABFAABFFFFFFFFFFEAAAAAAAFFFFFFFFFF", INIT_04 => X"A6AAAAAFFFFFFFAAA95AAABFFFFFFAFFFFFFFEAAAFFFFAFFEAAAAAAAAAAAAAAA", INIT_03 => X"BFFFFFFFFBFAAFAAFFFFFFFFFFA955555AAAAAAFFFFFFFFFFFFFFFFAAAFFAAAB", INIT_02 => X"FAAAFFFFABFFFFFAAAAA56BFFFFFFFFFA5AAAAAFFFFFFFAAA95AAABFFFFFFAFF", INIT_01 => X"FFFFFFAAABFFFFFEABAAAAAAAAAAAAAABFFFFFFAAFFABEABFFFFFFFFFEA55555", INIT_00 => X"5AAA96AFFFFFFFFFFFFFFFFAAAABAAAFFAAAFFFFAAFFFFFFFAA955AFFFFFFFFF", SRVAL_B => X"0", INIT_3F => X"5556ABFFFFFFFFFFAAAAABFFFFFFFFFFFFFEAAAAAAFFFFFFFFFFFFFFFFFFFFFF" ) port map ( CLKA => clka, CLKB => BU2_doutb(0), ENA => BU2_U0_blk_mem_generator_valid_cstr_ram_ena13, ENB => BU2_doutb(0), SSRA => BU2_doutb(0), SSRB => BU2_doutb(0), WEA => BU2_doutb(0), WEB => BU2_doutb(0), ADDRA(12) => addra_6(12), ADDRA(11) => addra_6(11), ADDRA(10) => addra_6(10), ADDRA(9) => addra_6(9), ADDRA(8) => addra_6(8), ADDRA(7) => addra_6(7), ADDRA(6) => addra_6(6), ADDRA(5) => addra_6(5), ADDRA(4) => addra_6(4), ADDRA(3) => addra_6(3), ADDRA(2) => addra_6(2), ADDRA(1) => addra_6(1), ADDRA(0) => addra_6(0), ADDRB(12) => BU2_doutb(0), ADDRB(11) => BU2_doutb(0), ADDRB(10) => BU2_doutb(0), ADDRB(9) => BU2_doutb(0), ADDRB(8) => BU2_doutb(0), ADDRB(7) => BU2_doutb(0), ADDRB(6) => BU2_doutb(0), ADDRB(5) => BU2_doutb(0), ADDRB(4) => BU2_doutb(0), ADDRB(3) => BU2_doutb(0), ADDRB(2) => BU2_doutb(0), ADDRB(1) => BU2_doutb(0), ADDRB(0) => BU2_doutb(0), DIA(1) => BU2_doutb(0), DIA(0) => BU2_doutb(0), DIB(1) => BU2_doutb(0), DIB(0) => BU2_doutb(0), DOA(1) => BU2_U0_blk_mem_generator_valid_cstr_ram_douta23(1), DOA(0) => BU2_U0_blk_mem_generator_valid_cstr_ram_douta23(0), DOB(1) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_24_ram_r_v2_init_ram_dp2x2_ram_DOB_1_UNCONNECTED, DOB(0) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_24_ram_r_v2_init_ram_dp2x2_ram_DOB_0_UNCONNECTED ); BU2_U0_blk_mem_generator_valid_cstr_ramloop_25_ram_r_v2_init_ram_dp1x1_ram : RAMB16_S1_S1 generic map( INIT_A => X"0", INIT_3C => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFDFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_32 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_2E => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_38 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_0F => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_13 => X"E0000007FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_35 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_10 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF800000103FFFFFFF", INIT_1C => X"FFFFFFFFFFFFFFFFFFFFFFF8FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_37 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_27 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_28 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_1F => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_20 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_B => X"0", INIT_0D => X"FFFFFFFFFFFFFFFF800000387FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_1E => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_1B => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0FFFFFFFFFFFFFFFFFFFFFFFF", INIT_23 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_30 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_2B => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_1D => X"FFFFFFF8FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_0A => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_29 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_26 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_04 => X"8000000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_14 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_3A => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_2F => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_0C => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF80000039FFFFFFFFFFFFFFFFFFFFFFFF", INIT_06 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF8000000FFFFFFFFF", INIT_2A => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_03 => X"FFFFFFFFFFFFFFFF8000007FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_39 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_31 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_09 => X"80000007FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", WRITE_MODE_A => "WRITE_FIRST", INIT_33 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_25 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_36 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_07 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF8000000FFFFFFFFFFFFFFFFFFFFFFFFF", INIT_12 => X"FFFFFFFFFFFFFFFF80000001FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", SIM_COLLISION_CHECK => "NONE", INIT_18 => X"FFFFF800FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_11 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF800000001FFFFFFFFFFFFFFFFFFFFFFF", INIT_0B => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF8000001FFFFFFFFF", SRVAL_A => X"0", INIT_3B => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_1A => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF01FFFFFFFF", INIT_16 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF80000FFFFFFFFFFFFFFFFFFFFFFFF", INIT_05 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_15 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF000001FFFFFFFF", INIT_19 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_0E => X"800000103FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_3E => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_24 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF3FFFFFFF", INIT_22 => X"FFFFFFFFBFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_08 => X"FFFFFFFFFFFFFFFF80000007FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_02 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF80000FFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_3D => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_01 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_34 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_2D => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_2C => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_17 => X"FFFFFFFFFFFFFFFFFFFFF000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_00 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", WRITE_MODE_B => "WRITE_FIRST", INIT_21 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", SRVAL_B => X"0", INIT_3F => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF" ) port map ( CLKA => clka, CLKB => BU2_doutb(0), ENA => BU2_U0_blk_mem_generator_valid_cstr_ram_ena, ENB => BU2_N1, SSRA => BU2_doutb(0), SSRB => BU2_doutb(0), WEA => BU2_doutb(0), WEB => BU2_doutb(0), ADDRA(13) => addra_6(13), ADDRA(12) => addra_6(12), ADDRA(11) => addra_6(11), ADDRA(10) => addra_6(10), ADDRA(9) => addra_6(9), ADDRA(8) => addra_6(8), ADDRA(7) => addra_6(7), ADDRA(6) => addra_6(6), ADDRA(5) => addra_6(5), ADDRA(4) => addra_6(4), ADDRA(3) => addra_6(3), ADDRA(2) => addra_6(2), ADDRA(1) => addra_6(1), ADDRA(0) => addra_6(0), ADDRB(13) => BU2_doutb(0), ADDRB(12) => BU2_doutb(0), ADDRB(11) => BU2_doutb(0), ADDRB(10) => BU2_doutb(0), ADDRB(9) => BU2_doutb(0), ADDRB(8) => BU2_doutb(0), ADDRB(7) => BU2_doutb(0), ADDRB(6) => BU2_doutb(0), ADDRB(5) => BU2_doutb(0), ADDRB(4) => BU2_doutb(0), ADDRB(3) => BU2_doutb(0), ADDRB(2) => BU2_doutb(0), ADDRB(1) => BU2_doutb(0), ADDRB(0) => BU2_doutb(0), DIA(0) => BU2_doutb(0), DIB(0) => BU2_doutb(0), DOA(0) => BU2_U0_blk_mem_generator_valid_cstr_ram_douta24, DOB(0) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_25_ram_r_v2_init_ram_dp1x1_ram_DOB_0_UNCONNECTED ); BU2_U0_blk_mem_generator_valid_cstr_ramloop_26_ram_r_v2_init_ram_dp1x1_ram : RAMB16_S1_S1 generic map( INIT_03 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_04 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_B => X"0", INIT_A => X"0", SIM_COLLISION_CHECK => "NONE", INIT_3E => X"FFFFFFFFFFFFFF0FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFCFFFFFDFFFFFF", INIT_01 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_3D => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFE0FFFFFFFFBFFFFFFFFFFFFFFFFFFFFFFFF", INIT_3C => X"8FFCFFFFFDFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC0FFFFFFFFFFFFFFFFF", INIT_3B => X"FFFFFFFFFFFFFFFF83FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC3F", INIT_3A => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF817FFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_39 => X"FFFFFFFFFFFFF83FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF997FFFFFFFF7FFFF", INIT_38 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFF81FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_37 => X"80FFFFFFFFF7FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF80FE7FFFFFFFFFFFFFF", INIT_36 => X"FFFFFFFFFFFFFFFF80FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF81E", INIT_35 => X"3FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF83FFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_34 => X"FFFFFFFFFFFFFC3C7FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF9FFFFFFFFFFFFFFF", INIT_33 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFC3CFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_32 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF8FE7EFFFFFFFFFFFFFFFF", INIT_31 => X"FFFFFFFFFFFFFFFFFFFFFFFFF8C67FFFFFFFFFFFFFFFFFFFFFFFFFFFFFE7FF3F", INIT_30 => X"F7FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF8FFFFFFFFFFFFFFFFFFFFFF", INIT_2F => X"FFFFFFFFFFFFFF0FF7FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0FFCFFF", INIT_2E => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFF83F7FFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_2D => X"FFFFFFFFF0E707FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF03FFFFFFFFFFFFFFFF", INIT_2C => X"FFFFFFFFFFFFFFFFFFFFFFFFF8C0FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF8F", INIT_2B => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF8F3FFFFFFFFFFFFFFFFFFFF", INIT_2A => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC3FFFFF", INIT_29 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_28 => X"FFFFFFFFFE197FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFCFFFFFFFFFFFFFFFF", INIT_27 => X"FFFFFFFFFFFFFFFFFF07FFFFFFFF0FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF3", INIT_26 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE003C3FFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_25 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC00307FFFFFFFFFF", INIT_24 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_23 => X"800000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_22 => X"FFFFFFFFFFFFFFFFC00000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_21 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC00000FFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_20 => X"FFFFFFFFFFFFFFEFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF80060FFFFFFFFFF", INIT_1F => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_1E => X"F00000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_1D => X"FFFFFFFFFFFFFFFF800000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_1C => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF800001FFFFFFCFFFFFFFFFFFFFFFFFFF", INIT_1B => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF8203FFFFFFFFCFFF", INIT_1A => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_19 => X"8787FFFFFFFF0FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFCFFFFFFFFFFFFFFFF", INIT_18 => X"FFFFFFFFFFFFFFFF8787FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_17 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF03FFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_16 => X"FFFFFFFFFFFFFE7FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF01FFFFFFFFFFFF", INIT_15 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFF3FF7FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_14 => X"FE01FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF3FF3FFFFFFFFFFFFFFF", INIT_13 => X"FFFFFFFFFFFFFFFFFE03FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_12 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_11 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_10 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_0F => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_0E => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_0D => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_0C => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_0B => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_0A => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_09 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_08 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_07 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_06 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", WRITE_MODE_A => "WRITE_FIRST", WRITE_MODE_B => "WRITE_FIRST", SRVAL_B => X"0", INIT_02 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", SRVAL_A => X"0", INIT_05 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_00 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_3F => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF" ) port map ( CLKA => clka, CLKB => BU2_doutb(0), ENA => BU2_U0_blk_mem_generator_valid_cstr_ram_ena0, ENB => BU2_doutb(0), SSRA => BU2_doutb(0), SSRB => BU2_doutb(0), WEA => BU2_doutb(0), WEB => BU2_doutb(0), ADDRA(13) => addra_6(13), ADDRA(12) => addra_6(12), ADDRA(11) => addra_6(11), ADDRA(10) => addra_6(10), ADDRA(9) => addra_6(9), ADDRA(8) => addra_6(8), ADDRA(7) => addra_6(7), ADDRA(6) => addra_6(6), ADDRA(5) => addra_6(5), ADDRA(4) => addra_6(4), ADDRA(3) => addra_6(3), ADDRA(2) => addra_6(2), ADDRA(1) => addra_6(1), ADDRA(0) => addra_6(0), ADDRB(13) => BU2_doutb(0), ADDRB(12) => BU2_doutb(0), ADDRB(11) => BU2_doutb(0), ADDRB(10) => BU2_doutb(0), ADDRB(9) => BU2_doutb(0), ADDRB(8) => BU2_doutb(0), ADDRB(7) => BU2_doutb(0), ADDRB(6) => BU2_doutb(0), ADDRB(5) => BU2_doutb(0), ADDRB(4) => BU2_doutb(0), ADDRB(3) => BU2_doutb(0), ADDRB(2) => BU2_doutb(0), ADDRB(1) => BU2_doutb(0), ADDRB(0) => BU2_doutb(0), DIA(0) => BU2_doutb(0), DIB(0) => BU2_doutb(0), DOA(0) => BU2_U0_blk_mem_generator_valid_cstr_ram_douta25, DOB(0) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_26_ram_r_v2_init_ram_dp1x1_ram_DOB_0_UNCONNECTED ); BU2_U0_blk_mem_generator_valid_cstr_ramloop_27_ram_r_v2_init_ram_dp1x1_ram : RAMB16_S1_S1 generic map( INIT_21 => X"FFFFFFFFFFFFDFFFFFFFFFFFFFFF7F001FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_06 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE7FFFFFFFFFFFFFFFFF", INIT_0E => X"FFFFFFFEFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", SRVAL_A => X"0", INIT_1A => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC", INIT_1F => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFBFC30", INIT_12 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_2C => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFDFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_38 => X"8FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_04 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFCC3FFFFFFFFFFFFFFFFFFFFFFFF", INIT_07 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFE7FFFFFFFFFF3FFFF7FFFFFFFFFFFFFFFFF", INIT_1C => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFF1C0FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_37 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_22 => X"FFFFFFFFFFFFFFFCFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_30 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_2A => X"FFFFFFFFFFFFFFFFFFFFFFFFFFF87FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_2D => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE3FFFF", INIT_0C => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFF7FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_09 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_2B => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC7FFFFFFFFFFFFFF", INIT_32 => X"FFFFFFFFFFFFFFFFEFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_24 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF01FFFFFFFFFFFFFFFFFFF", INIT_16 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE3FFFFFFFFFFFFFFFFF", INIT_13 => X"FFFFFFFFFFFFFFEDFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_0D => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_2F => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_2E => X"FF7FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF3E3FFFFFFFFFFFFFFFFFFFF", INIT_1B => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFDF887FFFFFFFFFFFFFFF", INIT_35 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE9FFFFF", INIT_34 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", SRVAL_B => X"0", INIT_18 => X"FFFFFFFFFFFFFCFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_10 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFEFFFFFFFF", INIT_20 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFDFFFFFFFFFFFFFFFFE001FFFFFFFFFFFFFFF", INIT_39 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_02 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFE7FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_19 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_11 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_1D => X"FFFFFFFFFFFFFE3D07FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_23 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF81FFF", INIT_01 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC3FFFFFFFFFFFFFFFFF", INIT_17 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFE3FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_0F => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_08 => X"FFFFFFFFFFFFFF7FFFFFFFFFE7FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_36 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE3FFFFFFFFFFFFFFFFFFFFF", INIT_26 => X"FFFFFFFFFFC00FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_14 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_33 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_05 => X"FFFFFFFFFFFFFFFFFFFFFEFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE7F", INIT_28 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE103FFF", INIT_29 => X"DFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE383FFFFFFFFFFFFFFFFFFF", INIT_31 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_03 => X"FFFFFFFFFFFFFE7FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE6FFFFFFFF", INIT_0B => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF7FFFFFFFFFFFFFFFFF", INIT_0A => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF7F", INIT_27 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_00 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF1F", INIT_1E => X"0FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_25 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFE00FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_15 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF07", INIT_3A => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF8FFFFFFFEFFFFFFF", INIT_3B => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF8FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_3C => X"FFFFFFFFFFFFFFFF8FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_3D => X"C3FFFFF9FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", WRITE_MODE_B => "WRITE_FIRST", INIT_3E => X"FFFFFFFFFFFFFFFFFFFFFFFFFEFF7FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", SIM_COLLISION_CHECK => "NONE", INIT_A => X"0", INIT_B => X"0", WRITE_MODE_A => "WRITE_FIRST", INIT_3F => X"FFFFFFFFFFFF7FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC0FFFFF9FFFFFFFF" ) port map ( CLKA => clka, CLKB => BU2_doutb(0), ENA => BU2_U0_blk_mem_generator_valid_cstr_ram_ena1_2, ENB => BU2_doutb(0), SSRA => BU2_doutb(0), SSRB => BU2_doutb(0), WEA => BU2_doutb(0), WEB => BU2_doutb(0), ADDRA(13) => addra_6(13), ADDRA(12) => addra_6(12), ADDRA(11) => addra_6(11), ADDRA(10) => addra_6(10), ADDRA(9) => addra_6(9), ADDRA(8) => addra_6(8), ADDRA(7) => addra_6(7), ADDRA(6) => addra_6(6), ADDRA(5) => addra_6(5), ADDRA(4) => addra_6(4), ADDRA(3) => addra_6(3), ADDRA(2) => addra_6(2), ADDRA(1) => addra_6(1), ADDRA(0) => addra_6(0), ADDRB(13) => BU2_doutb(0), ADDRB(12) => BU2_doutb(0), ADDRB(11) => BU2_doutb(0), ADDRB(10) => BU2_doutb(0), ADDRB(9) => BU2_doutb(0), ADDRB(8) => BU2_doutb(0), ADDRB(7) => BU2_doutb(0), ADDRB(6) => BU2_doutb(0), ADDRB(5) => BU2_doutb(0), ADDRB(4) => BU2_doutb(0), ADDRB(3) => BU2_doutb(0), ADDRB(2) => BU2_doutb(0), ADDRB(1) => BU2_doutb(0), ADDRB(0) => BU2_doutb(0), DIA(0) => BU2_doutb(0), DIB(0) => BU2_doutb(0), DOA(0) => BU2_U0_blk_mem_generator_valid_cstr_ram_douta26, DOB(0) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_27_ram_r_v2_init_ram_dp1x1_ram_DOB_0_UNCONNECTED ); BU2_U0_blk_mem_generator_valid_cstr_ramloop_28_ram_r_v2_init_ram_dp1x1_ram : RAMB16_S1_S1 generic map( SRVAL_A => X"0", SRVAL_B => X"0", INIT_00 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF1FFFFFDFFFFFFFFFFFFFFFFFFFFFFFF", INIT_01 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC3FFFF", INIT_02 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC3FFFFFFFFFFFFFFFFFFFF", INIT_03 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFC7FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_04 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_05 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFEE7FFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_06 => X"FFFFFFFFFFFFFFFF8E7FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_07 => X"EE7FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFCFFFF", INIT_08 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_09 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF98FCFFFFFFFFFFFF", INIT_0A => X"FFFFFFFFFFFFFFFFFFFFFFFFFC1FFFFF9FFC7FFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_0B => X"FFFFFFFFFFFFFFFF9FFCEFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_0C => X"9FCE0FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_0D => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_0E => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFDFFFFFFFFFFBF861FFFFFFFFFFF", INIT_0F => X"FFFFFFFFFFFFFFFFFFF87EFFFFFFFFFFBF003FFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_10 => X"FFFC3FFFFFFFFFFFBC007FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_11 => X"8000FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_12 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC07FFFFFFFFFF", INIT_13 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFE7FFFFFBC7FFFFFFFFFFF8001FFFFFFFFFFFF", INIT_14 => X"FFFFFFFFFFFFFFFFFF1FFFFFFFFFFFFF8003FFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_15 => X"FF8FF9FFFFFFFFFF8007FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_16 => X"800FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_17 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_18 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF800FFFFFFFFFFFFF", INIT_19 => X"FFFFFFFFFFFFFFFFFFFEFFFFFFFFFFFF801FFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_1A => X"FFFFFFFFFFFFFFFF801FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_1B => X"8003FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_1C => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_1D => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFBFFFFFFFFFFF8007FFFFFFFFFFFF", INIT_1E => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF8007FFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_1F => X"FFFFFFFFFFFFFFFF8007FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_20 => X"800FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_21 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFBFFFFFFFFFFFFFFFFFFFFFF", INIT_22 => X"FFFFFFFFFFFFFFFFFFFFFFFFFBF7EFFFFFFFFFFFFFFFFFFF801FFFFFFFFFFFFF", INIT_23 => X"FFFFFFFFFFDBE7FFFFFFFFFFFFFFFFFF801FFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_24 => X"FFFFFFFFFFFFFFFF801FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFDFFFFF", INIT_25 => X"807FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFDFFFFFFFFFFFFFFF8BF3FF", INIT_26 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE83F9FFFFFFFFFFFFFFFFFF", INIT_27 => X"FFFFFFFFFFFFFFFFFFFFFFFFFF9FFC3FFFFFFFFFFFFFFFFF87FFFFFFFFFFFFFF", INIT_28 => X"FFFFFFEFFF1FFF8FFFFFFFFFFFFFFFFF8FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_29 => X"FFFFFFFFFFFFFFFF9FFFCC7FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_2A => X"9FFF803FC3FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF1FFF8F", INIT_2B => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF9CFF07FFFFFFFFFFFFFFFF", INIT_2C => X"FFFFFFFFFFFFFFFFFFFFFFFFFE1DBF23FFFFFFFFFFFFFFFFBFFE003FC3FFFFFF", INIT_2D => X"FFFFFFFFFF7FBFE3FFFFFFFFFFFFFFFFFFF0000837FFFFFFFFFFFFFFFFFFFFFF", INIT_2E => X"FFFFFFFFFFFFFFFFFFC000003F7FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF7FFFFF", INIT_2F => X"FF8000003E3FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF7FFFFFFFFFFFFFFFFFBFC3", INIT_30 => X"FFFFFFFFFFFFFFFFFFFFFFFFFF7FFFFFFFFFFFFFFFFFF1C7FFFFFFFFFFFFFFFF", INIT_31 => X"FFFFFFFFFE3FFFFFFFFFFFFFFFFE30F0FFFFFFFFFFFFFFFFFFF8E0003E3FFFFF", INIT_32 => X"FFFFFFFFFFFC10F83FFFFFFFFFFFFFFFFFFFE0000C1FF3FFFFFFFFFFFFFFFFFF", INIT_33 => X"1FFFFFFFFFFFFFFFFFFFF0000003E7FFFFFFFFFFFFFFFFFFFFFFFFFFFF9FFFFF", INIT_34 => X"F7FFF8FF0703DFFFFFFFFFFFFFFFFFFFFFFFFFFFFF9FFFFFFFFFFFFFFFF80078", INIT_35 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF8003F9FFFFFFFFFFFFFFF", INIT_36 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFF8001FCFFFFFFFFFFFFFFFFFFFFFFFFFF1FFFF", INIT_37 => X"FFFFFFFFFFFC001FEFFFFFFFFFFFFFFFFFFFFFFFFFFD7FFFFFFFFFFFFFFFFFFF", INIT_38 => X"E7FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", INIT_39 => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFC000F", INIT_3A => X"FFFFFFFFFFFFFFFFFFFFFFFFE7FFFFFFFFFFFFFFFFFFF001E397FFFFFFFFFFFF", INIT_3B => X"FFFFFFFFFFFFFFFFFFFFFFFFFFFFF001E383FFFFFFFFFFFFFFFFFFFFFFF3FFFF", INIT_3C => X"FFFFFFFFFFFFF000F787FFFFFFFFFFFFFFFFFFFFFFE7FFFFFFFFFFFFFFFFFFFF", INIT_3D => X"7F0FFFFFFFFFFFFFFFFFFFFFFF07FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF", WRITE_MODE_B => "WRITE_FIRST", INIT_3E => X"FFFFFFFFFF07FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFE000", SIM_COLLISION_CHECK => "NONE", INIT_A => X"0", INIT_B => X"0", WRITE_MODE_A => "WRITE_FIRST", INIT_3F => X"DFFFFFFFF7FFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFFF0003F0FFFFFFFFFFFFF" ) port map ( CLKA => clka, CLKB => BU2_doutb(0), ENA => BU2_U0_blk_mem_generator_valid_cstr_ram_ena12, ENB => BU2_doutb(0), SSRA => BU2_doutb(0), SSRB => BU2_doutb(0), WEA => BU2_doutb(0), WEB => BU2_doutb(0), ADDRA(13) => addra_6(13), ADDRA(12) => addra_6(12), ADDRA(11) => addra_6(11), ADDRA(10) => addra_6(10), ADDRA(9) => addra_6(9), ADDRA(8) => addra_6(8), ADDRA(7) => addra_6(7), ADDRA(6) => addra_6(6), ADDRA(5) => addra_6(5), ADDRA(4) => addra_6(4), ADDRA(3) => addra_6(3), ADDRA(2) => addra_6(2), ADDRA(1) => addra_6(1), ADDRA(0) => addra_6(0), ADDRB(13) => BU2_doutb(0), ADDRB(12) => BU2_doutb(0), ADDRB(11) => BU2_doutb(0), ADDRB(10) => BU2_doutb(0), ADDRB(9) => BU2_doutb(0), ADDRB(8) => BU2_doutb(0), ADDRB(7) => BU2_doutb(0), ADDRB(6) => BU2_doutb(0), ADDRB(5) => BU2_doutb(0), ADDRB(4) => BU2_doutb(0), ADDRB(3) => BU2_doutb(0), ADDRB(2) => BU2_doutb(0), ADDRB(1) => BU2_doutb(0), ADDRB(0) => BU2_doutb(0), DIA(0) => BU2_doutb(0), DIB(0) => BU2_doutb(0), DOA(0) => BU2_U0_blk_mem_generator_valid_cstr_ram_douta27, DOB(0) => NLW_BU2_U0_blk_mem_generator_valid_cstr_ramloop_28_ram_r_v2_init_ram_dp1x1_ram_DOB_0_UNCONNECTED ); BU2_U0_blk_mem_generator_valid_cstr_ram_ena131 : LUT4 generic map( INIT => X"0010" ) port map ( I0 => addra_6(13), I1 => addra_6(14), I2 => addra_6(16), I3 => addra_6(15), O => BU2_U0_blk_mem_generator_valid_cstr_ram_ena13 ); BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe_4_2 : LUT4 generic map( INIT => X"222F" ) port map ( I0 => BU2_U0_blk_mem_generator_valid_cstr_N13, I1 => BU2_N18, I2 => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe(4), I3 => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_Mmux_dout_mux_5_f52, O => douta_7(2) ); BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe_4_2_SW0 : LUT4 generic map( INIT => X"AF27" ) port map ( I0 => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe(1), I1 => BU2_U0_blk_mem_generator_valid_cstr_ram_douta14(0), I2 => BU2_U0_blk_mem_generator_valid_cstr_ram_douta13(0), I3 => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe(0), O => BU2_N18 ); BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe_4_3 : LUT4 generic map( INIT => X"222F" ) port map ( I0 => BU2_U0_blk_mem_generator_valid_cstr_N13, I1 => BU2_N16, I2 => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe(4), I3 => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_Mmux_dout_mux_5_f53, O => douta_7(3) ); BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe_4_3_SW0 : LUT4 generic map( INIT => X"AF27" ) port map ( I0 => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe(1), I1 => BU2_U0_blk_mem_generator_valid_cstr_ram_douta14(1), I2 => BU2_U0_blk_mem_generator_valid_cstr_ram_douta13(1), I3 => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe(0), O => BU2_N16 ); BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe_4_4 : LUT4 generic map( INIT => X"222F" ) port map ( I0 => BU2_U0_blk_mem_generator_valid_cstr_N13, I1 => BU2_N14, I2 => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe(4), I3 => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_Mmux_dout_mux_5_f54, O => douta_7(4) ); BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe_4_4_SW0 : LUT4 generic map( INIT => X"AF27" ) port map ( I0 => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe(1), I1 => BU2_U0_blk_mem_generator_valid_cstr_ram_douta14(2), I2 => BU2_U0_blk_mem_generator_valid_cstr_ram_douta23(0), I3 => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe(0), O => BU2_N14 ); BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe_4_5 : LUT4 generic map( INIT => X"222F" ) port map ( I0 => BU2_U0_blk_mem_generator_valid_cstr_N13, I1 => BU2_N12, I2 => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe(4), I3 => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_Mmux_dout_mux_5_f55, O => douta_7(5) ); BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe_4_5_SW0 : LUT4 generic map( INIT => X"AF27" ) port map ( I0 => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe(1), I1 => BU2_U0_blk_mem_generator_valid_cstr_ram_douta14(3), I2 => BU2_U0_blk_mem_generator_valid_cstr_ram_douta23(1), I3 => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe(0), O => BU2_N12 ); BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe_4_1 : LUT4 generic map( INIT => X"888F" ) port map ( I0 => BU2_U0_blk_mem_generator_valid_cstr_ram_douta3, I1 => BU2_U0_blk_mem_generator_valid_cstr_N13, I2 => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_Mmux_dout_mux_5_f5_5, I3 => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe(4), O => douta_7(0) ); BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe_4_11 : LUT4 generic map( INIT => X"888F" ) port map ( I0 => BU2_U0_blk_mem_generator_valid_cstr_ram_douta8, I1 => BU2_U0_blk_mem_generator_valid_cstr_N13, I2 => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_Mmux_dout_mux_5_f51, I3 => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe(4), O => douta_7(1) ); BU2_U0_blk_mem_generator_valid_cstr_bindec_a_bindec_inst_a_enout_18_cmp_eq00001 : LUT3 generic map( INIT => X"40" ) port map ( I0 => addra_6(12), I1 => addra_6(13), I2 => BU2_U0_blk_mem_generator_valid_cstr_ram_ena3, O => BU2_U0_blk_mem_generator_valid_cstr_bindec_a_bindec_inst_a_enout_18_cmp_eq0000 ); BU2_U0_blk_mem_generator_valid_cstr_bindec_a_bindec_inst_a_enout_18_cmp_eq000011 : LUT3 generic map( INIT => X"04" ) port map ( I0 => addra_6(14), I1 => addra_6(16), I2 => addra_6(15), O => BU2_U0_blk_mem_generator_valid_cstr_ram_ena3 ); BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe_4_221 : LUT3 generic map( INIT => X"04" ) port map ( I0 => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe(3), I1 => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe(4), I2 => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe(2), O => BU2_U0_blk_mem_generator_valid_cstr_N13 ); BU2_U0_blk_mem_generator_valid_cstr_ram_ena1 : LUT3 generic map( INIT => X"01" ) port map ( I0 => addra_6(16), I1 => addra_6(15), I2 => addra_6(14), O => BU2_U0_blk_mem_generator_valid_cstr_ram_ena ); BU2_U0_blk_mem_generator_valid_cstr_ram_ena01 : LUT3 generic map( INIT => X"04" ) port map ( I0 => addra_6(16), I1 => addra_6(14), I2 => addra_6(15), O => BU2_U0_blk_mem_generator_valid_cstr_ram_ena0 ); BU2_U0_blk_mem_generator_valid_cstr_ram_ena11 : LUT3 generic map( INIT => X"04" ) port map ( I0 => addra_6(16), I1 => addra_6(15), I2 => addra_6(14), O => BU2_U0_blk_mem_generator_valid_cstr_ram_ena1_2 ); BU2_U0_blk_mem_generator_valid_cstr_ram_ena121 : LUT3 generic map( INIT => X"40" ) port map ( I0 => addra_6(16), I1 => addra_6(15), I2 => addra_6(14), O => BU2_U0_blk_mem_generator_valid_cstr_ram_ena12 ); BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe_4 : FDE generic map( INIT => '0' ) port map ( C => clka, CE => BU2_N1, D => addra_6(16), Q => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe(4) ); BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe_3 : FDE generic map( INIT => '0' ) port map ( C => clka, CE => BU2_N1, D => addra_6(15), Q => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe(3) ); BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe_2 : FDE generic map( INIT => '0' ) port map ( C => clka, CE => BU2_N1, D => addra_6(14), Q => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe(2) ); BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe_1 : FDE generic map( INIT => '0' ) port map ( C => clka, CE => BU2_N1, D => addra_6(13), Q => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe(1) ); BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe_0 : FDE generic map( INIT => '0' ) port map ( C => clka, CE => BU2_N1, D => addra_6(12), Q => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe(0) ); BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_Mmux_dout_mux_5_f5_4 : MUXF5 port map ( I0 => BU2_U0_blk_mem_generator_valid_cstr_N12, I1 => BU2_U0_blk_mem_generator_valid_cstr_N11, S => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe(3), O => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_Mmux_dout_mux_5_f55 ); BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_Mmux_dout_mux_75 : LUT3 generic map( INIT => X"1B" ) port map ( I0 => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe(2), I1 => BU2_U0_blk_mem_generator_valid_cstr_ram_douta24, I2 => BU2_U0_blk_mem_generator_valid_cstr_ram_douta25, O => BU2_U0_blk_mem_generator_valid_cstr_N12 ); BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_Mmux_dout_mux_65 : LUT3 generic map( INIT => X"1B" ) port map ( I0 => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe(2), I1 => BU2_U0_blk_mem_generator_valid_cstr_ram_douta26, I2 => BU2_U0_blk_mem_generator_valid_cstr_ram_douta27, O => BU2_U0_blk_mem_generator_valid_cstr_N11 ); BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_Mmux_dout_mux_5_f5_3 : MUXF5 port map ( I0 => BU2_U0_blk_mem_generator_valid_cstr_N10, I1 => BU2_U0_blk_mem_generator_valid_cstr_N9, S => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe(3), O => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_Mmux_dout_mux_5_f54 ); BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_Mmux_dout_mux_74 : LUT3 generic map( INIT => X"1B" ) port map ( I0 => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe(2), I1 => BU2_U0_blk_mem_generator_valid_cstr_ram_douta19, I2 => BU2_U0_blk_mem_generator_valid_cstr_ram_douta20, O => BU2_U0_blk_mem_generator_valid_cstr_N10 ); BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_Mmux_dout_mux_64 : LUT3 generic map( INIT => X"1B" ) port map ( I0 => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe(2), I1 => BU2_U0_blk_mem_generator_valid_cstr_ram_douta21, I2 => BU2_U0_blk_mem_generator_valid_cstr_ram_douta22, O => BU2_U0_blk_mem_generator_valid_cstr_N9 ); BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_Mmux_dout_mux_5_f5_2 : MUXF5 port map ( I0 => BU2_U0_blk_mem_generator_valid_cstr_N8, I1 => BU2_U0_blk_mem_generator_valid_cstr_N7, S => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe(3), O => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_Mmux_dout_mux_5_f53 ); BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_Mmux_dout_mux_73 : LUT3 generic map( INIT => X"1B" ) port map ( I0 => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe(2), I1 => BU2_U0_blk_mem_generator_valid_cstr_ram_douta15, I2 => BU2_U0_blk_mem_generator_valid_cstr_ram_douta16, O => BU2_U0_blk_mem_generator_valid_cstr_N8 ); BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_Mmux_dout_mux_63 : LUT3 generic map( INIT => X"1B" ) port map ( I0 => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe(2), I1 => BU2_U0_blk_mem_generator_valid_cstr_ram_douta17, I2 => BU2_U0_blk_mem_generator_valid_cstr_ram_douta18, O => BU2_U0_blk_mem_generator_valid_cstr_N7 ); BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_Mmux_dout_mux_5_f5_1 : MUXF5 port map ( I0 => BU2_U0_blk_mem_generator_valid_cstr_N6, I1 => BU2_U0_blk_mem_generator_valid_cstr_N5, S => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe(3), O => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_Mmux_dout_mux_5_f52 ); BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_Mmux_dout_mux_72 : LUT3 generic map( INIT => X"1B" ) port map ( I0 => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe(2), I1 => BU2_U0_blk_mem_generator_valid_cstr_ram_douta9, I2 => BU2_U0_blk_mem_generator_valid_cstr_ram_douta10, O => BU2_U0_blk_mem_generator_valid_cstr_N6 ); BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_Mmux_dout_mux_62 : LUT3 generic map( INIT => X"1B" ) port map ( I0 => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe(2), I1 => BU2_U0_blk_mem_generator_valid_cstr_ram_douta11, I2 => BU2_U0_blk_mem_generator_valid_cstr_ram_douta12, O => BU2_U0_blk_mem_generator_valid_cstr_N5 ); BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_Mmux_dout_mux_5_f5_0 : MUXF5 port map ( I0 => BU2_U0_blk_mem_generator_valid_cstr_N4, I1 => BU2_U0_blk_mem_generator_valid_cstr_N3, S => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe(3), O => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_Mmux_dout_mux_5_f51 ); BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_Mmux_dout_mux_71 : LUT3 generic map( INIT => X"1B" ) port map ( I0 => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe(2), I1 => BU2_U0_blk_mem_generator_valid_cstr_ram_douta4, I2 => BU2_U0_blk_mem_generator_valid_cstr_ram_douta5, O => BU2_U0_blk_mem_generator_valid_cstr_N4 ); BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_Mmux_dout_mux_61 : LUT3 generic map( INIT => X"1B" ) port map ( I0 => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe(2), I1 => BU2_U0_blk_mem_generator_valid_cstr_ram_douta6, I2 => BU2_U0_blk_mem_generator_valid_cstr_ram_douta7, O => BU2_U0_blk_mem_generator_valid_cstr_N3 ); BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_Mmux_dout_mux_5_f5 : MUXF5 port map ( I0 => BU2_U0_blk_mem_generator_valid_cstr_N2, I1 => BU2_U0_blk_mem_generator_valid_cstr_N1, S => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe(3), O => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_Mmux_dout_mux_5_f5_5 ); BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_Mmux_dout_mux_7 : LUT3 generic map( INIT => X"1B" ) port map ( I0 => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe(2), I1 => BU2_U0_blk_mem_generator_valid_cstr_ram_douta, I2 => BU2_U0_blk_mem_generator_valid_cstr_ram_douta0, O => BU2_U0_blk_mem_generator_valid_cstr_N2 ); BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_Mmux_dout_mux_6 : LUT3 generic map( INIT => X"1B" ) port map ( I0 => BU2_U0_blk_mem_generator_valid_cstr_has_mux_a_A_sel_pipe(2), I1 => BU2_U0_blk_mem_generator_valid_cstr_ram_douta1, I2 => BU2_U0_blk_mem_generator_valid_cstr_ram_douta2, O => BU2_U0_blk_mem_generator_valid_cstr_N1 ); BU2_XST_VCC : VCC port map ( P => BU2_N1 ); BU2_XST_GND : GND port map ( G => BU2_doutb(0) ); end STRUCTURE; -- synopsys translate_on
gpl-2.0
1ea3b61db6846202e19fb03c314366cd
0.73686
2.71422
false
false
false
false
freecores/lq057q3dc02
design/lq057q3dc02_tb.vhd
1
5,372
------------------------------------------------------------------------------ -- Copyright (C) 2007 Jonathon W. Donaldson -- jwdonal a t opencores DOT org -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 675 Mass Ave, Cambridge, MA 02139, USA. -- ------------------------------------------------------------------------------ -- -- $Id: lq057q3dc02_tb.vhd,v 1.2 2008-11-07 05:41:08 jwdonal Exp $ -- -- Description: -- Test bench to verify lq057q3dc02 pcore. -- -- Structure: -- - xupv2p.ucf -- - components.vhd -- - lq057q3dc02_tb.vhd -- - lq057q3dc02.vhd -- - dcm_sys_to_lcd.xaw -- - video_controller.vhd -- - enab_control.vhd -- - hsyncx_control.vhd -- - vsyncx_control.vhd -- - pix_enab_clk_cntr.vhd -- - image_gen.vhd -- - image_gen_bram_red.xco -- - image_gen_bram_green.xco -- - image_gen_bram_blue.xco -- ------------------------------------------------------------------------------ -- -- Naming Conventions: -- active low signals "*x" -- clock signal "CLK_*" -- reset signal "RST" -- generic "C_*" -- user defined type "TYPE_*" -- state machine next state "*_ns" -- state machine current state "*_cs"" -- pipelined signals "*_d#" -- register delay signals "*_p#" -- signal "*_sig" -- variable "*_var" -- storage register "*_reg" -- clock enable signals "*_ce" -- internal version of output port used as connecting wire "*_wire" -- input/output port "ALL_CAPS" -- process "*_PROC" -- ------------------------------------------------------------------------------ --////////////////////-- -- LIBRARY INCLUSIONS -- --////////////////////-- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; ENTITY lq057q3dc02_tb IS END ENTITY lq057q3dc02_tb; ARCHITECTURE lq057q3dc02_tb_arch OF lq057q3dc02_tb IS COMPONENT lq057q3dc02_top PORT( RSTx, CLK_100M_PAD : IN std_logic; CLK_LCD, HSYNCx, VSYNCx, ENAB, RL, UD, VQ : OUT std_logic; R, G, B : OUT std_logic_vector(6-1 downto 0) ); END COMPONENT; --////////////////-- -- INITIAL VALUES -- --////////////////-- signal RSTx, CLK_100M_PAD : std_logic := '0'; signal CLK_LCD, HSYNCx, VSYNCx, ENAB, RL, UD, VQ : std_logic := 'U'; signal R, G, B : std_logic_vector(6-1 downto 0) := (others => 'U'); signal verifyDone : std_logic := '0'; BEGIN --/////////////////-- -- UNIT UNDER TEST -- --/////////////////-- uut: lq057q3dc02_top port map ( RSTx => RSTx, CLK_100M_PAD => CLK_100M_PAD, CLK_LCD => CLK_LCD, HSYNCx => HSYNCx, VSYNCx => VSYNCx, ENAB => ENAB, RL => RL, UD => UD, VQ => VQ, R => R, G => G, B => B ); -- System clock generation - 100MHz (50% duty-cycle) CLK_100M_PAD_gen_PROC : process( CLK_100M_PAD ) begin if( verifyDone = '0' ) then if( CLK_100M_PAD = '0' ) then CLK_100M_PAD <= '1' after 5 ns; elsif( CLK_100M_PAD = '1' ) then CLK_100M_PAD <= '0' after 5 ns; end if; end if; end process CLK_100M_PAD_gen_PROC; --////////////////////-- -- BEGIN VERIFICATION -- --////////////////////-- lq057q3dc02_verify_PROC : process begin RSTx <= '0'; wait for 1000 ns; --wait 100 clock cycles RSTx <= '1'; --release reset wait for 20 ms; --allow to run long enough to draw one full screen (320x240x160ns ~= 13ms + ~4ms overhead + a little extra) verifyDone <= '1'; --stops clock from running and prevents simulation from running indefinitely assert false report "===================================="; assert false report " lq057q3dc02 TEST COMPLETE!!! "; assert false report " ***This is NOT a failure.*** "; assert false report "====================================" severity failure; wait; end process lq057q3dc02_verify_PROC; END ARCHITECTURE lq057q3dc02_tb_arch;
gpl-2.0
891fab53100fb34f2e7dea69efcbe910
0.461281
3.909753
false
false
false
false
ILoveSpeccy/Aeon-Lite
cores/atari800xl/src/a8core/pokey_mixer.vhdl
1
53,784
--------------------------------------------------------------------------- -- (c) 2013 mark watson -- I am happy for anyone to use this for non-commercial use. -- If my vhdl files are used commercially or otherwise sold, -- please contact me for explicit permission at scrameta (gmail). -- This applies for source and binary form and derived works. --------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.all; use ieee.numeric_std.all; use IEEE.STD_LOGIC_MISC.all; ENTITY pokey_mixer IS PORT ( CLK : IN STD_LOGIC; CHANNEL_ENABLE : IN STD_LOGIC_VECTOR(3 downto 0); CHANNEL_0 : IN STD_LOGIC_VECTOR(3 downto 0); CHANNEL_1 : IN STD_LOGIC_VECTOR(3 downto 0); CHANNEL_2 : IN STD_LOGIC_VECTOR(3 downto 0); CHANNEL_3 : IN STD_LOGIC_VECTOR(3 downto 0); GTIA_SOUND : IN STD_LOGIC; COVOX_CHANNEL_0 : IN STD_LOGIC_VECTOR(7 downto 0); COVOX_CHANNEL_1 : IN STD_LOGIC_VECTOR(7 downto 0); VOLUME_OUT : OUT STD_LOGIC_vector(15 downto 0) ); END pokey_mixer; ARCHITECTURE vhdl OF pokey_mixer IS signal volume_next : std_logic_vector(15 downto 0); signal volume_reg : std_logic_vector(15 downto 0); signal volume_sum : std_logic_vector(9 downto 0); signal channel_0_en : std_logic_vector(3 downto 0); signal channel_1_en : std_logic_vector(3 downto 0); signal channel_2_en : std_logic_vector(3 downto 0); signal channel_3_en : std_logic_vector(3 downto 0); BEGIN -- register process(clk) begin if (clk'event and clk='1') then volume_reg <= volume_next; end if; end process; -- next state process(channel_enable,channel_0,channel_1,channel_2,channel_3) begin channel_0_en <= channel_0; channel_1_en <= channel_1; channel_2_en <= channel_2; channel_3_en <= channel_3; -- if (channel_enable(3)='0') then -- channel_0_en <= X"0"; -- end if; -- -- if (channel_enable(2)='0') then -- channel_1_en <= X"0"; -- end if; -- -- if (channel_enable(1)='0') then -- channel_2_en <= X"0"; -- end if; -- -- if (channel_enable(0)='0') then -- channel_3_en <= X"0"; -- end if; end process; process (channel_0_en,channel_1_en,channel_2_en,channel_3_en,covox_CHANNEL_0,covox_channel_1,gtia_sound) variable channel0_en_long : unsigned(10 downto 0); variable channel1_en_long : unsigned(10 downto 0); variable channel2_en_long : unsigned(10 downto 0); variable channel3_en_long : unsigned(10 downto 0); variable gtia_sound_long : unsigned(10 downto 0); variable covox_0_long : unsigned(10 downto 0); variable covox_1_long : unsigned(10 downto 0); variable volume_int_sum : unsigned(10 downto 0); begin channel0_en_long := (others=>'0'); channel1_en_long := (others=>'0'); channel2_en_long := (others=>'0'); channel3_en_long := (others=>'0'); gtia_sound_long := (others=>'0'); covox_0_long := (others=>'0'); covox_1_long := (others=>'0'); channel0_en_long(7 downto 4) := unsigned(channel_0_en); channel1_en_long(7 downto 4) := unsigned(channel_1_en); channel2_en_long(7 downto 4) := unsigned(channel_2_en); channel3_en_long(7 downto 4) := unsigned(channel_3_en); gtia_sound_long(7 downto 4) := gtia_sound&gtia_sound&gtia_sound&gtia_sound; covox_0_long(7 downto 0) := unsigned(covox_channel_0); covox_1_long(7 downto 0) := unsigned(covox_channel_1); volume_int_sum := ((channel0_en_long + channel1_en_long) + (channel2_en_long + channel3_en_long)) + (gtia_sound_long + (covox_0_long + covox_1_long)); volume_sum(8 downto 0) <= std_logic_vector(volume_int_sum(8 downto 0)); volume_sum(9) <= volume_int_sum(10) or volume_int_sum(9); end process; process (volume_sum, volume_next) begin case volume_sum(9 downto 0) is when "0000000000" => volume_next <= X"0000"; when "0000000001" => volume_next <= X"00cc"; when "0000000010" => volume_next <= X"0198"; when "0000000011" => volume_next <= X"0264"; when "0000000100" => volume_next <= X"032f"; when "0000000101" => volume_next <= X"03fa"; when "0000000110" => volume_next <= X"04c4"; when "0000000111" => volume_next <= X"058e"; when "0000001000" => volume_next <= X"0657"; when "0000001001" => volume_next <= X"0720"; when "0000001010" => volume_next <= X"07e8"; when "0000001011" => volume_next <= X"08b0"; when "0000001100" => volume_next <= X"0977"; when "0000001101" => volume_next <= X"0a3e"; when "0000001110" => volume_next <= X"0b05"; when "0000001111" => volume_next <= X"0bcb"; when "0000010000" => volume_next <= X"0c91"; when "0000010001" => volume_next <= X"0d56"; when "0000010010" => volume_next <= X"0e1a"; when "0000010011" => volume_next <= X"0edf"; when "0000010100" => volume_next <= X"0fa2"; when "0000010101" => volume_next <= X"1066"; when "0000010110" => volume_next <= X"1128"; when "0000010111" => volume_next <= X"11eb"; when "0000011000" => volume_next <= X"12ad"; when "0000011001" => volume_next <= X"136e"; when "0000011010" => volume_next <= X"142f"; when "0000011011" => volume_next <= X"14f0"; when "0000011100" => volume_next <= X"15b0"; when "0000011101" => volume_next <= X"1670"; when "0000011110" => volume_next <= X"172f"; when "0000011111" => volume_next <= X"17ee"; when "0000100000" => volume_next <= X"18ac"; when "0000100001" => volume_next <= X"196a"; when "0000100010" => volume_next <= X"1a27"; when "0000100011" => volume_next <= X"1ae4"; when "0000100100" => volume_next <= X"1ba1"; when "0000100101" => volume_next <= X"1c5d"; when "0000100110" => volume_next <= X"1d18"; when "0000100111" => volume_next <= X"1dd3"; when "0000101000" => volume_next <= X"1e8e"; when "0000101001" => volume_next <= X"1f48"; when "0000101010" => volume_next <= X"2002"; when "0000101011" => volume_next <= X"20bc"; when "0000101100" => volume_next <= X"2174"; when "0000101101" => volume_next <= X"222d"; when "0000101110" => volume_next <= X"22e5"; when "0000101111" => volume_next <= X"239d"; when "0000110000" => volume_next <= X"2454"; when "0000110001" => volume_next <= X"250a"; when "0000110010" => volume_next <= X"25c1"; when "0000110011" => volume_next <= X"2677"; when "0000110100" => volume_next <= X"272c"; when "0000110101" => volume_next <= X"27e1"; when "0000110110" => volume_next <= X"2895"; when "0000110111" => volume_next <= X"2949"; when "0000111000" => volume_next <= X"29fd"; when "0000111001" => volume_next <= X"2ab0"; when "0000111010" => volume_next <= X"2b63"; when "0000111011" => volume_next <= X"2c15"; when "0000111100" => volume_next <= X"2cc7"; when "0000111101" => volume_next <= X"2d79"; when "0000111110" => volume_next <= X"2e2a"; when "0000111111" => volume_next <= X"2eda"; when "0001000000" => volume_next <= X"2f8b"; when "0001000001" => volume_next <= X"303a"; when "0001000010" => volume_next <= X"30ea"; when "0001000011" => volume_next <= X"3198"; when "0001000100" => volume_next <= X"3247"; when "0001000101" => volume_next <= X"32f5"; when "0001000110" => volume_next <= X"33a2"; when "0001000111" => volume_next <= X"3450"; when "0001001000" => volume_next <= X"34fc"; when "0001001001" => volume_next <= X"35a9"; when "0001001010" => volume_next <= X"3654"; when "0001001011" => volume_next <= X"3700"; when "0001001100" => volume_next <= X"37ab"; when "0001001101" => volume_next <= X"3856"; when "0001001110" => volume_next <= X"3900"; when "0001001111" => volume_next <= X"39a9"; when "0001010000" => volume_next <= X"3a53"; when "0001010001" => volume_next <= X"3afc"; when "0001010010" => volume_next <= X"3ba4"; when "0001010011" => volume_next <= X"3c4c"; when "0001010100" => volume_next <= X"3cf4"; when "0001010101" => volume_next <= X"3d9b"; when "0001010110" => volume_next <= X"3e42"; when "0001010111" => volume_next <= X"3ee8"; when "0001011000" => volume_next <= X"3f8e"; when "0001011001" => volume_next <= X"4034"; when "0001011010" => volume_next <= X"40d9"; when "0001011011" => volume_next <= X"417d"; when "0001011100" => volume_next <= X"4222"; when "0001011101" => volume_next <= X"42c5"; when "0001011110" => volume_next <= X"4369"; when "0001011111" => volume_next <= X"440c"; when "0001100000" => volume_next <= X"44af"; when "0001100001" => volume_next <= X"4551"; when "0001100010" => volume_next <= X"45f3"; when "0001100011" => volume_next <= X"4694"; when "0001100100" => volume_next <= X"4735"; when "0001100101" => volume_next <= X"47d5"; when "0001100110" => volume_next <= X"4876"; when "0001100111" => volume_next <= X"4915"; when "0001101000" => volume_next <= X"49b5"; when "0001101001" => volume_next <= X"4a53"; when "0001101010" => volume_next <= X"4af2"; when "0001101011" => volume_next <= X"4b90"; when "0001101100" => volume_next <= X"4c2e"; when "0001101101" => volume_next <= X"4ccb"; when "0001101110" => volume_next <= X"4d68"; when "0001101111" => volume_next <= X"4e04"; when "0001110000" => volume_next <= X"4ea0"; when "0001110001" => volume_next <= X"4f3c"; when "0001110010" => volume_next <= X"4fd7"; when "0001110011" => volume_next <= X"5072"; when "0001110100" => volume_next <= X"510d"; when "0001110101" => volume_next <= X"51a7"; when "0001110110" => volume_next <= X"5240"; when "0001110111" => volume_next <= X"52da"; when "0001111000" => volume_next <= X"5372"; when "0001111001" => volume_next <= X"540b"; when "0001111010" => volume_next <= X"54a3"; when "0001111011" => volume_next <= X"553a"; when "0001111100" => volume_next <= X"55d2"; when "0001111101" => volume_next <= X"5669"; when "0001111110" => volume_next <= X"56ff"; when "0001111111" => volume_next <= X"5795"; when "0010000000" => volume_next <= X"582b"; when "0010000001" => volume_next <= X"58c0"; when "0010000010" => volume_next <= X"5955"; when "0010000011" => volume_next <= X"59e9"; when "0010000100" => volume_next <= X"5a7d"; when "0010000101" => volume_next <= X"5b11"; when "0010000110" => volume_next <= X"5ba4"; when "0010000111" => volume_next <= X"5c37"; when "0010001000" => volume_next <= X"5cca"; when "0010001001" => volume_next <= X"5d5c"; when "0010001010" => volume_next <= X"5dee"; when "0010001011" => volume_next <= X"5e7f"; when "0010001100" => volume_next <= X"5f10"; when "0010001101" => volume_next <= X"5fa0"; when "0010001110" => volume_next <= X"6031"; when "0010001111" => volume_next <= X"60c0"; when "0010010000" => volume_next <= X"6150"; when "0010010001" => volume_next <= X"61df"; when "0010010010" => volume_next <= X"626d"; when "0010010011" => volume_next <= X"62fc"; when "0010010100" => volume_next <= X"638a"; when "0010010101" => volume_next <= X"6417"; when "0010010110" => volume_next <= X"64a4"; when "0010010111" => volume_next <= X"6531"; when "0010011000" => volume_next <= X"65bd"; when "0010011001" => volume_next <= X"6649"; when "0010011010" => volume_next <= X"66d5"; when "0010011011" => volume_next <= X"6760"; when "0010011100" => volume_next <= X"67eb"; when "0010011101" => volume_next <= X"6875"; when "0010011110" => volume_next <= X"68ff"; when "0010011111" => volume_next <= X"6989"; when "0010100000" => volume_next <= X"6a12"; when "0010100001" => volume_next <= X"6a9b"; when "0010100010" => volume_next <= X"6b23"; when "0010100011" => volume_next <= X"6bac"; when "0010100100" => volume_next <= X"6c33"; when "0010100101" => volume_next <= X"6cbb"; when "0010100110" => volume_next <= X"6d42"; when "0010100111" => volume_next <= X"6dc9"; when "0010101000" => volume_next <= X"6e4f"; when "0010101001" => volume_next <= X"6ed5"; when "0010101010" => volume_next <= X"6f5a"; when "0010101011" => volume_next <= X"6fdf"; when "0010101100" => volume_next <= X"7064"; when "0010101101" => volume_next <= X"70e9"; when "0010101110" => volume_next <= X"716d"; when "0010101111" => volume_next <= X"71f0"; when "0010110000" => volume_next <= X"7274"; when "0010110001" => volume_next <= X"72f7"; when "0010110010" => volume_next <= X"7379"; when "0010110011" => volume_next <= X"73fc"; when "0010110100" => volume_next <= X"747d"; when "0010110101" => volume_next <= X"74ff"; when "0010110110" => volume_next <= X"7580"; when "0010110111" => volume_next <= X"7601"; when "0010111000" => volume_next <= X"7681"; when "0010111001" => volume_next <= X"7701"; when "0010111010" => volume_next <= X"7781"; when "0010111011" => volume_next <= X"7800"; when "0010111100" => volume_next <= X"787f"; when "0010111101" => volume_next <= X"78fe"; when "0010111110" => volume_next <= X"797c"; when "0010111111" => volume_next <= X"79fa"; when "0011000000" => volume_next <= X"7a77"; when "0011000001" => volume_next <= X"7af5"; when "0011000010" => volume_next <= X"7b71"; when "0011000011" => volume_next <= X"7bee"; when "0011000100" => volume_next <= X"7c6a"; when "0011000101" => volume_next <= X"7ce6"; when "0011000110" => volume_next <= X"7d61"; when "0011000111" => volume_next <= X"7ddc"; when "0011001000" => volume_next <= X"7e57"; when "0011001001" => volume_next <= X"7ed1"; when "0011001010" => volume_next <= X"7f4b"; when "0011001011" => volume_next <= X"7fc5"; when "0011001100" => volume_next <= X"803e"; when "0011001101" => volume_next <= X"80b7"; when "0011001110" => volume_next <= X"812f"; when "0011001111" => volume_next <= X"81a7"; when "0011010000" => volume_next <= X"821f"; when "0011010001" => volume_next <= X"8297"; when "0011010010" => volume_next <= X"830e"; when "0011010011" => volume_next <= X"8385"; when "0011010100" => volume_next <= X"83fb"; when "0011010101" => volume_next <= X"8471"; when "0011010110" => volume_next <= X"84e7"; when "0011010111" => volume_next <= X"855d"; when "0011011000" => volume_next <= X"85d2"; when "0011011001" => volume_next <= X"8646"; when "0011011010" => volume_next <= X"86bb"; when "0011011011" => volume_next <= X"872f"; when "0011011100" => volume_next <= X"87a2"; when "0011011101" => volume_next <= X"8816"; when "0011011110" => volume_next <= X"8889"; when "0011011111" => volume_next <= X"88fc"; when "0011100000" => volume_next <= X"896e"; when "0011100001" => volume_next <= X"89e0"; when "0011100010" => volume_next <= X"8a51"; when "0011100011" => volume_next <= X"8ac3"; when "0011100100" => volume_next <= X"8b34"; when "0011100101" => volume_next <= X"8ba4"; when "0011100110" => volume_next <= X"8c15"; when "0011100111" => volume_next <= X"8c85"; when "0011101000" => volume_next <= X"8cf4"; when "0011101001" => volume_next <= X"8d64"; when "0011101010" => volume_next <= X"8dd3"; when "0011101011" => volume_next <= X"8e41"; when "0011101100" => volume_next <= X"8eaf"; when "0011101101" => volume_next <= X"8f1d"; when "0011101110" => volume_next <= X"8f8b"; when "0011101111" => volume_next <= X"8ff8"; when "0011110000" => volume_next <= X"9065"; when "0011110001" => volume_next <= X"90d2"; when "0011110010" => volume_next <= X"913e"; when "0011110011" => volume_next <= X"91aa"; when "0011110100" => volume_next <= X"9216"; when "0011110101" => volume_next <= X"9281"; when "0011110110" => volume_next <= X"92ec"; when "0011110111" => volume_next <= X"9357"; when "0011111000" => volume_next <= X"93c1"; when "0011111001" => volume_next <= X"942b"; when "0011111010" => volume_next <= X"9495"; when "0011111011" => volume_next <= X"94fe"; when "0011111100" => volume_next <= X"9567"; when "0011111101" => volume_next <= X"95d0"; when "0011111110" => volume_next <= X"9638"; when "0011111111" => volume_next <= X"96a0"; when "0100000000" => volume_next <= X"9708"; when "0100000001" => volume_next <= X"9770"; when "0100000010" => volume_next <= X"97d7"; when "0100000011" => volume_next <= X"983d"; when "0100000100" => volume_next <= X"98a4"; when "0100000101" => volume_next <= X"990a"; when "0100000110" => volume_next <= X"9970"; when "0100000111" => volume_next <= X"99d5"; when "0100001000" => volume_next <= X"9a3b"; when "0100001001" => volume_next <= X"9a9f"; when "0100001010" => volume_next <= X"9b04"; when "0100001011" => volume_next <= X"9b68"; when "0100001100" => volume_next <= X"9bcc"; when "0100001101" => volume_next <= X"9c30"; when "0100001110" => volume_next <= X"9c93"; when "0100001111" => volume_next <= X"9cf6"; when "0100010000" => volume_next <= X"9d59"; when "0100010001" => volume_next <= X"9dbb"; when "0100010010" => volume_next <= X"9e1d"; when "0100010011" => volume_next <= X"9e7f"; when "0100010100" => volume_next <= X"9ee0"; when "0100010101" => volume_next <= X"9f41"; when "0100010110" => volume_next <= X"9fa2"; when "0100010111" => volume_next <= X"a003"; when "0100011000" => volume_next <= X"a063"; when "0100011001" => volume_next <= X"a0c3"; when "0100011010" => volume_next <= X"a122"; when "0100011011" => volume_next <= X"a182"; when "0100011100" => volume_next <= X"a1e1"; when "0100011101" => volume_next <= X"a23f"; when "0100011110" => volume_next <= X"a29e"; when "0100011111" => volume_next <= X"a2fc"; when "0100100000" => volume_next <= X"a359"; when "0100100001" => volume_next <= X"a3b7"; when "0100100010" => volume_next <= X"a414"; when "0100100011" => volume_next <= X"a471"; when "0100100100" => volume_next <= X"a4cd"; when "0100100101" => volume_next <= X"a52a"; when "0100100110" => volume_next <= X"a586"; when "0100100111" => volume_next <= X"a5e1"; when "0100101000" => volume_next <= X"a63c"; when "0100101001" => volume_next <= X"a698"; when "0100101010" => volume_next <= X"a6f2"; when "0100101011" => volume_next <= X"a74d"; when "0100101100" => volume_next <= X"a7a7"; when "0100101101" => volume_next <= X"a801"; when "0100101110" => volume_next <= X"a85a"; when "0100101111" => volume_next <= X"a8b4"; when "0100110000" => volume_next <= X"a90c"; when "0100110001" => volume_next <= X"a965"; when "0100110010" => volume_next <= X"a9be"; when "0100110011" => volume_next <= X"aa16"; when "0100110100" => volume_next <= X"aa6d"; when "0100110101" => volume_next <= X"aac5"; when "0100110110" => volume_next <= X"ab1c"; when "0100110111" => volume_next <= X"ab73"; when "0100111000" => volume_next <= X"abca"; when "0100111001" => volume_next <= X"ac20"; when "0100111010" => volume_next <= X"ac76"; when "0100111011" => volume_next <= X"accc"; when "0100111100" => volume_next <= X"ad21"; when "0100111101" => volume_next <= X"ad77"; when "0100111110" => volume_next <= X"adcb"; when "0100111111" => volume_next <= X"ae20"; when "0101000000" => volume_next <= X"ae74"; when "0101000001" => volume_next <= X"aec8"; when "0101000010" => volume_next <= X"af1c"; when "0101000011" => volume_next <= X"af70"; when "0101000100" => volume_next <= X"afc3"; when "0101000101" => volume_next <= X"b016"; when "0101000110" => volume_next <= X"b068"; when "0101000111" => volume_next <= X"b0bb"; when "0101001000" => volume_next <= X"b10d"; when "0101001001" => volume_next <= X"b15f"; when "0101001010" => volume_next <= X"b1b0"; when "0101001011" => volume_next <= X"b201"; when "0101001100" => volume_next <= X"b252"; when "0101001101" => volume_next <= X"b2a3"; when "0101001110" => volume_next <= X"b2f4"; when "0101001111" => volume_next <= X"b344"; when "0101010000" => volume_next <= X"b393"; when "0101010001" => volume_next <= X"b3e3"; when "0101010010" => volume_next <= X"b432"; when "0101010011" => volume_next <= X"b481"; when "0101010100" => volume_next <= X"b4d0"; when "0101010101" => volume_next <= X"b51f"; when "0101010110" => volume_next <= X"b56d"; when "0101010111" => volume_next <= X"b5bb"; when "0101011000" => volume_next <= X"b608"; when "0101011001" => volume_next <= X"b656"; when "0101011010" => volume_next <= X"b6a3"; when "0101011011" => volume_next <= X"b6f0"; when "0101011100" => volume_next <= X"b73c"; when "0101011101" => volume_next <= X"b789"; when "0101011110" => volume_next <= X"b7d5"; when "0101011111" => volume_next <= X"b821"; when "0101100000" => volume_next <= X"b86c"; when "0101100001" => volume_next <= X"b8b7"; when "0101100010" => volume_next <= X"b902"; when "0101100011" => volume_next <= X"b94d"; when "0101100100" => volume_next <= X"b998"; when "0101100101" => volume_next <= X"b9e2"; when "0101100110" => volume_next <= X"ba2c"; when "0101100111" => volume_next <= X"ba75"; when "0101101000" => volume_next <= X"babf"; when "0101101001" => volume_next <= X"bb08"; when "0101101010" => volume_next <= X"bb51"; when "0101101011" => volume_next <= X"bb99"; when "0101101100" => volume_next <= X"bbe2"; when "0101101101" => volume_next <= X"bc2a"; when "0101101110" => volume_next <= X"bc72"; when "0101101111" => volume_next <= X"bcb9"; when "0101110000" => volume_next <= X"bd01"; when "0101110001" => volume_next <= X"bd48"; when "0101110010" => volume_next <= X"bd8f"; when "0101110011" => volume_next <= X"bdd5"; when "0101110100" => volume_next <= X"be1b"; when "0101110101" => volume_next <= X"be62"; when "0101110110" => volume_next <= X"bea7"; when "0101110111" => volume_next <= X"beed"; when "0101111000" => volume_next <= X"bf32"; when "0101111001" => volume_next <= X"bf77"; when "0101111010" => volume_next <= X"bfbc"; when "0101111011" => volume_next <= X"c001"; when "0101111100" => volume_next <= X"c045"; when "0101111101" => volume_next <= X"c089"; when "0101111110" => volume_next <= X"c0cd"; when "0101111111" => volume_next <= X"c110"; when "0110000000" => volume_next <= X"c154"; when "0110000001" => volume_next <= X"c197"; when "0110000010" => volume_next <= X"c1d9"; when "0110000011" => volume_next <= X"c21c"; when "0110000100" => volume_next <= X"c25e"; when "0110000101" => volume_next <= X"c2a0"; when "0110000110" => volume_next <= X"c2e2"; when "0110000111" => volume_next <= X"c324"; when "0110001000" => volume_next <= X"c365"; when "0110001001" => volume_next <= X"c3a6"; when "0110001010" => volume_next <= X"c3e7"; when "0110001011" => volume_next <= X"c428"; when "0110001100" => volume_next <= X"c468"; when "0110001101" => volume_next <= X"c4a8"; when "0110001110" => volume_next <= X"c4e8"; when "0110001111" => volume_next <= X"c528"; when "0110010000" => volume_next <= X"c567"; when "0110010001" => volume_next <= X"c5a6"; when "0110010010" => volume_next <= X"c5e5"; when "0110010011" => volume_next <= X"c624"; when "0110010100" => volume_next <= X"c662"; when "0110010101" => volume_next <= X"c6a0"; when "0110010110" => volume_next <= X"c6de"; when "0110010111" => volume_next <= X"c71c"; when "0110011000" => volume_next <= X"c75a"; when "0110011001" => volume_next <= X"c797"; when "0110011010" => volume_next <= X"c7d4"; when "0110011011" => volume_next <= X"c811"; when "0110011100" => volume_next <= X"c84d"; when "0110011101" => volume_next <= X"c88a"; when "0110011110" => volume_next <= X"c8c6"; when "0110011111" => volume_next <= X"c902"; when "0110100000" => volume_next <= X"c93e"; when "0110100001" => volume_next <= X"c979"; when "0110100010" => volume_next <= X"c9b4"; when "0110100011" => volume_next <= X"c9ef"; when "0110100100" => volume_next <= X"ca2a"; when "0110100101" => volume_next <= X"ca64"; when "0110100110" => volume_next <= X"ca9f"; when "0110100111" => volume_next <= X"cad9"; when "0110101000" => volume_next <= X"cb13"; when "0110101001" => volume_next <= X"cb4c"; when "0110101010" => volume_next <= X"cb86"; when "0110101011" => volume_next <= X"cbbf"; when "0110101100" => volume_next <= X"cbf8"; when "0110101101" => volume_next <= X"cc31"; when "0110101110" => volume_next <= X"cc69"; when "0110101111" => volume_next <= X"cca1"; when "0110110000" => volume_next <= X"ccd9"; when "0110110001" => volume_next <= X"cd11"; when "0110110010" => volume_next <= X"cd49"; when "0110110011" => volume_next <= X"cd80"; when "0110110100" => volume_next <= X"cdb8"; when "0110110101" => volume_next <= X"cdee"; when "0110110110" => volume_next <= X"ce25"; when "0110110111" => volume_next <= X"ce5c"; when "0110111000" => volume_next <= X"ce92"; when "0110111001" => volume_next <= X"cec8"; when "0110111010" => volume_next <= X"cefe"; when "0110111011" => volume_next <= X"cf34"; when "0110111100" => volume_next <= X"cf69"; when "0110111101" => volume_next <= X"cf9f"; when "0110111110" => volume_next <= X"cfd4"; when "0110111111" => volume_next <= X"d008"; when "0111000000" => volume_next <= X"d03d"; when "0111000001" => volume_next <= X"d071"; when "0111000010" => volume_next <= X"d0a6"; when "0111000011" => volume_next <= X"d0da"; when "0111000100" => volume_next <= X"d10d"; when "0111000101" => volume_next <= X"d141"; when "0111000110" => volume_next <= X"d174"; when "0111000111" => volume_next <= X"d1a8"; when "0111001000" => volume_next <= X"d1db"; when "0111001001" => volume_next <= X"d20d"; when "0111001010" => volume_next <= X"d240"; when "0111001011" => volume_next <= X"d272"; when "0111001100" => volume_next <= X"d2a4"; when "0111001101" => volume_next <= X"d2d6"; when "0111001110" => volume_next <= X"d308"; when "0111001111" => volume_next <= X"d33a"; when "0111010000" => volume_next <= X"d36b"; when "0111010001" => volume_next <= X"d39c"; when "0111010010" => volume_next <= X"d3cd"; when "0111010011" => volume_next <= X"d3fe"; when "0111010100" => volume_next <= X"d42e"; when "0111010101" => volume_next <= X"d45e"; when "0111010110" => volume_next <= X"d48f"; when "0111010111" => volume_next <= X"d4bf"; when "0111011000" => volume_next <= X"d4ee"; when "0111011001" => volume_next <= X"d51e"; when "0111011010" => volume_next <= X"d54d"; when "0111011011" => volume_next <= X"d57c"; when "0111011100" => volume_next <= X"d5ab"; when "0111011101" => volume_next <= X"d5da"; when "0111011110" => volume_next <= X"d609"; when "0111011111" => volume_next <= X"d637"; when "0111100000" => volume_next <= X"d665"; when "0111100001" => volume_next <= X"d693"; when "0111100010" => volume_next <= X"d6c1"; when "0111100011" => volume_next <= X"d6ee"; when "0111100100" => volume_next <= X"d71c"; when "0111100101" => volume_next <= X"d749"; when "0111100110" => volume_next <= X"d776"; when "0111100111" => volume_next <= X"d7a3"; when "0111101000" => volume_next <= X"d7d0"; when "0111101001" => volume_next <= X"d7fc"; when "0111101010" => volume_next <= X"d828"; when "0111101011" => volume_next <= X"d854"; when "0111101100" => volume_next <= X"d880"; when "0111101101" => volume_next <= X"d8ac"; when "0111101110" => volume_next <= X"d8d8"; when "0111101111" => volume_next <= X"d903"; when "0111110000" => volume_next <= X"d92e"; when "0111110001" => volume_next <= X"d959"; when "0111110010" => volume_next <= X"d984"; when "0111110011" => volume_next <= X"d9af"; when "0111110100" => volume_next <= X"d9d9"; when "0111110101" => volume_next <= X"da03"; when "0111110110" => volume_next <= X"da2d"; when "0111110111" => volume_next <= X"da57"; when "0111111000" => volume_next <= X"da81"; when "0111111001" => volume_next <= X"daab"; when "0111111010" => volume_next <= X"dad4"; when "0111111011" => volume_next <= X"dafd"; when "0111111100" => volume_next <= X"db26"; when "0111111101" => volume_next <= X"db4f"; when "0111111110" => volume_next <= X"db78"; when "0111111111" => volume_next <= X"dba0"; when "1000000000" => volume_next <= X"dbc8"; when "1000000001" => volume_next <= X"dbf1"; when "1000000010" => volume_next <= X"dc19"; when "1000000011" => volume_next <= X"dc40"; when "1000000100" => volume_next <= X"dc68"; when "1000000101" => volume_next <= X"dc8f"; when "1000000110" => volume_next <= X"dcb7"; when "1000000111" => volume_next <= X"dcde"; when "1000001000" => volume_next <= X"dd05"; when "1000001001" => volume_next <= X"dd2c"; when "1000001010" => volume_next <= X"dd52"; when "1000001011" => volume_next <= X"dd79"; when "1000001100" => volume_next <= X"dd9f"; when "1000001101" => volume_next <= X"ddc5"; when "1000001110" => volume_next <= X"ddeb"; when "1000001111" => volume_next <= X"de11"; when "1000010000" => volume_next <= X"de36"; when "1000010001" => volume_next <= X"de5c"; when "1000010010" => volume_next <= X"de81"; when "1000010011" => volume_next <= X"dea6"; when "1000010100" => volume_next <= X"decb"; when "1000010101" => volume_next <= X"def0"; when "1000010110" => volume_next <= X"df15"; when "1000010111" => volume_next <= X"df39"; when "1000011000" => volume_next <= X"df5d"; when "1000011001" => volume_next <= X"df82"; when "1000011010" => volume_next <= X"dfa6"; when "1000011011" => volume_next <= X"dfc9"; when "1000011100" => volume_next <= X"dfed"; when "1000011101" => volume_next <= X"e011"; when "1000011110" => volume_next <= X"e034"; when "1000011111" => volume_next <= X"e057"; when "1000100000" => volume_next <= X"e07a"; when "1000100001" => volume_next <= X"e09d"; when "1000100010" => volume_next <= X"e0c0"; when "1000100011" => volume_next <= X"e0e2"; when "1000100100" => volume_next <= X"e105"; when "1000100101" => volume_next <= X"e127"; when "1000100110" => volume_next <= X"e149"; when "1000100111" => volume_next <= X"e16b"; when "1000101000" => volume_next <= X"e18d"; when "1000101001" => volume_next <= X"e1af"; when "1000101010" => volume_next <= X"e1d0"; when "1000101011" => volume_next <= X"e1f2"; when "1000101100" => volume_next <= X"e213"; when "1000101101" => volume_next <= X"e234"; when "1000101110" => volume_next <= X"e255"; when "1000101111" => volume_next <= X"e276"; when "1000110000" => volume_next <= X"e296"; when "1000110001" => volume_next <= X"e2b7"; when "1000110010" => volume_next <= X"e2d7"; when "1000110011" => volume_next <= X"e2f7"; when "1000110100" => volume_next <= X"e317"; when "1000110101" => volume_next <= X"e337"; when "1000110110" => volume_next <= X"e357"; when "1000110111" => volume_next <= X"e377"; when "1000111000" => volume_next <= X"e396"; when "1000111001" => volume_next <= X"e3b6"; when "1000111010" => volume_next <= X"e3d5"; when "1000111011" => volume_next <= X"e3f4"; when "1000111100" => volume_next <= X"e413"; when "1000111101" => volume_next <= X"e432"; when "1000111110" => volume_next <= X"e450"; when "1000111111" => volume_next <= X"e46f"; when "1001000000" => volume_next <= X"e48d"; when "1001000001" => volume_next <= X"e4ab"; when "1001000010" => volume_next <= X"e4c9"; when "1001000011" => volume_next <= X"e4e7"; when "1001000100" => volume_next <= X"e505"; when "1001000101" => volume_next <= X"e523"; when "1001000110" => volume_next <= X"e541"; when "1001000111" => volume_next <= X"e55e"; when "1001001000" => volume_next <= X"e57b"; when "1001001001" => volume_next <= X"e598"; when "1001001010" => volume_next <= X"e5b6"; when "1001001011" => volume_next <= X"e5d2"; when "1001001100" => volume_next <= X"e5ef"; when "1001001101" => volume_next <= X"e60c"; when "1001001110" => volume_next <= X"e628"; when "1001001111" => volume_next <= X"e645"; when "1001010000" => volume_next <= X"e661"; when "1001010001" => volume_next <= X"e67d"; when "1001010010" => volume_next <= X"e699"; when "1001010011" => volume_next <= X"e6b5"; when "1001010100" => volume_next <= X"e6d1"; when "1001010101" => volume_next <= X"e6ec"; when "1001010110" => volume_next <= X"e708"; when "1001010111" => volume_next <= X"e723"; when "1001011000" => volume_next <= X"e73f"; when "1001011001" => volume_next <= X"e75a"; when "1001011010" => volume_next <= X"e775"; when "1001011011" => volume_next <= X"e790"; when "1001011100" => volume_next <= X"e7aa"; when "1001011101" => volume_next <= X"e7c5"; when "1001011110" => volume_next <= X"e7e0"; when "1001011111" => volume_next <= X"e7fa"; when "1001100000" => volume_next <= X"e814"; when "1001100001" => volume_next <= X"e82f"; when "1001100010" => volume_next <= X"e849"; when "1001100011" => volume_next <= X"e862"; when "1001100100" => volume_next <= X"e87c"; when "1001100101" => volume_next <= X"e896"; when "1001100110" => volume_next <= X"e8b0"; when "1001100111" => volume_next <= X"e8c9"; when "1001101000" => volume_next <= X"e8e2"; when "1001101001" => volume_next <= X"e8fc"; when "1001101010" => volume_next <= X"e915"; when "1001101011" => volume_next <= X"e92e"; when "1001101100" => volume_next <= X"e947"; when "1001101101" => volume_next <= X"e960"; when "1001101110" => volume_next <= X"e978"; when "1001101111" => volume_next <= X"e991"; when "1001110000" => volume_next <= X"e9a9"; when "1001110001" => volume_next <= X"e9c2"; when "1001110010" => volume_next <= X"e9da"; when "1001110011" => volume_next <= X"e9f2"; when "1001110100" => volume_next <= X"ea0a"; when "1001110101" => volume_next <= X"ea22"; when "1001110110" => volume_next <= X"ea3a"; when "1001110111" => volume_next <= X"ea52"; when "1001111000" => volume_next <= X"ea69"; when "1001111001" => volume_next <= X"ea81"; when "1001111010" => volume_next <= X"ea98"; when "1001111011" => volume_next <= X"eab0"; when "1001111100" => volume_next <= X"eac7"; when "1001111101" => volume_next <= X"eade"; when "1001111110" => volume_next <= X"eaf5"; when "1001111111" => volume_next <= X"eb0c"; when "1010000000" => volume_next <= X"eb23"; when "1010000001" => volume_next <= X"eb39"; when "1010000010" => volume_next <= X"eb50"; when "1010000011" => volume_next <= X"eb66"; when "1010000100" => volume_next <= X"eb7d"; when "1010000101" => volume_next <= X"eb93"; when "1010000110" => volume_next <= X"eba9"; when "1010000111" => volume_next <= X"ebbf"; when "1010001000" => volume_next <= X"ebd6"; when "1010001001" => volume_next <= X"ebeb"; when "1010001010" => volume_next <= X"ec01"; when "1010001011" => volume_next <= X"ec17"; when "1010001100" => volume_next <= X"ec2d"; when "1010001101" => volume_next <= X"ec42"; when "1010001110" => volume_next <= X"ec58"; when "1010001111" => volume_next <= X"ec6d"; when "1010010000" => volume_next <= X"ec82"; when "1010010001" => volume_next <= X"ec98"; when "1010010010" => volume_next <= X"ecad"; when "1010010011" => volume_next <= X"ecc2"; when "1010010100" => volume_next <= X"ecd7"; when "1010010101" => volume_next <= X"eceb"; when "1010010110" => volume_next <= X"ed00"; when "1010010111" => volume_next <= X"ed15"; when "1010011000" => volume_next <= X"ed29"; when "1010011001" => volume_next <= X"ed3e"; when "1010011010" => volume_next <= X"ed52"; when "1010011011" => volume_next <= X"ed67"; when "1010011100" => volume_next <= X"ed7b"; when "1010011101" => volume_next <= X"ed8f"; when "1010011110" => volume_next <= X"eda3"; when "1010011111" => volume_next <= X"edb7"; when "1010100000" => volume_next <= X"edcb"; when "1010100001" => volume_next <= X"eddf"; when "1010100010" => volume_next <= X"edf3"; when "1010100011" => volume_next <= X"ee06"; when "1010100100" => volume_next <= X"ee1a"; when "1010100101" => volume_next <= X"ee2d"; when "1010100110" => volume_next <= X"ee41"; when "1010100111" => volume_next <= X"ee54"; when "1010101000" => volume_next <= X"ee67"; when "1010101001" => volume_next <= X"ee7b"; when "1010101010" => volume_next <= X"ee8e"; when "1010101011" => volume_next <= X"eea1"; when "1010101100" => volume_next <= X"eeb4"; when "1010101101" => volume_next <= X"eec7"; when "1010101110" => volume_next <= X"eed9"; when "1010101111" => volume_next <= X"eeec"; when "1010110000" => volume_next <= X"eeff"; when "1010110001" => volume_next <= X"ef11"; when "1010110010" => volume_next <= X"ef24"; when "1010110011" => volume_next <= X"ef36"; when "1010110100" => volume_next <= X"ef49"; when "1010110101" => volume_next <= X"ef5b"; when "1010110110" => volume_next <= X"ef6d"; when "1010110111" => volume_next <= X"ef80"; when "1010111000" => volume_next <= X"ef92"; when "1010111001" => volume_next <= X"efa4"; when "1010111010" => volume_next <= X"efb6"; when "1010111011" => volume_next <= X"efc8"; when "1010111100" => volume_next <= X"efda"; when "1010111101" => volume_next <= X"efeb"; when "1010111110" => volume_next <= X"effd"; when "1010111111" => volume_next <= X"f00f"; when "1011000000" => volume_next <= X"f020"; when "1011000001" => volume_next <= X"f032"; when "1011000010" => volume_next <= X"f043"; when "1011000011" => volume_next <= X"f055"; when "1011000100" => volume_next <= X"f066"; when "1011000101" => volume_next <= X"f077"; when "1011000110" => volume_next <= X"f089"; when "1011000111" => volume_next <= X"f09a"; when "1011001000" => volume_next <= X"f0ab"; when "1011001001" => volume_next <= X"f0bc"; when "1011001010" => volume_next <= X"f0cd"; when "1011001011" => volume_next <= X"f0de"; when "1011001100" => volume_next <= X"f0ef"; when "1011001101" => volume_next <= X"f100"; when "1011001110" => volume_next <= X"f110"; when "1011001111" => volume_next <= X"f121"; when "1011010000" => volume_next <= X"f132"; when "1011010001" => volume_next <= X"f142"; when "1011010010" => volume_next <= X"f153"; when "1011010011" => volume_next <= X"f163"; when "1011010100" => volume_next <= X"f174"; when "1011010101" => volume_next <= X"f184"; when "1011010110" => volume_next <= X"f195"; when "1011010111" => volume_next <= X"f1a5"; when "1011011000" => volume_next <= X"f1b5"; when "1011011001" => volume_next <= X"f1c5"; when "1011011010" => volume_next <= X"f1d6"; when "1011011011" => volume_next <= X"f1e6"; when "1011011100" => volume_next <= X"f1f6"; when "1011011101" => volume_next <= X"f206"; when "1011011110" => volume_next <= X"f216"; when "1011011111" => volume_next <= X"f226"; when "1011100000" => volume_next <= X"f236"; when "1011100001" => volume_next <= X"f245"; when "1011100010" => volume_next <= X"f255"; when "1011100011" => volume_next <= X"f265"; when "1011100100" => volume_next <= X"f275"; when "1011100101" => volume_next <= X"f284"; when "1011100110" => volume_next <= X"f294"; when "1011100111" => volume_next <= X"f2a4"; when "1011101000" => volume_next <= X"f2b3"; when "1011101001" => volume_next <= X"f2c3"; when "1011101010" => volume_next <= X"f2d2"; when "1011101011" => volume_next <= X"f2e1"; when "1011101100" => volume_next <= X"f2f1"; when "1011101101" => volume_next <= X"f300"; when "1011101110" => volume_next <= X"f310"; when "1011101111" => volume_next <= X"f31f"; when "1011110000" => volume_next <= X"f32e"; when "1011110001" => volume_next <= X"f33d"; when "1011110010" => volume_next <= X"f34c"; when "1011110011" => volume_next <= X"f35c"; when "1011110100" => volume_next <= X"f36b"; when "1011110101" => volume_next <= X"f37a"; when "1011110110" => volume_next <= X"f389"; when "1011110111" => volume_next <= X"f398"; when "1011111000" => volume_next <= X"f3a7"; when "1011111001" => volume_next <= X"f3b6"; when "1011111010" => volume_next <= X"f3c5"; when "1011111011" => volume_next <= X"f3d4"; when "1011111100" => volume_next <= X"f3e2"; when "1011111101" => volume_next <= X"f3f1"; when "1011111110" => volume_next <= X"f400"; when "1011111111" => volume_next <= X"f40f"; when "1100000000" => volume_next <= X"f41e"; when "1100000001" => volume_next <= X"f42c"; when "1100000010" => volume_next <= X"f43b"; when "1100000011" => volume_next <= X"f44a"; when "1100000100" => volume_next <= X"f458"; when "1100000101" => volume_next <= X"f467"; when "1100000110" => volume_next <= X"f476"; when "1100000111" => volume_next <= X"f484"; when "1100001000" => volume_next <= X"f493"; when "1100001001" => volume_next <= X"f4a1"; when "1100001010" => volume_next <= X"f4b0"; when "1100001011" => volume_next <= X"f4be"; when "1100001100" => volume_next <= X"f4cd"; when "1100001101" => volume_next <= X"f4db"; when "1100001110" => volume_next <= X"f4ea"; when "1100001111" => volume_next <= X"f4f8"; when "1100010000" => volume_next <= X"f507"; when "1100010001" => volume_next <= X"f515"; when "1100010010" => volume_next <= X"f524"; when "1100010011" => volume_next <= X"f532"; when "1100010100" => volume_next <= X"f540"; when "1100010101" => volume_next <= X"f54f"; when "1100010110" => volume_next <= X"f55d"; when "1100010111" => volume_next <= X"f56b"; when "1100011000" => volume_next <= X"f57a"; when "1100011001" => volume_next <= X"f588"; when "1100011010" => volume_next <= X"f596"; when "1100011011" => volume_next <= X"f5a4"; when "1100011100" => volume_next <= X"f5b3"; when "1100011101" => volume_next <= X"f5c1"; when "1100011110" => volume_next <= X"f5cf"; when "1100011111" => volume_next <= X"f5dd"; when "1100100000" => volume_next <= X"f5ec"; when "1100100001" => volume_next <= X"f5fa"; when "1100100010" => volume_next <= X"f608"; when "1100100011" => volume_next <= X"f616"; when "1100100100" => volume_next <= X"f624"; when "1100100101" => volume_next <= X"f633"; when "1100100110" => volume_next <= X"f641"; when "1100100111" => volume_next <= X"f64f"; when "1100101000" => volume_next <= X"f65d"; when "1100101001" => volume_next <= X"f66b"; when "1100101010" => volume_next <= X"f67a"; when "1100101011" => volume_next <= X"f688"; when "1100101100" => volume_next <= X"f696"; when "1100101101" => volume_next <= X"f6a4"; when "1100101110" => volume_next <= X"f6b2"; when "1100101111" => volume_next <= X"f6c0"; when "1100110000" => volume_next <= X"f6cf"; when "1100110001" => volume_next <= X"f6dd"; when "1100110010" => volume_next <= X"f6eb"; when "1100110011" => volume_next <= X"f6f9"; when "1100110100" => volume_next <= X"f707"; when "1100110101" => volume_next <= X"f716"; when "1100110110" => volume_next <= X"f724"; when "1100110111" => volume_next <= X"f732"; when "1100111000" => volume_next <= X"f740"; when "1100111001" => volume_next <= X"f74e"; when "1100111010" => volume_next <= X"f75d"; when "1100111011" => volume_next <= X"f76b"; when "1100111100" => volume_next <= X"f779"; when "1100111101" => volume_next <= X"f787"; when "1100111110" => volume_next <= X"f796"; when "1100111111" => volume_next <= X"f7a4"; when "1101000000" => volume_next <= X"f7b2"; when "1101000001" => volume_next <= X"f7c0"; when "1101000010" => volume_next <= X"f7cf"; when "1101000011" => volume_next <= X"f7dd"; when "1101000100" => volume_next <= X"f7eb"; when "1101000101" => volume_next <= X"f7fa"; when "1101000110" => volume_next <= X"f808"; when "1101000111" => volume_next <= X"f817"; when "1101001000" => volume_next <= X"f825"; when "1101001001" => volume_next <= X"f833"; when "1101001010" => volume_next <= X"f842"; when "1101001011" => volume_next <= X"f850"; when "1101001100" => volume_next <= X"f85f"; when "1101001101" => volume_next <= X"f86d"; when "1101001110" => volume_next <= X"f87c"; when "1101001111" => volume_next <= X"f88a"; when "1101010000" => volume_next <= X"f899"; when "1101010001" => volume_next <= X"f8a7"; when "1101010010" => volume_next <= X"f8b6"; when "1101010011" => volume_next <= X"f8c4"; when "1101010100" => volume_next <= X"f8d3"; when "1101010101" => volume_next <= X"f8e2"; when "1101010110" => volume_next <= X"f8f0"; when "1101010111" => volume_next <= X"f8ff"; when "1101011000" => volume_next <= X"f90e"; when "1101011001" => volume_next <= X"f91d"; when "1101011010" => volume_next <= X"f92b"; when "1101011011" => volume_next <= X"f93a"; when "1101011100" => volume_next <= X"f949"; when "1101011101" => volume_next <= X"f958"; when "1101011110" => volume_next <= X"f967"; when "1101011111" => volume_next <= X"f976"; when "1101100000" => volume_next <= X"f984"; when "1101100001" => volume_next <= X"f993"; when "1101100010" => volume_next <= X"f9a2"; when "1101100011" => volume_next <= X"f9b1"; when "1101100100" => volume_next <= X"f9c1"; when "1101100101" => volume_next <= X"f9d0"; when "1101100110" => volume_next <= X"f9df"; when "1101100111" => volume_next <= X"f9ee"; when "1101101000" => volume_next <= X"f9fd"; when "1101101001" => volume_next <= X"fa0c"; when "1101101010" => volume_next <= X"fa1c"; when "1101101011" => volume_next <= X"fa2b"; when "1101101100" => volume_next <= X"fa3a"; when "1101101101" => volume_next <= X"fa4a"; when "1101101110" => volume_next <= X"fa59"; when "1101101111" => volume_next <= X"fa68"; when "1101110000" => volume_next <= X"fa78"; when "1101110001" => volume_next <= X"fa87"; when "1101110010" => volume_next <= X"fa97"; when "1101110011" => volume_next <= X"faa7"; when "1101110100" => volume_next <= X"fab6"; when "1101110101" => volume_next <= X"fac6"; when "1101110110" => volume_next <= X"fad6"; when "1101110111" => volume_next <= X"fae5"; when "1101111000" => volume_next <= X"faf5"; when "1101111001" => volume_next <= X"fb05"; when "1101111010" => volume_next <= X"fb15"; when "1101111011" => volume_next <= X"fb25"; when "1101111100" => volume_next <= X"fb35"; when "1101111101" => volume_next <= X"fb45"; when "1101111110" => volume_next <= X"fb55"; when "1101111111" => volume_next <= X"fb65"; when "1110000000" => volume_next <= X"fb75"; when "1110000001" => volume_next <= X"fb86"; when "1110000010" => volume_next <= X"fb96"; when "1110000011" => volume_next <= X"fba6"; when "1110000100" => volume_next <= X"fbb7"; when "1110000101" => volume_next <= X"fbc7"; when "1110000110" => volume_next <= X"fbd7"; when "1110000111" => volume_next <= X"fbe8"; when "1110001000" => volume_next <= X"fbf9"; when "1110001001" => volume_next <= X"fc09"; when "1110001010" => volume_next <= X"fc1a"; when "1110001011" => volume_next <= X"fc2b"; when "1110001100" => volume_next <= X"fc3b"; when "1110001101" => volume_next <= X"fc4c"; when "1110001110" => volume_next <= X"fc5d"; when "1110001111" => volume_next <= X"fc6e"; when "1110010000" => volume_next <= X"fc7f"; when "1110010001" => volume_next <= X"fc90"; when "1110010010" => volume_next <= X"fca1"; when "1110010011" => volume_next <= X"fcb3"; when "1110010100" => volume_next <= X"fcc4"; when "1110010101" => volume_next <= X"fcd5"; when "1110010110" => volume_next <= X"fce6"; when "1110010111" => volume_next <= X"fcf8"; when "1110011000" => volume_next <= X"fd09"; when "1110011001" => volume_next <= X"fd1b"; when "1110011010" => volume_next <= X"fd2d"; when "1110011011" => volume_next <= X"fd3e"; when "1110011100" => volume_next <= X"fd50"; when "1110011101" => volume_next <= X"fd62"; when "1110011110" => volume_next <= X"fd74"; when "1110011111" => volume_next <= X"fd86"; when "1110100000" => volume_next <= X"fd98"; when "1110100001" => volume_next <= X"fdaa"; when "1110100010" => volume_next <= X"fdbc"; when "1110100011" => volume_next <= X"fdce"; when "1110100100" => volume_next <= X"fde0"; when "1110100101" => volume_next <= X"fdf3"; when "1110100110" => volume_next <= X"fe05"; when "1110100111" => volume_next <= X"fe18"; when "1110101000" => volume_next <= X"fe2a"; when "1110101001" => volume_next <= X"fe3d"; when "1110101010" => volume_next <= X"fe50"; when "1110101011" => volume_next <= X"fe62"; when "1110101100" => volume_next <= X"fe75"; when "1110101101" => volume_next <= X"fe88"; when "1110101110" => volume_next <= X"fe9b"; when "1110101111" => volume_next <= X"feae"; when "1110110000" => volume_next <= X"fec1"; when "1110110001" => volume_next <= X"fed5"; when "1110110010" => volume_next <= X"fee8"; when "1110110011" => volume_next <= X"fefb"; when "1110110100" => volume_next <= X"ff0f"; when "1110110101" => volume_next <= X"ff22"; when "1110110110" => volume_next <= X"ff36"; when "1110110111" => volume_next <= X"ff4a"; when "1110111000" => volume_next <= X"ff5d"; when "1110111001" => volume_next <= X"ff71"; when "1110111010" => volume_next <= X"ff85"; when "1110111011" => volume_next <= X"ff99"; when "1110111100" => volume_next <= X"ffad"; when "1110111101" => volume_next <= X"ffc1"; when "1110111110" => volume_next <= X"ffd6"; when "1110111111" => volume_next <= X"ffea"; when "1111000000" => volume_next <= X"ffff"; when others => volume_next <= X"ffff"; end case; end process; -- output volume_out <= volume_reg; END vhdl;
gpl-3.0
da6d32345dffc67aa9e95e4cbabb85f1
0.563718
2.789771
false
false
false
false
sonologic/gmzpu
vhdl/devices/trace.vhdl
1
12,808
------------------------------------------------------------------------------ ---- ---- ---- ZPU Trace Module ---- ---- ---- ---- http://www.opencores.org/ ---- ---- ---- ---- Description: ---- ---- ZPU is a 32 bits small stack cpu. This is a module to log an ---- ---- execution trace. ---- ---- ---- ---- To Do: ---- ---- - ---- ---- ---- ---- Author: ---- ---- - Øyvind Harboe, oyvind.harboe zylin.com ---- ---- - Salvador E. Tropea, salvador inti.gob.ar ---- ---- ---- ------------------------------------------------------------------------------ ---- ---- ---- Copyright (c) 2008 Øyvind Harboe <oyvind.harboe zylin.com> ---- ---- Copyright (c) 2008 Salvador E. Tropea <salvador inti.gob.ar> ---- ---- Copyright (c) 2008 Instituto Nacional de Tecnología Industrial ---- ---- ---- ---- Distributed under the BSD license ---- ---- ---- ------------------------------------------------------------------------------ ---- ---- ---- Design unit: Trace(Behave) (Entity and architecture) ---- ---- File name: trace.vhdl ---- ---- Note: None ---- ---- Limitations: None known ---- ---- Errors: None known ---- ---- Library: zpu ---- ---- Dependencies: IEEE.std_logic_1164 ---- ---- IEEE.numeric_std ---- ---- std.textio ---- ---- zpu.zpupkg ---- ---- zpu.txt_util ---- ---- Target FPGA: N/A ---- ---- Language: VHDL ---- ---- Wishbone: No ---- ---- Synthesis tools: N/A ---- ---- Simulation tools: GHDL [Sokcho edition] (0.2x) ---- ---- Text editor: SETEdit 0.5.x ---- ---- ---- ------------------------------------------------------------------------------ library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; use std.textio.all; library zpu; use zpu.zpupkg.all; use zpu.txt_util.all; entity Trace is generic( LOG_FILE : string:="trace.txt"; -- Name of the trace file ADDR_W : integer:=16; -- Address width WORD_SIZE : integer:=32); -- 16/32 port( clk_i : in std_logic; dbg_i : in zpu_dbgo_t; stop_i : in std_logic; busy_i : in std_logic ); end entity Trace; architecture Behave of Trace is file l_file : text open write_mode is LOG_FILE; signal counter : unsigned(63 downto 0); begin -- write data and control information to a file receive_data: process variable l : line; variable stk_min : unsigned(31 downto 0):=(others => '1'); variable stk_ini : unsigned(31 downto 0); variable first : boolean:=true; variable sp_off : unsigned(4 downto 0); variable idim : boolean:=false; variable im_val : unsigned(31 downto 0):=(others => '0'); begin counter <= to_unsigned(1,64); -- print header for the logfile print(l_file,"#PC Opcode SP A=[SP] B=[SP+1] Clk Counter Assembler"); print(l_file,"#---------------------------------------------------------------------------"); print(l_file," "); wait until clk_i='1'; wait until clk_i='0'; while true loop counter <= counter+1; if dbg_i.b_inst='1' then write(l, "0x"&hstr(dbg_i.pc(ADDR_W-1 downto 0))& " 0x"&hstr(dbg_i.opcode)& " 0x"&hstr(dbg_i.sp)& " 0x"&hstr(dbg_i.stk_a)& " 0x"&hstr(dbg_i.stk_b)& " 0x"&hstr(counter)&" "); -------------------------- -- Instruction Decoder -- -------------------------- sp_off(4):=not dbg_i.opcode(4); sp_off(3 downto 0):=dbg_i.opcode(3 downto 0); if dbg_i.opcode(7 downto 7)=OPCODE_IM then if idim then im_val(31 downto 7):=im_val(24 downto 0); im_val(6 downto 0):=dbg_i.opcode(6 downto 0); else im_val:=unsigned(resize(signed(dbg_i.opcode(6 downto 0)),32)); end if; idim:=true; write(l,"im 0x"&hstr(dbg_i.opcode(6 downto 0))&" ; 0x"&hstr(im_val)); elsif dbg_i.opcode(7 downto 5)=OPCODE_STORESP then if sp_off=0 then write(l,string'("storesp 0 ; pop")); elsif sp_off=1 then write(l,string'("storesp 4 ; 1*4 = popdown")); else write(l,"storesp "&integer'image(to_integer(sp_off)*4)&" ; "& integer'image(to_integer(sp_off))&"*4"); end if; elsif dbg_i.opcode(7 downto 5)=OPCODE_LOADSP then if sp_off=0 then write(l,string'("loadsp 0 ; dup")); elsif sp_off=1 then write(l,string'("loadsp 4 ; 1*4 = dupstkb")); else write(l,"loadsp "&integer'image(to_integer(sp_off)*4)&" ; "& integer'image(to_integer(sp_off))&"*4"); end if; elsif dbg_i.opcode(7 downto 5)=OPCODE_EMULATE then if dbg_i.opcode(5 downto 0)=OPCODE_EQ then write(l,string'("eq")); elsif dbg_i.opcode(5 downto 0)=OPCODE_LOADB then write(l,string'("loadb")); elsif dbg_i.opcode(5 downto 0)=OPCODE_NEQBRANCH then write(l,string'("neqbranch")); elsif dbg_i.opcode(5 downto 0)=OPCODE_PUSHSPADD then write(l,string'("pushspadd")); elsif dbg_i.opcode(5 downto 0)=OPCODE_LESSTHAN then write(l,string'("lessthan")); elsif dbg_i.opcode(5 downto 0)=OPCODE_ULESSTHAN then write(l,string'("ulessthan")); elsif dbg_i.opcode(5 downto 0)=OPCODE_MULT then write(l,string'("mult")); elsif dbg_i.opcode(5 downto 0)=OPCODE_STOREB then write(l,string'("storeb")); elsif dbg_i.opcode(5 downto 0)=OPCODE_CALLPCREL then write(l,string'("callpcrel")); elsif dbg_i.opcode(5 downto 0)=OPCODE_SUB then write(l,string'("sub")); elsif dbg_i.opcode(5 downto 0)=OPCODE_LESSTHANOREQUAL then write(l,string'("lessthanorequal")); elsif dbg_i.opcode(5 downto 0)=OPCODE_ULESSTHANOREQUAL then write(l,string'("ulessthanorequal")); elsif dbg_i.opcode(5 downto 0)=OPCODE_CALL then write(l,string'("call")); elsif dbg_i.opcode(5 downto 0)=OPCODE_POPPCREL then write(l,string'("poppcrel")); elsif dbg_i.opcode(5 downto 0)=OPCODE_LSHIFTRIGHT then write(l,string'("lshiftright")); elsif dbg_i.opcode(5 downto 0)=OPCODE_LOADH then write(l,string'("loadh")); elsif dbg_i.opcode(5 downto 0)=OPCODE_STOREH then write(l,string'("storeh")); elsif dbg_i.opcode(5 downto 0)=OPCODE_ASHIFTLEFT then write(l,string'("ashiftleft")); elsif dbg_i.opcode(5 downto 0)=OPCODE_ASHIFTRIGHT then write(l,string'("ashiftright")); elsif dbg_i.opcode(5 downto 0)=OPCODE_NEQ then write(l,string'("neq")); elsif dbg_i.opcode(5 downto 0)=OPCODE_NEG then write(l,string'("neg")); elsif dbg_i.opcode(5 downto 0)=OPCODE_XOR then write(l,string'("xor")); elsif dbg_i.opcode(5 downto 0)=OPCODE_DIV then write(l,string'("div")); elsif dbg_i.opcode(5 downto 0)=OPCODE_MOD then write(l,string'("mod")); elsif dbg_i.opcode(5 downto 0)=OPCODE_EQBRANCH then write(l,string'("eqbranch")); elsif dbg_i.opcode(5 downto 0)=OPCODE_CONFIG then write(l,string'("config")); elsif dbg_i.opcode(5 downto 0)=OPCODE_PUSHPC then write(l,string'("pushpc")); else write(l,integer'image(to_integer(dbg_i.opcode(5 downto 0)))& " ; invalid emulated instruction"); end if; elsif dbg_i.opcode(7 downto 4)=OPCODE_ADDSP then if sp_off=0 then write(l,string'("addsp 0 ; shift")); elsif sp_off=1 then write(l,string'("addsp 4 ; 1*4 = addtop")); else write(l,"addsp "&integer'image(to_integer(sp_off)*4)&" ; "& integer'image(to_integer(sp_off))&"*4"); end if; else -- OPCODE_SHORT case dbg_i.opcode(3 downto 0) is when OPCODE_BREAK => write(l,string'("break")); when OPCODE_PUSHSP => write(l,string'("pushsp")); when OPCODE_POPPC => write(l,string'("poppc")); when OPCODE_ADD => write(l,string'("add")); when OPCODE_OR => write(l,string'("or")); when OPCODE_AND => write(l,string'("and")); when OPCODE_LOAD => write(l,string'("load")); when OPCODE_NOT => write(l,string'("not")); when OPCODE_FLIP => write(l,string'("flip")); when OPCODE_STORE => write(l,string'("store")); when OPCODE_POPSP => write(l,string'("popsp")); when OPCODE_NOP => write(l,string'("nop")); when others => write(l,integer'image(to_integer(dbg_i.opcode))& " ; invalid instruction"); end case; end if; if dbg_i.opcode(7 downto 7)/=OPCODE_IM then idim:=false; end if; ----------------------------- -- End Instruction Decoder -- ----------------------------- writeline(l_file,l); if dbg_i.sp<stk_min then stk_min:=dbg_i.sp; end if; if first then stk_ini:=dbg_i.sp+8; first:=false; end if; end if; wait until clk_i='0' or stop_i='1'; if stop_i='1' then print(output,"Minimum SP: 0x"&hstr(stk_min)&" Size: 0x"&hstr(stk_ini-stk_min)); wait; end if; end loop; end process receive_data; end Behave;
bsd-3-clause
e1c86b5156587f23baf960bb70bcead1
0.38148
4.757801
false
false
false
false
benjmarshall/hls_scratchpad
hls_cmd_line_testing/hls_gui_proj/hls_sin_proj/solution1/syn/vhdl/fifo_w64_d2_A.vhd
4
4,437
-- ============================================================== -- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC -- Version: 2017.1 -- Copyright (C) 1986-2017 Xilinx, Inc. All Rights Reserved. -- -- ============================================================== library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_unsigned.all; entity fifo_w64_d2_A_shiftReg is generic ( DATA_WIDTH : integer := 64; ADDR_WIDTH : integer := 2; DEPTH : integer := 3); port ( clk : in std_logic; data : in std_logic_vector(DATA_WIDTH-1 downto 0); ce : in std_logic; a : in std_logic_vector(ADDR_WIDTH-1 downto 0); q : out std_logic_vector(DATA_WIDTH-1 downto 0)); end fifo_w64_d2_A_shiftReg; architecture rtl of fifo_w64_d2_A_shiftReg is --constant DEPTH_WIDTH: integer := 16; type SRL_ARRAY is array (0 to DEPTH-1) of std_logic_vector(DATA_WIDTH-1 downto 0); signal SRL_SIG : SRL_ARRAY; begin p_shift: process (clk) begin if (clk'event and clk = '1') then if (ce = '1') then SRL_SIG <= data & SRL_SIG(0 to DEPTH-2); end if; end if; end process; q <= SRL_SIG(conv_integer(a)); end rtl; library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity fifo_w64_d2_A is generic ( MEM_STYLE : string := "shiftreg"; DATA_WIDTH : integer := 64; ADDR_WIDTH : integer := 2; DEPTH : integer := 3); port ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; if_empty_n : OUT STD_LOGIC; if_read_ce : IN STD_LOGIC; if_read : IN STD_LOGIC; if_dout : OUT STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0); if_full_n : OUT STD_LOGIC; if_write_ce : IN STD_LOGIC; if_write : IN STD_LOGIC; if_din : IN STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0)); end entity; architecture rtl of fifo_w64_d2_A is component fifo_w64_d2_A_shiftReg is generic ( DATA_WIDTH : integer := 64; ADDR_WIDTH : integer := 2; DEPTH : integer := 3); port ( clk : in std_logic; data : in std_logic_vector(DATA_WIDTH-1 downto 0); ce : in std_logic; a : in std_logic_vector(ADDR_WIDTH-1 downto 0); q : out std_logic_vector(DATA_WIDTH-1 downto 0)); end component; signal shiftReg_addr : STD_LOGIC_VECTOR(ADDR_WIDTH - 1 downto 0); signal shiftReg_data, shiftReg_q : STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0); signal shiftReg_ce : STD_LOGIC; signal mOutPtr : STD_LOGIC_VECTOR(ADDR_WIDTH downto 0) := (others => '1'); signal internal_empty_n : STD_LOGIC := '0'; signal internal_full_n : STD_LOGIC := '1'; begin if_empty_n <= internal_empty_n; if_full_n <= internal_full_n; shiftReg_data <= if_din; if_dout <= shiftReg_q; process (clk) begin if clk'event and clk = '1' then if reset = '1' then mOutPtr <= (others => '1'); internal_empty_n <= '0'; internal_full_n <= '1'; else if ((if_read and if_read_ce) = '1' and internal_empty_n = '1') and ((if_write and if_write_ce) = '0' or internal_full_n = '0') then mOutPtr <= mOutPtr - 1; if (mOutPtr = 0) then internal_empty_n <= '0'; end if; internal_full_n <= '1'; elsif ((if_read and if_read_ce) = '0' or internal_empty_n = '0') and ((if_write and if_write_ce) = '1' and internal_full_n = '1') then mOutPtr <= mOutPtr + 1; internal_empty_n <= '1'; if (mOutPtr = DEPTH - 2) then internal_full_n <= '0'; end if; end if; end if; end if; end process; shiftReg_addr <= (others => '0') when mOutPtr(ADDR_WIDTH) = '1' else mOutPtr(ADDR_WIDTH-1 downto 0); shiftReg_ce <= (if_write and if_write_ce) and internal_full_n; U_fifo_w64_d2_A_shiftReg : fifo_w64_d2_A_shiftReg generic map ( DATA_WIDTH => DATA_WIDTH, ADDR_WIDTH => ADDR_WIDTH, DEPTH => DEPTH) port map ( clk => clk, data => shiftReg_data, ce => shiftReg_ce, a => shiftReg_addr, q => shiftReg_q); end rtl;
mit
365111763e9dcd7961084896760b99a2
0.52558
3.4637
false
false
false
false
ILoveSpeccy/Aeon-Lite
cores/lvov-pk02-mips/src/host/VGA Console/fontrom/fontrom/simulation/bmg_tb_top.vhd
2
4,227
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v6_3 Core - Top File for the Example Testbench -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: bmg_tb_top.vhd -- -- Description: -- Testbench Top -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY work; USE work.ALL; ENTITY BMG_TB_TOP IS END ENTITY; ARCHITECTURE BMG_TB_TOP_ARCH OF BMG_TB_TOP IS SIGNAL STATUS : STD_LOGIC_VECTOR(8 DOWNTO 0); SIGNAL CLK : STD_LOGIC := '1'; SIGNAL RESET : STD_LOGIC; BEGIN CLK_GEN: PROCESS BEGIN CLK <= NOT CLK; WAIT FOR 100 NS; CLK <= NOT CLK; WAIT FOR 100 NS; END PROCESS; RST_GEN: PROCESS BEGIN RESET <= '1'; WAIT FOR 1000 NS; RESET <= '0'; WAIT; END PROCESS; --STOP_SIM: PROCESS BEGIN -- WAIT FOR 200 US; -- STOP SIMULATION AFTER 1 MS -- ASSERT FALSE -- REPORT "END SIMULATION TIME REACHED" -- SEVERITY FAILURE; --END PROCESS; -- PROCESS BEGIN WAIT UNTIL STATUS(8)='1'; IF( STATUS(7 downto 0)/="0") THEN ASSERT false REPORT "Simulation Failed" SEVERITY FAILURE; ELSE ASSERT false REPORT "Simulation Complete" SEVERITY FAILURE; END IF; END PROCESS; BMG_TB_INST:ENTITY work.BMG_TB GENERIC MAP (C_ROM_SYNTH => 0) PORT MAP( CLK_IN => CLK, RESET_IN => RESET, STATUS => STATUS ); END ARCHITECTURE;
gpl-3.0
63ca5db0a278202154528dfe5dac0342
0.615567
4.599565
false
false
false
false
seiken-chuouniv/ecorun
ecorun_fi_hardware/fi_timer/FiTimer/SerialSender.vhd
1
1,749
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 17:02:23 03/15/2015 -- Design Name: -- Module Name: SerialSender - RTL -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity SerialSender is port( clk : in std_logic; tx : out std_logic := '1'; data : in std_logic_vector(7 downto 0); send : in std_logic; sending : out std_logic := '0' ); end SerialSender; architecture RTL of SerialSender is signal temp_data : std_logic_vector(7 downto 0); signal bit_pos : integer range 0 to 9 := 0; signal sending : std_logic := '0'; begin process(clk) begin if (clk'event and clk = '1') then -- serial send if (send = '1') then sending <= send; end if; if (sending = '1') then case bit_pos is when 0 => tx <= '0'; bit_pos <= bit_pos + 1; temp_data <= data; when 1 | 2 | 3 | 4 | 5 | 6 | 7 | 8 => bit_pos <= bit_pos + 1; tx <= temp_data(0); temp_data <= '1' & temp_data(7 downto 1); when 9 => tx <= '1'; bit_pos <= 0; sending <= '0'; end case; end if; out_sending <= sending; end if; end process; end RTL;
bsd-3-clause
0053235c4e11461cd265b1a07edf30e9
0.548885
3.3125
false
false
false
false
freecores/lq057q3dc02
design/clk_lcd_cyc_cntr.vhd
1
12,889
------------------------------------------------------------------------------ -- Copyright (C) 2007 Jonathon W. Donaldson -- jwdonal a t opencores DOT org -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 675 Mass Ave, Cambridge, MA 02139, USA. -- ------------------------------------------------------------------------------ -- -- $Id: clk_lcd_cyc_cntr.vhd,v 1.1 2008-11-07 00:48:12 jwdonal Exp $ -- -- Description: -- Counts the number of CLK_LCD cycles that have occured after C_VSYNC_TVS -- lines have passed. The output vector is then used by ENAB and the IMAGE -- generator for pulse and data timing. This method allows for a single -- common counter for both the ENAB and image controller blocks. THe less -- efficient way would be to have two counters - one for each block. -- -- Structure: -- - xupv2p.ucf -- - components.vhd -- - lq057q3dc02_tb.vhd -- - lq057q3dc02.vhd -- - dcm_sys_to_lcd.xaw -- - video_controller.vhd -- - enab_control.vhd -- - hsyncx_control.vhd -- - vsyncx_control.vhd -- - clk_lcd_cyc_cntr.vhd -- - image_gen_bram.vhd -- - image_gen_bram_red.xco -- - image_gen_bram_green.xco -- - image_gen_bram_blue.xco -- ------------------------------------------------------------------------------ -- -- Naming Conventions: -- active low signals "*x" -- clock signal "CLK_*" -- reset signal "RST" -- generic/constant "C_*" -- user defined type "TYPE_*" -- state machine next state "*_ns" -- state machine current state "*_cs"" -- pipelined signals "*_d#" -- register delay signals "*_p#" -- signal "*_sig" -- variable "*_var" -- storage register "*_reg" -- clock enable signals "*_ce" -- internal version of output port used as connecting wire "*_wire" -- input/output port "ALL_CAPS" -- process "*_PROC" -- ------------------------------------------------------------------------------ --////////////////////-- -- LIBRARY INCLUSIONS -- --////////////////////-- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; --////////////////////-- -- ENTITY DECLARATION -- --////////////////////-- ENTITY clk_lcd_cyc_cntr IS generic ( C_VSYNC_TVS, C_LINE_NUM_WIDTH, C_CLK_LCD_CYC_NUM_WIDTH, C_ENAB_TEP, C_ENAB_THE : POSITIVE ); port ( RSTx, CLK_LCD, HSYNCx, VSYNCx : IN std_logic; LINE_NUM : IN std_logic_vector(C_LINE_NUM_WIDTH-1 downto 0); CLK_LCD_CYC_NUM : OUT std_logic_vector(C_CLK_LCD_CYC_NUM_WIDTH-1 downto 0) ); END ENTITY clk_lcd_cyc_cntr; --////////////////////////-- -- ARCHITECTURE OF ENTITY -- --////////////////////////-- ARCHITECTURE clk_lcd_cyc_cntr_arch OF clk_lcd_cyc_cntr IS constant C_NUM_LCD_LINES : positive := 240; -- number of drawable lines in the LCD constant C_NUM_LCD_PIXELS : positive := 320; -- number of drawable pixels per line in the LCD --Enables/disables counter for pixel/enab counter process signal clk_cyc_cnt_en_sig : std_logic := '0'; --Stores the number of CLK_LCD cycles that have occurred signal clk_cyc_num_reg : std_logic_vector(C_CLK_LCD_CYC_NUM_WIDTH-1 downto 0) := (others => '0'); --------------------------------------------------------------- -- States for CLK_Cntr_cntrl_*_PROC --------------------------------------------------------------- --INACTIVE_WAIT_1 => wait here until new screen or new line starts --INACTIVE_WAIT_2 => wait for HSYNCx pulse to deactivate b/c THE is measured from rising edge of HSYNCx pulse --INACTIVE_WAIT_TVS => wait for TVS timespec to pass --INACTIVE_WAIT_THE => wait THE timespec to pass --ACTIVE => enable clock cycle counter type TYPE_CLK_Cntr_sts is ( INACTIVE_WAIT_1, INACTIVE_WAIT_2, INACTIVE_WAIT_TVS, INACTIVE_WAIT_THE, ACTIVE ); signal CLK_Cntr_cs : TYPE_CLK_Cntr_sts; signal CLK_Cntr_ns : TYPE_CLK_Cntr_sts; begin --///////////////////////-- -- CONCURRENT STATEMENTS -- --///////////////////////-- CLK_LCD_CYC_NUM <= clk_cyc_num_reg; ------------------------------------------------------------------ -- Process Description: -- This is finite state machine process 1 of 3 for counting the -- number of CLK_LCD cycles that have passed which controls -- the pixel and ENAB count value (clk_cyc_num_reg). This process -- only controls the reset of the state and the "current state to -- next state" assignment. -- -- Inputs: -- RSTx -- CLK_LCD -- -- Outputs: -- CLK_Cntr_cs -- -- Notes: -- N/A ------------------------------------------------------------------ CLK_Cntr_cntrl_1_PROC : process( RSTx, CLK_LCD ) begin if( RSTx = '0' ) then CLK_Cntr_cs <= INACTIVE_WAIT_1; elsif( CLK_LCD'event and CLK_LCD = '1' ) then CLK_Cntr_cs <= CLK_Cntr_ns; end if; end process CLK_Cntr_cntrl_1_PROC; ------------------------------------------------------------------ -- Process Description: -- This is finite state machine process 2 of 3 for counting the -- number of CLK_LCD cycles that have passed which controls -- the pixel and ENAB count value (clk_cyc_num_reg). This process -- controls all of the state changes. -- -- Inputs: -- CLK_Cntr_cs -- HSYNCx -- VSYNCx -- LINE_NUM -- clk_cyc_num_reg -- -- Outputs: -- CLK_Cntr_ns -- -- Notes: -- N/A ------------------------------------------------------------------ CLK_Cntr_cntrl_2_PROC : process( CLK_Cntr_cs, HSYNCx, VSYNCx, LINE_NUM, clk_cyc_num_reg ) begin case CLK_Cntr_cs is when INACTIVE_WAIT_1 => -- once we are in WAIT_1 we are either going to (A) be completely finished drawing the screen or (B) go to the next line. if( HSYNCx = '0' and VSYNCx = '0' ) then -- if new frame has begun CLK_Cntr_ns <= INACTIVE_WAIT_TVS; -- go to next state (the reason we do not have to wait for HSYNCx to go high (i.e. go to state INACTIVE_WAIT_2) is b/c LINE_NUM will not change until HSYNCx has already gone high - therefore we do not need to enter WAIT_2 state waiting for HSYNCx to go high. We can just skip that state! :-)) elsif( HSYNCx = '0' and LINE_NUM < (C_VSYNC_TVS + 1) + (C_NUM_LCD_LINES - 1) ) then --if only a new line has begun, not a whole new frame. And only if we have not drawn all the lines already (there is a delay between the last line drawn to the screen and the next VSYNCx pulse!) CLK_Cntr_ns <= INACTIVE_WAIT_2; -- Once HSYNCx is activated we need to wait for it to deactivate before couting 'THE' CLK_LCD cycles b/c THE timespec is measured from the rising edge of the HSYNCx pulse!!! else CLK_Cntr_ns <= INACTIVE_WAIT_1; -- we haven't drawn a full screen yet! Get ready to send another line of data when HSYNCx activates! end if; when INACTIVE_WAIT_2 => -- wait for HSYNCx pulse to be deactivated (rise) if( HSYNCx = '1' ) then CLK_Cntr_ns <= INACTIVE_WAIT_THE; -- Once HSYNCx is deactivated we need to wait THE CLK_LCD cycles before activating again else CLK_Cntr_ns <= INACTIVE_WAIT_2; -- keep waiting for HSYNCx pulse to disable end if; when INACTIVE_WAIT_TVS => if( LINE_NUM = C_VSYNC_TVS + 1 ) then -- if enough lines (HSYNCx pulses) have passed after the *falling* edge of VSYNCx pulse (timespec TVS). We need to start sending exactly on the 8th line (i.e. TVS + 1)!! If we start sending even one line before or even one line after the entire screen will not be drawn! CLK_Cntr_ns <= INACTIVE_WAIT_THE; -- go to next state else CLK_Cntr_ns <= INACTIVE_WAIT_TVS; -- still inactive until _after_ 7 lines (HSYNCx pulses) have passed! end if; when INACTIVE_WAIT_THE => if( clk_cyc_num_reg = C_ENAB_THE - 1 ) then -- 0 to (THE - 1) = THE clocks! CLK_Cntr_ns <= ACTIVE; -- go to next state (PHEW! We can finally start sending data!) else CLK_Cntr_ns <= INACTIVE_WAIT_THE; -- still inactive until after timespec THE has passed end if; when ACTIVE => -- Now that ENAB is active we want it to stay active for TEP CLK_LCD cycles if( clk_cyc_num_reg = C_ENAB_THE + C_NUM_LCD_PIXELS - 1 ) then -- C_ENAB_THE to C_ENAB_THE + (320 - 1) = C_ENAB_TEP clocks! CLK_Cntr_ns <= INACTIVE_WAIT_1; -- once TEP clocks have passed we disable ENAB! else CLK_Cntr_ns <= ACTIVE; --enable counter (whose value is used by ENAB controller and image generator) end if; when others => --UH OH! How did we get here??? CLK_Cntr_ns <= INACTIVE_WAIT_1; end case; end process CLK_Cntr_cntrl_2_PROC; ------------------------------------------------------------------ -- Process Description: -- This is finite state machine process 3 of 3 for counting the -- number of CLK_LCD cycles that have passed which controls -- the pixel and ENAB count value (clk_cyc_num_reg). This process -- only controls the change of output values based on the current -- state. -- -- Inputs: -- CLK_Cntr_cs -- -- Outputs: -- clk_cyc_cnt_en_sig -- -- Notes: -- N/A ------------------------------------------------------------------ CLK_Cntr_cntrl_3_PROC : process( CLK_Cntr_cs ) begin case CLK_Cntr_cs is when INACTIVE_WAIT_1 => --reset counter when not sending data (will go to either TVS-wait if a new frame is starting or INACTIVE_WAIT_2 if just a new line is starting) clk_cyc_cnt_en_sig <= '0'; when INACTIVE_WAIT_2 => --reset counter when not sending data (not a new frame, but we still need to wait for HSYNCx to go high, then go to THE) clk_cyc_cnt_en_sig <= '0'; when INACTIVE_WAIT_TVS => --reset counter when waiting for 7 lines (TVS) to pass clk_cyc_cnt_en_sig <= '0'; when INACTIVE_WAIT_THE => --count THE clock wait for ENAB clk_cyc_cnt_en_sig <= '1'; when ACTIVE => --count number of pixels to send (320 pixels across) clk_cyc_cnt_en_sig <= '1'; when others => --UH OH! How did we get here??? clk_cyc_cnt_en_sig <= '0'; end case; end process CLK_Cntr_cntrl_3_PROC; ------------------------------------------------------------------ -- Process Description: -- This process enables/disables the pixel/enab counter dependent -- upon the value of clk_cyc_cnt_en_sig. -- -- Inputs: -- RSTx -- CLK_LCD -- -- Outputs: -- clk_cyc_num_reg -- -- Notes: -- N/A ------------------------------------------------------------------ CLK_Cycle_Cntr_PROC : process( RSTx, CLK_LCD ) begin if( RSTx = '0' ) then clk_cyc_num_reg <= (others => '0'); elsif( CLK_LCD'event and CLK_LCD = '1' ) then if( clk_cyc_cnt_en_sig = '1' ) then clk_cyc_num_reg <= clk_cyc_num_reg + 1; else clk_cyc_num_reg <= (others => '0'); end if; end if; end process CLK_Cycle_Cntr_PROC; END ARCHITECTURE clk_lcd_cyc_cntr_arch;
gpl-2.0
5d42c05a9c3bf46c0cd875b7b6afa3cd
0.518271
3.965846
false
false
false
false
VHDLTool/VHDL_Handbook_STD
Extras/VHDL/STD_03600_good.vhd
1
3,162
------------------------------------------------------------------------------------------------- -- Company : CNES -- Author : Mickael Carl (CNES) -- Copyright : Copyright (c) CNES. -- Licensing : GNU GPLv3 ------------------------------------------------------------------------------------------------- -- Version : V1 -- Version history : -- V1 : 2015-04-07 : Mickael Carl (CNES): Creation ------------------------------------------------------------------------------------------------- -- File name : STD_03600_good.vhd -- File Creation date : 2015-04-07 -- Project name : VHDL Handbook CNES Edition ------------------------------------------------------------------------------------------------- -- Softwares : Microsoft Windows (Windows 7) - Editor (Eclipse + VEditor) ------------------------------------------------------------------------------------------------- -- Description : Handbook example: Reset sensitive level: good example -- -- Limitations : This file is an example of the VHDL handbook made by CNES. It is a stub aimed at -- demonstrating good practices in VHDL and as such, its design is minimalistic. -- It is provided as is, without any warranty. -- ------------------------------------------------------------------------------------------------- -- Naming conventions: -- -- i_Port: Input entity port -- o_Port: Output entity port -- b_Port: Bidirectional entity port -- g_My_Generic: Generic entity port -- -- c_My_Constant: Constant definition -- t_My_Type: Custom type definition -- -- My_Signal_n: Active low signal -- v_My_Variable: Variable -- sm_My_Signal: FSM signal -- pkg_Param: Element Param coming from a package -- -- My_Signal_re: Rising edge detection of My_Signal -- My_Signal_fe: Falling edge detection of My_Signal -- My_Signal_rX: X times registered My_Signal signal -- -- P_Process_Name: Process -- ------------------------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity STD_03600_good is port ( i_Reset_n : in std_logic; -- Reset signal i_Clock : in std_logic; -- Clock signal i_D : in std_logic; -- Async signal o_Q : out std_logic -- Rising edge of i_D ); end STD_03600_good; --CODE architecture Behavioral of STD_03600_good is signal D_r1 : std_logic; -- D signal registered 1 time signal D_r2 : std_logic; -- D signal registered 2 times signal D_re : std_logic; -- Module output begin P_First_Register : process(i_Reset_n, i_Clock) begin if (i_Reset_n = '0') then D_r1 <= '0'; elsif (rising_edge(i_Clock)) then D_r1 <= i_D; end if; end process; P_Second_Register : process(i_Reset_n, i_Clock) begin if (i_Reset_n = '0') then D_r2 <= '0'; D_re <= '0'; elsif (rising_edge(i_Clock)) then D_r2 <= D_r1; D_re <= D_r1 and not D_r2; end if; end process; o_Q <= D_re; end Behavioral; --CODE
gpl-3.0
2dddf2dcf0b5f39e9049a01d0c97cc09
0.472802
4.244295
false
false
false
false
ILoveSpeccy/Aeon-Lite
cores/atari800xl/src/a8core/atari800core.vhd
1
21,619
--------------------------------------------------------------------------- -- (c) 2013 mark watson -- I am happy for anyone to use this for non-commercial use. -- If my vhdl files are used commercially or otherwise sold, -- please contact me for explicit permission at scrameta (gmail). -- This applies for source and binary form and derived works. --------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.all; use IEEE.STD_LOGIC_MISC.all; use ieee.numeric_std.all; LIBRARY work; -- There is a higher level that just wires up internal ROM/RAM/joysticks to demonstrate how to use this -- Also see board specific top levels ENTITY atari800core IS GENERIC ( cycle_length : integer := 16; -- or 32... video_bits : integer := 8; palette : integer :=1 -- 0:gtia colour on VIDEO_B, 1:altirra, 2:laoo ); PORT ( CLK : IN STD_LOGIC; -- cycle_length*1.79MHz RESET_N : IN STD_LOGIC; -- VIDEO OUT - PAL/NTSC, original Atari timings approx (may be higher res) VIDEO_VS : OUT STD_LOGIC; VIDEO_HS : OUT STD_LOGIC; VIDEO_B : OUT STD_LOGIC_VECTOR(video_bits-1 DOWNTO 0); VIDEO_G : OUT STD_LOGIC_VECTOR(video_bits-1 DOWNTO 0); VIDEO_R : OUT STD_LOGIC_VECTOR(video_bits-1 DOWNTO 0); VIDEO_BLANK : out std_logic; VIDEO_BURST : out std_logic; VIDEO_START_OF_FIELD : out std_logic; VIDEO_ODD_LINE : out std_logic; -- AUDIO OUT - Pokey/GTIA 1-bit and Covox all mixed -- TODO - choose stereo/mono pokey AUDIO_L : OUT std_logic_vector(15 downto 0); AUDIO_R : OUT std_logic_vector(15 downto 0); -- PIA CA1_IN : IN STD_LOGIC; -- SIO Proceed CB1_IN : IN STD_LOGIC; -- SIO IRQ CA2_IN : IN STD_LOGIC; -- SIO Motor control CA2_OUT : OUT STD_LOGIC; CA2_DIR_OUT: OUT STD_LOGIC; -- 1=output mode CB2_IN: IN STD_LOGIC; CB2_OUT : OUT STD_LOGIC; -- SIO Command CB2_DIR_OUT: OUT STD_LOGIC; -- 1=output mode PORTA_IN : IN STD_LOGIC_VECTOR(7 DOWNTO 0); -- For joystick PORTA_OUT : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); PORTA_DIR_OUT : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); PORTB_IN : IN STD_LOGIC_VECTOR(7 DOWNTO 0); -- For bank switching on XL/XE, for joystick on 800XL PORTB_OUT : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); PORTB_DIR_OUT : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); -- Pokey keyboard matrix -- Standard component available to connect this to PS2 KEYBOARD_RESPONSE : IN STD_LOGIC_VECTOR(1 DOWNTO 0); KEYBOARD_SCAN : OUT STD_LOGIC_VECTOR(5 DOWNTO 0); -- Pokey pots POT_IN : IN STD_LOGIC_VECTOR(7 DOWNTO 0); POT_RESET : OUT STD_LOGIC; -- PBI PBI_ADDR : out STD_LOGIC_VECTOR(15 DOWNTO 0); PBI_WRITE_ENABLE : out STD_LOGIC; -- currently only for CART config... PBI_SNOOP_DATA : out std_logic_vector(31 downto 0); -- snoop the bus (i.e. what gets feed to the CPU data in) PBI_WRITE_DATA : out std_logic_vector(31 downto 0); -- we want to write this to external ram PBI_WIDTH_8bit_ACCESS : out std_logic; PBI_WIDTH_16bit_ACCESS : out std_logic; PBI_WIDTH_32bit_ACCESS : out std_logic; -- TODO - review this mechanism -- Since this is intended for real carts, instead should use real timing, though perhaps that can be external... PBI_ROM_DO : in STD_LOGIC_VECTOR(7 DOWNTO 0); PBI_REQUEST : out STD_LOGIC; PBI_REQUEST_COMPLETE : in STD_LOGIC; -- TODO - also need to allow rest of PBI accesses, refresh handling etc. Can wait... -- TODO MPD, IRQ, RDY, REFRESH, EXTSEL, RST -- CARTRIDGE ACCESS -- (R/W/DO on PBI) CART_RD4 : in STD_LOGIC; CART_RD5 : in STD_LOGIC; CART_S4_n : out STD_LOGIC; CART_S5_N : out STD_LOGIC; CART_CCTL_N : out std_logic; -- SIO SIO_RXD : in std_logic; SIO_TXD : out std_logic; -- SIO_COMMAND_TX - see PIA PB2 -- TODO CLOCK IN/CLOCK OUT (unused almost everywhere...) -- GTIA consol -- TODO - GTIA can drive these low - used on 5200 for example! CONSOL_OPTION : IN STD_LOGIC; CONSOL_SELECT : IN STD_LOGIC; CONSOL_START : IN STD_LOGIC; GTIA_TRIG : IN STD_LOGIC_VECTOR(3 downto 0); -- ANTIC lightpen ANTIC_LIGHTPEN : IN std_logic; ANTIC_REFRESH : out STD_LOGIC; -- 1 'original' cycle high when antic doing refresh cycle... ----------------------- -- After here all FPGA implementation specific -- e.g. need to write up RAM/ROM -- we can dma from memory space -- etc. -- External RAM/ROM - adhere to standard memory map -- TODO - lower/upper memory split defined by generic -- (TODO SRAM lower ram, SDRAM upper ram - no overlap?) ---- SRAM memory map (512k) (if USE_SDRAM=0) ---- base 64k RAM - banks 0-3 "000 0000 1111 1111 1111 1111" (TOP) ---- to 512k RAM - banks 4-31 "000 0111 1111 1111 1111 1111" (TOP) ---- SDRAM memory map (8MB) (lower 512k if USE_SDRAM=1) ---- base 64k RAM - banks 0-3 "000 0000 1111 1111 1111 1111" (TOP) ---- to 512k RAM - banks 4-31 "000 0111 1111 1111 1111 1111" (TOP) ---- to 4MB RAM - banks 32-255 "011 1111 1111 1111 1111 1111" (TOP) ---- +64k - banks 256-259"100 0000 0000 1111 1111 1111" (TOP) ---- SCRATCH - 4MB+64k-5MB ---- CARTS - "101 YYYY YYY0 0000 0000 0000" (BOT) - 2MB! 8kb banks --SDRAM_CART_ADDR <= "101"&cart_select& "0000000000000"; ---- BASIC/OS ROM - "111 XXXX XX00 0000 0000 0000" (BOT) (BASIC IN SLOT 0!), 2nd to last 512K --SDRAM_BASIC_ROM_ADDR <= "111"&"000000" &"00000000000000"; --SDRAM_OS_ROM_ADDR <= "111"&rom_select &"00000000000000"; ---- SYSTEM - "111 1000 0000 0000 0000 0000" (BOT) - LAST 512K -- TODO - review if we need to pass out so many of these -- Perhaps we can simplify address decoder and have an external layer? SDRAM_REQUEST : OUT std_logic; SDRAM_REQUEST_COMPLETE : IN std_logic; SDRAM_READ_ENABLE : out STD_LOGIC; SDRAM_WRITE_ENABLE : out std_logic; SDRAM_ADDR : out STD_LOGIC_VECTOR(22 DOWNTO 0); SDRAM_DO : in STD_LOGIC_VECTOR(31 DOWNTO 0); RAM_ADDR : OUT STD_LOGIC_VECTOR(18 DOWNTO 0); RAM_DO : IN STD_LOGIC_VECTOR(15 DOWNTO 0); RAM_REQUEST : OUT STD_LOGIC; RAM_REQUEST_COMPLETE : IN STD_LOGIC; RAM_WRITE_ENABLE : OUT STD_LOGIC; ROM_ADDR : OUT STD_LOGIC_VECTOR(21 DOWNTO 0); ROM_DO : IN STD_LOGIC_VECTOR(7 DOWNTO 0); ROM_REQUEST : OUT STD_LOGIC; ROM_REQUEST_COMPLETE : IN STD_LOGIC; -- DMA memory map differs -- e.g. some special addresses to read behind hardware registers -- 0x0000-0xffff: Atari registers + 3 mirrors (bit 16/17) -- 23 downto 21: -- 001 : SRAM,512k -- 010|011 : ROM, 4MB -- 10xx : SDRAM, 8MB (If you have more, its unmapped for now... Can bank switch! Atari can't access this much anyway...) DMA_FETCH : in STD_LOGIC; -- we want to read/write DMA_READ_ENABLE : in std_logic; DMA_32BIT_WRITE_ENABLE : in std_logic; DMA_16BIT_WRITE_ENABLE : in std_logic; DMA_8BIT_WRITE_ENABLE : in std_logic; DMA_ADDR : in std_logic_vector(23 downto 0); DMA_WRITE_DATA : in std_logic_vector(31 downto 0); MEMORY_READY_DMA : out std_logic; -- op complete -- Special config params RAM_SELECT : in std_logic_vector(2 downto 0); -- 64K,128K,320KB Compy, 320KB Rambo, 576K Compy, 576K Rambo, 1088K, 4MB ROM_SELECT : in std_logic_vector(5 downto 0); -- 16KB ROM Bank - 0 is illegal (slot used for BASIC!) TODO FIXME, change stupid slot 0 thing... CART_EMULATION_SELECT : in std_logic_vector(6 downto 0); -- from where CART_EMULATION_ACTIVATE : in std_logic; -- to where? TODO, these needs redoing and wiring up! PAL : in STD_LOGIC; USE_SDRAM : in STD_LOGIC; ROM_IN_RAM : in std_logic; THROTTLE_COUNT_6502 : in STD_LOGIC_VECTOR(5 DOWNTO 0); HALT : in std_logic ); END atari800core; ARCHITECTURE bdf_type OF atari800core IS -- ANTIC SIGNAL ANTIC_ADDR : STD_LOGIC_VECTOR(15 DOWNTO 0); SIGNAL ANTIC_AN : STD_LOGIC_VECTOR(2 DOWNTO 0); SIGNAL ANTIC_COLOUR_CLOCK_OUT : STD_LOGIC; SIGNAL ANTIC_DO : STD_LOGIC_VECTOR(7 DOWNTO 0); SIGNAL CACHE_ANTIC_DO : STD_LOGIC_VECTOR(7 DOWNTO 0); SIGNAL ANTIC_FETCH : STD_LOGIC; SIGNAL ANTIC_HIGHRES_COLOUR_CLOCK_OUT : STD_LOGIC; SIGNAL ANTIC_ORIGINAL_COLOUR_CLOCK_OUT : STD_LOGIC; SIGNAL ANTIC_RDY : STD_LOGIC; SIGNAL ANTIC_WRITE_ENABLE : STD_LOGIC; SIGNAL BREAK_PRESSED : STD_LOGIC; signal hcount_temp : std_logic_vector(7 downto 0); signal vcount_temp : std_logic_vector(8 downto 0); signal ANTIC_REFRESH_CYCLE : STD_LOGIC; -- GTIA SIGNAL GTIA_SOUND : STD_LOGIC; SIGNAL GTIA_DO : STD_LOGIC_VECTOR(7 DOWNTO 0); SIGNAL CACHE_GTIA_DO : STD_LOGIC_VECTOR(7 DOWNTO 0); SIGNAL GTIA_WRITE_ENABLE : STD_LOGIC; signal COLOUR : std_logic_vector(7 downto 0); -- GTIA PALETTE signal VIDEO_R_WIDE : std_logic_vector(7 downto 0); signal VIDEO_G_WIDE : std_logic_vector(7 downto 0); signal VIDEO_B_WIDE : std_logic_vector(7 downto 0); -- CPU SIGNAL CPU_6502_RESET : STD_LOGIC; SIGNAL CPU_ADDR : STD_LOGIC_VECTOR(15 DOWNTO 0); SIGNAL CPU_DO : STD_LOGIC_VECTOR(7 DOWNTO 0); SIGNAL CPU_FETCH : STD_LOGIC; SIGNAL IRQ_n : STD_LOGIC; SIGNAL NMI_n : STD_LOGIC; SIGNAL R_W_N : STD_LOGIC; -- CLOCKING STUFF -- TODO - review/explain what all these are for SIGNAL CPU_SHARED_ENABLE : STD_LOGIC; SIGNAL ENABLE_179_MEMWAIT : STD_LOGIC; SIGNAL ANTIC_ENABLE_179 : STD_LOGIC; -- POKEY SIGNAL POKEY_IRQ : STD_LOGIC; SIGNAL POKEY_DO : STD_LOGIC_VECTOR(7 DOWNTO 0); SIGNAL CACHE_POKEY_DO : STD_LOGIC_VECTOR(7 DOWNTO 0); SIGNAL POKEY_WRITE_ENABLE : STD_LOGIC; signal POKEY1_CHANNEL0 : std_logic_vector(3 downto 0); signal POKEY1_CHANNEL1 : std_logic_vector(3 downto 0); signal POKEY1_CHANNEL2 : std_logic_vector(3 downto 0); signal POKEY1_CHANNEL3 : std_logic_vector(3 downto 0); SIGNAL POKEY2_DO : STD_LOGIC_VECTOR(7 DOWNTO 0); SIGNAL CACHE_POKEY2_DO : STD_LOGIC_VECTOR(7 DOWNTO 0); SIGNAL POKEY2_WRITE_ENABLE : STD_LOGIC; signal POKEY2_CHANNEL0 : std_logic_vector(3 downto 0); signal POKEY2_CHANNEL1 : std_logic_vector(3 downto 0); signal POKEY2_CHANNEL2 : std_logic_vector(3 downto 0); signal POKEY2_CHANNEL3 : std_logic_vector(3 downto 0); -- COVOX (after market DAC) signal covox_write_enable : std_logic; signal covox_channel0 : std_logic_vector(7 downto 0); signal covox_channel1 : std_logic_vector(7 downto 0); signal covox_channel2 : std_logic_vector(7 downto 0); signal covox_channel3 : std_logic_vector(7 downto 0); -- MEMORY IS READY - input to all devices SIGNAL MEMORY_DATA : STD_LOGIC_VECTOR(31 DOWNTO 0); SIGNAL MEMORY_READY_ANTIC : STD_LOGIC; SIGNAL MEMORY_READY_CPU : STD_LOGIC; SIGNAL WRITE_DATA : STD_LOGIC_VECTOR(31 DOWNTO 0); SIGNAL WIDTH_16BIT_ACCESS : STD_LOGIC; SIGNAL WIDTH_32BIT_ACCESS : STD_LOGIC; SIGNAL WIDTH_8BIT_ACCESS : STD_LOGIC; -- PIA SIGNAL PIA_DO : STD_LOGIC_VECTOR(7 DOWNTO 0); SIGNAL PIA_IRQA : STD_LOGIC; SIGNAL PIA_IRQB : STD_LOGIC; SIGNAL PIA_READ_ENABLE : STD_LOGIC; SIGNAL PIA_WRITE_ENABLE : STD_LOGIC; SIGNAL PORTB_OUT_INT : STD_LOGIC_VECTOR(7 downto 0); -- PBI SIGNAL PBI_ADDR_INT : std_logic_vector(15 downto 0); BEGIN PBI_WIDTH_8bit_ACCESS <= WIDTH_8bit_access; PBI_WIDTH_16bit_ACCESS <= WIDTH_16bit_access; PBI_WIDTH_32bit_ACCESS <= WIDTH_32bit_access; PBI_WRITE_DATA <= WRITE_DATA; PBI_SNOOP_DATA <= MEMORY_DATA; enables : entity work.shared_enable GENERIC MAP(cycle_length => cycle_length) PORT MAP(CLK => CLK, RESET_N => RESET_N, MEMORY_READY_CPU => MEMORY_READY_CPU, MEMORY_READY_ANTIC => MEMORY_READY_ANTIC, ANTIC_REFRESH => ANTIC_REFRESH_CYCLE, PAUSE_6502 => HALT, THROTTLE_COUNT_6502 => THROTTLE_COUNT_6502, ANTIC_ENABLE_179 => ANTIC_ENABLE_179, oldcpu_enable => ENABLE_179_MEMWAIT, CPU_ENABLE_OUT => CPU_SHARED_ENABLE); CPU_6502_RESET <= NOT(RESET_N); cpu6502 : entity work.cpu PORT MAP(CLK => CLK, RESET => CPU_6502_RESET, ENABLE => RESET_N, IRQ_n => IRQ_n, NMI_n => NMI_n, MEMORY_READY => MEMORY_READY_CPU, THROTTLE => CPU_SHARED_ENABLE, RDY => ANTIC_RDY, DI => MEMORY_DATA(7 DOWNTO 0), R_W_n => R_W_N, CPU_FETCH => CPU_FETCH, A => CPU_ADDR, DO => CPU_DO); antic1 : entity work.antic GENERIC MAP(cycle_length => cycle_length) PORT MAP(CLK => CLK, WR_EN => ANTIC_WRITE_ENABLE, RESET_N => RESET_N, MEMORY_READY_ANTIC => MEMORY_READY_ANTIC, MEMORY_READY_CPU => MEMORY_READY_CPU, ANTIC_ENABLE_179 => ANTIC_ENABLE_179, PAL => PAL, lightpen => ANTIC_LIGHTPEN, ADDR => PBI_ADDR_INT(3 DOWNTO 0), CPU_DATA_IN => WRITE_DATA(7 DOWNTO 0), MEMORY_DATA_IN => MEMORY_DATA(7 DOWNTO 0), NMI_N_OUT => NMI_n, ANTIC_READY => ANTIC_RDY, COLOUR_CLOCK_ORIGINAL_OUT => ANTIC_ORIGINAL_COLOUR_CLOCK_OUT, COLOUR_CLOCK_OUT => ANTIC_COLOUR_CLOCK_OUT, HIGHRES_COLOUR_CLOCK_OUT => ANTIC_HIGHRES_COLOUR_CLOCK_OUT, dma_fetch_out => ANTIC_FETCH, hcount_out => hcount_temp, vcount_out => vcount_temp, refresh_out => ANTIC_REFRESH_CYCLE, AN => ANTIC_AN, DATA_OUT => ANTIC_DO, dma_address_out => ANTIC_ADDR); pokey_mixer_l : entity work.pokey_mixer PORT MAP(CLK => CLK, GTIA_SOUND => GTIA_SOUND, CHANNEL_0 => POKEY1_CHANNEL0, CHANNEL_1 => POKEY1_CHANNEL1, CHANNEL_2 => POKEY1_CHANNEL2, CHANNEL_3 => POKEY1_CHANNEL3, CHANNEL_ENABLE => "1111", COVOX_CHANNEL_0 => covox_channel0, COVOX_CHANNEL_1 => covox_channel1, VOLUME_OUT => AUDIO_L); pokey_mixer_r : entity work.pokey_mixer PORT MAP(CLK => CLK, GTIA_SOUND => GTIA_SOUND, CHANNEL_0 => POKEY2_CHANNEL0, CHANNEL_1 => POKEY2_CHANNEL1, CHANNEL_2 => POKEY2_CHANNEL2, CHANNEL_3 => POKEY2_CHANNEL3, COVOX_CHANNEL_0 => covox_channel2, COVOX_CHANNEL_1 => covox_channel3, CHANNEL_ENABLE => "1111", VOLUME_OUT => AUDIO_R); pokey2 : entity work.pokey PORT MAP(CLK => CLK, ENABLE_179 => ENABLE_179_MEMWAIT, WR_EN => POKEY2_WRITE_ENABLE, RESET_N => RESET_N, ADDR => PBI_ADDR_INT(3 DOWNTO 0), DATA_IN => WRITE_DATA(7 DOWNTO 0), CHANNEL_0_OUT => POKEY2_CHANNEL0, CHANNEL_1_OUT => POKEY2_CHANNEL1, CHANNEL_2_OUT => POKEY2_CHANNEL2, CHANNEL_3_OUT => POKEY2_CHANNEL3, DATA_OUT => POKEY2_DO, SIO_IN1 => '1', SIO_IN2 => '1', SIO_IN3 => '1', keyboard_response => "00", pot_in=>"00000000"); pia1 : entity work.pia PORT MAP(CLK => CLK, EN => PIA_READ_ENABLE, WR_EN => PIA_WRITE_ENABLE, RESET_N => RESET_N, CA1 => CA1_IN, CB1 => CB1_IN, CA2_DIR_OUT => CA2_DIR_OUT, CA2_IN => CA2_IN, CA2_OUT => CA2_OUT, CB2_DIR_OUT => CB2_DIR_OUT, CB2_IN => CB2_IN, CB2_OUT => CB2_OUT, ADDR => PBI_ADDR_INT(1 DOWNTO 0), CPU_DATA_IN => WRITE_DATA(7 DOWNTO 0), IRQA_N => PIA_IRQA, IRQB_N => PIA_IRQB, DATA_OUT => PIA_DO, PORTA_IN => PORTA_IN, PORTA_DIR_OUT => PORTA_DIR_OUT, PORTA_OUT => PORTA_OUT, PORTB_IN => PORTB_IN, PORTB_DIR_OUT => PORTB_DIR_OUT, PORTB_OUT => PORTB_OUT_INT); mmu1 : entity work.address_decoder PORT MAP(CLK => CLK, CPU_FETCH => CPU_FETCH, CPU_WRITE_N => R_W_N, ANTIC_FETCH => ANTIC_FETCH, DMA_FETCH => DMA_FETCH, DMA_READ_ENABLE => DMA_READ_ENABLE, DMA_32BIT_WRITE_ENABLE => DMA_32BIT_WRITE_ENABLE, DMA_16BIT_WRITE_ENABLE => DMA_16BIT_WRITE_ENABLE, DMA_8BIT_WRITE_ENABLE => DMA_8BIT_WRITE_ENABLE, RAM_REQUEST_COMPLETE => RAM_REQUEST_COMPLETE, ROM_REQUEST_COMPLETE => ROM_REQUEST_COMPLETE, CART_REQUEST_COMPLETE => PBI_REQUEST_COMPLETE, reset_n => RESET_N, CART_RD4 => CART_RD4, CART_RD5 => CART_RD5, use_sdram => USE_SDRAM, SDRAM_REQUEST_COMPLETE => SDRAM_REQUEST_COMPLETE, ANTIC_ADDR => ANTIC_ADDR, ANTIC_DATA => ANTIC_DO, CACHE_ANTIC_DATA => CACHE_ANTIC_DO, CART_ROM_DATA => PBI_ROM_DO, CPU_ADDR => CPU_ADDR, CPU_WRITE_DATA => CPU_DO, GTIA_DATA => GTIA_DO, CACHE_GTIA_DATA => CACHE_GTIA_DO, PIA_DATA => PIA_DO, POKEY2_DATA => POKEY2_DO, CACHE_POKEY2_DATA => CACHE_POKEY2_DO, POKEY_DATA => POKEY_DO, CACHE_POKEY_DATA => CACHE_POKEY_DO, PORTB => PORTB_OUT_INT, RAM_DATA => RAM_DO, ram_select => RAM_SELECT(2 downto 0), ROM_DATA => ROM_DO, rom_select => ROM_SELECT, SDRAM_DATA => SDRAM_DO, DMA_ADDR => DMA_ADDR, DMA_WRITE_DATA => DMA_WRITE_DATA, MEMORY_READY_ANTIC => MEMORY_READY_ANTIC, MEMORY_READY_DMA => MEMORY_READY_DMA, MEMORY_READY_CPU => MEMORY_READY_CPU, GTIA_WR_ENABLE => GTIA_WRITE_ENABLE, POKEY_WR_ENABLE => POKEY_WRITE_ENABLE, POKEY2_WR_ENABLE => POKEY2_WRITE_ENABLE, ANTIC_WR_ENABLE => ANTIC_WRITE_ENABLE, PIA_WR_ENABLE => PIA_WRITE_ENABLE, PIA_RD_ENABLE => PIA_READ_ENABLE, RAM_WR_ENABLE => RAM_WRITE_ENABLE, PBI_WR_ENABLE => PBI_WRITE_ENABLE, RAM_REQUEST => RAM_REQUEST, ROM_REQUEST => ROM_REQUEST, CART_REQUEST => PBI_REQUEST, CART_S4_n => CART_S4_n, CART_S5_n => CART_S5_N, CART_CCTL_n => CART_CCTL_N, WIDTH_8bit_ACCESS => WIDTH_8BIT_ACCESS, WIDTH_16bit_ACCESS => WIDTH_16BIT_ACCESS, WIDTH_32bit_ACCESS => WIDTH_32BIT_ACCESS, SDRAM_READ_EN => SDRAM_READ_ENABLE, SDRAM_WRITE_EN => SDRAM_WRITE_ENABLE, SDRAM_REQUEST => SDRAM_REQUEST, MEMORY_DATA => MEMORY_DATA, PBI_ADDR => PBI_ADDR_INT, RAM_ADDR => RAM_ADDR, ROM_ADDR => ROM_ADDR, SDRAM_ADDR => SDRAM_ADDR, WRITE_DATA => WRITE_DATA, d6_wr_enable => covox_write_enable, cart_select => CART_EMULATION_SELECT, cart_activate => CART_EMULATION_ACTIVATE, rom_in_ram => ROM_IN_RAM); pokey1 : entity work.pokey PORT MAP(CLK => CLK, ENABLE_179 => ENABLE_179_MEMWAIT, WR_EN => POKEY_WRITE_ENABLE, RESET_N => RESET_N, SIO_IN1 => SIO_RXD, SIO_IN2 => '1', SIO_IN3 => '1', ADDR => PBI_ADDR_INT(3 DOWNTO 0), DATA_IN => WRITE_DATA(7 DOWNTO 0), keyboard_response => KEYBOARD_RESPONSE, POT_IN => POT_IN, IRQ_N_OUT => POKEY_IRQ, SIO_OUT1 => SIO_TXD, SIO_OUT2 => open, SIO_OUT3 => open, POT_RESET => POT_RESET, CHANNEL_0_OUT => POKEY1_CHANNEL0, CHANNEL_1_OUT => POKEY1_CHANNEL1, CHANNEL_2_OUT => POKEY1_CHANNEL2, CHANNEL_3_OUT => POKEY1_CHANNEL3, DATA_OUT => POKEY_DO, keyboard_scan => KEYBOARD_SCAN); gtia1 : entity work.gtia PORT MAP(CLK => CLK, WR_EN => GTIA_WRITE_ENABLE, ANTIC_FETCH => ANTIC_FETCH, -- for first pmg fetch CPU_ENABLE_ORIGINAL => ENABLE_179_MEMWAIT, -- for subsequent pmg fetches RESET_N => RESET_N, PAL => PAL, COLOUR_CLOCK_ORIGINAL => ANTIC_ORIGINAL_COLOUR_CLOCK_OUT, COLOUR_CLOCK => ANTIC_COLOUR_CLOCK_OUT, COLOUR_CLOCK_HIGHRES => ANTIC_HIGHRES_COLOUR_CLOCK_OUT, CONSOL_START => CONSOL_START, CONSOL_SELECT => CONSOL_SELECT, CONSOL_OPTION => CONSOL_OPTION, TRIG0 => GTIA_TRIG(0), TRIG1 => GTIA_TRIG(1), TRIG2 => GTIA_TRIG(2), TRIG3 => GTIA_TRIG(3), ADDR => PBI_ADDR_INT(4 DOWNTO 0), AN => ANTIC_AN, CPU_DATA_IN => WRITE_DATA(7 DOWNTO 0), MEMORY_DATA_IN => MEMORY_DATA(7 DOWNTO 0), VSYNC => VIDEO_VS, HSYNC => VIDEO_HS, BLANK => VIDEO_BLANK, BURST => VIDEO_BURST, START_OF_FIELD => VIDEO_START_OF_FIELD, ODD_LINE => VIDEO_ODD_LINE, sound => GTIA_SOUND, COLOUR_out => COLOUR, DATA_OUT => GTIA_DO); -- colour palette -- Color Value Color Value --Black 0, 0 Medium blue 8, 128 --Rust 1, 16 Dark blue 9, 144 --Red-orange 2, 32 Blue-grey 10, 160 --Dark orange 3, 48 Olive green 11, 176 --Red 4, 64 Medium green 12, 192 --Dk lavender 5, 80 Dark green 13, 208 --Cobalt blue 6, 96 Orange-green 14, 224 --Ultramarine 7, 112 Orange 15, 240 gen_palette_none : if palette=0 generate VIDEO_B_WIDE <= COLOUR; VIDEO_R_WIDE <= (others => '0'); VIDEO_G_WIDE <= (others => '0'); end generate; gen_palette_altirra : if palette=1 generate palette1 : entity work.gtia_palette(altirra) port map (ATARI_COLOUR=>COLOUR, R_next=>VIDEO_R_WIDE, G_next=>VIDEO_G_WIDE, B_next=>VIDEO_B_WIDE); end generate; gen_palette_laoo : if palette=2 generate palette2 : entity work.gtia_palette(laoo) port map (ATARI_COLOUR=>COLOUR, R_next=>VIDEO_R_WIDE, G_next=>VIDEO_G_WIDE, B_next=>VIDEO_B_WIDE); end generate; VIDEO_R(video_bits-1 downto 0) <= VIDEO_R_WIDE(7 downto 8-video_bits); VIDEO_G(video_bits-1 downto 0) <= VIDEO_G_WIDE(7 downto 8-video_bits); VIDEO_B(video_bits-1 downto 0) <= VIDEO_B_WIDE(7 downto 8-video_bits); irq_glue1 : entity work.irq_glue PORT MAP(pokey_irq => POKEY_IRQ, pia_irqa => PIA_IRQA, pia_irqb => PIA_IRQB, combined_irq => IRQ_n); -- TODO - generic ram infer? pokey1_mirror : entity work.reg_file generic map(BYTES=>16,WIDTH=>4) port map( CLK => CLK, ADDR => PBI_ADDR_INT(3 downto 0), DATA_IN => WRITE_DATA(7 downto 0), WR_EN => POKEY_WRITE_ENABLE, DATA_OUT => CACHE_POKEY_DO ); pokey2_mirror : entity work.reg_file generic map(BYTES=>16,WIDTH=>4) port map( CLK => CLK, ADDR => PBI_ADDR_INT(3 downto 0), DATA_IN => WRITE_DATA(7 downto 0), WR_EN => POKEY2_WRITE_ENABLE, DATA_OUT => CACHE_POKEY2_DO ); gtia_mirror : entity work.reg_file generic map(BYTES=>32,WIDTH=>5) port map( CLK => CLK, ADDR => PBI_ADDR_INT(4 downto 0), DATA_IN => WRITE_DATA(7 downto 0), WR_EN => GTIA_WRITE_ENABLE, DATA_OUT => CACHE_GTIA_DO ); antic_mirror : entity work.reg_file generic map(BYTES=>16,WIDTH=>4) port map( CLK => CLK, ADDR => PBI_ADDR_INT(3 downto 0), DATA_IN => WRITE_DATA(7 downto 0), WR_EN => ANTIC_WRITE_ENABLE, DATA_OUT => CACHE_ANTIC_DO ); covox1 : entity work.covox PORT map ( clk => clk, addr => pbi_addr_int(1 downto 0), data_in => WRITE_DATA(7 DOWNTO 0), wr_en => covox_write_enable, covox_channel0 => covox_channel0, covox_channel1 => covox_channel1, covox_channel2 => covox_channel2, covox_channel3 => covox_channel3 ); -- outputs PBI_ADDR <= PBI_ADDR_INT; PORTB_OUT <= PORTB_OUT_INT; ANTIC_REFRESH <= ANTIC_REFRESH_CYCLE; END bdf_type;
gpl-3.0
c5c1d919757978d5a6a979f569b5d5e5
0.653545
2.829712
false
false
false
false
ComputerArchitectureGroupPWr/SimulationCore
src/Heater.vhd
1
1,081
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; entity Heater is port( rst : in std_logic; clk50Mhz : in std_logic; pulseWidth : in std_logic_vector(7 downto 0); heaterEnable : out std_logic ); end Heater; architecture Behavioral of Heater is signal counter : integer range 0 to 255; signal pulseWidthReg : integer range 0 to 255; signal heaterEnableSignal : std_logic; attribute keep : string; attribute keep of heaterEnable: signal is "true"; attribute S: string; attribute S of heaterEnable: signal is "yes"; begin heaterEnable <= heaterEnableSignal; process(clk50Mhz,rst,counter) begin if rst='1' or counter = 255 then counter <= 0; elsif clk50Mhz'event and clk50Mhz = '1' then counter <= counter + 1; end if; end process; process(clk50Mhz,rst) begin if rst = '1' then pulseWidthReg <= 0; elsif clk50Mhz'event and clk50Mhz = '1' then pulseWidthReg <= to_integer(unsigned(pulseWidth)); end if; end process; heaterEnableSignal <= '1' when pulseWidthReg > counter else '0'; end Behavioral;
mit
00e52e1e5509064c21ef6f8725874840
0.707678
3.124277
false
false
false
false
ILoveSpeccy/Aeon-Lite
cores/lvov-pk02-mips/src/ppi/i82c55.vhd
1
21,192
-- -- A simulation model of Scramble hardware -- Copyright (c) MikeJ - Feb 2007 -- -- All rights reserved -- -- Redistribution and use in source and synthezised forms, with or without -- modification, are permitted provided that the following conditions are met: -- -- Redistributions of source code must retain the above copyright notice, -- this list of conditions and the following disclaimer. -- -- Redistributions in synthesized form must reproduce the above copyright -- notice, this list of conditions and the following disclaimer in the -- documentation and/or other materials provided with the distribution. -- -- Neither the name of the author nor the names of other contributors may -- be used to endorse or promote products derived from this software without -- specific prior written permission. -- -- THIS CODE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" -- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, -- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR -- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE -- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR -- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF -- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS -- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN -- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- POSSIBILITY OF SUCH DAMAGE. -- -- You are responsible for any legal issues arising from your use of this code. -- -- The latest version of this file can be found at: www.fpgaarcade.com -- -- Email [email protected] -- -- Revision list -- -- version 001 initial release -- library ieee ; use ieee.std_logic_1164.all ; use ieee.std_logic_unsigned.all; use ieee.numeric_std.all; entity I82C55 is port ( I_ADDR : in std_logic_vector(1 downto 0); -- A1-A0 I_DATA : in std_logic_vector(7 downto 0); -- D7-D0 O_DATA : out std_logic_vector(7 downto 0); O_DATA_OE_L : out std_logic; I_CS_L : in std_logic; I_RD_L : in std_logic; I_WR_L : in std_logic; I_PA : in std_logic_vector(7 downto 0); O_PA : out std_logic_vector(7 downto 0); I_PB : in std_logic_vector(7 downto 0); O_PB : out std_logic_vector(7 downto 0); I_PC : in std_logic_vector(7 downto 0); O_PC : out std_logic_vector(7 downto 0); RESET : in std_logic; ENA : in std_logic; -- (CPU) clk enable CLK : in std_logic ); end; architecture RTL of I82C55 is -- registers signal bit_mask : std_logic_vector(7 downto 0); signal r_porta : std_logic_vector(7 downto 0); signal r_portb : std_logic_vector(7 downto 0); signal r_portc : std_logic_vector(7 downto 0); signal r_control : std_logic_vector(7 downto 0); -- signal porta_we : std_logic; signal portb_we : std_logic; signal porta_re : std_logic; signal portb_re : std_logic; -- signal porta_we_t1 : std_logic; signal portb_we_t1 : std_logic; signal porta_re_t1 : std_logic; signal portb_re_t1 : std_logic; -- signal porta_we_rising : boolean; signal portb_we_rising : boolean; signal porta_re_rising : boolean; signal portb_re_rising : boolean; -- signal groupa_mode : std_logic_vector(1 downto 0); -- port a/c upper signal groupb_mode : std_logic; -- port b/c lower -- signal porta_read : std_logic_vector(7 downto 0); signal portb_read : std_logic_vector(7 downto 0); signal portc_read : std_logic_vector(7 downto 0); signal control_read : std_logic_vector(7 downto 0); signal mode_clear : std_logic; -- signal a_inte1 : std_logic; signal a_inte2 : std_logic; signal b_inte : std_logic; -- signal a_intr : std_logic; signal a_obf_l : std_logic; signal a_ibf : std_logic; signal a_ack_l : std_logic; signal a_stb_l : std_logic; signal a_ack_l_t1 : std_logic; signal a_stb_l_t1 : std_logic; -- signal b_intr : std_logic; signal b_obf_l : std_logic; signal b_ibf : std_logic; signal b_ack_l : std_logic; signal b_stb_l : std_logic; signal b_ack_l_t1 : std_logic; signal b_stb_l_t1 : std_logic; -- signal a_ack_l_rising : boolean; signal a_stb_l_rising : boolean; signal b_ack_l_rising : boolean; signal b_stb_l_rising : boolean; -- signal porta_ipreg : std_logic_vector(7 downto 0); signal portb_ipreg : std_logic_vector(7 downto 0); begin -- -- mode 0 - basic input/output -- mode 1 - strobed input/output -- mode 2/3 - bi-directional bus -- -- control word (write) -- -- D7 mode set flag 1 = active -- D6..5 GROUPA mode selection (mode 0,1,2) -- D4 GROUPA porta 1 = input, 0 = output -- D3 GROUPA portc upper 1 = input, 0 = output -- D2 GROUPB mode selection (mode 0 ,1) -- D1 GROUPB portb 1 = input, 0 = output -- D0 GROUPB portc lower 1 = input, 0 = output -- -- D7 bit set/reset 0 = active -- D6..4 x -- D3..1 bit select -- d0 1 = set, 0 - reset -- -- all output registers including status are reset when mode is changed --1. Port A: --All Modes: Output data is cleared, input data is not cleared. --2. Port B: --Mode 0: Output data is cleared, input data is not cleared. --Mode 1 and 2: Both output and input data are cleared. --3. Port C: --Mode 0:Output data is cleared, input data is not cleared. --Mode 1 and 2: IBF and INTR are cleared and OBF# is set. --Outputs in Port C which are not used for handshaking or interrupt signals are cleared. --Inputs such as STB#, ACK#, or "spare" inputs are not affected. The interrupts for Ports A and B are disabled. p_bit_mask : process(I_DATA) begin bit_mask <= x"01"; case I_DATA(3 downto 1) is when "000" => bit_mask <= x"01"; when "001" => bit_mask <= x"02"; when "010" => bit_mask <= x"04"; when "011" => bit_mask <= x"08"; when "100" => bit_mask <= x"10"; when "101" => bit_mask <= x"20"; when "110" => bit_mask <= x"40"; when "111" => bit_mask <= x"80"; when others => null; end case; end process; p_write_reg_reset : process(RESET, CLK) variable r_portc_masked : std_logic_vector(7 downto 0); variable r_portc_setclr : std_logic_vector(7 downto 0); begin if (RESET = '1') then r_porta <= x"00"; r_portb <= x"00"; r_portc <= x"00"; r_control <= x"9B"; -- 10011011 mode_clear <= '1'; elsif rising_edge(CLK) then r_portc_masked := (not bit_mask) and r_portc; for i in 0 to 7 loop r_portc_setclr(i) := bit_mask(i) and I_DATA(0); end loop; if (ENA = '1') then mode_clear <= '0'; if (I_CS_L = '0') and (I_WR_L = '0') then case I_ADDR is when "00" => r_porta <= I_DATA; when "01" => r_portb <= I_DATA; when "10" => r_portc <= I_DATA; when "11" => if (I_DATA(7) = '0') then -- set/clr r_portc <= r_portc_masked or r_portc_setclr; else --svo: uncommented mode_clear <= '1'; r_porta <= x"00"; r_portb <= x"00"; -- clear port b input reg r_portc <= x"00"; -- clear control sigs r_control <= I_DATA; -- load new mode end if; when others => null; end case; end if; end if; end if; end process; p_decode_control : process(r_control) begin groupa_mode <= r_control(6 downto 5); groupb_mode <= r_control(2); end process; p_oe : process(I_CS_L, I_RD_L) begin O_DATA_OE_L <= '1'; if (I_CS_L = '0') and (I_RD_L = '0') then O_DATA_OE_L <= '0'; end if; end process; p_read : process(I_ADDR, I_CS_L, I_RD_L, porta_read, portb_read, portc_read, control_read) begin -- O_DATA <= x"00"; -- default -- if (I_CS_L = '0') and (I_RD_L = '0') then -- not required case I_ADDR is when "00" => O_DATA <= porta_read; when "01" => O_DATA <= portb_read; when "10" => O_DATA <= portc_read; when "11" => O_DATA <= control_read; when others => null; end case; -- end if; end process; control_read(7) <= '1'; -- always 1 control_read(6 downto 0) <= r_control(6 downto 0); p_rw_control : process(I_CS_L, I_RD_L, I_WR_L, I_ADDR) begin porta_we <= '0'; portb_we <= '0'; porta_re <= '0'; portb_re <= '0'; if (I_CS_L = '0') and (I_ADDR = "00") then porta_we <= not I_WR_L; porta_re <= not I_RD_L; end if; if (I_CS_L = '0') and (I_ADDR = "01") then portb_we <= not I_WR_L; portb_re <= not I_RD_L; end if; end process; p_rw_control_reg : process begin wait until rising_edge(CLK); if (ENA = '1') then porta_we_t1 <= porta_we; portb_we_t1 <= portb_we; porta_re_t1 <= porta_re; portb_re_t1 <= portb_re; a_stb_l_t1 <= a_stb_l; a_ack_l_t1 <= a_ack_l; b_stb_l_t1 <= b_stb_l; b_ack_l_t1 <= b_ack_l; end if; end process; porta_we_rising <= (porta_we = '0') and (porta_we_t1 = '1'); -- falling as inverted portb_we_rising <= (portb_we = '0') and (portb_we_t1 = '1'); -- " porta_re_rising <= (porta_re = '0') and (porta_re_t1 = '1'); -- falling as inverted portb_re_rising <= (portb_re = '0') and (portb_re_t1 = '1'); -- " -- a_stb_l_rising <= (a_stb_l = '1') and (a_stb_l_t1 = '0'); a_ack_l_rising <= (a_ack_l = '1') and (a_ack_l_t1 = '0'); b_stb_l_rising <= (b_stb_l = '1') and (b_stb_l_t1 = '0'); b_ack_l_rising <= (b_ack_l = '1') and (b_ack_l_t1 = '0'); -- -- GROUP A -- in mode 1 -- -- d4=1 (porta = input) -- pc7,6 io (d3=1 input, d3=0 output) -- pc5 output a_ibf -- pc4 input a_stb_l -- pc3 output a_intr -- -- d4=0 (porta = output) -- pc7 output a_obf_l -- pc6 input a_ack_l -- pc5,4 io (d3=1 input, d3=0 output) -- pc3 output a_intr -- -- GROUP B -- in mode 1 -- d1=1 (portb = input) -- pc2 input b_stb_l -- pc1 output b_ibf -- pc0 output b_intr -- -- d1=0 (portb = output) -- pc2 input b_ack_l -- pc1 output b_obf_l -- pc0 output b_intr -- WHEN AN INPUT -- -- stb_l a low on this input latches input data -- ibf a high on this output indicates data latched. set by stb_l and reset by rising edge of RD_L -- intr a high on this output indicates interrupt. set by stb_l high, ibf high and inte high. reset by falling edge of RD_L -- inte A controlled by bit/set PC4 -- inte B controlled by bit/set PC2 -- WHEN AN OUTPUT -- -- obf_l output will go low when cpu has written data -- ack_l input - a low on this clears obf_l -- intr output set when ack_l is high, obf_l is high and inte is one. reset by falling edge of WR_L -- inte A controlled by bit/set PC6 -- inte B controlled by bit/set PC2 -- GROUP A -- in mode 2 -- -- porta = IO -- -- control bits 2..0 still control groupb/c lower 2..0 -- -- -- PC7 output a_obf -- PC6 input a_ack_l -- PC5 output a_ibf -- PC4 input a_stb_l -- PC3 is still interrupt out p_control_flags : process(RESET, CLK) variable we : boolean; variable set1 : boolean; variable set2 : boolean; begin if (RESET = '1') then a_obf_l <= '1'; a_inte1 <= '0'; a_ibf <= '0'; a_inte2 <= '0'; a_intr <= '0'; -- b_inte <= '0'; b_obf_l <= '1'; b_ibf <= '0'; b_intr <= '0'; elsif rising_edge(CLK) then we := (I_CS_L = '0') and (I_WR_L = '0') and (I_ADDR = "11") and (I_DATA(7) = '0'); if (ENA = '1') then if (mode_clear = '1') then a_obf_l <= '1'; a_inte1 <= '0'; a_ibf <= '0'; a_inte2 <= '0'; a_intr <= '0'; -- b_inte <= '0'; b_obf_l <= '1'; b_ibf <= '0'; b_intr <= '0'; else if (bit_mask(7) = '1') and we then a_obf_l <= I_DATA(0); else if porta_we_rising then a_obf_l <= '0'; elsif (a_ack_l = '0') then a_obf_l <= '1'; end if; end if; -- if (bit_mask(6) = '1') and we then a_inte1 <= I_DATA(0); end if; -- bus set when mode1 & input? -- if (bit_mask(5) = '1') and we then a_ibf <= I_DATA(0); else if porta_re_rising then a_ibf <= '0'; elsif (a_stb_l = '0') then a_ibf <= '1'; end if; end if; -- if (bit_mask(4) = '1') and we then a_inte2 <= I_DATA(0); end if; -- bus set when mode1 & output? -- set1 := a_ack_l_rising and (a_obf_l = '1') and (a_inte1 = '1'); set2 := a_stb_l_rising and (a_ibf = '1') and (a_inte2 = '1'); -- if (bit_mask(3) = '1') and we then a_intr <= I_DATA(0); else if (groupa_mode(1) = '1') then if (porta_we = '1') or (porta_re = '1') then a_intr <= '0'; elsif set1 or set2 then a_intr <= '1'; end if; else if (r_control(4) = '0') then -- output if (porta_we = '1') then -- falling ? a_intr <= '0'; elsif set1 then a_intr <= '1'; end if; elsif (r_control(4) = '1') then -- input if (porta_re = '1') then -- falling ? a_intr <= '0'; elsif set2 then a_intr <= '1'; end if; end if; end if; end if; -- if (bit_mask(2) = '1') and we then b_inte <= I_DATA(0); end if; -- bus set? if (bit_mask(1) = '1') and we then b_obf_l <= I_DATA(0); else if (r_control(1) = '0') then -- output if portb_we_rising then b_obf_l <= '0'; elsif (b_ack_l = '0') then b_obf_l <= '1'; end if; else if portb_re_rising then b_ibf <= '0'; elsif (b_stb_l = '0') then b_ibf <= '1'; end if; end if; end if; if (bit_mask(0) = '1') and we then b_intr <= I_DATA(0); else if (r_control(1) = '0') then -- output if (portb_we = '1') then -- falling ? b_intr <= '0'; elsif b_ack_l_rising and (b_obf_l = '1') and (b_inte = '1') then b_intr <= '1'; end if; else if (portb_re = '1') then -- falling ? b_intr <= '0'; elsif b_stb_l_rising and (b_ibf = '1') and (b_inte = '1') then b_intr <= '1'; end if; end if; end if; end if; end if; end if; end process; p_porta : process(r_porta, r_control, groupa_mode, I_PA, porta_ipreg, a_ack_l) begin -- D4 GROUPA porta 1 = input, 0 = output O_PA <= x"FF"; -- if not driven, float high porta_read <= x"00"; if (groupa_mode = "00") then -- simple io if (r_control(4) = '0') then -- output O_PA <= r_porta; end if; porta_read <= I_PA; elsif (groupa_mode = "01") then -- strobed if (r_control(4) = '0') then -- output O_PA <= r_porta; end if; porta_read <= porta_ipreg; else -- if (groupa_mode(1) = '1') then -- bi dir if (a_ack_l = '0') then -- output enable O_PA <= r_porta; end if; porta_read <= porta_ipreg; -- latched data end if; end process; p_portb : process(r_portb, r_control, groupb_mode, I_PB, portb_ipreg) begin O_PB <= x"FF"; -- if not driven, float high portb_read <= x"00"; if (groupb_mode = '0') then -- simple io if (r_control(1) = '0') then -- output O_PB <= r_portb; end if; portb_read <= I_PB; else -- strobed mode if (r_control(1) = '0') then -- output O_PB <= r_portb; end if; portb_read <= portb_ipreg; end if; end process; p_portc_out : process(r_portc, r_control, groupa_mode, groupb_mode, a_obf_l, a_ibf, a_intr,b_obf_l, b_ibf, b_intr) begin O_PC <= x"FF"; -- if not driven, float high -- bits 7..4 if (groupa_mode = "00") then -- simple io if (r_control(3) = '0') then -- output O_PC (7 downto 4) <= r_portc(7 downto 4); end if; elsif (groupa_mode = "01") then -- mode1 if (r_control(4) = '0') then -- port a output O_PC (7) <= a_obf_l; -- 6 is ack_l input if (r_control(3) = '0') then -- port c output O_PC (5 downto 4) <= r_portc(5 downto 4); end if; else -- port a input if (r_control(3) = '0') then -- port c output O_PC (7 downto 6) <= r_portc(7 downto 6); end if; O_PC (5) <= a_ibf; -- 4 is stb_l input end if; else -- if (groupa_mode(1) = '1') then -- mode2 O_PC (7) <= a_obf_l; -- 6 is ack_l input O_PC (5) <= a_ibf; -- 4 is stb_l input end if; -- bit 3 (controlled by group a) if (groupa_mode = "00") then -- group a steals this bit --if (groupb_mode = '0') then -- we will let bit 3 be driven, data sheet is a bit confused about this if (r_control(0) = '0') then -- ouput (note, groupb control bit) O_PC (3) <= r_portc(3); end if; -- else -- stolen O_PC (3) <= a_intr; end if; -- bits 2..0 if (groupb_mode = '0') then -- simple io if (r_control(0) = '0') then -- output O_PC (2 downto 0) <= r_portc(2 downto 0); end if; else -- mode 1 -- 2 is input if (r_control(1) = '0') then -- output O_PC (1) <= b_obf_l; else -- input O_PC (1) <= b_ibf; end if; O_PC (0) <= b_intr; end if; end process; p_portc_in : process(r_portc, I_PC, r_control, groupa_mode, groupb_mode, a_ibf, b_obf_l, a_obf_l, a_inte1, a_inte2, a_intr, b_inte, b_ibf, b_intr) begin portc_read <= x"00"; a_stb_l <= '1'; a_ack_l <= '1'; b_stb_l <= '1'; b_ack_l <= '1'; if (groupa_mode = "01") then -- mode1 or 2 if (r_control(4) = '0') then -- port a output a_ack_l <= I_PC(6); else -- port a input a_stb_l <= I_PC(4); end if; elsif (groupa_mode(1) = '1') then -- mode 2 a_ack_l <= I_PC(6); a_stb_l <= I_PC(4); end if; if (groupb_mode = '1') then if (r_control(1) = '0') then -- output b_ack_l <= I_PC(2); else -- input b_stb_l <= I_PC(2); end if; end if; if (groupa_mode = "00") then -- simple io portc_read(7 downto 3) <= I_PC(7 downto 3); elsif (groupa_mode = "01") then if (r_control(4) = '0') then -- port a output portc_read(7 downto 3) <= a_obf_l & a_inte1 & I_PC(5 downto 4) & a_intr; else -- input portc_read(7 downto 3) <= I_PC(7 downto 6) & a_ibf & a_inte2 & a_intr; end if; else -- mode 2 portc_read(7 downto 3) <= a_obf_l & a_inte1 & a_ibf & a_inte2 & a_intr; end if; if (groupb_mode = '0') then -- simple io portc_read(2 downto 0) <= I_PC(2 downto 0); else if (r_control(1) = '0') then -- output portc_read(2 downto 0) <= b_inte & b_obf_l & b_intr; else -- input portc_read(2 downto 0) <= b_inte & b_ibf & b_intr; end if; end if; end process; p_ipreg : process begin wait until rising_edge(CLK); -- pc4 input a_stb_l -- pc2 input b_stb_l if (ENA = '1') then if (a_stb_l = '0') then porta_ipreg <= I_PA; end if; if (mode_clear = '1') then portb_ipreg <= (others => '0'); elsif (b_stb_l = '0') then portb_ipreg <= I_PB; end if; end if; end process; end architecture RTL; -- $Id: i82c55.vhd 294 2008-02-12 20:27:35Z svofski $
gpl-3.0
244bdb132fc9fb2582bad4cc45cafbfe
0.5042
3.10551
false
false
false
false
ILoveSpeccy/Aeon-Lite
cores/alf/src/alf.vhd
1
6,936
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; entity alf is Port ( CLK50 : in std_logic; PS2_CLK : in std_logic; PS2_DATA : in std_logic; SOUND_L : out std_logic; SOUND_R : out std_logic; SRAM_A : out std_logic_vector(17 downto 0); SRAM_D : inout std_logic_vector(15 downto 0); SRAM_WE : out std_logic; SRAM_OE : out std_logic; SRAM_UB : out std_logic; SRAM_LB : out std_logic; SRAM_CE0 : out std_logic; SRAM_CE1 : out std_logic; VGA_R : out std_logic_vector(3 downto 0); VGA_G : out std_logic_vector(3 downto 0); VGA_B : out std_logic_vector(3 downto 0); VGA_HSYNC : out std_logic; VGA_VSYNC : out std_logic ); end alf; architecture rtl of alf is signal CLK : std_logic; signal VGA_CLK : std_logic; signal LOCKED : std_logic; signal RESET : std_logic; signal TICK : unsigned(3 downto 0); signal CPU_CLK : std_logic; signal CPU_INT : std_logic; signal CPU_MREQ : std_logic; signal CPU_IORQ : std_logic; signal CPU_RD : std_logic; signal CPU_WR : std_logic; signal CPU_A : std_logic_vector(15 downto 0); signal CPU_DI : std_logic_vector(7 downto 0); signal CPU_DO : std_logic_vector(7 downto 0); signal ROM_A : std_logic_vector(19 downto 0); signal ROM_DO : std_logic_vector(7 downto 0); signal VRAM_VA : std_logic_vector(12 downto 0); signal VRAM_VD : std_logic_vector(7 downto 0); signal BORDERCOLOR : std_logic_vector(2 downto 0); signal RAM1_DO : std_logic_vector(7 downto 0); signal RAM1_WR : std_logic_vector(0 downto 0); signal RAM2_DO : std_logic_vector(7 downto 0); signal RAM2_WR : std_logic_vector(0 downto 0); signal RAM3_DO : std_logic_vector(7 downto 0); signal RAM3_WR : std_logic_vector(0 downto 0); signal KEYB_DO : std_logic_vector(4 downto 0); signal ROM_REG : std_logic_vector(7 downto 0); signal KB_RESET : std_logic; signal BEEPER : std_logic; begin u_CLOCK : entity work.clock port map( CLK50 => CLK50, CLK => CLK, VGA_CLK => VGA_CLK, LOCKED => LOCKED ); u_VIDEO : entity work.video port map( VGA_CLK => VGA_CLK, RESET => '0', BORDERCOLOR => BORDERCOLOR, INT => CPU_INT, VA => VRAM_VA, VD => VRAM_VD, VGA_R => VGA_R, VGA_G => VGA_G, VGA_B => VGA_B, VGA_HSYNC => VGA_HSYNC, VGA_VSYNC => VGA_VSYNC ); u_CPU : entity work.T80se port map( RESET_n => not RESET, CLK_n => CLK, CLKEN => CPU_CLK, WAIT_n => '1', INT_n => CPU_INT, NMI_n => '1', BUSRQ_n => '1', M1_n => OPEN, MREQ_n => CPU_MREQ, IORQ_n => CPU_IORQ, RD_n => CPU_RD, WR_n => CPU_WR, RFSH_n => OPEN, HALT_n => OPEN, BUSAK_n => OPEN, A => CPU_A, DI => CPU_DI, DO => CPU_DO ); --u_ROM : entity work.rom --port map( -- clka => CLK, -- addra => CPU_A(13 downto 0), -- douta => ROM_DO ); u_RAM1 : entity work.vram port map( clka => CLK, wea => RAM1_WR, addra => CPU_A(13 downto 0), dina => CPU_DO, douta => RAM1_DO, clkb => VGA_CLK, web => "0", addrb => '0' & VRAM_VA, dinb => "00000000", doutb => VRAM_VD ); u_RAM2 : entity work.ram port map( clka => CLK, wea => RAM2_WR, addra => CPU_A(13 downto 0), dina => CPU_DO, douta => RAM2_DO ); u_RAM3 : entity work.ram port map( clka => CLK, wea => RAM3_WR, addra => CPU_A(13 downto 0), dina => CPU_DO, douta => RAM3_DO ); u_KEYBOARD : entity work.keyboard port map( CLK => CLK, RESET => RESET, PS2_CLK => PS2_CLK, PS2_DATA => PS2_DATA, KEYB_DATA => KEYB_DO, RESET_TICK => KB_RESET ); reset_and_clock : process(CLK) begin if rising_edge(CLK) then if LOCKED = '0' or KB_RESET = '1' then TICK <= "0000"; RESET <= '1'; CPU_CLK <= '0'; else CPU_CLK <= '0'; TICK <= TICK + 1; if TICK = "1111" then CPU_CLK <= '1'; RESET <= '0'; end if; end if; end if; end process; SOUND_L <= BEEPER; SOUND_R <= BEEPER; CPU_DI <= ROM_DO when CPU_A(15 downto 14) = "00" and CPU_MREQ = '0' and ROM_REG(7) = '0' else ROM_DO when CPU_A(15 downto 14) = "00" and CPU_MREQ = '0' and ROM_REG(6 downto 4) = "000" else RAM1_DO when CPU_A(15 downto 14) = "01" and CPU_MREQ = '0' else RAM2_DO when CPU_A(15 downto 14) = "10" and CPU_MREQ = '0' else RAM3_DO when CPU_A(15 downto 14) = "11" and CPU_MREQ = '0' else "000" & KEYB_DO when CPU_A(5) = '0' and CPU_RD = '0' and CPU_IORQ = '0' else "11111111" when CPU_A(0) = '0' and CPU_RD = '0' and CPU_IORQ = '0' else "11111111"; RAM1_WR <= "1" when CPU_A(15 downto 14) = "01" and CPU_MREQ = '0' and CPU_WR = '0' else "0"; RAM2_WR <= "1" when CPU_A(15 downto 14) = "10" and CPU_MREQ = '0' and CPU_WR = '0' else "0"; RAM3_WR <= "1" when CPU_A(15 downto 14) = "11" and CPU_MREQ = '0' and CPU_WR = '0' else "0"; ROM_A <= ROM_REG(7) & '0' & ROM_REG(3 downto 0) & CPU_A(13 downto 0); SRAM_A <= ROM_A(18 downto 1); SRAM_WE <= '1'; SRAM_OE <= '0'; SRAM_LB <= '0'; SRAM_UB <= '0'; SRAM_CE0 <= ROM_A(19); SRAM_CE1 <= not ROM_A(19); SRAM_D <= "ZZZZZZZZZZZZZZZZ"; ROM_DO <= SRAM_D(7 downto 0) when ROM_A(0) = '0' else SRAM_D(15 downto 8); romreg : process(CLK) begin if rising_edge(CLK) then if RESET = '1' then ROM_REG <= "00000000"; else if CPU_IORQ = '0' and CPU_WR = '0' and CPU_A(5) = '0' then ROM_REG <= CPU_DO; end if; if CPU_IORQ = '0' and CPU_WR = '0' and CPU_A(0) = '0' then BEEPER <= CPU_DO(4); BORDERCOLOR <= CPU_DO(2 downto 0); end if; end if; end if; end process; end rtl;
gpl-3.0
5e2235480241a13c875d6696b10926a4
0.462226
3.120108
false
false
false
false
VHDLTool/VHDL_Handbook_STD
Extras/VHDL/STD_00700_good.vhd
1
3,044
------------------------------------------------------------------------------------------------- -- Company : CNES -- Author : Mickael Carl (CNES) -- Copyright : Copyright (c) CNES. -- Licensing : GNU GPLv3 ------------------------------------------------------------------------------------------------- -- Version : V1 -- Version history : -- V1 : 2015-04-01 : Mickael Carl (CNES): Creation ------------------------------------------------------------------------------------------------- -- File name : STD_00700_good.vhd -- File Creation date : 2015-04-01 -- Project name : VHDL Handbook CNES Edition ------------------------------------------------------------------------------------------------- -- Softwares : Microsoft Windows (Windows 7) - Editor (Eclipse + VEditor) ------------------------------------------------------------------------------------------------- -- Description : Handbook example: Preservation of signal name: good example -- -- Limitations : This file is an example of the VHDL handbook made by CNES. It is a stub aimed at -- demonstrating good practices in VHDL and as such, its design is minimalistic. -- It is provided as is, without any warranty. -- ------------------------------------------------------------------------------------------------- -- Naming conventions: -- -- i_Port: Input entity port -- o_Port: Output entity port -- b_Port: Bidirectional entity port -- g_My_Generic: Generic entity port -- -- c_My_Constant: Constant definition -- t_My_Type: Custom type definition -- -- My_Signal_n: Active low signal -- v_My_Variable: Variable -- sm_My_Signal: FSM signal -- pkg_Param: Element Param coming from a package -- -- My_Signal_re: Rising edge detection of My_Signal -- My_Signal_fe: Falling edge detection of My_Signal -- My_Signal_rX: X times registered My_Signal signal -- -- P_Process_Name: Process -- ------------------------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library work; use work.pkg_HBK.all; entity STD_00700_good is port ( i_Clock : in std_logic; -- Clock signal i_Reset_n : in std_logic; -- Reset signal i_D : in std_logic; -- D Flip-Flop input signal o_Q : out std_logic -- D Flip-Flop output signal ); end STD_00700_good; --CODE architecture Behavioral of STD_00700_good is signal D : std_logic; -- First Flip-Flop output signal Q : std_logic; -- Block output begin DFlipFlop1 : DFlipFlop port map ( i_Clock => i_Clock, i_Reset_n => i_Reset_n, i_D => i_D, o_Q => D, o_Q_n => open ); P_FlipFlop : process(i_Reset_n, i_Clock) begin if (i_Reset_n = '0') then Q <= '0'; elsif (rising_edge(i_Clock)) then Q <= D; end if; end process; end Behavioral; --CODE
gpl-3.0
34b9587258fee2c6b15792d902cdd603
0.467477
4.456808
false
false
false
false
APastorG/APG
average_calculator/average_calculator_pkg.vhd
1
5,808
/*************************************************************************************************** / / Author: Antonio Pastor González / ¯¯¯¯¯¯ / / Date: / ¯¯¯¯ / / Version: / ¯¯¯¯¯¯¯ / / Notes: / ¯¯¯¯¯ / This design makes use of some features from VHDL-2008, all of which have been implemented by / Altera and Xilinx in their software. / A 3 space tab is used throughout the document / / / Description: / ¯¯¯¯¯¯¯¯¯¯¯ / This package contains necessary types, constants, and functions for the parameterized average / calculator design. / **************************************************************************************************/ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.math_real.all; library work; use work.common_data_types_pkg.all; use work.adder_pkg.all; use work.real_const_mult_pkg.all; /*================================================================================================*/ /*================================================================================================*/ /*================================================================================================*/ package average_calculator_pkg is /* function 1 */ /**************************************************************************************************/ -- function average_calculator_CHECKS() -- return integer; function average_calculator_IH( s : positive; p : positive; input_high : integer) return integer; function average_calculator_IL( round_to_bit_opt : integer_exc; input_low : integer) return integer; function average_calculator_OH( unsigned_2comp_opt : boolean; round_style_opt : T_round_style; round_to_bit_opt : integer_exc; max_error_pct_opt : real_exc; s : positive; p : positive; input_high : integer; input_low : integer) return integer; function average_calculator_OL( unsigned_2comp_opt : boolean; round_style_opt : T_round_style; round_to_bit_opt : integer_exc; max_error_pct_opt : real_exc; s : positive; p : positive; input_high : integer; input_low : integer) return integer; end package; /*================================================================================================*/ /*================================================================================================*/ /*================================================================================================*/ Package body average_calculator_pkg is /********************************************************************************************** 1 */ function average_calculator_IH( s : positive; p : positive; input_high : integer) return integer is begin return adder_OH(integer'low, s, p, input_high); end function; function average_calculator_IL( round_to_bit_opt : integer_exc; input_low : integer) return integer is begin return adder_OL(round_to_bit_opt, input_low); end function; function average_calculator_OH( unsigned_2comp_opt : boolean; round_style_opt : T_round_style; round_to_bit_opt : integer_exc; max_error_pct_opt : real_exc; s : positive; p : positive; input_high : integer; input_low : integer) return integer is constant inter_high : integer := average_calculator_IH(s, p, input_high); constant inter_low : integer := average_calculator_IL(round_to_bit_opt, input_low); constant constants : real_v(1 to 1) := (1 => 1.0/real(p*s)); begin return real_const_mult_OH(round_style_opt, round_to_bit_opt, max_error_pct_opt, constants, inter_high, inter_low, not unsigned_2comp_opt); end function; function average_calculator_OL( unsigned_2comp_opt : boolean; round_style_opt : T_round_style; round_to_bit_opt : integer_exc; max_error_pct_opt : real_exc; s : positive; p : positive; input_high : integer; input_low : integer) return integer is constant inter_high : integer := average_calculator_IH(s, p, input_high); constant inter_low : integer := average_calculator_IL(round_to_bit_opt, input_low); constant constants : real_v(1 to 1) := (1 => 1.0/real(p*s)); begin return real_const_mult_OL(round_style_opt, round_to_bit_opt, max_error_pct_opt, constants, inter_low, not unsigned_2comp_opt); end function; end package body;
mit
e9c3d4f60bdf4c4ade320b002c52df96
0.39089
4.981881
false
false
false
false
ILoveSpeccy/Aeon-Lite
cores/atari800xl/src/atari_bak.vhd
1
11,292
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; entity ATARI is port( CLK_50 : in std_logic; KB_CLK : in std_logic; KB_DAT : in std_logic; JOY_CLK : out std_logic; JOY_LOAD : out std_logic; JOY_DATA0 : in std_logic; JOY_DATA1 : in std_logic; SD_MOSI : out std_logic; SD_MISO : in std_logic; SD_SCK : out std_logic; SD_CS : out std_logic; SOUND_L : out std_logic; SOUND_R : out std_logic; VGA_R : out std_logic_vector(3 downto 0); VGA_G : out std_logic_vector(3 downto 0); VGA_B : out std_logic_vector(3 downto 0); VGA_HSYNC : out std_logic; VGA_VSYNC : out std_logic ); end ATARI; architecture RTL of ATARI is -- System signal CLK : std_logic; signal PLL_LOCKED : std_logic; signal RESET_N : std_logic; -- Video signal HSYNC : std_logic; signal VSYNC : std_logic; -- Audio signal AUDIO_L_PCM : std_logic_vector(15 downto 0); signal AUDIO_R_PCM : std_logic_vector(15 downto 0); -- Gamepads signal GAMEPAD0 : std_logic_vector(7 downto 0); signal GAMEPAD1 : std_logic_vector(7 downto 0); signal JOY1_n : std_logic_vector(7 downto 0); signal JOY2_n : std_logic_vector(7 downto 0); -- Keyboard signal KEYBOARD_SCAN : std_logic_vector(5 downto 0); signal KEYBOARD_RESPONSE : std_logic_vector(1 downto 0); signal CONSOL_START : std_logic; signal CONSOL_SELECT : std_logic; signal CONSOL_OPTION : std_logic; signal FKEYS : std_logic_vector(11 downto 0); -- PIA signal CA2_OUT : std_logic; signal CA2_DIR_OUT : std_logic; signal CB2_OUT : std_logic; signal CB2_DIR_OUT : std_logic; signal CA2_IN : std_logic; signal CB2_IN : std_logic; signal PORTA_IN : std_logic_vector(7 downto 0); signal PORTA_OUT : std_logic_vector(7 downto 0); signal PORTA_DIR_OUT : std_logic_vector(7 downto 0); signal PORTB_IN : std_logic_vector(7 downto 0); signal PORTB_OUT : std_logic_vector(7 downto 0); -- PBI signal PBI_WRITE_DATA : std_logic_vector(31 downto 0); signal PBI_WIDTH_32BIT_ACCESS : std_logic; signal PBI_WIDTH_16BIT_ACCESS : std_logic; signal PBI_WIDTH_8BIT_ACCESS : std_logic; signal GTIA_TRIG : std_logic_vector(3 downto 0); signal ANTIC_LIGHTPEN : std_logic; -- INTERNAL ROM/RAM signal RAM_ADDR : std_logic_vector(18 downto 0); signal RAM_DO : std_logic_vector(15 downto 0); signal RAM_REQUEST : std_logic; signal RAM_REQUEST_COMPLETE : std_logic; signal RAM_WRITE_ENABLE : std_logic; signal ROM_ADDR : std_logic_vector(21 downto 0); signal ROM_DO : std_logic_vector(7 downto 0); signal ROM_REQUEST : std_logic; signal ROM_REQUEST_COMPLETE : std_logic; -- DMA/Virtual drive signal DMA_ADDR_FETCH : std_logic_vector(23 downto 0); signal DMA_WRITE_DATA : std_logic_vector(31 downto 0); signal DMA_FETCH : std_logic; signal DMA_32BIT_WRITE_ENABLE : std_logic; signal DMA_16BIT_WRITE_ENABLE : std_logic; signal DMA_8BIT_WRITE_ENABLE : std_logic; signal DMA_READ_ENABLE : std_logic; signal DMA_MEMORY_READY : std_logic; signal DMA_MEMORY_DATA : std_logic_vector(31 downto 0); signal ZPU_ADDR_ROM : std_logic_vector(15 downto 0); signal ZPU_ROM_DATA : std_logic_vector(31 downto 0); signal ZPU_OUT1 : std_logic_vector(31 downto 0); signal ZPU_OUT2 : std_logic_vector(31 downto 0); signal ZPU_OUT3 : std_logic_vector(31 downto 0); signal ZPU_OUT4 : std_logic_vector(31 downto 0); signal ZPU_POKEY_ENABLE : std_logic; signal ZPU_SIO_TXD : std_logic; signal ZPU_SIO_RXD : std_logic; signal ZPU_SIO_COMMAND : std_logic; -- System control from ZPU signal RAM_SELECT : std_logic_vector(2 downto 0); signal ROM_SELECT : std_logic_vector(5 downto 0); signal RESET_ATARI : std_logic; signal PAUSE_ATARI : std_logic; signal SPEED_6502 : std_logic_vector(5 downto 0); begin u_PLL : entity work.PLL port map ( CLKIN => CLK_50, CLKOUT => CLK, LOCKED => PLL_LOCKED ); u_DAC_L : entity work.dac port map ( clk_i => CLK, res_n_i => RESET_N, dac_i => AUDIO_L_PCM, dac_o => SOUND_L ); u_DAC_R : entity work.dac port map ( clk_i => CLK, res_n_i => RESET_N, dac_i => AUDIO_R_PCM, dac_o => SOUND_R ); u_KEYBOARD : entity work.ps2_to_atari800 port map ( CLK => CLK, RESET_N => RESET_N, PS2_CLK => KB_CLK, PS2_DAT => KB_DAT, KEYBOARD_SCAN => KEYBOARD_SCAN, KEYBOARD_RESPONSE => KEYBOARD_RESPONSE, CONSOL_START => CONSOL_START, CONSOL_SELECT => CONSOL_SELECT, CONSOL_OPTION => CONSOL_OPTION, FKEYS => FKEYS ); u_JOYSTICKS : entity work.nes_gamepad port map( CLK => CLK, RESET => not RESET_N, JOY_CLK => JOY_CLK, JOY_LOAD => JOY_LOAD, JOY_DATA0 => JOY_DATA0, JOY_DATA1 => JOY_DATA1, JOY0_BUTTONS => GAMEPAD0, JOY1_BUTTONS => GAMEPAD1, JOY0_CONNECTED => OPEN, JOY1_CONNECTED => OPEN ); u_INTROMRAM : entity work.internalromram generic map ( internal_rom => 1, internal_ram => 16384 ) port map ( clock => CLK, reset_n => RESET_N, ROM_ADDR => ROM_ADDR, ROM_REQUEST_COMPLETE => ROM_REQUEST_COMPLETE, ROM_REQUEST => ROM_REQUEST, ROM_DATA => ROM_DO, RAM_ADDR => RAM_ADDR, RAM_WR_ENABLE => RAM_WRITE_ENABLE, RAM_DATA_IN => PBI_WRITE_DATA(7 downto 0), RAM_REQUEST_COMPLETE => RAM_REQUEST_COMPLETE, RAM_REQUEST => RAM_REQUEST, RAM_DATA => RAM_DO(7 downto 0) ); u_ATARI800 : entity work.atari800core generic map ( cycle_length => 16, video_bits => 4 ) port map ( CLK => CLK, RESET_N => RESET_N, VIDEO_VS => VSYNC, VIDEO_HS => HSYNC, VIDEO_B => VGA_B, VIDEO_G => VGA_G, VIDEO_R => VGA_R, AUDIO_L => AUDIO_L_PCM, AUDIO_R => AUDIO_R_PCM, CA1_IN => '1', CB1_IN => '1', CA2_IN => CA2_IN, CA2_OUT => CA2_OUT, CA2_DIR_OUT => CA2_DIR_OUT, CB2_IN => CB2_IN, CB2_OUT => CB2_OUT, CB2_DIR_OUT => CB2_DIR_OUT, PORTA_IN => PORTA_IN, PORTA_DIR_OUT => PORTA_DIR_OUT, PORTA_OUT => PORTA_OUT, PORTB_IN => PORTB_IN, PORTB_DIR_OUT => OPEN, PORTB_OUT => PORTB_OUT, KEYBOARD_RESPONSE => KEYBOARD_RESPONSE, KEYBOARD_SCAN => KEYBOARD_SCAN, POT_IN => "00000000", POT_RESET => OPEN, PBI_ADDR => OPEN, PBI_WRITE_ENABLE => OPEN, PBI_SNOOP_DATA => OPEN, PBI_WRITE_DATA => PBI_WRITE_DATA, PBI_WIDTH_8bit_ACCESS => PBI_WIDTH_8bit_ACCESS, PBI_WIDTH_16bit_ACCESS => PBI_WIDTH_16bit_ACCESS, PBI_WIDTH_32bit_ACCESS => PBI_WIDTH_32bit_ACCESS, PBI_ROM_DO => "11111111", PBI_REQUEST => OPEN, PBI_REQUEST_COMPLETE => '1', CART_RD4 => '0', CART_RD5 => '0', CART_S4_n => OPEN, CART_S5_N => OPEN, CART_CCTL_N => OPEN, SIO_RXD => '0', SIO_TXD => OPEN, CONSOL_OPTION => CONSOL_OPTION, CONSOL_SELECT => CONSOL_SELECT, CONSOL_START => CONSOL_START, GTIA_TRIG => GTIA_TRIG, ANTIC_LIGHTPEN => ANTIC_LIGHTPEN, SDRAM_REQUEST => OPEN, SDRAM_REQUEST_COMPLETE => '1', SDRAM_READ_ENABLE => OPEN, SDRAM_WRITE_ENABLE => OPEN, SDRAM_ADDR => OPEN, SDRAM_DO => (others=>'1'), ANTIC_REFRESH => OPEN, RAM_ADDR => RAM_ADDR, RAM_DO => RAM_DO, RAM_REQUEST => RAM_REQUEST, RAM_REQUEST_COMPLETE => RAM_REQUEST_COMPLETE, RAM_WRITE_ENABLE => RAM_WRITE_ENABLE, ROM_ADDR => ROM_ADDR, ROM_DO => ROM_DO, ROM_REQUEST => ROM_REQUEST, ROM_REQUEST_COMPLETE => ROM_REQUEST_COMPLETE, DMA_FETCH => '0', DMA_READ_ENABLE => '0', DMA_32BIT_WRITE_ENABLE => '0', DMA_16BIT_WRITE_ENABLE => '0', DMA_8BIT_WRITE_ENABLE => '0', DMA_ADDR => (others=>'1'), DMA_WRITE_DATA => (others=>'1'), MEMORY_READY_DMA => OPEN, PBI_SNOOP_DATA => OPEN, RAM_SELECT => "000", ROM_SELECT => "000001", CART_EMULATION_SELECT => "0000000", CART_EMULATION_ACTIVATE => '0', PAL => '1', USE_SDRAM => '0', ROM_IN_RAM => '0', THROTTLE_COUNT_6502 => "000001", HALT => '0' ); u_ZPU : entity work.zpucore generic map ( platform => 1, spi_clock_div => 1 ) -- 28MHz/2. Max for SD cards is 25MHz... port map ( CLK => CLK, RESET_N => RESET_N, ZPU_ADDR_FETCH => dma_addr_fetch, ZPU_DATA_OUT => dma_write_data, ZPU_FETCH => dma_fetch, ZPU_32BIT_WRITE_ENABLE => dma_32bit_write_enable, ZPU_16BIT_WRITE_ENABLE => dma_16bit_write_enable, ZPU_8BIT_WRITE_ENABLE => dma_8bit_write_enable, ZPU_READ_ENABLE => dma_read_enable, ZPU_MEMORY_READY => dma_memory_ready, ZPU_MEMORY_DATA => dma_memory_data, ZPU_ADDR_ROM => zpu_addr_rom, ZPU_ROM_DATA => zpu_rom_data, ZPU_SD_DAT0 => SD_MISO, ZPU_SD_CLK => SD_SCK, ZPU_SD_CMD => SD_MOSI, ZPU_SD_DAT3 => SD_CS, ZPU_POKEY_ENABLE => zpu_pokey_enable, ZPU_SIO_TXD => zpu_sio_txd, ZPU_SIO_RXD => zpu_sio_rxd, ZPU_SIO_COMMAND => zpu_sio_command, ZPU_IN1 => X"00000"& FKEYS, ZPU_IN2 => X"00000000", ZPU_IN3 => X"00000000", ZPU_IN4 => X"00000000", ZPU_OUT1 => ZPU_OUT1, ZPU_OUT2 => ZPU_OUT2, ZPU_OUT3 => ZPU_OUT3, ZPU_OUT4 => ZPU_OUT4 ); u_ZPUROM : entity work.zpu_rom port map ( clock => clk, address => zpu_addr_rom(13 downto 2), q => zpu_rom_data ); u_ZPU_POKEY : entity work.enable_divider generic map ( COUNT => 16) port map( clk => clk, reset_n => reset_n, enable_in => '1', enable_out => zpu_pokey_enable ); RESET_N <= PLL_LOCKED; VGA_HSYNC <= not(HSYNC or VSYNC); VGA_VSYNC <= not(HSYNC or VSYNC); CA2_IN <= CA2_OUT when CA2_DIR_OUT='1' else '1'; CB2_IN <= CB2_OUT when CB2_DIR_OUT='1' else '1'; PORTB_IN <= PORTB_OUT; PORTA_IN <= ((JOY2_n(0)&JOY2_n(1)&JOY2_n(2)&JOY2_n(3)&JOY1_n(0)&JOY1_n(1)&JOY1_n(2)&JOY1_n(3)) and not (porta_dir_out)) or (porta_dir_out and porta_out); ANTIC_LIGHTPEN <= JOY2_n(7) and JOY1_n(7); GTIA_TRIG <= "01"&JOY2_n(7)&JOY1_n(7); JOY1_n <= not GAMEPAD0; -- FRLDU JOY2_n <= not GAMEPAD1; -- FRLDU PAUSE_ATARI <= ZPU_OUT1(0); RESET_ATARI <= ZPU_OUT1(1); SPEED_6502 <= ZPU_OUT1(7 downto 2); RAM_SELECT <= ZPU_OUT1(10 downto 8); ROM_SELECT <= ZPU_OUT1(16 downto 11); end RTL;
gpl-3.0
033a951d4fe08d4800c71cdb185397e0
0.552604
2.985722
false
false
false
false
ILoveSpeccy/Aeon-Lite
cores/lvov-pk02-mips/src/host/VGA Console/mips_vram/mips_vram/example_design/mips_vram_exdes.vhd
1
5,405
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7.1 Core - Top-level core wrapper -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006-2010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: mips_vram_exdes.vhd -- -- Description: -- This is the actual BMG core wrapper. -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: August 31, 2005 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY UNISIM; USE UNISIM.VCOMPONENTS.ALL; -------------------------------------------------------------------------------- -- Entity Declaration -------------------------------------------------------------------------------- ENTITY mips_vram_exdes IS PORT ( --Inputs - Port A WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0); ADDRA : IN STD_LOGIC_VECTOR(11 DOWNTO 0); DINA : IN STD_LOGIC_VECTOR(15 DOWNTO 0); DOUTA : OUT STD_LOGIC_VECTOR(15 DOWNTO 0); CLKA : IN STD_LOGIC; --Inputs - Port B WEB : IN STD_LOGIC_VECTOR(0 DOWNTO 0); ADDRB : IN STD_LOGIC_VECTOR(11 DOWNTO 0); DINB : IN STD_LOGIC_VECTOR(15 DOWNTO 0); DOUTB : OUT STD_LOGIC_VECTOR(15 DOWNTO 0); CLKB : IN STD_LOGIC ); END mips_vram_exdes; ARCHITECTURE xilinx OF mips_vram_exdes IS COMPONENT BUFG IS PORT ( I : IN STD_ULOGIC; O : OUT STD_ULOGIC ); END COMPONENT; COMPONENT mips_vram IS PORT ( --Port A WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0); ADDRA : IN STD_LOGIC_VECTOR(11 DOWNTO 0); DINA : IN STD_LOGIC_VECTOR(15 DOWNTO 0); DOUTA : OUT STD_LOGIC_VECTOR(15 DOWNTO 0); CLKA : IN STD_LOGIC; --Port B WEB : IN STD_LOGIC_VECTOR(0 DOWNTO 0); ADDRB : IN STD_LOGIC_VECTOR(11 DOWNTO 0); DINB : IN STD_LOGIC_VECTOR(15 DOWNTO 0); DOUTB : OUT STD_LOGIC_VECTOR(15 DOWNTO 0); CLKB : IN STD_LOGIC ); END COMPONENT; SIGNAL CLKA_buf : STD_LOGIC; SIGNAL CLKB_buf : STD_LOGIC; SIGNAL S_ACLK_buf : STD_LOGIC; BEGIN bufg_A : BUFG PORT MAP ( I => CLKA, O => CLKA_buf ); bufg_B : BUFG PORT MAP ( I => CLKB, O => CLKB_buf ); bmg0 : mips_vram PORT MAP ( --Port A WEA => WEA, ADDRA => ADDRA, DINA => DINA, DOUTA => DOUTA, CLKA => CLKA_buf, --Port B WEB => WEB, ADDRB => ADDRB, DINB => DINB, DOUTB => DOUTB, CLKB => CLKB_buf ); END xilinx;
gpl-3.0
97ad9960af2fa319de7f7ecd0272309e
0.551156
4.572758
false
false
false
false
freecores/lq057q3dc02
design/video_controller.vhd
1
6,709
------------------------------------------------------------------------------ -- Copyright (C) 2007 Jonathon W. Donaldson -- jwdonal a t opencores DOT org -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; if not, write to the Free Software -- Foundation, Inc., 675 Mass Ave, Cambridge, MA 02139, USA. -- ------------------------------------------------------------------------------ -- -- $Id: video_controller.vhd,v 1.1 2008-11-07 00:48:12 jwdonal Exp $ -- -- Description: -- This file instantiates the components which control HSYNCx, VSYNCx, ENAB, -- and the CLK_LCD cycle counter. -- -- Structure: -- - xupv2p.ucf -- - components.vhd -- - lq057q3dc02_tb.vhd -- - lq057q3dc02.vhd -- - dcm_sys_to_lcd.xaw -- - video_controller.vhd -- - enab_control.vhd -- - hsyncx_control.vhd -- - vsyncx_control.vhd -- - clk_lcd_cyc_cntr.vhd -- - image_gen_bram.vhd -- - image_gen_bram_red.xco -- - image_gen_bram_green.xco -- - image_gen_bram_blue.xco -- ------------------------------------------------------------------------------ -- -- Naming Conventions: -- active low signals "*x" -- clock signal "CLK_*" -- reset signal "RST" -- generic/constant "C_*" -- user defined type "TYPE_*" -- state machine next state "*_ns" -- state machine current state "*_cs"" -- pipelined signals "*_d#" -- register delay signals "*_p#" -- signal "*_sig" -- variable "*_var" -- storage register "*_reg" -- clock enable signals "*_ce" -- internal version of output port used as connecting wire "*_wire" -- input/output port "ALL_CAPS" -- process "*_PROC" -- ------------------------------------------------------------------------------ --////////////////////-- -- LIBRARY INCLUSIONS -- --////////////////////-- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; USE work.components.ALL; --////////////////////-- -- ENTITY DECLARATION -- --////////////////////-- ENTITY video_controller IS generic ( --Video Controller C_RL_STATUS, C_UD_STATUS, C_VQ_STATUS : STD_LOGIC; --VSYNC Controller (pass thru) C_VSYNC_TV, C_VSYNC_TVP, C_VSYNC_TVS, C_LINE_NUM_WIDTH, --HSYNCx Controller (pass thru) C_HSYNC_TH, C_HSYNC_THP, C_NUM_CLKS_WIDTH, --CLK_LCD Cycle Counter (pass thru) C_CLK_LCD_CYC_NUM_WIDTH, --ENAB Controller (pass thru) C_ENAB_TEP, C_ENAB_THE : POSITIVE ); port ( RSTx, CLK_LCD : IN std_logic; LINE_NUM : OUT std_logic_vector(C_LINE_NUM_WIDTH-1 downto 0); CLK_LCD_CYC_NUM : OUT std_logic_vector(C_CLK_LCD_CYC_NUM_WIDTH-1 downto 0); HSYNCx, VSYNCx, ENAB, RL, UD, VQ : OUT std_logic ); END ENTITY video_controller; --////////////////////////-- -- ARCHITECTURE OF ENTITY -- --////////////////////////-- ARCHITECTURE video_controller_arch OF video_controller IS --Connecting wires between components signal HSYNCx_wire : std_logic := '1'; signal VSYNCx_wire : std_logic := '1'; signal LINE_NUM_wire : std_logic_vector(C_LINE_NUM_WIDTH-1 downto 0) := (others => '0'); signal CLK_LCD_CYC_NUM_wire : std_logic_vector(C_CLK_LCD_CYC_NUM_WIDTH-1 downto 0) := (others => '0'); begin --///////////////////////-- -- CONCURRENT STATEMENTS -- --///////////////////////-- RL <= C_RL_STATUS; UD <= C_UD_STATUS; VQ <= C_VQ_STATUS; HSYNCx <= HSYNCx_wire; VSYNCx <= VSYNCx_wire; LINE_NUM <= LINE_NUM_wire; -- line number required by image generator used at top-level CLK_LCD_CYC_NUM <= CLK_LCD_CYC_NUM_wire; -- pixel number required by image generator used at top-level --//////////////////////////-- -- COMPONENT INSTANTIATIONS -- --//////////////////////////-- ---------------------- -- HSYNCx Control ---------------------- HSYNCx_C : hsyncx_control generic map ( C_HSYNC_TH => C_HSYNC_TH, C_HSYNC_THP => C_HSYNC_THP, C_NUM_CLKS_WIDTH => C_NUM_CLKS_WIDTH ) port map ( RSTx => RSTx, CLK_LCD => CLK_LCD, --OUTPUTS HSYNCx => HSYNCx_wire ); ---------------------- -- VSYNCx Control ---------------------- VSYNCx_C : vsyncx_control generic map ( C_VSYNC_TV => C_VSYNC_TV, C_VSYNC_TVP => C_VSYNC_TVP, C_LINE_NUM_WIDTH => C_LINE_NUM_WIDTH ) port map ( RSTx => RSTx, CLK_LCD => CLK_LCD, HSYNCx => HSYNCx_wire, --OUTPUTS LINE_NUM => LINE_NUM_wire, VSYNCx => VSYNCx_wire ); --------------------------- -- CLK_LCD Cycle Counter --------------------------- CLK_LCD_CYCLE_Cntr : clk_lcd_cyc_cntr GENERIC MAP ( C_VSYNC_TVS => C_VSYNC_TVS, C_LINE_NUM_WIDTH => C_LINE_NUM_WIDTH, C_CLK_LCD_CYC_NUM_WIDTH => C_CLK_LCD_CYC_NUM_WIDTH, C_ENAB_TEP => C_ENAB_TEP, C_ENAB_THE => C_ENAB_THE ) PORT MAP ( RSTx => RSTx, CLK_LCD => CLK_LCD, LINE_NUM => LINE_NUM_wire, HSYNCx => HSYNCx_wire, VSYNCx => VSYNCx_wire, --OUTPUTS CLK_LCD_CYC_NUM => CLK_LCD_CYC_NUM_wire ); ---------------------- -- ENAB Control ---------------------- ENAB_C : enab_control generic map ( C_VSYNC_TVS => C_VSYNC_TVS, C_CLK_LCD_CYC_NUM_WIDTH => C_CLK_LCD_CYC_NUM_WIDTH, C_ENAB_TEP => C_ENAB_TEP, C_ENAB_THE => C_ENAB_THE ) port map ( RSTx => RSTx, CLK_LCD => CLK_LCD, CLK_LCD_CYC_NUM => CLK_LCD_CYC_NUM_wire, -- OUTPUTS ENAB => ENAB ); END ARCHITECTURE video_controller_arch;
gpl-2.0
9a12cc12258a4e2e12a79fcaf5d7f039
0.486511
3.70663
false
false
false
false
ComputerArchitectureGroupPWr/SimulationCore
src/heatersLogicTB.vhd
1
1,831
LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; ENTITY heatersLogicTB IS END heatersLogicTB; ARCHITECTURE behavior OF heatersLogicTB IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT heatersLogic PORT( rsDataIn : IN std_logic_vector(7 downto 0); rsRdy : IN std_logic; rst : IN std_logic; clk50Mhz : IN std_logic ); END COMPONENT; --Inputs signal rsDataIn : std_logic_vector(7 downto 0) := (others => '0'); signal rsRdy : std_logic := '0'; signal rst : std_logic := '0'; signal clk50Mhz : std_logic := '0'; -- Clock period definitions constant clk50Mhz_period : time := 20 ns; BEGIN -- Instantiate the Unit Under Test (UUT) uut: heatersLogic PORT MAP ( rsDataIn => rsDataIn, rsRdy => rsRdy, rst => rst, clk50Mhz => clk50Mhz ); -- Clock process definitions clk50Mhz_process :process begin clk50Mhz <= '0'; wait for clk50Mhz_period/2; clk50Mhz <= '1'; wait for clk50Mhz_period/2; end process; -- Stimulus process stim_proc: process begin rst <= '1'; wait for 100 ns; rst <= '0'; wait for clk50Mhz_period*10; rsDataIn <= X"22"; rsRdy <= '1'; wait for clk50Mhz_period; rsDataIn <= X"00"; rsRdy <= '0'; wait for 3*clk50Mhz_period; rsDataIn <= X"55"; rsRdy <= '1'; wait for clk50Mhz_period; rsDataIn <= X"00"; rsRdy <= '0'; wait for 3*clk50Mhz_period; rsDataIn <= X"01"; rsRdy <= '1'; wait for clk50Mhz_period; rsDataIn <= X"00"; rsRdy <= '0'; wait for 3*clk50Mhz_period; rsDataIn <= X"FF"; rsRdy <= '1'; wait for clk50Mhz_period; rsDataIn <= X"00"; rsRdy <= '0'; wait for 3*clk50Mhz_period; wait; end process; END;
mit
e958d5820aad1c02ba59ca89798620b2
0.589295
3.098139
false
false
false
false
simpway/HDLC-ICEC
firmware/HDLC_TXRX_WRAPPER.vhd
1
11,541
--!----------------------------------------------------------------------------- --! -- --! BNL - Brookhaven National Lboratory -- --! Physics Department -- --! Omega Group -- --!----------------------------------------------------------------------------- --| --! author: Kai Chen ([email protected]) --! --! --!----------------------------------------------------------------------------- -- -- Create Date: 21:33:01 2015/11/18 -- Design Name: -- Module Name: HDLC_TXRX - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: The MODULE to send data to IC/EC bit, and receive data from -- IC/EC bit. The data encoding and decoding to/from HDLC shold -- be done in software. This module only send and receive data -- to a multi-bytes register. users can change its width. -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- LIBRARY IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; library UNISIM; use UNISIM.VComponents.all; use work.pcie_package.all; use work.FELIX_gbt_package.all; entity HDLC_TXRX_WRAPPER is generic ( REG_WIDTH : integer := 256; GBT_NUM : integer := 24 ); port ( txclk_40m : in std_logic; rxclk_40m : in std_logic; ICECBUSY : out std_logic_vector(15 downto 0); ICEC_INT_RX_DATA : out txrx64b_24ch_type; register_map_control: in register_map_control_type; ICEC_RX_4b : in txrx4b_type; ICEC_TX_4b : out txrx4b_type ); end HDLC_TXRX_WRAPPER; architecture behv of HDLC_TXRX_WRAPPER is type txrx256b_24ch_type is array (23 downto 0) of std_logic_vector(REG_WIDTH-1 downto 0); signal rx_ic_reg : txrx256b_24ch_type; signal tx_ic_reg : txrx256b_24ch_type; signal rx_ec_reg : txrx256b_24ch_type; signal tx_ec_reg : txrx256b_24ch_type; signal ic_trig : std_logic_vector(23 downto 0); signal ec_trig : std_logic_vector(23 downto 0); signal IC_RX_REG_BUF : std_logic_vector(REG_WIDTH-1 downto 0); signal EC_RX_REG_BUF : std_logic_vector(REG_WIDTH-1 downto 0); signal ic_ch_sel : std_logic_vector(4 downto 0); signal ec_ch_sel : std_logic_vector(4 downto 0); signal ICEC_TX_4b_i : txrx4b_type(0 to GBT_NUM-1); signal ICEC_RX_4b_i : txrx4b_type(0 to GBT_NUM-1); signal ic_trig_i : std_logic_vector(23 downto 0):=x"000000"; signal ic_trig_r : std_logic_vector(23 downto 0):=x"000000"; signal ic_trig_2r : std_logic_vector(23 downto 0):=x"000000"; signal ic_trig_3r : std_logic_vector(23 downto 0):=x"000000"; signal ic_sel_rx_i : std_logic_vector(23 downto 0):=x"000000"; signal IC_busy_pull : std_logic_vector(23 downto 0):=x"000000"; signal ic_int : std_logic_vector(23 downto 0):=x"000000"; signal ec_trig_i : std_logic_vector(23 downto 0):=x"000000"; signal ec_trig_r : std_logic_vector(23 downto 0):=x"000000"; signal ec_trig_2r : std_logic_vector(23 downto 0):=x"000000"; signal ec_trig_3r : std_logic_vector(23 downto 0):=x"000000"; signal EC_busy_pull : std_logic_vector(23 downto 0):=x"000000"; signal ec_int : std_logic_vector(23 downto 0):=x"000000"; signal muxsel : std_logic_vector(23 downto 0); begin ic_trig_i(4 downto 0) <= register_map_control.ICEC_TRIG(4 downto 0); ic_sel_rx_i(4 downto 0) <= register_map_control.ICEC_TRIG(20 downto 16); ec_trig_i(4 downto 0) <= register_map_control.ICEC_TRIG(12 downto 8); tx_ec_reg(0) <= register_map_control.EC_TXDATA03 & register_map_control.EC_TXDATA02 & register_map_control.EC_TXDATA01 & register_map_control.EC_TXDATA00; tx_ec_reg(1) <= register_map_control.EC_TXDATA13 & register_map_control.EC_TXDATA12 & register_map_control.EC_TXDATA11 & register_map_control.EC_TXDATA10; tx_ec_reg(2) <= register_map_control.EC_TXDATA23 & register_map_control.EC_TXDATA22 & register_map_control.EC_TXDATA21 & register_map_control.EC_TXDATA20; tx_ec_reg(3) <= register_map_control.EC_TXDATA33 & register_map_control.EC_TXDATA32 & register_map_control.EC_TXDATA31 & register_map_control.EC_TXDATA30; tx_ec_reg(4) <= register_map_control.EC_TXDATA43 & register_map_control.EC_TXDATA42 & register_map_control.EC_TXDATA41 & register_map_control.EC_TXDATA40; ch_gen : for i in GBT_NUM-1 downto 0 generate tx_ic_reg(i) <= register_map_control.IC_TXDATA03 & register_map_control.IC_TXDATA02 & register_map_control.IC_TXDATA01 & register_map_control.IC_TXDATA00; process (txclk_40m) begin if txclk_40m'event and txclk_40m='1' then ic_trig_r(i) <= ic_trig_i(i); ic_trig_2r(i) <= ic_trig_r(i); ic_trig_3r(i) <= ic_trig_2r(i); IC_busy_pull(i) <= ic_trig_r(i) and (not ic_trig_3r(i)); ic_trig(i) <= ic_trig_r(i) and (not ic_trig_2r(i)); ec_trig_r(i) <= ec_trig_i(i); ec_trig_2r(i) <= ec_trig_r(i); ec_trig_3r(i) <= ec_trig_2r(i); EC_busy_pull(i) <= ec_trig_r(i) and (not ec_trig_3r(i)); ec_trig(i) <= ec_trig_r(i) and (not ec_trig_2r(i)); end if; end process; process(rxclk_40m) begin if rxclk_40m'event and rxclk_40m='1' then if ic_busy_pull(i) = '1' then ICECBUSY(i) <= '1'; elsif ic_int(i)='1' then ICECBUSY(i) <= '0'; end if; if ec_busy_pull(i)= '1' then ICECBUSY(i+8) <= '1'; elsif ec_int(i)='1' then ICECBUSY(i+8) <= '0'; end if; end if; end process; end generate; ICEC_INT_RX_DATA(3) <= IC_RX_REG_BUF(255 downto 192); ICEC_INT_RX_DATA(2) <= IC_RX_REG_BUF(191 downto 128); ICEC_INT_RX_DATA(1) <= IC_RX_REG_BUF(127 downto 64); ICEC_INT_RX_DATA(0) <= IC_RX_REG_BUF(63 downto 0); ICEC_INT_RX_DATA(7) <= rx_ec_reg(0)(255 downto 192); ICEC_INT_RX_DATA(6) <= rx_ec_reg(0)(191 downto 128); ICEC_INT_RX_DATA(5) <= rx_ec_reg(0)(127 downto 64); ICEC_INT_RX_DATA(4) <= rx_ec_reg(0)(63 downto 0); ICEC_INT_RX_DATA(11) <= rx_ec_reg(1)(255 downto 192); ICEC_INT_RX_DATA(10) <= rx_ec_reg(1)(191 downto 128); ICEC_INT_RX_DATA(9) <= rx_ec_reg(1)(127 downto 64); ICEC_INT_RX_DATA(8) <= rx_ec_reg(1)(63 downto 0); ICEC_INT_RX_DATA(15) <= rx_ec_reg(2)(255 downto 192); ICEC_INT_RX_DATA(14) <= rx_ec_reg(2)(191 downto 128); ICEC_INT_RX_DATA(13) <= rx_ec_reg(2)(127 downto 64); ICEC_INT_RX_DATA(12) <= rx_ec_reg(2)(63 downto 0); ICEC_INT_RX_DATA(19) <= rx_ec_reg(3)(255 downto 192); ICEC_INT_RX_DATA(18) <= rx_ec_reg(3)(191 downto 128); ICEC_INT_RX_DATA(17) <= rx_ec_reg(3)(127 downto 64); ICEC_INT_RX_DATA(16) <= rx_ec_reg(3)(63 downto 0); ICEC_INT_RX_DATA(23) <= rx_ec_reg(4)(255 downto 192); ICEC_INT_RX_DATA(22) <= rx_ec_reg(4)(191 downto 128); ICEC_INT_RX_DATA(21) <= rx_ec_reg(4)(127 downto 64); ICEC_INT_RX_DATA(20) <= rx_ec_reg(4)(63 downto 0); IC_RX_REG_BUF <= rx_ic_reg(0) when ic_sel_rx_i(0) = '1' else rx_ic_reg(1) when ic_sel_rx_i(1) = '1' else rx_ic_reg(2) when ic_sel_rx_i(2) = '1' else rx_ic_reg(3) when ic_sel_rx_i(3) = '1' else rx_ic_reg(4) when ic_sel_rx_i(4) = '1' else IC_RX_REG_BUF; -- EC_RX_REG_BUF <= rx_ec_reg(0) when ec_ch_sel = "00000" else -- rx_ec_reg(1) when ec_ch_sel = "00001" else -- rx_ec_reg(2) when ec_ch_sel = "00010" else -- rx_ec_reg(3) when ec_ch_sel = "00011" else -- rx_ec_reg(4) when ec_ch_sel = "00100" else -- rx_ec_reg(5) when ec_ch_sel = "00101" else -- rx_ec_reg(6) when ec_ch_sel = "00110" else -- rx_ec_reg(7) when ec_ch_sel = "00111" else -- rx_ec_reg(8) when ec_ch_sel = "01000" else -- rx_ec_reg(9) when ec_ch_sel = "01001" else -- rx_ec_reg(10) when ec_ch_sel = "01010" else -- rx_ec_reg(11) when ec_ch_sel = "01011" else -- rx_ec_reg(12) when ec_ch_sel = "01100" else -- rx_ec_reg(13) when ec_ch_sel = "01101" else -- rx_ec_reg(14) when ec_ch_sel = "01110" else -- rx_ec_reg(15) when ec_ch_sel = "01111" else -- rx_ec_reg(16) when ec_ch_sel = "10000" else -- rx_ec_reg(17) when ec_ch_sel = "10001" else -- rx_ec_reg(18) when ec_ch_sel = "10010" else -- rx_ec_reg(19) when ec_ch_sel = "10011" else -- rx_ec_reg(20) when ec_ch_sel = "10100" else -- rx_ec_reg(21) when ec_ch_sel = "10101" else -- rx_ec_reg(22) when ec_ch_sel = "10110" else -- rx_ec_reg(23) when ec_ch_sel = "10111" else -- rx_ec_reg(0); ICEC_HDLC_TXRX_GEN : for i in GBT_NUM-1 downto 0 generate IC_HDLC_TXRX_inst : entity work.HDLC_TXRX generic map( REG_WIDTH => REG_WIDTH ) port map( rx_long_data_reg_o => rx_ic_reg(i), tx_long_data_reg_i => tx_ic_reg(i), tx_trig_i => ic_trig(i), --one tx40m cycle rx_trig_o => ic_int(i), --one rx40m cycle txclk_40m => txclk_40m, rxclk_40m => rxclk_40m, IC_RX_2b => ICEC_RX_4b(i)(3 downto 2), IC_TX_2b => ICEC_TX_4b(i)(3 downto 2) ); EC_HDLC_TXRX_inst : entity work.HDLC_TXRX generic map( REG_WIDTH => 256 ) port map( rx_long_data_reg_o => rx_ec_reg(i), tx_long_data_reg_i => tx_ec_reg(i), tx_trig_i => ec_trig(i), --one tx40m cycle rx_trig_o => ec_int(i), --one rx40m cycle txclk_40m => txclk_40m, rxclk_40m => rxclk_40m, IC_RX_2b => ICEC_RX_4b_i(i)(1 downto 0), IC_TX_2b => ICEC_TX_4b_i(i)(1 downto 0) ); process(rxclk_40m) begin if rxclk_40m'event and rxclk_40m='1' then --if muxsel(i)='0' then ICEC_RX_4b_i(i)(1) <= ICEC_RX_4b(i)(0); ICEC_RX_4b_i(i)(0) <= ICEC_RX_4b(i)(1); --else -- ICEC_RX_4b_i(i)(0) <= ICEC_RX_4b(i)(0); --ICEC_RX_4b_i(i)(1) <= ICEC_RX_4b(i)(1); --end if; end if; end process; ICEC_TX_4b(i)(0) <= ICEC_TX_4b_i(i)(1); ICEC_TX_4b(i)(1) <= ICEC_TX_4b_i(i)(0); end generate; end behv;
gpl-3.0
e2d6541aa96a03c14706c80f9ab6b37a
0.503683
2.96379
false
false
false
false
APastorG/APG
permutation/perm_sp_core.vhd
1
8,047
/*************************************************************************************************** / / Author: Antonio Pastor González / ¯¯¯¯¯¯ / / Date: / ¯¯¯¯ / / Version: / ¯¯¯¯¯¯¯ / / Notes: / ¯¯¯¯¯ / This design makes use of some features from VHDL-2008, all of which have been implemented by / Altera and Xilinx in their software. / A 3 space tab is used throughout the document / / / Description: / ¯¯¯¯¯¯¯¯¯¯¯ / / **************************************************************************************************/ library ieee; use ieee.std_logic_1164.all; use ieee.math_real.all; use ieee.numeric_std.all; library work; use work.common_pkg.all; use work.common_data_types_pkg.all; use work.counter_pkg.all; use work.permutation_pkg.all; /*================================================================================================*/ /*================================================================================================*/ /*================================================================================================*/ entity perm_sp_core is generic( dimensions : positive; p_dimensions : positive; serial_dim : natural; parallel_dim : natural; left_ps_latency : natural; right_ps_latency : natural; input_high : natural; input_low : natural ); port( clk : in std_ulogic; start : in std_ulogic; input : in sulv_v; finish : out std_ulogic; output : out sulv_v(input_high downto input_low) ); end entity; /*================================================================================================*/ /*================================================================================================*/ /*================================================================================================*/ architecture perm_sp_core_1 of perm_sp_core is --parallel dimensions constant P : natural := p_dimensions; --serial dimensions constant S : natural := dimensions - P; constant LATENCY : positive := integer((2.0**serial_dim)/(2.0**P)); --latencies after removing delays whenever there are 2 consecutive sp permutations constant LATENCY_0 : natural := LATENCY - minimum(right_ps_latency, LATENCY); constant LATENCY_1 : natural := LATENCY - minimum(left_ps_latency, LATENCY); constant common_LATENCY : natural := LATENCY_0; signal count_is_not_zero : std_ulogic; signal counter_out : std_ulogic; signal count : std_ulogic_vector(counter_CW(true, --UNSIGNED_2COMP_opt, 0, --COUNTER_WIDTH_dep, true, --TARGET_MODE, (2 ** S)- 1, --TARGET_dep, true, --TARGET_WITH_COUNT_opt = t_true, false, --USE_SET, 1) - 1 --SET_TO_dep) downto 0); --only the serial indexes (N downto P) mapped to downto 0 signal control : std_ulogic; signal start_delayed : std_ulogic_vector(0 to common_LATENCY); /*================================================================================================*/ /*================================================================================================*/ begin count_is_not_zero <= '1' when to_integer(unsigned(count)) /= 0 else '0'; counter: entity work.counter generic map( UNSIGNED_2COMP_opt => true, OVERFLOW_BEHAVIOR_opt => t_wrap, --COUNT_MODE_opt => t_up, --COUNTER_WIDTH_dep => , TARGET_MODE => true, TARGET_dep => (2 ** S)- 1, TARGET_WITH_COUNT_opt => t_true, TARGET_BLOCKING_opt => t_false, USE_SET => false, --SET_TO_dep => , USE_RESET => true, --SET_RESET_PRIORITY_opt => , USE_LOAD => false ) port map( clk => clk, enable => count_is_not_zero or start_delayed(0), --set => , reset => counter_out, --load => , --count_mode_signal => , --value_to_load => , count => count, count_is_TARGET(1) => counter_out ); control <= count(serial_dim - P); generate_serial_parallel_permutation: for i in 1 to 2**(P-1) generate constant index0 : natural := calculate_indexes(integer(i-1), P, parallel_dim, 0); constant index1 : natural := calculate_indexes(integer(i-1), P, parallel_dim, 1); signal in0, in1 : std_ulogic_vector(input(input'left)'range); signal inter0 : sulv_v(0 to LATENCY_0-1)(input(input'left)'range); signal inter1 : sulv_v(0 to LATENCY_1-1)(input(input'left)'range); begin in0 <= input(index0); in1 <= input(index1); more_than_one_register_in_0: if LATENCY_0 > 0 generate begin process (clk) is begin if rising_edge(clk) then if LATENCY_1 > 0 then inter0(0) <= in0 when control='0' else inter1(LATENCY_1 - 1); inter1(0) <= in1; if LATENCY_1 > 1 then inter1(1 to LATENCY_1 - 1) <= inter1(0 to LATENCY_1 - 2); end if; end if; if LATENCY_0 > 1 then inter0(1 to LATENCY_0 - 1) <= inter0(0 to LATENCY_0 - 2); end if; end if; end process; output(index0) <= inter0(LATENCY_0 - 1); latency_1_is_not_zero: if LATENCY_1 > 0 generate begin output(index1) <= inter1(LATENCY_1 - 1) when control = '0' else in0; end; else generate begin output(index1) <= in1 when control='0' else in0; end; end generate; end; else generate begin more_than_one_register_in_1: if LATENCY_1 > 0 generate begin process (clk) is begin if rising_edge(clk) then inter1(0) <= in1; inter1(1 to LATENCY_1 - 1) <= inter1(0 to LATENCY_1 - 2); end if; end process; output(index1) <= inter1(LATENCY_1 - 1) when control = '0' else in0; output(index0) <= in0 when control='0' else inter1(LATENCY_1 - 1); end; else generate begin output(index1) <= in1 when control='0' else in0; output(index0) <= in0 when control='0' else in1; end; end generate; end; end generate; end; end generate; finish <= start_delayed(common_LATENCY); start_delayed(0) <= start; process (clk) is begin if rising_edge(clk) then if common_LATENCY > 0 then start_delayed(1 to common_LATENCY) <= start_delayed(0 to common_LATENCY-1); end if; end if; end process; end architecture;
mit
f8214f76b4f6af84b89791c7ab33892f
0.398477
4.680491
false
false
false
false
seiken-chuouniv/ecorun
ecorun_fi_hardware/fi_timer/FiTimer/PulseTimer.vhd
1
1,491
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 17:10:19 03/15/2015 -- Design Name: -- Module Name: PulseTimer - RTL -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity PulseTimer is port( clk : in std_logic; enable : in std_logic; start : in std_logic; match : in std_logic_vector(7 downto 0); pulse : out std_logic := '0' ); end PulseTimer; architecture RTL of PulseTimer is signal counter : std_logic_vector(7 downto 0) := (others => '0'); signal enabled : boolean := false; signal match_cache : std_logic_vector(7 downto 0); begin process(clk, enable, start, match) begin if (start = '1' and enable = '1') then enabled <= true; elsif rising_edge(clk) then if (enabled) then if (counter = "00000000") then match_cache <= match; counter <= counter + 1; elsif (counter = match_cache) then pulse <= '0'; enabled <= false; counter <= (others => '0'); else pulse <= '1'; counter <= counter + 1; end if; else pulse <= '0'; counter <= (others => '0'); end if; end if; end process; end RTL;
bsd-3-clause
780d03b84a371a915c71ac71d3b51990
0.545272
3.313333
false
false
false
false
APastorG/APG
permutation/permutation_s.vhd
1
4,168
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.math_real.all; use std.textio.all; library work; use work.fixed_float_types.all; use work.fixed_generic_pkg.all; use work.common_pkg.all; use work.common_data_types_pkg.all; use work.permutation_pkg.all; /*================================================================================================*/ /*================================================================================================*/ entity permutation_s is generic ( INPUT_INDEXES : integer_v := (0 => 0); --default OUTPUT_INDEXES : integer_v --compulsory ); port( Clk : in std_ulogic; input : in u_sfixed_v; start : in std_ulogic; output : out u_sfixed_v; finish : out std_ulogic ); end entity; /*================================================================================================*/ /*================================================================================================*/ architecture permutation_s_1 of permutation_s is function corrected_input_indexes return integer_v is variable result : integer_v(0 to OUTPUT_INDEXES'length-1); begin if INPUT_INDEXES'length = 1 and INPUT_INDEXES(0) = 0 then for i in 0 to INPUT_INDEXES'length-1 loop result(i) := OUTPUT_INDEXES'length - 1 - i; end loop; return result; else return INPUT_INDEXES; end if; end function; constant INPUT_INDEXES_corrected : integer_v := corrected_input_indexes; --normal order for the second dimension is descending signal corrected_input_d : sulv_v(input'range)(input'element'length-1 downto 0); signal corrected_output_d : sulv_v(input'range)(input'element'length-1 downto 0); signal corrected_input_a : sulv_v(input'range)(0 to input'element'length-1); signal corrected_output_a : sulv_v(input'range)(0 to input'element'length-1); constant asc : boolean := input'ascending; /*================================================================================================*/ /*================================================================================================*/ begin ascending_or_descending: if asc generate signal i : integer; begin generate_corrected_signals_a: for i in input'range generate begin corrected_input_a(i) <= to_sulv(input(input'high-i+input'low)); output(input'high-i+input'low) <= to_sfixed(corrected_output_a(i), input(i)); end; end generate; end; else generate signal i : integer; begin generate_corrected_signals_d: for i in input'range generate begin corrected_input_d(i) <= to_sulv(input(i)); output(i) <= to_sfixed(corrected_output_d(i), input(i)); end; end generate; end; end generate; ascending_or_descending_core: if asc generate permutation_core_a: entity work.permutation_core generic map( INPUT_INDEXES => INPUT_INDEXES_corrected, OUTPUT_INDEXES => OUTPUT_INDEXES, INPUT_HIGH => input'high, INPUT_LOW => input'low ) port map( clk => clk, input => corrected_input_a, start => start, output => corrected_output_a, finish => finish ); else generate permutation_core_d: entity work.permutation_core generic map( INPUT_INDEXES => INPUT_INDEXES_corrected, OUTPUT_INDEXES => OUTPUT_INDEXES, INPUT_HIGH => input'high, INPUT_LOW => input'low ) port map( clk => clk, input => corrected_input_d, start => start, output => corrected_output_d, finish => finish ); end generate; end architecture;
mit
c707230ec400dc83a30fa834db9e623c
0.478647
4.486545
false
false
false
false
APastorG/APG
int_const_mult/int_const_mult_u.vhd
1
3,404
/*************************************************************************************************** / / Author: Antonio Pastor González / ¯¯¯¯¯¯ / / Date: / ¯¯¯¯ / / Version: / ¯¯¯¯¯¯¯ / / Notes: / ¯¯¯¯¯ / This design makes use of some features from VHDL-2008, all of which have been implemented in / Xilinx's Vivado / A 3 space tab is used throughout the document / / / Description: / ¯¯¯¯¯¯¯¯¯¯¯ / This is the interface between the instantiation of an int_const_mult an its content. It exists / to circumvent the impossibility of reading the attributes of an unconstrained port signal inside / the port declaration of an entity. (so as to declare the output's size, which depends on the / input's size). / **************************************************************************************************/ library ieee; use ieee.numeric_std.all; use ieee.std_logic_1164.all; use ieee.math_real.all; library work; use work.common_pkg.all; use work.common_data_types_pkg.all; use work.fixed_generic_pkg.all; use work.fixed_float_types.all; use work.real_const_mult_pkg.all; /*================================================================================================*/ /*================================================================================================*/ /*================================================================================================*/ entity int_const_mult_u is generic( SPEED_opt : T_speed := t_min; --exception: value not set MULTIPLICANDS : integer_v --compulsory ); port( input : in u_ufixed; clk : in std_ulogic; valid_input : in std_ulogic; output : out u_ufixed_v; valid_output : out std_ulogic ); end entity; /*================================================================================================*/ /*================================================================================================*/ /*================================================================================================*/ architecture int_const_mult_u1 of int_const_mult_u is function to_real( vector : integer_v) return real_v is variable result : real_v(vector'range); begin for i in vector'range loop result(i) := real(vector(i)); end loop; return result; end function; constant MULTIPLICANDS_real : real_v(MULTIPLICANDS'range) := to_real(MULTIPLICANDS); /*================================================================================================*/ /*================================================================================================*/ begin real_const_mult_core_u2: entity work.real_const_mult_core_u generic map( --SPEED_opt => SPEED_opt, --ROUND_STYLE_opt => ROUND_STYLE_opt, --ROUND_TO_BIT_opt => ROUND_TO_BIT_opt, --MAX_ERROR_PCT_opt => MAX_ERROR_PCT_opt, CONSTANTS => MULTIPLICANDS_real, input_high => input'high, input_low => input'low ) port map( input => input, clk => clk, valid_input => valid_input, output => output, valid_output => valid_output ); end architecture;
mit
f8d7aba80cebc1a835cf83442cb15a31
0.412463
4.547908
false
false
false
false
VHDLTool/VHDL_Handbook_STD
Extras/VHDL/STD_04500_bad.vhd
1
3,893
------------------------------------------------------------------------------------------------- -- Company : CNES -- Author : Mickael Carl (CNES) -- Copyright : Copyright (c) CNES. -- Licensing : GNU GPLv3 ------------------------------------------------------------------------------------------------- -- Version : V1 -- Version history : -- V1 : 2015-04-08 : Mickael Carl (CNES): Creation -- V1.1: 2018-09-20 : Florent Manni (CNES) : updated to trigger simulation mistake in Modelsim ------------------------------------------------------------------------------------------------- -- File name : STD_04500_bad.vhd -- File Creation date : 2015-04-08 -- Project name : VHDL Handbook CNES Edition ------------------------------------------------------------------------------------------------- -- Softwares : Microsoft Windows (Windows 7) - Editor (Eclipse + VEditor) ------------------------------------------------------------------------------------------------- -- Description : Handbook example: Clock reassignment: bad example -- -- Limitations : This file is an example of the VHDL handbook made by CNES. It is a stub aimed at -- demonstrating good practices in VHDL and as such, its design is minimalistic. -- It is provided as is, without any warranty. -- This example is compliant with the Handbook version 1. -- ------------------------------------------------------------------------------------------------- -- Naming conventions: -- -- i_Port: Input entity port -- o_Port: Output entity port -- b_Port: Bidirectional entity port -- g_My_Generic: Generic entity port -- -- c_My_Constant: Constant definition -- t_My_Type: Custom type definition -- -- My_Signal_n: Active low signal -- v_My_Variable: Variable -- sm_My_Signal: FSM signal -- pkg_Param: Element Param coming from a package -- -- My_Signal_re: Rising edge detection of My_Signal -- My_Signal_fe: Falling edge detection of My_Signal -- My_Signal_rX: X times registered My_Signal signal -- -- P_Process_Name: Process -- ------------------------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; use work.pkg_HBK.all; --CODE entity STD_04500_bad is port ( i_Clock : in std_logic; -- Clock signal i_Reset_n : in std_logic; -- Reset signal -- D Flip-flop 3 stages pipeline -- D Flip-Flop A i_DA : in std_logic; -- Input signal o_QA : out std_logic; -- Output signal -- D Flip-flop B o_QB : out std_logic; -- Output signal -- D Flip-Flop C o_QC : out std_logic -- Output signal ); end STD_04500_bad; architecture Behavioral of STD_04500_bad is signal ClockA : std_logic; -- Clock input for A Flip-Flop signal ClockB : std_logic; -- Clock input for B Flip-Flop signal ClockC : std_logic; -- Clock input for C Flip-Flop signal QA : std_logic; signal QB : std_logic; begin ClockC <= ClockB; ClockB <= ClockA; ClockA <= i_Clock; -- First Flip-Flop P_FlipFlopA : process(ClockA, i_Reset_n) begin if (i_Reset_n = '0') then QA <= '0'; elsif (rising_edge(ClockA)) then QA <= i_DA; end if; end process; -- Second Flip-Flop P_FlipFlopB: process(ClockB, i_Reset_n) begin if (i_Reset_n = '0') then QB <= '0'; elsif (rising_edge(ClockB)) then QB <= QA; end if; end process; -- Third Flip-Flop P_FlipFlopC: process(ClockC, i_Reset_n) begin if (i_Reset_n = '0') then o_QC <= '0'; elsif (rising_edge(ClockC)) then o_QC <= QB; end if; end process; o_QA <= QA; o_QB <= QB; end Behavioral; --CODE
gpl-3.0
c0af768a6bc409b0279a37c8f7e32a18
0.493707
4.181525
false
false
false
false
VHDLTool/VHDL_Handbook_STD
Extras/VHDL/STD_06600_bad.vhd
1
3,438
------------------------------------------------------------------------------------------------- -- Company : CNES -- Author : Mickael Carl (CNES) -- Copyright : Copyright (c) CNES. -- Licensing : GNU GPLv3 ------------------------------------------------------------------------------------------------- -- Version : V1 -- Version history : -- V1 : 2015-04-10 : Mickael Carl (CNES): Creation ------------------------------------------------------------------------------------------------- -- File name : STD_06600_bad.vhd -- File Creation date : 2015-04-10 -- Project name : VHDL Handbook CNES Edition ------------------------------------------------------------------------------------------------- -- Softwares : Microsoft Windows (Windows 7) - Editor (Eclipse + VEditor) ------------------------------------------------------------------------------------------------- -- Description : Handbook example: Dimension of comparison elements: bad example -- -- Limitations : This file is an example of the VHDL handbook made by CNES. It is a stub aimed at -- demonstrating good practices in VHDL and as such, its design is minimalistic. -- It is provided as is, without any warranty. -- This example is compliant with the Handbook version 1. -- ------------------------------------------------------------------------------------------------- -- Naming conventions: -- -- i_Port: Input entity port -- o_Port: Output entity port -- b_Port: Bidirectional entity port -- g_My_Generic: Generic entity port -- -- c_My_Constant: Constant definition -- t_My_Type: Custom type definition -- -- My_Signal_n: Active low signal -- v_My_Variable: Variable -- sm_My_Signal: FSM signal -- pkg_Param: Element Param coming from a package -- -- My_Signal_re: Rising edge detection of My_Signal -- My_Signal_fe: Falling edge detection of My_Signal -- My_Signal_rX: X times registered My_Signal signal -- -- P_Process_Name: Process -- ------------------------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity STD_06600_bad is port ( i_Clock : in std_logic; -- Main clock signal i_Reset_n : in std_logic; -- Main reset signal i_Enable : in std_logic; -- Enables the counter i_Length : in std_logic_vector(3 downto 0); -- Unsigned Value for Counter Period o_Count : out std_logic_vector(3 downto 0) -- Counter (unsigned value) ); end STD_06600_bad; --CODE architecture Behavioral of STD_06600_bad is signal Count : signed(7 downto 0); -- Counter output signal (unsigned converted) signal Count_Length : unsigned(3 downto 0); -- Length input signal (unsigned converted) begin Count_Length <= unsigned(i_Length); -- Will count undefinitely from 0 to i_Length while i_Enable is asserted P_Count : process(i_Reset_n, i_Clock) begin if (i_Reset_n = '0') then Count <= (others => '0'); elsif (rising_edge(i_Clock)) then if (Count >= Count_Length) then -- Counter restarts from 0 Count <= (others => '0'); elsif (i_Enable = '1') then -- Increment counter value Count <= Count + 1; end if; end if; end process; o_Count <= std_logic_vector(Count); end Behavioral; --CODE
gpl-3.0
d787d6f22eb7040dda76976df0cd6492
0.509308
4.523684
false
false
false
false
ILoveSpeccy/Aeon-Lite
cores/atari800xl/src/zpu/zpu_config_regs.vhdl
1
10,575
--------------------------------------------------------------------------- -- (c) 2013 mark watson -- I am happy for anyone to use this for non-commercial use. -- If my vhdl files are used commercially or otherwise sold, -- please contact me for explicit permission at scrameta (gmail). -- This applies for source and binary form and derived works. --------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.all; use ieee.numeric_std.all; ENTITY zpu_config_regs IS GENERIC ( platform : integer := 1; -- So ROM can detect which type of system... spi_clock_div : integer := 4 -- Quite conservative by default - probably want to use 1 with 28MHz input clock, 2 for 57MHz input clock, 4 for 114MHz input clock etc ); PORT ( CLK : IN STD_LOGIC; RESET_N : IN STD_LOGIC; POKEY_ENABLE : in std_logic; ADDR : IN STD_LOGIC_VECTOR(4 DOWNTO 0); CPU_DATA_IN : IN STD_LOGIC_VECTOR(31 DOWNTO 0); WR_EN : IN STD_LOGIC; -- GENERIC INPUT REGS (need to synchronize upstream...) IN1 : in std_logic_vector(31 downto 0); IN2 : in std_logic_vector(31 downto 0); IN3 : in std_logic_vector(31 downto 0); IN4 : in std_logic_vector(31 downto 0); -- GENERIC OUTPUT REGS OUT1 : out std_logic_vector(31 downto 0); OUT2 : out std_logic_vector(31 downto 0); OUT3 : out std_logic_vector(31 downto 0); OUT4 : out std_logic_vector(31 downto 0); -- SDCARD SDCARD_CLK : out std_logic; SDCARD_CMD : out std_logic; SDCARD_DAT : in std_logic; SDCARD_DAT3 : out std_logic; -- SD DMA sd_addr : out std_logic_vector(15 downto 0); sd_data : out std_logic_vector(7 downto 0); sd_write : out std_logic; -- ATARI interface (in future we can also turbo load by directly hitting memory...) SIO_DATA_IN : out std_logic; SIO_COMMAND : in std_logic; SIO_DATA_OUT : in std_logic; -- CPU interface DATA_OUT : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); PAUSE_ZPU : out std_logic ); END zpu_config_regs; ARCHITECTURE vhdl OF zpu_config_regs IS function vectorize(s: std_logic) return std_logic_vector is variable v: std_logic_vector(0 downto 0); begin v(0) := s; return v; end; signal addr_decoded : std_logic_vector(15 downto 0); signal out1_next : std_logic_vector(31 downto 0); signal out1_reg : std_logic_vector(31 downto 0); signal out2_next : std_logic_vector(31 downto 0); signal out2_reg : std_logic_vector(31 downto 0); signal out3_next : std_logic_vector(31 downto 0); signal out3_reg : std_logic_vector(31 downto 0); signal out4_next : std_logic_vector(31 downto 0); signal out4_reg : std_logic_vector(31 downto 0); signal spi_miso : std_logic; signal spi_mosi : std_logic; signal spi_busy : std_logic; signal spi_enable : std_logic; signal spi_chip_select : std_logic_vector(0 downto 0); signal spi_clk_out : std_logic; signal spi_tx_data : std_logic_vector(7 downto 0); signal spi_rx_data : std_logic_vector(7 downto 0); signal spi_addr_next : std_logic; signal spi_addr_reg : std_logic; signal spi_addr_reg_integer : integer; signal spi_speed_next : std_logic_vector(7 downto 0); signal spi_speed_reg : std_logic_vector(7 downto 0); signal spi_speed_reg_integer : integer; signal pokey_data_out : std_logic_vector(7 downto 0); signal wr_en_pokey : std_logic; signal pause_next : std_logic_vector(31 downto 0); signal pause_reg : std_logic_vector(31 downto 0); signal paused_next : std_logic; signal paused_reg : std_logic; signal spi_dma_addr_next : std_logic_vector(15 downto 0); signal spi_dma_addrend_next : std_logic_vector(15 downto 0); signal spi_dma_wr : std_logic; signal spi_dma_next : std_logic; signal spi_dma_addr_reg : std_logic_vector(15 downto 0); signal spi_dma_addrend_reg : std_logic_vector(15 downto 0); signal spi_dma_reg : std_logic; begin -- register process(clk,reset_n) begin if (reset_n='0') then out1_reg <= (others=>'0'); out2_reg <= (others=>'0'); out3_reg <= (others=>'0'); out4_reg <= (others=>'0'); spi_addr_reg <= '1'; spi_speed_reg <= X"80"; pause_reg <= (others=>'0'); paused_reg <= '0'; spi_dma_addr_reg <= (others=>'0'); spi_dma_addrend_reg <= (others=>'0'); spi_dma_reg <= '0'; elsif (clk'event and clk='1') then out1_reg <= out1_next; out2_reg <= out2_next; out3_reg <= out3_next; out4_reg <= out4_next; spi_addr_reg <= spi_addr_next; spi_speed_reg <= spi_speed_next; pause_reg <= pause_next; paused_reg <= paused_next; spi_dma_addr_reg <= spi_dma_addr_next; spi_dma_addrend_reg <= spi_dma_addrend_next; spi_dma_reg <= spi_dma_next; end if; end process; -- decode address decode_addr1 : entity work.complete_address_decoder generic map(width=>4) port map (addr_in=>addr(3 downto 0), addr_decoded=>addr_decoded); -- spi - for sd card access without bit banging... -- 200KHz to start with - probably fine for 8-bit, can up it later after init spi_master1 : entity work.spi_master generic map(slaves=>1,d_width=>8) port map (clock=>clk,reset_n=>reset_n,enable=>spi_enable,cpol=>'0',cpha=>'0',cont=>'0',clk_div=>to_integer(unsigned(spi_speed_reg)),addr=>to_integer(unsigned(vectorize(spi_addr_reg))), tx_data=>spi_tx_data, miso=>spi_miso,sclk=>spi_clk_out,ss_n=>spi_chip_select,mosi=>spi_mosi, rx_data=>spi_rx_data,busy=>spi_busy); -- spi-programming model: -- reg for write/read -- data (send/receive) -- busy -- speed - 0=400KHz, 1=10MHz? Start with 400KHz then atari800core... -- chip select -- uart - another Pokey! Running at atari frequency. wr_en_pokey <= addr(4) and wr_en; pokey1 : entity work.pokey port map (clk=>clk,ENABLE_179=>pokey_enable,addr=>addr(3 downto 0),data_in=>cpu_data_in(7 downto 0),wr_en=>wr_en_pokey, reset_n=>reset_n,keyboard_response=>"11",pot_in=>X"00", sio_in1=>sio_data_out,sio_in2=>'1',sio_in3=>'1', -- TODO, pokey dir... data_out=>pokey_data_out, sio_out1=>sio_data_in); -- hardware regs for ZPU -- -- 0-3: GENERIC INPUT (RO) -- 4-7: GENERIC OUTPUT (R/W) -- 8: PAUSE -- 9: SPI_DATA -- SPI_DATA (DONE) -- W - write data (starts transmission) -- R - read data (wait for complete first) -- 10: SPI_STATE -- SPI_STATE/SPI_CTRL (DONE) -- R: 0=busy -- W: 0=select_n, speed -- 11: SIO -- SIO -- R: 0=CMD -- 12: TYPE -- FPGA board (DONE) -- R(32 bits) 0=DE1 -- 13 : SPI_DMA -- W(15 downto 0 = addr),(31 downto 16 = endAddr) -- 16-31: POKEY! Low bytes only... i.e. pokey reg every 4 bytes... -- Writes to registers process(cpu_data_in,wr_en,addr,addr_decoded, spi_speed_reg, spi_addr_reg, out1_reg, out2_reg, out3_reg, out4_reg, pause_reg, pokey_enable, spi_dma_addr_reg, spi_dma_addrend_reg, spi_dma_reg, spi_busy, spi_dma_addr_next) begin spi_speed_next <= spi_speed_reg; spi_addr_next <= spi_addr_reg; spi_tx_data <= (others=>'0'); spi_enable <= '0'; out1_next <= out1_reg; out2_next <= out2_reg; out3_next <= out3_reg; out4_next <= out4_reg; paused_next <= '0'; pause_next <= pause_reg; if (not(pause_reg = X"00000000")) then if (POKEY_ENABLE='1') then pause_next <= std_LOGIC_VECTOR(unsigned(pause_reg)-to_unsigned(1,32)); end if; paused_next <= '1'; end if; spi_dma_addr_next <= spi_dma_addr_reg; spi_dma_addrend_next <= spi_dma_addrend_reg; spi_dma_wr <= '0'; spi_dma_next <= spi_dma_reg; if (spi_dma_reg = '1') then paused_next <= '1'; if (spi_busy = '0') then spi_dma_wr <= '1'; spi_dma_addr_next <= std_logic_vector(unsigned(spi_dma_addr_reg)+1); spi_dma_next <= '0'; if (not(spi_dma_addr_next = spi_dma_addrend_reg)) then spi_tx_data <= X"ff"; spi_enable <= '1'; spi_dma_next <= '1'; end if; end if; end if; if (wr_en = '1' and addr(4) = '0') then if(addr_decoded(4) = '1') then out1_next <= cpu_data_in; end if; if(addr_decoded(5) = '1') then out2_next <= cpu_data_in; end if; if(addr_decoded(6) = '1') then out3_next <= cpu_data_in; end if; if(addr_decoded(7) = '1') then out4_next <= cpu_data_in; end if; if(addr_decoded(8) = '1') then pause_next <= cpu_data_in; paused_next <= '1'; end if; if(addr_decoded(9) = '1') then -- TODO, check overrun? spi_tx_data <= cpu_data_in(7 downto 0); spi_enable <= '1'; end if; if(addr_decoded(10) = '1') then spi_addr_next <= cpu_data_in(0); if (cpu_data_in(1) = '1') then spi_speed_next <= X"80"; -- slow, for init else spi_speed_next <= std_logic_vector(to_unsigned(spi_clock_div,8)); -- turbo - up to 25MHz for SD, 20MHz for MMC I believe... If 1 then clock is half input, if 2 then clock is 1/4 input etc. end if; end if; if(addr_decoded(13) = '1') then paused_next <= '1'; spi_dma_addr_next <= cpu_data_in(15 downto 0); spi_dma_addrend_next <= cpu_data_in(31 downto 16); spi_dma_next <= '1'; spi_tx_data <= X"ff"; spi_enable <= '1'; end if; end if; end process; -- Read from registers process(addr,addr_decoded, in1, in2, in3, in4, out1_reg, out2_reg, out3_reg, out4_reg, SIO_COMMAND, spi_rx_data, spi_busy, pokey_data_out) begin data_out <= (others=>'0'); if (addr(4) = '0') then if (addr_decoded(0) = '1') then data_out <= in1; end if; if (addr_decoded(1) = '1') then data_out <= in2; end if; if (addr_decoded(2) = '1') then data_out <= in3; end if; if (addr_decoded(3) = '1') then data_out <= in4; end if; if (addr_decoded(4) = '1') then data_out <= out1_reg; end if; if (addr_decoded(5) = '1') then data_out <= out2_reg; end if; if (addr_decoded(6) = '1') then data_out <= out3_reg; end if; if (addr_decoded(7) = '1') then data_out <= out4_reg; end if; if (addr_decoded(9) = '1') then data_out(7 downto 0) <= spi_rx_data; end if; if (addr_decoded(10) = '1') then data_out(0) <= spi_busy; end if; if(addr_decoded(11) = '1') then data_out(0) <= SIO_COMMAND; end if; if (addr_decoded(12) = '1') then data_out <= std_logic_vector(to_unsigned(platform,32)); end if; else data_out(7 downto 0) <= pokey_data_out; end if; end process; -- outputs PAUSE_ZPU <= paused_reg; out1 <= out1_reg; out2 <= out2_reg; out3 <= out3_reg; out4 <= out4_reg; SDCARD_CLK <= spi_clk_out; SDCARD_CMD <= spi_mosi; spi_miso <= SDCARD_DAT; -- INPUT!! XXX SDCARD_DAT3 <= spi_chip_select(0); sd_addr <= spi_dma_addr_reg; sd_data <= spi_rx_data; sd_write <= spi_dma_wr; end vhdl;
gpl-3.0
a021884282f0d6ab2d72b7aeca83b521
0.617872
2.654367
false
false
false
false
VHDLTool/VHDL_Handbook_STD
Extras/VHDL/STD_03000_bad.vhd
1
2,854
------------------------------------------------------------------------------------------------- -- Company : CNES -- Author : Mickael Carl (CNES) -- Copyright : Copyright (c) CNES. -- Licensing : GNU GPLv3 ------------------------------------------------------------------------------------------------- -- Version : V1 -- Version history : -- V1 : 2015-04-02 : Mickael Carl (CNES): Creation ------------------------------------------------------------------------------------------------- -- File name : STD_03000_bad.vhd -- File Creation date : 2015-04-02 -- Project name : VHDL Handbook CNES Edition ------------------------------------------------------------------------------------------------- -- Softwares : Microsoft Windows (Windows 7) - Editor (Eclipse + VEditor) ------------------------------------------------------------------------------------------------- -- Description: Handbook example: Comments for objects declaration statements: bad example -- -- Limitations : This file is an example of the VHDL handbook made by CNES. It is a stub aimed at -- demonstrating good practices in VHDL and as such, its design is minimalistic. -- It is provided as is, without any warranty. -- ------------------------------------------------------------------------------------------------- -- Naming conventions: -- -- i_Port: Input entity port -- o_Port: Output entity port -- b_Port: Bidirectional entity port -- g_My_Generic: Generic entity port -- -- c_My_Constant: Constant definition -- t_My_Type: Custom type definition -- -- My_Signal_n: Active low signal -- v_My_Variable: Variable -- sm_My_Signal: FSM signal -- pkg_Param: Element Param coming from a package -- -- My_Signal_re: Rising edge detection of My_Signal -- My_Signal_fe: Falling edge detection of My_Signal -- My_Signal_rX: X times registered My_Signal signal -- -- P_Process_Name: Process -- ------------------------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity STD_03000_bad is port ( i_Clock : in std_logic; -- Clock signal i_Reset_n : in std_logic; -- Reset signal i_Enable : in std_logic; -- Enable signal i_D : in std_logic; -- D Flip-Flop input signal o_Q : out std_logic -- D Flip-Flop output signal ); end STD_03000_bad; --CODE architecture Behavioral of STD_03000_bad is signal Q : std_logic; begin P_FlipFlop : process(i_Clock, i_Reset_n) begin if (i_Reset_n = '0') then Q <= '0'; elsif (rising_edge(i_Clock)) then if (i_Enable = '1') then Q <= i_D; end if; end if; end process; o_Q <= Q; end Behavioral; --CODE
gpl-3.0
f12a0c9dfd33ed3e0e2f2c5e4d46a2b4
0.471969
4.544586
false
false
false
false
APastorG/APG
int_const_mult/int_const_mult.vhd
1
3,216
/*************************************************************************************************** / / Author: Antonio Pastor González / ¯¯¯¯¯¯ / / Date: / ¯¯¯¯ / / Version: / ¯¯¯¯¯¯¯ / / Notes: / ¯¯¯¯¯ / This design makes use of some features from VHDL-2008, all of which have been implemented in / Xilinx's Vivado / A 3 space tab is used throughout the document / / / Description: / ¯¯¯¯¯¯¯¯¯¯¯ / This is the interface between the instantiation of an int_const_mult an its content. It exists / to circumvent the impossibility of reading the attributes of an unconstrained port signal inside / the port declaration of an entity. (so as to declare the output's size, which depends on the / input's size). / **************************************************************************************************/ library ieee; use ieee.std_logic_1164.all; library work; use work.common_pkg.all; use work.common_data_types_pkg.all; /*================================================================================================*/ /*================================================================================================*/ /*================================================================================================*/ entity int_const_mult is generic( UNSIGNED_2COMP_opt : boolean := false; --default SPEED_opt : T_speed := t_min; --exception: value not set MULTIPLICANDS : integer_v --compulsory ); port( input : in std_ulogic_vector; clk : in std_ulogic; valid_input : in std_ulogic; output : out sulv_v; valid_output : out std_ulogic ); end entity; /*================================================================================================*/ /*================================================================================================*/ /*================================================================================================*/ architecture int_const_mult1 of int_const_mult is function to_real( vector : integer_v) return real_v is variable result : real_v(vector'range); begin for i in vector'range loop result(i) := real(vector(i)); end loop; return result; end function; constant MULTIPLICANDS_real : real_v(MULTIPLICANDS'range) := to_real(MULTIPLICANDS); /*================================================================================================*/ /*================================================================================================*/ begin real_const_mult2: entity work.real_const_mult generic map( SPEED_opt => SPEED_opt, --ROUND_STYLE_opt => ROUND_STYLE_opt, --ROUND_TO_BIT_opt => ROUND_TO_BIT_opt, --MAX_ERROR_PCT_opt => MAX_ERROR_PCT_opt, MULTIPLICANDS => MULTIPLICANDS_real ) port map( input => input, clk => clk, valid_input => valid_input, output => output, valid_output => valid_output ); end architecture;
mit
0b68171b23da1a9a5af254408da6faa0
0.400063
4.763473
false
false
false
false
APastorG/APG
permutation/permutation.vhd
1
2,295
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.math_real.all; use std.textio.all; library work; use work.fixed_float_types.all; use work.fixed_generic_pkg.all; use work.common_pkg.all; use work.common_data_types_pkg.all; use work.permutation_pkg.all; /*================================================================================================*/ /*================================================================================================*/ entity permutation is generic ( INPUT_INDEXES : integer_v := (0 => 0); --default OUTPUT_INDEXES : integer_v --compulsory ); port( Clk : in std_ulogic; input : in sulv_v; start : in std_ulogic; output : out sulv_v; finish : out std_ulogic ); end entity; /*================================================================================================*/ /*================================================================================================*/ architecture permutation_1 of permutation is function corrected_input_indexes return integer_v is variable result : integer_v(0 to OUTPUT_INDEXES'length-1); begin if INPUT_INDEXES'length = 1 and INPUT_INDEXES(0) = 0 then for i in 0 to INPUT_INDEXES'length-1 loop result(i) := OUTPUT_INDEXES'length - 1 - i; end loop; return result; else return INPUT_INDEXES; end if; end function; constant INPUT_INDEXES_corrected : integer_v := corrected_input_indexes; /*================================================================================================*/ /*================================================================================================*/ begin permutation_core: entity work.permutation_core generic map( INPUT_INDEXES => INPUT_INDEXES_corrected, OUTPUT_INDEXES => OUTPUT_INDEXES, INPUT_HIGH => input'high, INPUT_LOW => input'low ) port map( clk => clk, input => input, start => start, output => output, finish => finish ); end architecture;
mit
3b698fc1fd7696f69486e35f66bb3021
0.419172
4.841772
false
false
false
false
ILoveSpeccy/Aeon-Lite
cores/atari800xl/src/a8core/cpu_6510.vhd
1
3,780
-- ----------------------------------------------------------------------- -- -- FPGA 64 -- -- A fully functional commodore 64 implementation in a single FPGA -- -- ----------------------------------------------------------------------- -- Copyright 2005-2008 by Peter Wendrich ([email protected]) -- http://www.syntiac.com/fpga64.html -- ----------------------------------------------------------------------- -- -- 6510 wrapper for 65xx core -- Adds 8 bit I/O port mapped at addresses $0000 to $0001 -- -- ----------------------------------------------------------------------- library IEEE; use ieee.std_logic_1164.ALL; use ieee.numeric_std.ALL; -- ----------------------------------------------------------------------- entity cpu_6510 is generic ( pipelineOpcode : boolean; pipelineAluMux : boolean; pipelineAluOut : boolean; emulate_bitfade : boolean ); port ( clk : in std_logic; ena_1khz : in std_logic := '0'; enable : in std_logic; halt : in std_logic; reset : in std_logic; nmi_n : in std_logic; irq_n : in std_logic; we : out std_logic; a : out unsigned(15 downto 0); d : in unsigned(7 downto 0); q : out unsigned(7 downto 0); diIO : in unsigned(7 downto 0); doIO : out unsigned(7 downto 0); debugOpcode : out unsigned(7 downto 0); debugPc : out unsigned(15 downto 0); debugA : out unsigned(7 downto 0); debugX : out unsigned(7 downto 0); debugY : out unsigned(7 downto 0); debugS : out unsigned(7 downto 0); debug_flags : out unsigned(7 downto 0); debug_io : out unsigned(7 downto 0) ); end cpu_6510; -- ----------------------------------------------------------------------- architecture rtl of cpu_6510 is signal localA : unsigned(15 downto 0); signal localD : unsigned(7 downto 0); signal localQ : unsigned(7 downto 0); signal localWe : std_logic; signal currentIO : unsigned(7 downto 0); signal ioDir : unsigned(7 downto 0); signal ioData : unsigned(7 downto 0); signal accessIO : std_logic; signal ioFade : unsigned(7 downto 0) := (others => '0'); begin cpuInstance: entity work.cpu_65xx generic map ( pipelineOpcode => pipelineOpcode, pipelineAluMux => pipelineAluMux, pipelineAluOut => pipelineAluOut ) port map ( clk => clk, enable => enable, halt => halt, reset => reset, nmi_n => nmi_n, irq_n => irq_n, d => localD, q => localQ, addr => localA, we => localWe, debugOpcode => debugOpcode, debugPc => debugPc, debugA => debugA, debugX => debugX, debugY => debugY, debugS => debugS, debug_flags => debug_flags ); process(localA) begin accessIO <= '0'; if localA(15 downto 1) = 0 then accessIO <= '1'; end if; end process; process(d, localA, ioDir, currentIO, accessIO) begin localD <= d; if accessIO = '1' then if localA(0) = '0' then localD <= ioDir; else localD <= currentIO; end if; end if; end process; process(clk) begin if rising_edge(clk) then if accessIO = '1' then if localWe = '1' and enable = '1' then if localA(0) = '0' then ioDir <= localQ; else ioData <= localQ; end if; end if; end if; if reset = '1' then ioDir <= (others => '0'); end if; end if; end process; process(ioDir, ioData, diIO) begin for i in 0 to 7 loop if ioDir(i) = '0' then currentIO(i) <= diIO(i); else currentIO(i) <= ioData(i); end if; end loop; if emulate_bitfade then currentIO(7) <= ioFade(7); currentIO(6) <= ioFade(6); currentIO(3) <= ioFade(3); end if; end process; -- Cunnect zee wires a <= localA; q <= localQ; we <= localWe; doIO <= currentIO; debug_io <= "00" & (ioData(5 downto 0) or (not ioDir(5 downto 0))); end architecture;
gpl-3.0
982d22ead556cb5e509dd3550bc37cf8
0.547884
3.118812
false
false
false
false
sonologic/gmzpu
vhdl/zpu_small.vhdl
1
22,984
------------------------------------------------------------------------------ ---- ---- ---- ZPU Small ---- ---- ---- ---- http://www.opencores.org/ ---- ---- ---- ---- Description: ---- ---- ZPU is a 32 bits small stack cpu. This is the small size version. ---- ---- It doesn't support external memories, needs a dual ported memory. ---- ---- ---- ---- To Do: ---- ---- - ---- ---- ---- ---- Author: ---- ---- - Øyvind Harboe, oyvind.harboe zylin.com ---- ---- - Salvador E. Tropea, salvador inti.gob.ar ---- ---- ---- ------------------------------------------------------------------------------ ---- ---- ---- Copyright (c) 2008 Øyvind Harboe <oyvind.harboe zylin.com> ---- ---- Copyright (c) 2008 Salvador E. Tropea <salvador inti.gob.ar> ---- ---- Copyright (c) 2008 Instituto Nacional de Tecnología Industrial ---- ---- ---- ---- Distributed under the BSD license ---- ---- ---- ------------------------------------------------------------------------------ ---- ---- ---- Design unit: ZPUSmallCore(Behave) (Entity and architecture) ---- ---- File name: zpu_small.vhdl ---- ---- Note: None ---- ---- Limitations: None known ---- ---- Errors: None known ---- ---- Library: zpu ---- ---- Dependencies: IEEE.std_logic_1164 ---- ---- IEEE.numeric_std ---- ---- zpu.zpupkg ---- ---- Target FPGA: Spartan 3 (XC3S1500-4-FG456) ---- ---- Language: VHDL ---- ---- Wishbone: No ---- ---- Synthesis tools: Xilinx Release 9.2.03i - xst J.39 ---- ---- Simulation tools: GHDL [Sokcho edition] (0.2x) ---- ---- Text editor: SETEdit 0.5.x ---- ---- ---- ------------------------------------------------------------------------------ library IEEE; use IEEE.std_logic_1164.ALL; use IEEE.numeric_std.all; library zpu; use zpu.zpupkg.all; entity ZPUSmallCore is generic( WORD_SIZE : integer:=32; -- Data width 16/32 ADDR_W : integer:=16; -- Total address space width (incl. I/O) MEM_W : integer:=15; -- Memory (prog+data+stack) width D_CARE_VAL : std_logic:='X'); -- Value used to fill the unsused bits port( clk_i : in std_logic; -- System Clock reset_i : in std_logic; -- Synchronous Reset interrupt_i : in std_logic; -- Interrupt break_o : out std_logic; -- Breakpoint opcode executed dbg_o : out zpu_dbgo_t; -- Debug outputs (i.e. trace log) -- BRAM (text, data, bss and stack) a_we_o : out std_logic; -- BRAM A port Write Enable a_addr_o : out unsigned(MEM_W-1 downto WORD_SIZE/16):=(others => '0'); -- BRAM A Address a_o : out unsigned(WORD_SIZE-1 downto 0):=(others => '0'); -- Data to BRAM A port a_i : in unsigned(WORD_SIZE-1 downto 0); -- Data from BRAM A port b_we_o : out std_logic; -- BRAM B port Write Enable b_addr_o : out unsigned(MEM_W-1 downto WORD_SIZE/16):=(others => '0'); -- BRAM B Address b_o : out unsigned(WORD_SIZE-1 downto 0):=(others => '0'); -- Data to BRAM B port b_i : in unsigned(WORD_SIZE-1 downto 0); -- Data from BRAM B port -- Memory mapped I/O mem_busy_i : in std_logic; data_i : in unsigned(WORD_SIZE-1 downto 0); data_o : out unsigned(WORD_SIZE-1 downto 0); addr_o : out unsigned(ADDR_W-1 downto 0); write_en_o : out std_logic; read_en_o : out std_logic); end entity ZPUSmallCore; architecture Behave of ZPUSmallCore is constant MAX_ADDR_BIT : integer:=ADDR_W-2; constant BYTE_BITS : integer:=WORD_SIZE/16; -- # of bits in a word that addresses bytes -- Stack Pointer initial value: BRAM size-8 constant SP_START_1 : unsigned(ADDR_W-1 downto 0):=to_unsigned((2**MEM_W)-8,ADDR_W); constant SP_START : unsigned(MAX_ADDR_BIT downto BYTE_BITS):= SP_START_1(MAX_ADDR_BIT downto BYTE_BITS); constant IO_BIT : integer:=ADDR_W-1; -- Address bit to determine this is an I/O -- Program counter signal pc_r : unsigned(MAX_ADDR_BIT downto 0):=(others => '0'); -- Stack pointer signal sp_r : unsigned(MAX_ADDR_BIT downto BYTE_BITS):=SP_START; signal idim_r : std_logic:='0'; -- BRAM (text, data, bss and stack) -- a_r is a register for the top of the stack [SP] -- Note: as this is a stack CPU this is a very important register. signal a_we_r : std_logic:='0'; signal a_addr_r : unsigned(MAX_ADDR_BIT downto BYTE_BITS):=(others => '0'); signal a_r : unsigned(WORD_SIZE-1 downto 0):=(others => '0'); -- b_r is a register for the next value in the stack [SP+1] -- We also use the B port to fetch instructions. signal b_we_r : std_logic:='0'; signal b_addr_r : unsigned(MAX_ADDR_BIT downto BYTE_BITS):=(others => '0'); signal b_r : unsigned(WORD_SIZE-1 downto 0):=(others => '0'); -- State machine. type state_t is (st_fetch, st_write_io_done, st_execute, st_add, st_or, st_and, st_store, st_read_io, st_write_io, st_fetch_next, st_add_sp, st_decode, st_resync); signal state : state_t:=st_resync; -- Decoded Opcode type decode_t is (dec_nop, dec_im, dec_load_sp, dec_store_sp, dec_add_sp, dec_emulate, dec_break, dec_push_sp, dec_pop_pc, dec_add, dec_or, dec_and, dec_load, dec_not, dec_flip, dec_store, dec_pop_sp, dec_interrupt); signal d_opcode_r : decode_t; signal d_opcode : decode_t; signal opcode : unsigned(OPCODE_W-1 downto 0); -- Decoded signal opcode_r : unsigned(OPCODE_W-1 downto 0); -- Registered -- IRQ flag signal in_irq_r : std_logic:='0'; -- I/O space address signal addr_r : unsigned(ADDR_W-1 downto 0):=(others => '0'); begin -- Dual ported memory interface a_we_o <= a_we_r; a_addr_o <= a_addr_r(MEM_W-1 downto BYTE_BITS); a_o <= a_r; b_we_o <= b_we_r; b_addr_o <= b_addr_r(MEM_W-1 downto BYTE_BITS); b_o <= b_r; ------------------------- -- Instruction Decoder -- ------------------------- -- Note: We use Port B memory to fetch the opcodes. decode_control: process(b_i, pc_r) variable topcode : unsigned(OPCODE_W-1 downto 0); begin -- Select the addressed byte inside the fetched word case (to_integer(pc_r(BYTE_BITS-1 downto 0))) is when 0 => topcode := to_01( b_i(31 downto 24)); when 1 => topcode := to_01( b_i(23 downto 16)); when 2 => topcode := to_01( b_i(15 downto 8)); when others => -- 3 topcode := to_01( b_i(7 downto 0)); end case; opcode <= topcode; if (topcode(7 downto 7)=OPCODE_IM) then d_opcode <= dec_im; elsif (topcode(7 downto 5)=OPCODE_STORESP) then d_opcode <= dec_store_sp; elsif (topcode(7 downto 5)=OPCODE_LOADSP) then d_opcode <= dec_load_sp; elsif (topcode(7 downto 5)=OPCODE_EMULATE) then d_opcode <= dec_emulate; elsif (topcode(7 downto 4)=OPCODE_ADDSP) then d_opcode <= dec_add_sp; else -- OPCODE_SHORT case topcode(3 downto 0) is when OPCODE_BREAK => d_opcode <= dec_break; when OPCODE_PUSHSP => d_opcode <= dec_push_sp; when OPCODE_POPPC => d_opcode <= dec_pop_pc; when OPCODE_ADD => d_opcode <= dec_add; when OPCODE_OR => d_opcode <= dec_or; when OPCODE_AND => d_opcode <= dec_and; when OPCODE_LOAD => d_opcode <= dec_load; when OPCODE_NOT => d_opcode <= dec_not; when OPCODE_FLIP => d_opcode <= dec_flip; when OPCODE_STORE => d_opcode <= dec_store; when OPCODE_POPSP => d_opcode <= dec_pop_sp; when others => -- OPCODE_NOP and others d_opcode <= dec_nop; end case; end if; end process decode_control; data_o <= b_i; opcode_control: process (clk_i) variable sp_offset : unsigned(4 downto 0); begin if rising_edge(clk_i) then break_o <= '0'; write_en_o <= '0'; read_en_o <= '0'; dbg_o.b_inst <= '0'; if reset_i='1' then state <= st_resync; sp_r <= SP_START; pc_r <= (others => '0'); idim_r <= '0'; a_addr_r <= (others => '0'); b_addr_r <= (others => '0'); a_we_r <= '0'; b_we_r <= '0'; a_r <= (others => '0'); b_r <= (others => '0'); in_irq_r <= '0'; addr_r <= (others => '0'); else -- reset_i/='1' a_we_r <= '0'; b_we_r <= '0'; -- This saves LUTs, by explicitly declaring that the -- a_o can be left at whatever value if a_we_r is -- not set. a_r <= (others => D_CARE_VAL); b_r <= (others => D_CARE_VAL); sp_offset:=(others => D_CARE_VAL); a_addr_r <= (others => D_CARE_VAL); b_addr_r <= (others => D_CARE_VAL); addr_r <= a_i(ADDR_W-1 downto 0); d_opcode_r <= d_opcode; opcode_r <= opcode; if interrupt_i='0' then in_irq_r <= '0'; -- no longer in an interrupt end if; case state is when st_execute => state <= st_fetch; -- At this point: -- b_i contains opcode word -- a_i contains top of stack pc_r <= pc_r+1; -- Debug info (Trace) dbg_o.b_inst <= '1'; dbg_o.pc <= (others => '0'); dbg_o.pc(MAX_ADDR_BIT downto 0) <= pc_r; dbg_o.opcode <= opcode_r; dbg_o.sp <= (others => '0'); dbg_o.sp(MAX_ADDR_BIT downto BYTE_BITS) <= sp_r; dbg_o.stk_a <= a_i; dbg_o.stk_b <= b_i; -- During the next cycle we'll be reading the next opcode sp_offset(4):=not opcode_r(4); sp_offset(3 downto 0):=opcode_r(3 downto 0); idim_r <= '0'; -------------------- -- Execution Unit -- -------------------- case d_opcode_r is when dec_interrupt => -- Not a real instruction, but an interrupt -- Push(PC); PC=32 sp_r <= sp_r-1; a_addr_r <= sp_r-1; a_we_r <= '1'; a_r <= (others => D_CARE_VAL); a_r(MAX_ADDR_BIT downto 0) <= pc_r; -- Jump to ISR pc_r <= to_unsigned(32,MAX_ADDR_BIT+1); -- interrupt address --report "ZPU jumped to interrupt!" severity note; when dec_im => idim_r <= '1'; a_we_r <= '1'; if idim_r='0' then -- First IM -- Push the 7 bits (extending the sign) sp_r <= sp_r-1; a_addr_r <= sp_r-1; a_r <= unsigned(resize(signed(opcode_r(6 downto 0)),WORD_SIZE)); else -- Next IMs, shift the word and put the new value in the lower -- bits a_addr_r <= sp_r; a_r(WORD_SIZE-1 downto 7) <= a_i(WORD_SIZE-8 downto 0); a_r(6 downto 0) <= opcode_r(6 downto 0); end if; when dec_store_sp => -- [SP+Offset]=Pop() b_we_r <= '1'; b_addr_r <= sp_r+sp_offset; b_r <= a_i; sp_r <= sp_r+1; state <= st_resync; when dec_load_sp => -- Push([SP+Offset]) sp_r <= sp_r-1; a_addr_r <= sp_r+sp_offset; when dec_emulate => -- Push(PC+1), PC=Opcode[4:0]*32 sp_r <= sp_r-1; a_we_r <= '1'; a_addr_r <= sp_r-1; a_r <= (others => D_CARE_VAL); a_r(MAX_ADDR_BIT downto 0) <= pc_r+1; -- Jump to NUM*32 -- The emulate address is: -- 98 7654 3210 -- 0000 00aa aaa0 0000 pc_r <= (others => '0'); pc_r(9 downto 5) <= opcode_r(4 downto 0); when dec_add_sp => -- Push(Pop()+[SP+Offset]) a_addr_r <= sp_r; b_addr_r <= sp_r+sp_offset; state <= st_add_sp; when dec_break => --report "Break instruction encountered" severity failure; break_o <= '1'; when dec_push_sp => -- Push(SP) sp_r <= sp_r-1; a_we_r <= '1'; a_addr_r <= sp_r-1; a_r <= (others => D_CARE_VAL); a_r(MAX_ADDR_BIT downto BYTE_BITS) <= sp_r; when dec_pop_pc => -- Pop(PC) pc_r <= a_i(MAX_ADDR_BIT downto 0); sp_r <= sp_r+1; state <= st_resync; when dec_add => -- Push(Pop()+Pop()) sp_r <= sp_r+1; state <= st_add; when dec_or => -- Push(Pop() or Pop()) sp_r <= sp_r+1; state <= st_or; when dec_and => -- Push(Pop() and Pop()) sp_r <= sp_r+1; state <= st_and; when dec_load => -- Push([Pop()]) if a_i(IO_BIT)='1' then addr_r <= a_i(ADDR_W-1 downto 0); read_en_o <= '1'; state <= st_read_io; else a_addr_r <= a_i(MAX_ADDR_BIT downto BYTE_BITS); end if; when dec_not => -- Push(not(Pop())) a_addr_r <= sp_r(MAX_ADDR_BIT downto BYTE_BITS); a_we_r <= '1'; a_r <= not a_i; when dec_flip => -- Push(flip(Pop())) a_addr_r <= sp_r(MAX_ADDR_BIT downto BYTE_BITS); a_we_r <= '1'; for i in 0 to WORD_SIZE-1 loop a_r(i) <= a_i(WORD_SIZE-1-i); end loop; when dec_store => -- a=Pop(), b=Pop(), [a]=b b_addr_r <= sp_r+1; sp_r <= sp_r+1; if a_i(IO_BIT)='1' then state <= st_write_io; else state <= st_store; end if; when dec_pop_sp => -- SP=Pop() sp_r <= a_i(MAX_ADDR_BIT downto BYTE_BITS); state <= st_resync; when dec_nop => -- Default, keep addressing to of the stack (A) a_addr_r <= sp_r; when others => null; end case; when st_read_io => a_addr_r <= sp_r; -- Wait until memory I/O isn't busy if mem_busy_i='0' then state <= st_fetch; a_we_r <= '1'; a_r <= data_i; end if; when st_write_io => -- [A]=B sp_r <= sp_r+1; write_en_o <= '1'; addr_r <= a_i(ADDR_W-1 downto 0); state <= st_write_io_done; when st_write_io_done => -- Wait until memory I/O isn't busy if mem_busy_i='0' then state <= st_resync; end if; when st_fetch => -- We need to resync. During the *next* cycle -- we'll fetch the opcode @ pc and thus it will -- be available for st_execute the cycle after -- next b_addr_r <= pc_r(MAX_ADDR_BIT downto BYTE_BITS); state <= st_fetch_next; when st_fetch_next => -- At this point a_i contains the value that is either -- from the top of stack or should be copied to the top of the stack a_we_r <= '1'; a_r <= a_i; a_addr_r <= sp_r; b_addr_r <= sp_r+1; state <= st_decode; when st_decode => if interrupt_i='1' and in_irq_r='0' and idim_r='0' then -- We got an interrupt, execute interrupt instead of next instruction in_irq_r <= '1'; d_opcode_r <= dec_interrupt; end if; -- during the st_execute cycle we'll be fetching SP+1 a_addr_r <= sp_r; b_addr_r <= sp_r+1; state <= st_execute; when st_store => sp_r <= sp_r+1; a_we_r <= '1'; a_addr_r <= a_i(MAX_ADDR_BIT downto BYTE_BITS); a_r <= b_i; state <= st_resync; when st_add_sp => state <= st_add; when st_add => a_addr_r <= sp_r; a_we_r <= '1'; a_r <= a_i+b_i; state <= st_fetch; when st_or => a_addr_r <= sp_r; a_we_r <= '1'; a_r <= a_i or b_i; state <= st_fetch; when st_and => a_addr_r <= sp_r; a_we_r <= '1'; a_r <= a_i and b_i; state <= st_fetch; when st_resync => a_addr_r <= sp_r; state <= st_fetch; when others => null; end case; end if; -- else reset_i/='1' end if; -- rising_edge(clk_i) end process opcode_control; addr_o <= addr_r; end architecture Behave; -- Entity: ZPUSmallCore
bsd-3-clause
49df47ecf13322a0ca4a63847ba38413
0.346763
4.458584
false
false
false
false
ILoveSpeccy/Aeon-Lite
cores/lvov-pk02-mips/src/host/plasma v3.0/mlite_cpu.vhd
1
12,772
--------------------------------------------------------------------- -- TITLE: Plasma CPU core -- AUTHOR: Steve Rhoads ([email protected]) -- DATE CREATED: 2/15/01 -- FILENAME: mlite_cpu.vhd -- PROJECT: Plasma CPU core -- COPYRIGHT: Software placed into the public domain by the author. -- Software 'as is' without warranty. Author liable for nothing. -- NOTE: MIPS(tm) and MIPS I(tm) are registered trademarks of MIPS -- Technologies. MIPS Technologies does not endorse and is not -- associated with this project. -- DESCRIPTION: -- Top level VHDL document that ties the nine other entities together. -- -- Executes all MIPS I(tm) opcodes but exceptions and non-aligned -- memory accesses. Based on information found in: -- "MIPS RISC Architecture" by Gerry Kane and Joe Heinrich -- and "The Designer's Guide to VHDL" by Peter J. Ashenden -- -- The CPU is implemented as a three or four stage pipeline. -- An add instruction would take the following steps (see cpu.gif): -- Stage #1: -- 1. The "pc_next" entity passes the program counter (PC) to the -- "mem_ctrl" entity which fetches the opcode from memory. -- Stage #2: -- 2. The memory returns the opcode. -- Stage #3: -- 3. "Mem_ctrl" passes the opcode to the "control" entity. -- 4. "Control" converts the 32-bit opcode to a 60-bit VLWI opcode -- and sends control signals to the other entities. -- 5. Based on the rs_index and rt_index control signals, "reg_bank" -- sends the 32-bit reg_source and reg_target to "bus_mux". -- 6. Based on the a_source and b_source control signals, "bus_mux" -- multiplexes reg_source onto a_bus and reg_target onto b_bus. -- Stage #4 (part of stage #3 if using three stage pipeline): -- 7. Based on the alu_func control signals, "alu" adds the values -- from a_bus and b_bus and places the result on c_bus. -- 8. Based on the c_source control signals, "bus_bux" multiplexes -- c_bus onto reg_dest. -- 9. Based on the rd_index control signal, "reg_bank" saves -- reg_dest into the correct register. -- Stage #4b: -- 10. Read or write memory if needed. -- -- All signals are active high. -- Here are the signals for writing a character to address 0xffff -- when using a three stage pipeline: -- -- Program: -- addr value opcode -- ============================= -- 3c: 00000000 nop -- 40: 34040041 li $a0,0x41 -- 44: 3405ffff li $a1,0xffff -- 48: a0a40000 sb $a0,0($a1) -- 4c: 00000000 nop -- 50: 00000000 nop -- -- intr_in mem_pause -- reset_in mem_byte_we Stages -- ns mem_address mem_data_w mem_data_r 40 44 48 4c 50 -- 3500 0 0 00000040 00000000 00000000 0 0 1 -- 3600 0 0 00000044 00000000 34040041 0 0 2 1 -- 3700 0 0 00000048 00000000 3405FFFF 0 0 3 2 1 -- 3800 0 0 0000004C 00000000 A0A40000 0 0 3 2 1 -- 3900 0 0 0000FFFC 41414141 00000000 1 0 3 2 -- 4000 0 0 00000050 41414141 XXXXXX41 0 0 4b 3 1 -- 4100 0 0 00000054 00000000 00000000 0 0 2 --------------------------------------------------------------------- library ieee; use work.mlite_pack.all; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity mlite_cpu is generic(memory_type : string := "XILINX_16X"; --ALTERA_LPM, or DUAL_PORT_ mult_type : string := "DEFAULT"; --AREA_OPTIMIZED shifter_type : string := "DEFAULT"; --AREA_OPTIMIZED alu_type : string := "DEFAULT"; --AREA_OPTIMIZED pipeline_stages : natural := 3); --3 or 4 port(clk : in std_logic; reset_in : in std_logic; intr_in : in std_logic; mem_address : out std_logic_vector(31 downto 0); mem_data_w : out std_logic_vector(31 downto 0); mem_data_r : in std_logic_vector(31 downto 0); mem_byte_we : out std_logic_vector(3 downto 0); mem_pause : in std_logic); end; --entity mlite_cpu architecture logic of mlite_cpu is --When using a three stage pipeline "sigD <= sig". --When using a four stage pipeline "sigD <= sig when rising_edge(clk)", -- so sigD is delayed by one clock cycle. signal opcode : std_logic_vector(31 downto 0); signal rs_index : std_logic_vector(5 downto 0); signal rt_index : std_logic_vector(5 downto 0); signal rd_index : std_logic_vector(5 downto 0); signal rd_indexD : std_logic_vector(5 downto 0); signal reg_source : std_logic_vector(31 downto 0); signal reg_target : std_logic_vector(31 downto 0); signal reg_dest : std_logic_vector(31 downto 0); signal reg_destD : std_logic_vector(31 downto 0); signal a_bus : std_logic_vector(31 downto 0); signal a_busD : std_logic_vector(31 downto 0); signal b_bus : std_logic_vector(31 downto 0); signal b_busD : std_logic_vector(31 downto 0); signal c_bus : std_logic_vector(31 downto 0); signal c_alu : std_logic_vector(31 downto 0); signal c_shift : std_logic_vector(31 downto 0); signal c_mult : std_logic_vector(31 downto 0); signal c_memory : std_logic_vector(31 downto 0); signal imm : std_logic_vector(15 downto 0); signal pc_future : std_logic_vector(31 downto 2); signal pc_current : std_logic_vector(31 downto 2); signal pc_plus4 : std_logic_vector(31 downto 2); signal alu_func : alu_function_type; signal alu_funcD : alu_function_type; signal shift_func : shift_function_type; signal shift_funcD : shift_function_type; signal mult_func : mult_function_type; signal mult_funcD : mult_function_type; signal branch_func : branch_function_type; signal take_branch : std_logic; signal a_source : a_source_type; signal b_source : b_source_type; signal c_source : c_source_type; signal pc_source : pc_source_type; signal mem_source : mem_source_type; signal pause_mult : std_logic; signal pause_ctrl : std_logic; signal pause_pipeline : std_logic; signal pause_any : std_logic; signal pause_non_ctrl : std_logic; signal pause_bank : std_logic; signal nullify_op : std_logic; signal intr_enable : std_logic; signal intr_signal : std_logic; signal reset_reg : std_logic_vector(3 downto 0); signal reset : std_logic; begin --architecture pause_any <= (mem_pause or pause_ctrl) or (pause_mult or pause_pipeline); pause_non_ctrl <= (mem_pause or pause_mult) or pause_pipeline; pause_bank <= (mem_pause or pause_ctrl or pause_mult) and not pause_pipeline; nullify_op <= '1' when (pc_source = FROM_LBRANCH and take_branch = '0') or intr_signal = '1' else '0'; c_bus <= c_alu or c_shift or c_mult; reset <= '1' when reset_in = '1' or reset_reg /= "1111" else '0'; mem_address(1 downto 0) <= "00"; --synchronize reset and interrupt pins intr_proc: process(clk, reset_in, reset_reg, intr_in, intr_enable, pc_source, pc_current, pause_any) begin if reset_in = '1' then reset_reg <= "0000"; intr_signal <= '0'; elsif rising_edge(clk) then if reset_reg /= "1111" then reset_reg <= reset_reg + 1; end if; --don't try to interrupt a multi-cycle instruction if pause_any = '0' then if intr_in = '1' and intr_enable = '1' and pc_source = FROM_INC4 then --the epc will contain pc+4 intr_signal <= '1'; else intr_signal <= '0'; end if; end if; end if; end process; u1_pc_next: pc_next PORT MAP ( clk => clk, reset_in => reset, take_branch => take_branch, pause_in => pause_any, pc_new => c_bus(31 downto 2), opcode25_0 => opcode(25 downto 0), pc_source => pc_source, pc_future => pc_future, pc_current => pc_current, pc_plus4 => pc_plus4); u2_mem_ctrl: mem_ctrl PORT MAP ( clk => clk, reset_in => reset, pause_in => pause_non_ctrl, nullify_op => nullify_op, address_pc => pc_future, opcode_out => opcode, address_in => c_bus, mem_source => mem_source, data_write => reg_target, data_read => c_memory, pause_out => pause_ctrl, mem_address => mem_address(31 downto 2), mem_data_w => mem_data_w, mem_data_r => mem_data_r, mem_byte_we => mem_byte_we); u3_control: control PORT MAP ( opcode => opcode, intr_signal => intr_signal, rs_index => rs_index, rt_index => rt_index, rd_index => rd_index, imm_out => imm, alu_func => alu_func, shift_func => shift_func, mult_func => mult_func, branch_func => branch_func, a_source_out => a_source, b_source_out => b_source, c_source_out => c_source, pc_source_out=> pc_source, mem_source_out=> mem_source); u4_reg_bank: reg_bank generic map(memory_type => memory_type) port map ( clk => clk, reset_in => reset, pause => pause_bank, rs_index => rs_index, rt_index => rt_index, rd_index => rd_indexD, reg_source_out => reg_source, reg_target_out => reg_target, reg_dest_new => reg_destD, intr_enable => intr_enable); u5_bus_mux: bus_mux port map ( imm_in => imm, reg_source => reg_source, a_mux => a_source, a_out => a_bus, reg_target => reg_target, b_mux => b_source, b_out => b_bus, c_bus => c_bus, c_memory => c_memory, c_pc => pc_current, c_pc_plus4 => pc_plus4, c_mux => c_source, reg_dest_out => reg_dest, branch_func => branch_func, take_branch => take_branch); u6_alu: alu generic map (alu_type => alu_type) port map ( a_in => a_busD, b_in => b_busD, alu_function => alu_funcD, c_alu => c_alu); u7_shifter: shifter generic map (shifter_type => shifter_type) port map ( value => b_busD, shift_amount => a_busD(4 downto 0), shift_func => shift_funcD, c_shift => c_shift); u8_mult: mult generic map (mult_type => mult_type) port map ( clk => clk, reset_in => reset, a => a_busD, b => b_busD, mult_func => mult_funcD, c_mult => c_mult, pause_out => pause_mult); pipeline3: if pipeline_stages <= 3 generate a_busD <= a_bus; b_busD <= b_bus; alu_funcD <= alu_func; shift_funcD <= shift_func; mult_funcD <= mult_func; rd_indexD <= rd_index; reg_destD <= reg_dest; pause_pipeline <= '0'; end generate; --pipeline2 pipeline4: if pipeline_stages > 3 generate --When operating in four stage pipeline mode, the following signals --are delayed by one clock cycle: a_bus, b_bus, alu/shift/mult_func, --c_source, and rd_index. u9_pipeline: pipeline port map ( clk => clk, reset => reset, a_bus => a_bus, a_busD => a_busD, b_bus => b_bus, b_busD => b_busD, alu_func => alu_func, alu_funcD => alu_funcD, shift_func => shift_func, shift_funcD => shift_funcD, mult_func => mult_func, mult_funcD => mult_funcD, reg_dest => reg_dest, reg_destD => reg_destD, rd_index => rd_index, rd_indexD => rd_indexD, rs_index => rs_index, rt_index => rt_index, pc_source => pc_source, mem_source => mem_source, a_source => a_source, b_source => b_source, c_source => c_source, c_bus => c_bus, pause_any => pause_any, pause_pipeline => pause_pipeline); end generate; --pipeline4 end; --architecture logic
gpl-3.0
371eb8e8f937b51310d919d5c21f2865
0.542202
3.489617
false
false
false
false
sonologic/gmzpu
vhdl/gmzpu/gmzpu.vhdl
1
11,506
------------------------------------------------------------------------------ ---- ---- ---- gmZPU core ---- ---- ---- http://github.com/sonologic/gmzpu ---- ---- ---- ---- Description: ---- ---- ZPU is a 32 bits small stack cpu. This is a helper that joins the ---- ---- medium version, the PHI I/O basic layout, a program BRAM and a. ---- ---- wishbone controller. ---- ---- ---- ---- To Do: ---- ---- - Add interrupt controller. ---- ---- ---- ---- Author: ---- ---- - Salvador E. Tropea, salvador inti.gob.ar ---- ---- - "Koen Martens" <gmc sonologic.nl> ---- ---- ---- ------------------------------------------------------------------------------ ---- ---- ---- Copyright (c) 2008 Salvador E. Tropea <salvador inti.gob.ar> ---- ---- Copyright (c) 2008 Instituto Nacional de Tecnología Industrial ---- ---- Copyright (c) 2014 Koen Martens ---- ---- ---- ---- Distributed under the BSD license ---- ---- ---- ------------------------------------------------------------------------------ ---- ---- ---- Design unit: gmZPU ---- ---- File name: gmzpu.vhdl ---- ---- Note: None ---- ---- Limitations: None known ---- ---- Errors: None known ---- ---- Library: gmzpu ---- ---- Dependencies: IEEE.std_logic_1164 ---- ---- IEEE.numeric_std ---- ---- Target FPGA: n/a ---- ---- Language: VHDL ---- ---- Wishbone: No ---- ---- Synthesis tools: Modelsim ---- ---- Simulation tools: Modelsim ---- ---- Text editor: vim ---- ---- ---- ------------------------------------------------------------------------------ library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library zpu; use zpu.zpupkg.all; library gmzpu; use gmzpu.zwishbone.all; use gmzpu.soc.all; library zetaio; use zetaio.pic.all; use zetaio.tim.all; -- RAM declaration library work; use work.zpu_memory.all; entity gmZPU is generic( WORD_SIZE : natural:=32; -- 32 bits data path D_CARE_VAL : std_logic:='X'; -- Fill value CLK_FREQ : positive:=50; -- 50 MHz clock BRATE : positive:=9600; -- RS232 baudrate ADDR_W : natural:=18; -- 18 bits address space=256 kB, 128 kB I/O BRAM_W : natural:=15); -- 15 bits RAM space=32 kB port( clk_i : in std_logic; -- CPU clock rst_i : in std_logic; -- Reset interrupt_i: in std_logic; -- Reset break_o : out std_logic; -- Break executed dbg_o : out zpu_dbgo_t; -- Debug info rs232_tx_o : out std_logic; -- UART Tx rs232_rx_i : in std_logic; -- UART Rx gpio_in : in std_logic_vector(31 downto 0); gpio_out : out std_logic_vector(31 downto 0); gpio_dir : out std_logic_vector(31 downto 0) -- 1 = in, 0 = out ); end entity gmZPU; architecture Structural of gmZPU is constant BYTE_BITS : integer:=WORD_SIZE/16; -- # of bits in a word that addresses bytes constant IO_BIT : integer:=ADDR_W-1; -- Address bit to determine this is an I/O -- 0 = memory, 1= I/O constant ZW_BIT : integer:=ADDR_W-2; -- Address bit to determine zwishbone from phiIO -- 0 = phiIO, 1 = zwishbone constant BRDIVISOR : positive:=CLK_FREQ*1e6/BRATE/4; -- zwishbone constant CS_WIDTH : natural:=4; -- devices on the bus constant WB_CS_PIC : natural:=0; -- PIC interrupt mapping --constant PIC_INT_EXT : natural:=0; --constant PIC_INT_ZWC : natural:=1; --constant PIC_INT_UNUSED : natural:=2; -- I/O & memory (ZPU) signal mem_busy : std_logic; signal mem_read : unsigned(WORD_SIZE-1 downto 0); signal mem_write : unsigned(WORD_SIZE-1 downto 0); signal mem_addr : unsigned(ADDR_W-1 downto 0); signal mem_we : std_logic; signal mem_re : std_logic; -- Memory (SinglePort_RAM) signal ram_busy : std_logic; signal ram_read : unsigned(WORD_SIZE-1 downto 0); signal ram_addr : unsigned(BRAM_W-1 downto BYTE_BITS); signal ram_we : std_logic; signal ram_re : std_logic; signal ram_ready_r : std_logic:='0'; -- I/O (ZPU_IO) signal phi_io_busy : std_logic; signal phi_io_re : std_logic; signal phi_io_we : std_logic; signal phi_io_read : unsigned(WORD_SIZE-1 downto 0); signal phi_io_ready : std_logic; signal phi_io_reading_r : std_logic:='0'; signal phi_io_addr : unsigned(2 downto 0); -- I/O (zwishbone) signal zw_busy : std_logic; signal zw_ready : std_logic; signal zw_addr : unsigned(ADDR_W-3 downto 0); signal zw_re : std_logic; signal zw_we : std_logic; signal zw_dat_i : unsigned(WORD_SIZE-1 downto 0); signal zw_dat_o : unsigned(WORD_SIZE-1 downto 0); -- signal wb_dat_i : unsigned(WORD_SIZE-1 downto 0); signal wb_dat_o : unsigned(WORD_SIZE-1 downto 0); signal wb_tgd_i : unsigned(WORD_SIZE-1 downto 0); signal wb_tgd_o : unsigned(WORD_SIZE-1 downto 0); signal wb_ack_i : std_logic; signal wb_adr_o : unsigned(ADDR_W-4-CS_WIDTH downto 0); signal wb_cyc_o : std_logic; signal wb_stall_i : std_logic; signal wb_err_i : std_logic; signal wb_lock_o : std_logic; signal wb_rty_i : std_logic; signal wb_sel_o : std_logic_vector(WORD_SIZE-1 downto 0); signal wb_stb_o : std_logic_vector((2**CS_WIDTH)-1 downto 0); signal wb_tga_o : unsigned(ADDR_W-4-CS_WIDTH downto 0); signal wb_tgc_o : unsigned(WORD_SIZE-1 downto 0); -- size correct? signal wb_we_o : std_logic; -- interrupt signal irq_r : std_logic; begin memory: SinglePortRAM generic map( WORD_SIZE => WORD_SIZE, BYTE_BITS => BYTE_BITS, BRAM_W => BRAM_W) port map( clk_i => clk_i, we_i => ram_we, re_i => ram_re, addr_i => ram_addr, write_i => mem_write, read_o => ram_read, busy_o => ram_busy); ram_addr <= mem_addr(BRAM_W-1 downto BYTE_BITS); ram_we <= mem_we and not(mem_addr(IO_BIT)); ram_re <= mem_re and not(mem_addr(IO_BIT)); -- I/O: Phi layout io_map: ZPUPhiIO generic map( BRDIVISOR => BRDIVISOR, LOG_FILE => "zpu_med1_io.log" ) port map( clk_i => clk_i, reset_i => rst_i, busy_o => phi_io_busy, we_i => phi_io_we, re_i => phi_io_re, data_i => mem_write, data_o => phi_io_read, addr_i => phi_io_addr, rs232_rx_i => rs232_rx_i, rs232_tx_o => rs232_tx_o, br_clk_i => '1', gpio_in => gpio_in, gpio_out => gpio_out, gpio_dir => gpio_dir ); phi_io_addr <= mem_addr(4 downto 2); -- Here we decode 0x8xxxx as I/O and not just 0x80A00xx -- Note: We define the address space as 256 kB, so writing to 0x80A00xx -- will be as wrting to 0x200xx and hence we decode it as I/O space. phi_io_we <= mem_we and mem_addr(IO_BIT) and not mem_addr(ZW_BIT); phi_io_re <= mem_re and mem_addr(IO_BIT) and not mem_addr(ZW_BIT); phi_io_ready <= (phi_io_reading_r or phi_io_re) and not phi_io_busy; -- I/O: zwishbone zwc0: zwc generic map( DATA_WIDTH => WORD_SIZE, ADR_WIDTH => ADDR_W-2, CS_WIDTH => 4 ) port map( clk_i => clk_i, rst_i => rst_i, re_i => zw_re, busy_o => zw_busy, ready_o => zw_ready, irq_o => irq_r, adr_i => zw_addr, we_i => zw_we, dat_i => zw_dat_i, dat_o => zw_dat_o, int_i => interrupt_i ); -- ADDR_W = 18, IO_BIT = 17, ZW_BIT = 16 zw_we <= mem_we and mem_addr(IO_BIT) and mem_addr(ZW_BIT); zw_re <= mem_re and mem_addr(IO_BIT) and mem_addr(ZW_BIT); zw_addr <= mem_addr(ADDR_W-3 downto 0); zw_dat_i <= mem_write; -- interrupt line connect --int_r(WORD_SIZE-1 downto PIC_INT_UNUSED) <= (others => '0'); --int_r(PIC_INT_EXT) <= interrupt_i; --int_r(PIC_INT_ZWC) <= zwc_irq_r; zpu : ZPUMediumCore generic map( WORD_SIZE => WORD_SIZE, ADDR_W => ADDR_W, MEM_W => BRAM_W, D_CARE_VAL => D_CARE_VAL) port map( clk_i => clk_i, reset_i => rst_i, interrupt_i => irq_r, enable_i => '1', break_o => break_o, dbg_o => dbg_o, -- Memory mem_busy_i => mem_busy, data_i => mem_read, data_o => mem_write, addr_o => mem_addr, write_en_o => mem_we, read_en_o => mem_re); mem_busy <= (phi_io_busy or ram_busy) or zw_busy; -- Memory reads either come from IO or DRAM. We need to pick the right one. memory_control: process (ram_read, ram_ready_r, phi_io_ready, phi_io_read, zw_dat_o, zw_ready) begin mem_read <= (others => '0'); if ram_ready_r='1' then mem_read <= ram_read; end if; if phi_io_ready='1' then mem_read <= phi_io_read; end if; if zw_ready='1' then mem_read <= unsigned(zw_dat_o); end if; end process memory_control; memory_control_sync: process (clk_i) begin if rising_edge(clk_i) then if rst_i='1' then phi_io_reading_r <= '0'; ram_ready_r <= '0'; else phi_io_reading_r <= phi_io_busy or phi_io_re; ram_ready_r <= ram_re; end if; end if; end process memory_control_sync; end architecture Structural; -- Entity: gmZPU
bsd-3-clause
e78070fb7554fa70a87ac8d3a6396592
0.437337
3.76136
false
false
false
false
ComputerArchitectureGroupPWr/SimulationCore
src/main.vhd
1
3,741
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; Library UNISIM; use UNISIM.vcomponents.all; entity SimCore is port( rs232rx : in std_logic; rst : in std_logic; clk : in std_logic; rs232tx : out std_logic; led : out std_logic_vector(7 downto 0); CLKMULOUT : out std_logic; CLK2XOUT : out std_logic ); end SimCore; architecture Behavioral of SimCore is component thermometersLogic port( rsTxBusy : IN std_logic; rst : IN std_logic; clk50Mhz : IN std_logic; clk3kHz : IN std_logic; rsDataOut : OUT std_logic_vector(7 downto 0); rsTxStart : OUT std_logic; led : OUT std_logic_vector(7 downto 0) ); end component; component heatersLogic port( rsDataIn : in std_logic_vector(7 downto 0); rsRdy : in std_logic; rst : in std_logic; clk50Mhz : in std_logic; readyOut : out std_logic ); end component; component RS232 port( rs232_rxd : in std_logic; txdi : in std_logic_vector(7 downto 0); txstart : in std_logic; reset : in std_logic; clk_50Mhz : in std_logic; clk_sys : in std_logic; rs232_txd : out std_logic; rxdo : out std_logic_vector(7 downto 0); rxrdy : out std_logic; txbusy : out std_logic ); end component; component FeqDiv generic( width : integer ); port( clkIn : IN std_logic; clkOut : OUT std_logic ); end component; COMPONENT ClockGenerator PORT( clk100Mhz : IN std_logic; reset : IN std_logic; clk50Mhz : OUT std_logic ); END COMPONENT; COMPONENT HeaterClockGenerator PORT( CLKIN_IN : IN std_logic; RST_IN : IN std_logic; CLKFX_OUT : OUT std_logic; CLKIN_IBUFG_OUT : OUT std_logic; CLK0_OUT : OUT std_logic; CLK2X_OUT : OUT std_logic ); END COMPONENT; signal clk50Mhz : std_logic; signal clk3kHz : std_logic; signal rsDataOut : std_logic_vector(7 downto 0); signal rsDataIn : std_logic_vector(7 downto 0); signal rsTxStart : std_logic; signal rsTxBusy : std_logic; signal rsRxRdy : std_logic; signal heatersLogicReady : std_logic; signal clkfx : std_logic; attribute keep : string; attribute keep of heatersLogicReady: signal is "True"; attribute keep_hierarchy : string; attribute keep_hierarchy of thermometersLogic: component is "TRUE"; attribute keep_hierarchy of heatersLogic: component is "TRUE"; attribute keep_hierarchy of FeqDiv: component is "TRUE"; attribute keep_hierarchy of RS232: component is "TRUE"; begin InstFeqDiv: FeqDiv generic map( width => 14 ) port map( clkIn => clk50MHz, clkOut => clk3kHz ); InstThermometersLogic: thermometersLogic port map( rsTxBusy => rsTxBusy, rst => rst, clk50Mhz => clk50Mhz, clk3kHz => clk3kHz, rsDataOut => rsDataOut, rsTxStart => rsTxStart, led => led ); InstHeatersLogic: heatersLogic PORT MAP( rsDataIn => rsDataIn, rsRdy => rsRxRdy, rst => rst, clk50Mhz => clk50Mhz, readyOut => heatersLogicReady ); InstRS232: RS232 port map( rs232_rxd => rs232rx, txdi => rsDataOut, txstart => rsTxStart, reset => rst, clk_50Mhz => clk50Mhz, clk_sys => clk50Mhz, rs232_txd => rs232tx, rxdo => rsDataIn, rxrdy => rsRxRdy, txbusy => rsTxBusy ); Inst_ClockGenerator: ClockGenerator PORT MAP( clk100Mhz => clk, reset => rst, clk50Mhz => clk50Mhz ); Inst_SystemClockGenerator: HeaterClockGenerator PORT MAP( CLKIN_IN => clk, RST_IN => rst, CLKFX_OUT => clkfx, CLKIN_IBUFG_OUT => open, CLK0_OUT => open, CLK2x_OUT => open ); CLKMULOUT <= clkfx; Inst_SystemClockGenerator2: HeaterClockGenerator PORT MAP( CLKIN_IN => clkfx, RST_IN => rst, CLKFX_OUT => open, CLKIN_IBUFG_OUT => open, CLK0_OUT => open, CLK2X_OUT => CLK2XOUT ); end Behavioral;
mit
d9cd97d67fb287955df709b1a9409f20
0.66934
2.9
false
false
false
false
seiken-chuouniv/ecorun
ecorun_fi_hardware/fi_timer/FiTimer/Stopwatch.vhd
1
1,667
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 18:47:55 03/25/2015 -- Design Name: -- Module Name: Stopwatch - RTL -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; use ieee.numeric_std.all; entity Stopwatch is port( clk : in std_logic; stamp_and_reset : in std_logic; time_stamp : out std_logic_vector(7 downto 0) ); end Stopwatch; architecture RTL of Stopwatch is signal counter : std_logic_vector(11 downto 0) := (others => '0'); type sw_state is (idle, should_stamp, should_reset, counting); signal state : sw_state := idle; begin process(clk, state, counter, stamp_and_reset) begin if (stamp_and_reset = '1') then state <= should_stamp; -- ƒpƒ‹ƒX‚ª—§‚¿‰º‚ª‚èAŽŸ‚̃NƒƒbƒN‚Ń^ƒCƒ€ƒXƒ^ƒ“ƒvAX‚ÉŽŸ‚̃NƒƒbƒN‚ÅƒŠƒZƒbƒg else if (rising_edge(clk)) then if (state = should_stamp) then time_stamp <= counter(11 downto 4); state <= should_reset; elsif (state = should_reset) then counter <= (others => '0'); state <= counting; elsif (counter = "111111111111") then counter <= (others => '0'); time_stamp <= (others => '0'); state <= idle; elsif (state = counting) then counter <= counter + 1; else counter <= counter; end if; end if; end if; end process; end RTL;
bsd-3-clause
f29847ce6bb9c122bfb63eba5ded7fcc
0.55249
3.009025
false
false
false
false
ILoveSpeccy/Aeon-Lite
cores/alf/src/vram/vram.vhd
1
6,155
-------------------------------------------------------------------------------- -- This file is owned and controlled by Xilinx and must be used solely -- -- for design, simulation, implementation and creation of design files -- -- limited to Xilinx devices or technologies. Use with non-Xilinx -- -- devices or technologies is expressly prohibited and immediately -- -- terminates your license. -- -- -- -- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY -- -- FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY -- -- PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE -- -- IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS -- -- MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY -- -- CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY -- -- RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY -- -- DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE -- -- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR -- -- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF -- -- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A -- -- PARTICULAR PURPOSE. -- -- -- -- Xilinx products are not intended for use in life support appliances, -- -- devices, or systems. Use in such applications are expressly -- -- prohibited. -- -- -- -- (c) Copyright 1995-2015 Xilinx, Inc. -- -- All rights reserved. -- -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- -- You must compile the wrapper file vram.vhd when simulating -- the core, vram. When compiling the wrapper file, be sure to -- reference the XilinxCoreLib VHDL simulation library. For detailed -- instructions, please refer to the "CORE Generator Help". -- The synthesis directives "translate_off/translate_on" specified -- below are supported by Xilinx, Mentor Graphics and Synplicity -- synthesis tools. Ensure they are correct for your synthesis tool(s). LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- synthesis translate_off LIBRARY XilinxCoreLib; -- synthesis translate_on ENTITY vram IS PORT ( clka : IN STD_LOGIC; wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0); addra : IN STD_LOGIC_VECTOR(13 DOWNTO 0); dina : IN STD_LOGIC_VECTOR(7 DOWNTO 0); douta : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); clkb : IN STD_LOGIC; web : IN STD_LOGIC_VECTOR(0 DOWNTO 0); addrb : IN STD_LOGIC_VECTOR(13 DOWNTO 0); dinb : IN STD_LOGIC_VECTOR(7 DOWNTO 0); doutb : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) ); END vram; ARCHITECTURE vram_a OF vram IS -- synthesis translate_off COMPONENT wrapped_vram PORT ( clka : IN STD_LOGIC; wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0); addra : IN STD_LOGIC_VECTOR(13 DOWNTO 0); dina : IN STD_LOGIC_VECTOR(7 DOWNTO 0); douta : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); clkb : IN STD_LOGIC; web : IN STD_LOGIC_VECTOR(0 DOWNTO 0); addrb : IN STD_LOGIC_VECTOR(13 DOWNTO 0); dinb : IN STD_LOGIC_VECTOR(7 DOWNTO 0); doutb : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) ); END COMPONENT; -- Configuration specification FOR ALL : wrapped_vram USE ENTITY XilinxCoreLib.blk_mem_gen_v6_3(behavioral) GENERIC MAP ( c_addra_width => 14, c_addrb_width => 14, c_algorithm => 1, c_axi_id_width => 4, c_axi_slave_type => 0, c_axi_type => 1, c_byte_size => 9, c_common_clk => 0, c_default_data => "0", c_disable_warn_bhv_coll => 0, c_disable_warn_bhv_range => 0, c_enable_32bit_address => 0, c_family => "spartan6", c_has_axi_id => 0, c_has_ena => 0, c_has_enb => 0, c_has_injecterr => 0, c_has_mem_output_regs_a => 0, c_has_mem_output_regs_b => 0, c_has_mux_output_regs_a => 0, c_has_mux_output_regs_b => 0, c_has_regcea => 0, c_has_regceb => 0, c_has_rsta => 0, c_has_rstb => 0, c_has_softecc_input_regs_a => 0, c_has_softecc_output_regs_b => 0, c_init_file_name => "no_coe_file_loaded", c_inita_val => "0", c_initb_val => "0", c_interface_type => 0, c_load_init_file => 0, c_mem_type => 2, c_mux_pipeline_stages => 0, c_prim_type => 1, c_read_depth_a => 16384, c_read_depth_b => 16384, c_read_width_a => 8, c_read_width_b => 8, c_rst_priority_a => "CE", c_rst_priority_b => "CE", c_rst_type => "SYNC", c_rstram_a => 0, c_rstram_b => 0, c_sim_collision_check => "ALL", c_use_byte_wea => 0, c_use_byte_web => 0, c_use_default_data => 0, c_use_ecc => 0, c_use_softecc => 0, c_wea_width => 1, c_web_width => 1, c_write_depth_a => 16384, c_write_depth_b => 16384, c_write_mode_a => "WRITE_FIRST", c_write_mode_b => "WRITE_FIRST", c_write_width_a => 8, c_write_width_b => 8, c_xdevicefamily => "spartan6" ); -- synthesis translate_on BEGIN -- synthesis translate_off U0 : wrapped_vram PORT MAP ( clka => clka, wea => wea, addra => addra, dina => dina, douta => douta, clkb => clkb, web => web, addrb => addrb, dinb => dinb, doutb => doutb ); -- synthesis translate_on END vram_a;
gpl-3.0
16ad7b6e59f37901820e549038028c0e
0.522177
3.893106
false
false
false
false