repo_name
stringlengths
6
79
path
stringlengths
5
236
copies
stringclasses
54 values
size
stringlengths
1
8
content
stringlengths
0
1.04M
license
stringclasses
15 values
hoangt/PoC
src/mem/ocram/altera/ocram_tdp_altera.vhdl
2
5318
-- EMACS settings: -*- tab-width: 2; indent-tabs-mode: t -*- -- vim: tabstop=2:shiftwidth=2:noexpandtab -- kate: tab-width 2; replace-tabs off; indent-width 2; -- -- ============================================================================ -- Authors: Martin Zabel -- Patrick Lehmann -- -- Module: Instantiate true dual-port memory on Altera FPGAs. -- -- Description: -- ------------------------------------ -- Quartus synthesis does not infer this RAM type correctly. -- Instead, altsyncram is instantiated directly. -- -- For further documentation see module "ocram_tdp" -- (src/mem/ocram/ocram_tdp.vhdl). -- -- License: -- ============================================================================ -- Copyright 2008-2015 Technische Universitaet Dresden - Germany -- Chair for VLSI-Design, Diagnostics and Architecture -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. -- ============================================================================ library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library altera_mf; use altera_mf.all; library PoC; use PoC.utils.all; use PoC.strings.all; entity ocram_tdp_altera is generic ( A_BITS : positive; D_BITS : positive; FILENAME : STRING := "" ); port ( clk1 : in std_logic; clk2 : in std_logic; ce1 : in std_logic; ce2 : in std_logic; we1 : in std_logic; we2 : in std_logic; a1 : in unsigned(A_BITS-1 downto 0); a2 : in unsigned(A_BITS-1 downto 0); d1 : in std_logic_vector(D_BITS-1 downto 0); d2 : in std_logic_vector(D_BITS-1 downto 0); q1 : out std_logic_vector(D_BITS-1 downto 0); q2 : out std_logic_vector(D_BITS-1 downto 0) ); end ocram_tdp_altera; architecture rtl of ocram_tdp_altera is component altsyncram generic ( address_aclr_a : STRING; address_aclr_b : STRING; address_reg_b : STRING; indata_aclr_a : STRING; indata_aclr_b : STRING; indata_reg_b : STRING; init_file : STRING; intended_device_family : STRING; lpm_type : STRING; numwords_a : NATURAL; numwords_b : NATURAL; operation_mode : STRING; outdata_aclr_a : STRING; outdata_aclr_b : STRING; outdata_reg_a : STRING; outdata_reg_b : STRING; power_up_uninitialized : STRING; widthad_a : NATURAL; widthad_b : NATURAL; width_a : NATURAL; width_b : NATURAL; width_byteena_a : NATURAL; width_byteena_b : NATURAL; wrcontrol_aclr_a : STRING; wrcontrol_aclr_b : STRING; wrcontrol_wraddress_reg_b : STRING); port ( clocken0 : IN STD_LOGIC; clocken1 : IN STD_LOGIC; wren_a : IN STD_LOGIC; clock0 : IN STD_LOGIC; wren_b : IN STD_LOGIC; clock1 : IN STD_LOGIC; address_a : IN STD_LOGIC_VECTOR (widthad_a-1 DOWNTO 0); address_b : IN STD_LOGIC_VECTOR (widthad_b-1 DOWNTO 0); q_a : OUT STD_LOGIC_VECTOR (width_a-1 DOWNTO 0); q_b : OUT STD_LOGIC_VECTOR (width_b-1 DOWNTO 0); data_a : IN STD_LOGIC_VECTOR (width_a-1 DOWNTO 0); data_b : IN STD_LOGIC_VECTOR (width_b-1 DOWNTO 0) ); end component; constant DEPTH : positive := 2**A_BITS; constant INIT_FILE : STRING := ite((str_length(FILENAME) = 0), "UNUSED", FILENAME); signal a1_sl : std_logic_vector(A_BITS-1 downto 0); signal a2_sl : std_logic_vector(A_BITS-1 downto 0); begin a1_sl <= std_logic_vector(a1); a2_sl <= std_logic_vector(a2); mem : altsyncram generic map ( address_aclr_a => "NONE", address_aclr_b => "NONE", address_reg_b => "CLOCK1", indata_aclr_a => "NONE", indata_aclr_b => "NONE", indata_reg_b => "CLOCK1", init_file => INIT_FILE, intended_device_family => "Stratix", lpm_type => "altsyncram", numwords_a => DEPTH, numwords_b => DEPTH, operation_mode => "BIDIR_DUAL_PORT", outdata_aclr_a => "NONE", outdata_aclr_b => "NONE", outdata_reg_a => "UNREGISTERED", outdata_reg_b => "UNREGISTERED", power_up_uninitialized => "FALSE", widthad_a => A_BITS, widthad_b => A_BITS, width_a => D_BITS, width_b => D_BITS, width_byteena_a => 1, width_byteena_b => 1, wrcontrol_aclr_a => "NONE", wrcontrol_aclr_b => "NONE", wrcontrol_wraddress_reg_b => "CLOCK1" ) port map ( clock0 => clk1, clock1 => clk2, clocken0 => ce1, clocken1 => ce2, wren_a => we1, wren_b => we2, address_a => a1_sl, address_b => a2_sl, data_a => d1, data_b => d2, q_a => q1, q_b => q2 ); end rtl;
apache-2.0
IAIK/ascon_hardware
caesar_hardware_api_v_1_0_3/ASCON_ASCON/src_rtl/CipherCore.vhd
1
26280
------------------------------------------------------------------------------- --! @file CipherCore.vhd --! @author Hannes Gross --! @brief Generic Ascon-128(a) implementation ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.ALL; use ieee.numeric_std.all; use work.AEAD_pkg.all; entity CipherCore is generic ( -- Ascon related generics RATE : integer := 64; -- Selects: -- (64) -> Ascon128 -- (128)-> Acon128a UNROLED_ROUNDS : integer := 1; -- Ascon128: 1, 2, 3, or 6 rounds -- Ascon128a: 1, 2, or 4 rounds ROUNDS_A : integer := 12; -- Number of rounds for initialization -- and finalization ROUNDS_B : integer := 6; -- Num permutation rounds for data for -- (6) -> Ascon128 -- (8) -> Ascon128a --- Interface generics: -- Reset behavior G_ASYNC_RSTN : boolean := false; --! Async active low reset -- Block size (bits) G_DBLK_SIZE : integer := 128; --! Data G_KEY_SIZE : integer := 32; --! Key G_TAG_SIZE : integer := 128; --! Tag -- The number of bits required to hold block size expressed in -- bytes = log2_ceil(G_DBLK_SIZE/8) G_LBS_BYTES : integer := 4; G_MAX_LEN : integer := SINGLE_PASS_MAX ); port ( --! Global clk : in std_logic; rst : in std_logic; --! PreProcessor (data) key : in std_logic_vector(G_KEY_SIZE -1 downto 0); bdi : in std_logic_vector(G_DBLK_SIZE -1 downto 0); --! PreProcessor (controls) key_ready : out std_logic; key_valid : in std_logic; key_update : in std_logic; decrypt : in std_logic; bdi_ready : out std_logic; bdi_valid : in std_logic; bdi_type : in std_logic_vector(3 -1 downto 0); bdi_partial : in std_logic; bdi_eot : in std_logic; bdi_eoi : in std_logic; bdi_size : in std_logic_vector(G_LBS_BYTES+1 -1 downto 0); bdi_valid_bytes : in std_logic_vector(G_DBLK_SIZE/8 -1 downto 0); bdi_pad_loc : in std_logic_vector(G_DBLK_SIZE/8 -1 downto 0); --! PostProcessor bdo : out std_logic_vector(G_DBLK_SIZE -1 downto 0); bdo_valid : out std_logic; bdo_ready : in std_logic; bdo_size : out std_logic_vector(G_LBS_BYTES+1 -1 downto 0); msg_auth_done : out std_logic; msg_auth_valid : out std_logic ); end entity CipherCore; architecture structure of CipherCore is -- Constants constant CONST_UNROLED_R : std_logic_vector(7 downto 0) := std_logic_vector(to_unsigned(UNROLED_ROUNDS, 8)); constant CONST_ROUNDS_A : std_logic_vector(7 downto 0) := std_logic_vector(to_unsigned(ROUNDS_A, 8)); constant CONST_ROUNDS_B : std_logic_vector(7 downto 0) := std_logic_vector(to_unsigned(ROUNDS_B, 8)); constant CONST_ROUNDS_AmR: std_logic_vector(3 downto 0) := std_logic_vector(to_unsigned(ROUNDS_A-UNROLED_ROUNDS, 4)); constant CONST_ROUNDS_BmR: std_logic_vector(3 downto 0) := std_logic_vector(to_unsigned(ROUNDS_B-UNROLED_ROUNDS, 4)); constant CONST_RATE : std_logic_vector(7 downto 0) := std_logic_vector(to_unsigned(RATE, 8)); constant STATE_WORD_SIZE : integer := 64; constant KEY_SIZE : integer := 128; constant CONST_KEY_SIZE : std_logic_vector(7 downto 0) := std_logic_vector(to_unsigned(KEY_SIZE, 8)); -- Segment Type Encoding constant TYPE_AD : std_logic_vector(2 downto 0) := "000"; constant TYPE_PTCT : std_logic_vector(2 downto 0) := "010"; constant TYPE_TAG : std_logic_vector(2 downto 0) := "100"; constant TYPE_LEN : std_logic_vector(2 downto 0) := "101"; constant TYPE_NONCE : std_logic_vector(2 downto 0) := "110"; -- FSM state definition type state_t is (STATE_IDLE, STATE_UPDATE_KEY, STATE_WRITE_NONCE_0, STATE_WRITE_NONCE_1, STATE_INITIALIZATION, STATE_PERMUTATION, STATE_FINALIZATION, STATE_WAIT_FOR_INPUT, STATE_PROCESS_TAG_0, STATE_PROCESS_TAG_1); -- FSM next and present state signals signal State_DN,State_DP : state_t; -- Ascon's state registers signal X0_DN, X0_DP : std_logic_vector(STATE_WORD_SIZE-1 downto 0); signal X1_DN, X1_DP : std_logic_vector(STATE_WORD_SIZE-1 downto 0); signal X2_DN, X2_DP : std_logic_vector(STATE_WORD_SIZE-1 downto 0); signal X3_DN, X3_DP : std_logic_vector(STATE_WORD_SIZE-1 downto 0); signal X4_DN, X4_DP : std_logic_vector(STATE_WORD_SIZE-1 downto 0); -- Key register signal Keyreg_DN : std_logic_vector(KEY_SIZE-1 downto 0); signal Keyreg_DP : std_logic_vector(KEY_SIZE-1 downto 0); -- Round counter signal RoundCounter_DN : std_logic_vector(3 downto 0); signal RoundCounter_DP : std_logic_vector(3 downto 0); signal DisableRoundCounter_S : std_logic; -- Additional control logic registers signal IsFirstPTCT_DN, IsFirstPTCT_DP : std_logic; signal IsDecryption_DN, IsDecryption_DP : std_logic; -- Helper function, rotates a state word function ROTATE_STATE_WORD ( word : std_logic_vector(STATE_WORD_SIZE-1 downto 0); constant rotate : integer) return std_logic_vector is begin -- ROTATE_STATE_WORD return word(ROTATE-1 downto 0) & word(STATE_WORD_SIZE-1 downto ROTATE); end ROTATE_STATE_WORD; begin ----------------------------------------------------------------------------- -- State operations (permutation, data loading, et cetera) state_opeartions_p : process (IsDecryption_DP, IsFirstPTCT_DP, Keyreg_DP, RoundCounter_DP, RoundCounter_DP, State_DN, State_DP, X0_DP, X1_DP, X2_DP, X3_DP, X4_DP, bdi, bdi, bdi_eot, bdi_type, bdi_valid, bdi_valid_bytes, decrypt) is -- Roudn permutation input, intermediates, and output variable P0_DV, P1_DV, P2_DV, P3_DV, P4_DV : std_logic_vector(STATE_WORD_SIZE-1 downto 0); variable R0_DV, R1_DV, R2_DV, R3_DV, R4_DV : std_logic_vector(STATE_WORD_SIZE-1 downto 0); variable S0_DV, S1_DV, S2_DV, S3_DV, S4_DV : std_logic_vector(STATE_WORD_SIZE-1 downto 0); variable T0_DV, T1_DV, T2_DV, T3_DV, T4_DV : std_logic_vector(STATE_WORD_SIZE-1 downto 0); variable U0_DV, U1_DV, U2_DV, U3_DV, U4_DV : std_logic_vector(STATE_WORD_SIZE-1 downto 0); -- Round constant variable RoundConst_DV : std_logic_vector(63 downto 0); -- Second part of tag comparison variable TagCompResult_DV : std_logic_vector(RATE-1 downto 0); begin -- process state_opeartions_p --- Default values: -- State variable X0-X4 --> keep current value X0_DN <= X0_DP; X1_DN <= X1_DP; X2_DN <= X2_DP; X3_DN <= X3_DP; X4_DN <= X4_DP; -- Permutation input --> use current state as default input P0_DV := X0_DP; P1_DV := X1_DP; P2_DV := X2_DP; P3_DV := X3_DP; P4_DV := X4_DP; -- Reset informational signals, when perfoming initialization if State_DP = STATE_INITIALIZATION then IsFirstPTCT_DN <= '1'; IsDecryption_DN <= decrypt; else -- otherwise just keep value IsFirstPTCT_DN <= IsFirstPTCT_DP; IsDecryption_DN <= IsDecryption_DP; end if; --- P0,[P1] MUX: When data input is ready --> select P0,[P1] accordingly if State_DP = STATE_WAIT_FOR_INPUT and (bdi_valid = '1') then -- Encryption if (IsDecryption_DP = '0') or (bdi_type = TYPE_AD) then if RATE = 128 then -- Ascon128a variant P0_DV := X0_DP xor bdi(RATE-1 downto RATE-64); P1_DV := X1_DP xor bdi(63 downto 0); else P0_DV := X0_DP xor bdi; end if; -- Decryption else -- We need to take care of the number of valid bytes! for i in 7 downto 0 loop if RATE = 128 then -- Ascon128a variant -- P1 xor input data ... P0_DV(i*8 + 7 downto i*8) := bdi(i*8 + 7 + 64 downto i*8 + 64); P1_DV(i*8 + 7 downto i*8) := bdi(i*8 + 7 downto i*8); -- if invalid byte then use additionally xor X1 if bdi_valid_bytes(i) = '0' then P1_DV(i*8 + 7 downto i*8) := P1_DV(i*8 + 7 downto i*8) xor X1_DP(i*8 + 7 downto i*8); end if; -- if invalid byte then use additionally xor X0 if bdi_valid_bytes(i+8) = '0' then P0_DV(i*8 + 7 downto i*8) := P0_DV(i*8 + 7 downto i*8) xor X0_DP(i*8 + 7 downto i*8); end if; else -- Ascon128 variant -- P0 xor input data ... P0_DV(i*8 + 7 downto i*8) := bdi(i*8 + 7 downto i*8); -- if invalid byte then use additionally xor X0 if bdi_valid_bytes(i) = '0' then P0_DV(i*8 + 7 downto i*8) := P0_DV(i*8 + 7 downto i*8) xor X0_DP(i*8 + 7 downto i*8); end if; end if; end loop; -- i end if; --- P1[2]-4 MUX: -- if performing FINALIZATION next if State_DN = STATE_FINALIZATION then -- TODO: when 128a variant write P2 -- Add key before permutation if RATE = 64 then -- Ascon128 variant P1_DV := P1_DV xor Keyreg_DP(127 downto 64); P2_DV := P2_DV xor Keyreg_DP(63 downto 0); else -- Ascon128a variant P2_DV := P2_DV xor Keyreg_DP(127 downto 64); P3_DV := P3_DV xor Keyreg_DP(63 downto 0); end if; -- If first PT/CT never processed (empty AD + PT/CT case) if (IsFirstPTCT_DP = '1') then P4_DV(0) := not P4_DV(0); -- Add 0*||1 end if; -- if performing PERMUTATION next elsif ((bdi_valid = '1') and not ((bdi_type = TYPE_TAG) or (bdi_type = TYPE_PTCT and bdi_eot = '1'))) then -- ... and first round of PT/CT calculation if (bdi_type = TYPE_PTCT) and (IsFirstPTCT_DP = '1') then IsFirstPTCT_DN <= '0'; -- SET first PT/CT performed P4_DV(0) := not P4_DV(0); -- Add 0*||1 end if; end if; end if; -- Unroled round permutation for r in 0 to UNROLED_ROUNDS-1 loop -- Calculate round constant RoundConst_DV := (others => '0'); -- set to zero RoundConst_DV(7 downto 0) := not std_logic_vector(unsigned(RoundCounter_DP(3 downto 0)) + r) & std_logic_vector(unsigned(RoundCounter_DP(3 downto 0)) + r); R0_DV := P0_DV xor P4_DV; R1_DV := P1_DV; R2_DV := P2_DV xor P1_DV xor RoundConst_DV; R3_DV := P3_DV; R4_DV := P4_DV xor P3_DV; S0_DV := R0_DV xor (not R1_DV and R2_DV); S1_DV := R1_DV xor (not R2_DV and R3_DV); S2_DV := R2_DV xor (not R3_DV and R4_DV); S3_DV := R3_DV xor (not R4_DV and R0_DV); S4_DV := R4_DV xor (not R0_DV and R1_DV); T0_DV := S0_DV xor S4_DV; T1_DV := S1_DV xor S0_DV; T2_DV := not S2_DV; T3_DV := S3_DV xor S2_DV; T4_DV := S4_DV; U0_DV := T0_DV xor ROTATE_STATE_WORD(T0_DV, 19) xor ROTATE_STATE_WORD(T0_DV, 28); U1_DV := T1_DV xor ROTATE_STATE_WORD(T1_DV, 61) xor ROTATE_STATE_WORD(T1_DV, 39); U2_DV := T2_DV xor ROTATE_STATE_WORD(T2_DV, 1) xor ROTATE_STATE_WORD(T2_DV, 6); U3_DV := T3_DV xor ROTATE_STATE_WORD(T3_DV, 10) xor ROTATE_STATE_WORD(T3_DV, 17); U4_DV := T4_DV xor ROTATE_STATE_WORD(T4_DV, 7) xor ROTATE_STATE_WORD(T4_DV, 41); P0_DV := U0_DV; P1_DV := U1_DV; P2_DV := U2_DV; P3_DV := U3_DV; P4_DV := U4_DV; end loop; -- Do tag comparison when doing decryption in PROCESS TAG states msg_auth_done <= '0'; --default msg_auth_valid <= '0'; if IsDecryption_DP = '1' then if (State_DP = STATE_PROCESS_TAG_0) then -- valid data for comparison ready? if bdi_valid = '1' then if RATE = 128 then -- Ascon128a variant -- signal we are done with comparison msg_auth_done <= '1'; -- tags equal? TagCompResult_DV := (X3_DP & X4_DP) xor Keyreg_DP; if (TagCompResult_DV = bdi) then msg_auth_valid <= '1'; end if; else -- Ascon128 variant X3_DN <= X3_DP xor Keyreg_DP(127 downto 64) xor bdi; end if; end if; elsif (State_DP = STATE_PROCESS_TAG_1) then -- debug if RATE = 64 then -- Ascon128 variant -- valid data for comparison ready? if bdi_valid = '1' then -- signal we are done with comparison msg_auth_done <= '1'; -- Check if tags are equal TagCompResult_DV := (X4_DP xor Keyreg_DP(63 downto 0) xor bdi); if (X3_DP & TagCompResult_DV) = x"00000000000000000000000000000000" then msg_auth_valid <= '1'; end if; end if; end if; end if; end if; --- State X0...4 MUX: select input of state registers case State_DP is -- WRITE_NONCE_0 --> and init state when STATE_WRITE_NONCE_0 => -- ready to receive if (bdi_valid = '1') then -- fill X0 with IV X0_DN <= CONST_KEY_SIZE & CONST_RATE & CONST_ROUNDS_A & CONST_ROUNDS_B & x"00000000"; X1_DN <= Keyreg_DP(127 downto 64); X2_DN <= Keyreg_DP(63 downto 0); if RATE = 128 then -- Ascon128a variant X3_DN <= bdi(RATE-1 downto RATE-64); X4_DN <= bdi( 63 downto 0); else -- Ascon128 variant X3_DN <= bdi(63 downto 0); end if; end if; -- WRITE_NONCE_1 --> second part of nonce when STATE_WRITE_NONCE_1 => -- ready to receive if (bdi_valid = '1') then X4_DN <= bdi; end if; -- INITIALIZATION, PERMUTATION, FINALIZATION --> apply round transformation when STATE_PERMUTATION | STATE_INITIALIZATION | STATE_FINALIZATION => X0_DN <= P0_DV; X1_DN <= P1_DV; X2_DN <= P2_DV; -- Add key after initialization if (State_DP = STATE_INITIALIZATION and RoundCounter_DP = CONST_ROUNDS_AmR) then X3_DN <= P3_DV xor Keyreg_DP(127 downto 64); X4_DN <= P4_DV xor Keyreg_DP(63 downto 0); else X3_DN <= P3_DV; X4_DN <= P4_DV; end if; -- WAIT FOR INPUT --> apply round transformation when input is ready when STATE_WAIT_FOR_INPUT => if bdi_valid = '1' then -- State <= permutation output X0_DN <= P0_DV; X1_DN <= P1_DV; X2_DN <= P2_DV; X3_DN <= P3_DV; X4_DN <= P4_DV; end if; when others => null; end case; end process state_opeartions_p; ----------------------------------------------------------------------------- -- Update key register --> simple shift register key_update_p: process (Keyreg_DP, State_DP, key, key_valid) is begin -- process key_update_p Keyreg_DN <= Keyreg_DP; -- default key_ready <= '0'; -- only update key while in the update state if State_DP = STATE_UPDATE_KEY then key_ready <= '1'; -- always ready -- shift register and insert new key data if key_valid = '1' then Keyreg_DN <= Keyreg_DP (KEY_SIZE-G_KEY_SIZE-1 downto 0) & key; end if; end if; end process key_update_p; ----------------------------------------------------------------------------- -- Input logic --> controlling input interface signals input_logic_p: process (State_DP, bdi_type, bdi_valid) is begin -- process input_logic_p bdi_ready <= '1'; -- default, ready -- We are busy when... if State_DP = STATE_IDLE or State_DP = STATE_UPDATE_KEY or State_DP = STATE_INITIALIZATION or State_DP = STATE_PERMUTATION or (State_DP = STATE_PROCESS_TAG_0 and IsDecryption_DP = '0') or (State_DP = STATE_PROCESS_TAG_1 and IsDecryption_DP = '0') or State_DP = STATE_FINALIZATION then -- signal busyness bdi_ready <= '0'; end if; end process input_logic_p; ----------------------------------------------------------------------------- -- Output logic --> controlling output interface signals output_logic_p: process (Keyreg_DP, State_DP, X0_DP, X1_DP, X3_DP, X4_DP, bdi, bdi, bdi_size, bdi_type, bdi_valid, bdo_ready) is begin -- process output_logic_p bdo_valid <= '0'; -- default, nothing to output if RATE = 128 then -- Ascon128a variant bdo(RATE-1 downto RATE-64) <= X0_DP xor bdi(RATE-1 downto RATE-64); bdo(63 downto 0) <= X1_DP xor bdi(63 downto 0); else -- Ascon128 variant bdo <= X0_DP xor bdi; end if; -- Wait for output to be ready if bdo_ready = '1' then -- waiting for output of PT/CT and there is something to output (size > 0)? if (State_DP = STATE_WAIT_FOR_INPUT) then if (bdi_valid = '1') and (bdi_type = TYPE_PTCT) and (bdi_size /= (bdi_size'range => '0')) then bdo_valid <= '1'; end if; -- output Tag part 1 elsif State_DP = STATE_PROCESS_TAG_0 then bdo_valid <= '1'; if RATE = 128 then -- Ascon128a variant bdo(RATE-1 downto RATE-64) <= X3_DP xor Keyreg_DP(127 downto 64); bdo(63 downto 0) <= X4_DP xor Keyreg_DP(63 downto 0); else -- Ascon128 variant bdo <= X3_DP xor Keyreg_DP(127 downto 64); end if; -- output Tag part 2 elsif State_DP = STATE_PROCESS_TAG_1 then bdo_valid <= '1'; bdo <= X4_DP xor Keyreg_DP(63 downto 0); end if; end if; end process output_logic_p; ----------------------------------------------------------------------------- -- Next state logic fsm_comb_p: process (IsDecryption_DP, RoundCounter_DP, State_DP, bdi_eot, bdi_type, bdi_valid, bdo_ready, key_update, key_valid) is begin -- process fsm_comb_p State_DN <= State_DP; -- default -- FSM state transfers case State_DP is -- IDLE when STATE_IDLE => -- preprocessor forces key update if (key_update = '1') then State_DN <= STATE_UPDATE_KEY; -- skip key update and start writing the nonce elsif (bdi_valid = '1') and (bdi_type = TYPE_NONCE) then State_DN <= STATE_WRITE_NONCE_0; end if; -- UPDATE_KEY when STATE_UPDATE_KEY => -- when key is invalid we assume the key update is finished if (key_valid = '0' and key_update = '0') then -- go back to IDLE State_DN <= STATE_IDLE; end if; -- WRITE_NONCE_0 (Part 1) when STATE_WRITE_NONCE_0 => -- write first part of nonce if (bdi_valid = '1') then if RATE = 128 then -- Ascon128a variant State_DN <= STATE_INITIALIZATION; else State_DN <= STATE_WRITE_NONCE_1; end if; end if; -- WRITE_NONCE_1 (Part 2) when STATE_WRITE_NONCE_1 => -- write second part of nonce if (bdi_valid = '1') then State_DN <= STATE_INITIALIZATION; end if; -- INITIALIZATION when STATE_INITIALIZATION => if RoundCounter_DP = CONST_ROUNDS_AmR then State_DN <= STATE_WAIT_FOR_INPUT; end if; -- PERMUTATION when STATE_PERMUTATION => if RoundCounter_DP = CONST_ROUNDS_BmR then State_DN <= STATE_WAIT_FOR_INPUT; end if; -- FINALIZATION when STATE_FINALIZATION => if RoundCounter_DP = CONST_ROUNDS_AmR then State_DN <= STATE_PROCESS_TAG_0; end if; -- PROCESS TAG PART 1 when STATE_PROCESS_TAG_0 => -- encryption -> wait for output stream to be ready if (IsDecryption_DP = '0') then if (bdo_ready = '1') then if RATE = 128 then -- Ascon128a variant State_DN <= STATE_IDLE; else -- Ascon128 variant State_DN <= STATE_PROCESS_TAG_1; end if; end if; else -- decryption -> wait for tag for comparison if (bdi_valid = '1') then if RATE = 128 then -- Ascon128a variant State_DN <= STATE_IDLE; else -- Ascon128 variant State_DN <= STATE_PROCESS_TAG_1; end if; end if; end if; -- PROCESS TAG PART 2 when STATE_PROCESS_TAG_1 => -- encryption -> wait for output stream to be ready if (IsDecryption_DP = '0') then if (bdo_ready = '1') then State_DN <= STATE_IDLE; end if; else -- decryption -> wait for tag for comparison if (bdi_valid = '1') then State_DN <= STATE_IDLE; end if; end if; -- WAIT_FOR_INPUT when STATE_WAIT_FOR_INPUT => -- input is ready so process if (bdi_valid = '1') then -- if its a tag or last PT/CT then... if (bdi_type = TYPE_TAG) or (bdi_type = TYPE_PTCT and bdi_eot = '1')then State_DN <= STATE_FINALIZATION; else -- process AD or PT/CT -- PERMUTATION state is unnecessary if we fully unroll if (UNROLED_ROUNDS /= ROUNDS_B) then State_DN <= STATE_PERMUTATION; end if; end if; end if; when others => null; end case; end process fsm_comb_p; ----------------------------------------------------------------------------- -- Round counter realization round_counter_p: process (DisableRoundCounter_S, RoundCounter_DP, State_DP, bdi_valid) is variable CounterVal_DV : integer; begin -- process round_counter_p RoundCounter_DN <= RoundCounter_DP; -- default -- Enable counter during ... if (State_DP = STATE_PERMUTATION) or (State_DP = STATE_INITIALIZATION) or (State_DP = STATE_FINALIZATION) or (State_DP = STATE_WAIT_FOR_INPUT and bdi_valid = '1') then -- Counter += #unroled rounds CounterVal_DV := to_integer(unsigned(RoundCounter_DP)) + UNROLED_ROUNDS; -- increment -- Overrun detection --> set back to 0 if (CounterVal_DV >= ROUNDS_A) or (State_DP = STATE_PERMUTATION and CounterVal_DV >= ROUNDS_B) then CounterVal_DV := 0; end if; -- set next counter register value RoundCounter_DN <= std_logic_vector(to_unsigned(CounterVal_DV, RoundCounter_DN'length)); else -- Disable round counter and set it to zero RoundCounter_DN <= (others => '0'); end if; end process round_counter_p; ----------------------------------------------------------------------------- -- Process for all registers in design gen_register_with_asynchronous_reset : if G_ASYNC_RSTN = false generate register_process_p : process (clk, rst) is begin -- process register_process_p if rst = '1' then -- asynchronous reset (active high) State_DP <= STATE_IDLE; X0_DP <= (others => '0'); X1_DP <= (others => '0'); X2_DP <= (others => '0'); X3_DP <= (others => '0'); X4_DP <= (others => '0'); Keyreg_DP <= (others => '0'); RoundCounter_DP <= (others => '0'); IsFirstPTCT_DP <= '1'; IsDecryption_DP <= '0'; elsif clk'event and clk = '1' then -- rising clock edge State_DP <= State_DN; X0_DP <= X0_DN; X1_DP <= X1_DN; X2_DP <= X2_DN; X3_DP <= X3_DN; X4_DP <= X4_DN; Keyreg_DP <= Keyreg_DN; RoundCounter_DP <= RoundCounter_DN; IsFirstPTCT_DP <= IsFirstPTCT_DN; IsDecryption_DP <= IsDecryption_DN; end if; end process register_process_p; end generate gen_register_with_asynchronous_reset; -- else generate with synchronous reset gen_register_with_synchronous_reset : if G_ASYNC_RSTN = true generate register_process_p : process (clk, rst) is begin -- process register_process_p if clk'event and clk = '1' then -- rising clock edge if rst = '1' then -- synchronous reset (active high) State_DP <= STATE_IDLE; X0_DP <= (others => '0'); X1_DP <= (others => '0'); X2_DP <= (others => '0'); X3_DP <= (others => '0'); X4_DP <= (others => '0'); Keyreg_DP <= (others => '0'); RoundCounter_DP <= (others => '0'); IsFirstPTCT_DP <= '1'; IsDecryption_DP <= '0'; else State_DP <= State_DN; X0_DP <= X0_DN; X1_DP <= X1_DN; X2_DP <= X2_DN; X3_DP <= X3_DN; X4_DP <= X4_DN; Keyreg_DP <= Keyreg_DN; RoundCounter_DP <= RoundCounter_DN; IsFirstPTCT_DP <= IsFirstPTCT_DN; IsDecryption_DP <= IsDecryption_DN; end if; end if; end process register_process_p; end generate gen_register_with_synchronous_reset; end structure;
apache-2.0
hoangt/PoC
src/bus/stream/stream_Source.vhdl
2
4991
-- EMACS settings: -*- tab-width: 2; indent-tabs-mode: t -*- -- vim: tabstop=2:shiftwidth=2:noexpandtab -- kate: tab-width 2; replace-tabs off; indent-width 2; -- -- ============================================================================ -- Authors: Patrick Lehmann -- -- Module: A generic buffer module for the PoC.Stream protocol. -- -- Description: -- ------------------------------------ -- This module implements a generic buffer (FifO) for the PoC.Stream protocol. -- It is generic in DATA_BITS and in META_BITS as well as in FifO depths for -- data and meta information. -- -- License: -- ============================================================================ -- Copyright 2007-2015 Technische Universitaet Dresden - Germany -- Chair for VLSI-Design, Diagnostics and Architecture -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS of ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. -- ============================================================================ library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.NUMERIC_STD.all; library PoC; use PoC.utils.all; use PoC.vectors.all; use PoC.strings.all; use PoC.stream.all; entity stream_Source is generic ( TESTcaseS : T_SIM_STREAM_FRAMEGROUP_VECTOR_8 ); port ( Clock : in STD_LOGIC; Reset : in STD_LOGIC; -- Control interface Enable : in STD_LOGIC; -- OUT Port Out_Valid : out STD_LOGIC; Out_Data : out T_SLV_8; Out_SOF : out STD_LOGIC; Out_EOF : out STD_LOGIC; Out_Ack : in STD_LOGIC ); end entity; architecture rtl of stream_Source is constant MAX_CYCLES : NATURAL := 10 * 1000; constant MAX_ERRORS : NATURAL := 50; -- dummy signals for iSIM signal FrameGroupNumber_us : UNSIGNED(log2ceilnz(TESTcaseS'length) - 1 downto 0) := (others => '0'); begin process variable Cycles : NATURAL := 0; variable Errors : NATURAL := 0; variable FrameGroupNumber : NATURAL := 0; variable WordIndex : NATURAL := 0; variable CurFG : T_SIM_STREAM_FRAMEGROUP_8; begin -- set interface to default values Out_Valid <= '0'; Out_Data <= (others => 'U'); Out_SOF <= '0'; Out_EOF <= '0'; -- wait for global enable signal wait until (Enable = '1'); -- synchronize to clock wait until rising_edge(Clock); -- for each testcase in list for TestcaseIndex in 0 to TESTcaseS'length - 1 loop -- initialize per loop Cycles := 0; Errors := 0; CurFG := TESTcaseS(TestcaseIndex); -- continue with next frame if current is disabled assert FALSE report "active=" & to_string(CurFG.Active) severity WARNING; next when CurFG.Active = FALSE; -- write dummy signals for iSIM FrameGroupNumber := TestcaseIndex; FrameGroupNumber_us <= to_unsigned(FrameGroupNumber, FrameGroupNumber_us'length); -- PrePause for i in 1 to CurFG.PrePause loop wait until rising_edge(Clock); end LOOP; WordIndex := 0; -- infinite loop loop -- check for to many simulation cycles assert (Cycles < MAX_CYCLES) report "MAX_CYCLES reached: framegroup=" & INTEGER'image(to_integer(FrameGroupNumber_us)) severity FAILURE; -- ASSERT (Errors < MAX_ERRORS) report "MAX_ERRORS reached" severity FAILURE; Cycles := Cycles + 1; wait until rising_edge(Clock); -- write frame data to interface Out_Valid <= CurFG.Data(WordIndex).Valid; Out_Data <= CurFG.Data(WordIndex).Data; Out_SOF <= CurFG.Data(WordIndex).SOF; Out_EOF <= CurFG.Data(WordIndex).EOF; wait until falling_edge(Clock); -- go to next word if interface counterpart has accepted the current word if (Out_Ack = '1') then WordIndex := WordIndex + 1; end if; -- check if framegroup end is reached => exit LOOP assert FALSE report "WordIndex=" & INTEGER'image(WordIndex) severity WARNING; exit when ((WordIndex /= 0) AND (CurFG.Data(WordIndex - 1).EOFG = TRUE)); end loop; -- PostPause for i in 1 to CurFG.PostPause loop wait until rising_edge(Clock); end loop; assert FALSE report "new round" severity WARNING; end loop; -- set interface to default values wait until rising_edge(Clock); Out_Valid <= '0'; Out_Data <= (others => 'U'); Out_SOF <= '0'; Out_EOF <= '0'; end process; end architecture;
apache-2.0
hoangt/PoC
src/comm/remote/remote_terminal_control.vhdl
2
19045
-- EMACS settings: -*- tab-width:2 -*- -- vim: tabstop=2:shiftwidth=2:noexpandtab -- kate: tab-width 2; replace-tabs off; indent-width 2; -- ------------------------------------------------------------------------------- -- Description: Simple terminal interface to monitor and manipulate -- basic IO components such as buttons, slide switches, LED -- and hexadecimal displays. -- -- A typical transport would be a UART connection using a terminal application. -- A command line starts with a single letter identifying the addressed -- resource type: -- -- R .. Reset - strobed input to the design -- P .. Pulse - strobed input to the design -- S .. Switch - stateful input to the design -- L .. Light - (bit) output from the design -- D .. Digit - (hex) output from the design -- -- This letter may be followed by a hexadecimal input vector, which triggers -- -- R - a strobe on the corresponding inputs, -- P - a strobe on the corresponding inputs, and -- S - a state toggle of the corresponding inputs. -- -- The input vector is ignored for outputs from the design. -- The command line may contain an arbitrary amount of spaces. -- -- The terminal interface will echo with: -- -- <resource character>[<bit count>'<hex output vector>] -- -- The <bit count> and <hex output vector> will only be present if, at least, -- a single instance of the addressed resource type is available. -- In particular, the resource characters of lines starting with other than -- the listed resource types will simply be echoed. -- The <bit count> describes how many bits of the addressed resource are -- available, which may be used to explore the resources using a command line -- with no or a zero input argument. The <bit count> is typically provided in -- decimal (default) but may be changed to hexadecimal through the generic -- parameter COUNT_DECIMAL. -- The <hex output vector> acknowledges the input (R and P) and informs about -- the current state (S, L and D). -- -- Example: -- > L -- L10'21D -- > D -- D8'5E -- > A -- A -- > S -- S6'00 -- > S3A -- S6'3A -- > S 1 -- S6'3B -- > P8 -- P4'8 -- > P -- P4'0 -- Authors: Thomas B. Preußer <[email protected]> ------------------------------------------------------------------------------- -- Copyright 2007-2014 Technische Universität Dresden - Germany -- Chair for VLSI-Design, Diagnostics and Architecture -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; library poc; use poc.functions.all; entity remote_terminal_control is generic ( RESET_COUNT : natural; PULSE_COUNT : natural; SWITCH_COUNT : natural; LIGHT_COUNT : natural; DIGIT_COUNT : natural; COUNT_DECIMAL : boolean := true ); port ( -- Global Control clk : in std_logic; rst : in std_logic; -- UART Connectivity idat : in std_logic_vector(6 downto 0); istb : in std_logic; odat : out std_logic_vector(6 downto 0); ordy : in std_logic; oput : out std_logic; -- Control Outputs resets : out std_logic_vector(imax(RESET_COUNT -1, 0) downto 0); pulses : out std_logic_vector(imax(PULSE_COUNT -1, 0) downto 0); switches : out std_logic_vector(imax(SWITCH_COUNT-1, 0) downto 0); -- Monitor Inputs lights : in std_logic_vector(imax( LIGHT_COUNT-1, 0) downto 0); digits : in std_logic_vector(imax(4*DIGIT_COUNT-1, 0) downto 0) ); end remote_terminal_control; library IEEE; use IEEE.numeric_std.all; architecture rtl of remote_terminal_control is type tKind is (KIND_NONE, KIND_RESET, KIND_PULSE, KIND_SWITCH, KIND_LIGHT, KIND_DIGIT); --constant KIND_NONE : natural := 0; --constant KIND_RESET : natural := 1; --constant KIND_PULSE : natural := 2; --constant KIND_SWITCH : natural := 3; --constant KIND_LIGHT : natural := 4; --constant KIND_DIGIT : natural := 5; --subtype tKind is natural range KIND_NONE to KIND_DIGIT; subtype tActual is tKind range KIND_RESET to KIND_DIGIT; subtype tInput is tActual range KIND_RESET to KIND_SWITCH; subtype tOutput is tActual range KIND_LIGHT to KIND_DIGIT; ----------------------------------------------------------------------------- -- Counts type tCounts is array(tKind range<>) of natural; constant COUNTS : tCounts := (0, RESET_COUNT, PULSE_COUNT, SWITCH_COUNT, LIGHT_COUNT, 4*DIGIT_COUNT); function max_count(arr : tCounts) return natural is -- Without this copy of arr, ISE (as of version 14.7) will choke. variable a : tCounts(arr'range) := (others => 0); variable res : natural; begin a(arr'range) := arr; res := 0; for i in a'range loop if a(i) > res then res := a(i); end if; end loop; return res; end max_count; constant PAR_BITS : natural := max_count(COUNTS(tInput)); constant RES_BITS : natural := max_count(COUNTS(tActual)); constant ECO_BITS : natural := 4*((RES_BITS+3)/4); function log10ceil(x : natural) return positive is variable scale, res : positive; begin scale := 10; res := 1; while x >= scale loop scale := 10*scale; res := res+1; end loop; return res; end log10ceil; function makeCntBits return positive is begin if COUNT_DECIMAL then return 4*log10ceil(RES_BITS); end if; return log2ceil(RES_BITS); end makeCntBits; constant CNT_BITS : positive := makeCntBits; subtype tOutCount is unsigned(CNT_BITS-1 downto 0); type tOutCounts is array(tKind range<>) of tOutCount; function makeOutCounts return tOutCounts is variable res : tOutCounts(COUNTS'range); variable ele : tOutCount; variable rmd : natural; begin for i in COUNTS'range loop if COUNT_DECIMAL then rmd := COUNTS(i); for j in 0 to ele'length/4-1 loop ele(4*j+3 downto 4*j) := to_unsigned(rmd mod 10, 4); rmd := rmd/10; end loop; else ele := to_unsigned(COUNTS(i), CNT_BITS); end if; res(i) := ele; end loop; return res; end; constant OUT_COUNTS : tOutCounts(COUNTS'range) := makeOutCounts; subtype tCode is std_logic_vector(4 downto 0); type tCodes is array(tKind range<>) of tCode; constant CODES : tCodes(tActual) := ("10010", "10000", "10011", "01100", "00100"); type tStrobes is array(tKind range<>) of boolean; constant STROBES : tStrobes(tInput) := (true, true, false); signal BufVld : std_logic := '0'; signal BufCmd : std_logic_vector(4 downto 0) := (others => '-'); signal BufCnt : unsigned(CNT_BITS-1 downto 0) := (others => '-'); signal BufEco : std_logic_vector(0 to ECO_BITS-1) := (others => '-'); signal BufAck : std_logic; begin -- Reading the UART input stream blkReader: block type tState is (Idle, Command); signal State : tState := Idle; signal NextState : tState; signal Cmd : std_logic_vector(4 downto 0) := (others => '-'); signal Arg : std_logic_vector(PAR_BITS-1 downto 0) := (others => '-'); signal Sel : tKind := KIND_NONE; signal Load : std_logic; signal Shift : std_logic; signal Commit : std_logic; subtype tEcho is std_logic_vector(0 to ECO_BITS-1); type tEchos is array(tKind range<>) of tEcho; signal echos : tEchos(tKind); function leftAlignedBCD(x : std_logic_vector) return tEcho is constant MY_BITS : positive := 4*((x'length+3)/4); variable res : tEcho; begin res := (others => '-'); res(0 to 3) := x"0"; res(MY_BITS-x'length to MY_BITS-1) := x; return res; end leftAlignedBCD; begin -- State Registers process(clk) begin if rising_edge(clk) then if rst = '1' then State <= Idle; Cmd <= (others => '-'); Arg <= (others => '-'); else State <= NextState; if Load = '1' then Cmd <= idat(4 downto 0); Arg <= (others => '0'); Sel <= KIND_NONE; for i in CODES'range loop if CODES(i) = idat(4 downto 0) then Sel <= i; end if; end loop; elsif Shift = '1' then Arg <= Arg(Arg'left-4 downto 0) & std_logic_vector(unsigned(idat(3 downto 0)) + (idat(6)&"00"&idat(6))); end if; end if; end if; end process; -- Common Reader State Machine process(State, istb, idat) begin NextState <= State; Load <= '0'; Shift <= '0'; Commit <= '0'; if istb = '1' then case State is when Idle => if idat(6) = '1' then Load <= '1'; NextState <= Command; end if; when Command => if idat(6) = '1' or (idat(5) = '1' and idat(4) = '1') then Shift <= '1'; elsif idat(6) = '0' and idat(5) = '0' and idat(4) = '0' then Commit <= '1'; NextState <= Idle; end if; end case; end if; end process; echos(KIND_NONE) <= (others => '-'); -- Generate Control Inputs genInputs: for i in tInput generate -- Control not used genNone: if COUNTS(i) = 0 generate genReset: if i = KIND_RESET generate resets <= "X"; end generate genReset; genPulse: if i = KIND_PULSE generate pulses <= "X"; end generate genPulse; genSwitch: if i = KIND_SWITCH generate switches <= "X"; end generate genSwitch; echos(i) <= (others => '-'); end generate genNone; -- Controls available genAvail: if COUNTS(i) > 0 generate signal Outputs : std_logic_vector(COUNTS(i)-1 downto 0) := (others => '0'); signal onxt : std_logic_vector(Outputs'range); begin -- Output Computation: Strobed genStrobed: if STROBES(i) generate process(clk) begin if rising_edge(clk) then if rst = '1' then Outputs <= (others => '0'); else if Commit = '1' and Sel = i then Outputs <= onxt; else Outputs <= (others => '0'); end if; end if; end if; end process; onxt <= Arg(Outputs'range); end generate genStrobed; -- Output Computation: State genState: if not STROBES(i) generate process(clk) begin if rising_edge(clk) then if Commit = '1' and Sel = i then Outputs <= onxt; end if; end if; end process; onxt <= Outputs xor Arg(Outputs'range); end generate genState; echos(i) <= leftAlignedBCD(onxt); -- Assign to Output Pins genReset: if i = KIND_RESET generate resets <= Outputs; end generate genReset; genPulse: if i = KIND_PULSE generate pulses <= Outputs; end generate genPulse; genSwitch: if i = KIND_SWITCH generate switches <= Outputs; end generate genSwitch; end generate genAvail; end generate genInputs; process(lights, digits) begin echos(KIND_LIGHT) <= (others => '-'); echos(KIND_DIGIT) <= (others => '-'); if LIGHT_COUNT > 0 then echos(KIND_LIGHT) <= leftAlignedBCD(lights); end if; if DIGIT_COUNT > 0 then echos(KIND_DIGIT) <= leftAlignedBCD(digits); end if; end process; -- Build Data Record for Writer process(clk) begin if rising_edge(clk) then if rst = '1' then BufVld <= '0'; BufCmd <= (others => '-'); BufCnt <= (others => '-'); BufEco <= (others => '-'); else if Commit = '1' then BufVld <= '1'; BufCmd <= Cmd; BufCnt <= OUT_COUNTS(Sel); BufEco <= echos(Sel); elsif BufAck = '1' then BufVld <= '0'; BufCmd <= (others => '-'); BufCnt <= (others => '-'); BufEco <= (others => '-'); end if; end if; end if; end process; end block blkReader; blkWrite: block type tState is (Idle, OutCommand, OutCount, OutTick, OutEcho, OutEOL); signal State : tState := Idle; signal NextState : tState; signal OutCmd : std_logic_vector(4 downto 0) := (others => '-'); signal OutCnt : unsigned(4*((BufCnt'length+3)/4)-1 downto 0) := (others => '-'); signal OutEco : std_logic_vector(0 to ECO_BITS-1) := (others => '-'); signal Locked : std_logic := '-'; signal NextLocked : std_logic; signal OutCntDone : std_logic; signal OutCntDecr : unsigned(2 downto 0); signal NextOutCnt : unsigned(OutCnt'length downto 0); signal ShiftCnt : std_logic; signal ShiftEco : std_logic; begin process(clk) begin if rising_edge(clk) then if rst = '1' then State <= Idle; OutCmd <= (others => '-'); OutCnt <= (others => '-'); OutEco <= (others => '-'); Locked <= '-'; else State <= NextState; if BufAck = '1' then OutCmd <= BufCmd; OutCnt <= (others => '0'); OutCnt(BufCnt'length-1 downto 0) <= unsigned(BufCnt); OutEco <= BufEco; Locked <= '0'; else -- OutCnt Register if OutCnt'length > 4 and ShiftCnt = '1' then OutCnt <= OutCnt(OutCnt'left-4 downto 0) & OutCnt(OutCnt'left downto OutCnt'left-3); else OutCnt <= NextOutCnt(OutCnt'range); end if; Locked <= NextLocked; -- OutEco Register if ShiftEco = '1' then OutEco <= OutEco(4 to OutEco'right) & "----"; end if; end if; end if; end if; end process; NextLocked <= 'X' when Is_x(std_logic_vector(OutCnt(OutCnt'left downto OutCnt'left-3))) else '1' when OutCnt(OutCnt'left downto OutCnt'left-3) /= x"0" else Locked; genSingleDig: if OutCnt'length = 4 generate OutCntDone <= '1'; end generate; genMultiDig: if OutCnt'length > 4 generate signal Cnt : unsigned(log2ceil(OutCnt'length/4)-1 downto 0) := (others => '-'); begin process(clk) begin if rising_edge(clk) then if rst = '1' then Cnt <= (others => '-'); else if BufAck = '1' then Cnt <= (others => '0'); elsif ShiftCnt = '1' then Cnt <= Cnt + 1; end if; end if; end if; end process; OutCntDone <= 'X' when Is_X(std_logic_vector(Cnt)) else '1' when (Cnt or not to_unsigned(OutCnt'length/4-1, Cnt'length)) = (Cnt'range => '1') else '0'; end generate; genDec: if COUNT_DECIMAL generate process(OutCnt, OutCntDecr) variable sub : unsigned(2 downto 0); variable d : unsigned(4 downto 0); begin sub := OutCntDecr; for i in 0 to OutCnt'length/4-1 loop d := ('0'&OutCnt(4*i+3 downto 4*i)) - sub; if d(4) = '0' then NextOutCnt(4*i+3 downto 4*i) <= d(3 downto 0); sub := to_unsigned(0, sub'length); else NextOutCnt(4*i+3 downto 4*i) <= d(3 downto 0) - 6; sub := to_unsigned(1, sub'length); end if; end loop; NextOutCnt(OutCnt'length) <= sub(0); end process; end generate genDec; genHex: if not COUNT_DECIMAL generate NextOutCnt <= ('0'&OutCnt) - OutCntDecr; end generate genHex; process(State, ordy, BufVld, OutCmd, OutCnt, OutEco, OutCntDone, NextLocked, NextOutCnt) begin NextState <= State; BufAck <= '0'; ShiftCnt <= '0'; ShiftEco <= '0'; OutCntDecr <= (others => '0'); odat <= (others => '-'); oput <= '0'; case State is when Idle => if BufVld = '1' then BufAck <= '1'; NextState <= OutCommand; end if; when OutCommand => odat <= "10" & OutCmd; oput <= '1'; if ordy = '1' then NextState <= OutCount; end if; when OutCount => if COUNT_DECIMAL or OutCnt(OutCnt'left downto OutCnt'left-3) < 10 then odat <= "011" & std_logic_vector(OutCnt(OutCnt'left downto OutCnt'left-3)); else odat <= "100" & std_logic_vector(OutCnt(OutCnt'left downto OutCnt'left-3)+7); end if; oput <= NextLocked; if ordy = '1' then ShiftCnt <= '1'; if OutCntDone = '1' then if NextLocked = '1' then NextState <= OutTick; else NextState <= OutEOL; end if; end if; end if; when OutTick => odat <= "0100111"; oput <= '1'; if ordy = '1' then OutCntDecr <= to_unsigned(1, OutCntDecr'length); NextState <= OutEcho; end if; when OutEcho => if unsigned(OutEco(0 to 3)) < 10 then odat <= "011" & OutEco(0 to 3); else odat <= "100" & std_logic_vector(unsigned(OutEco(0 to 3))+7); end if; oput <= '1'; if ordy = '1' then ShiftEco <= '1'; OutCntDecr <= "100"; if NextOutCnt(OutCnt'length) = '1' then NextState <= OutEOL; end if; end if; when OutEOL => odat <= "0001010"; oput <= '1'; if ordy = '1' then NextState <= Idle; end if; end case; end process; end block blkWrite; end rtl;
apache-2.0
hoangt/PoC
tb/common/simulation.v08.vhdl
4
12321
-- EMACS settings: -*- tab-width: 2; indent-tabs-mode: t -*- -- vim: tabstop=2:shiftwidth=2:noexpandtab -- kate: tab-width 2; replace-tabs off; indent-width 2; -- -- ============================================================================= -- Authors: Patrick Lehmann -- Thomas B. Preusser -- -- Package: Simulation constants, functions and utilities. -- -- Description: -- ------------------------------------ -- TODO -- -- License: -- ============================================================================= -- Copyright 2007-2015 Technische Universitaet Dresden - Germany -- Chair for VLSI-Design, Diagnostics and Architecture -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. -- ============================================================================= library IEEE; use IEEE.STD_LOGIC_1164.all; library PoC; use PoC.vectors.all; use PoC.strings.all; use PoC.physical.all; package simulation is -- predefined constants to ease testvector concatenation constant U8 : T_SLV_8 := (others => 'U'); constant U16 : T_SLV_16 := (others => 'U'); constant U24 : T_SLV_24 := (others => 'U'); constant U32 : T_SLV_32 := (others => 'U'); constant D8 : T_SLV_8 := (others => '-'); constant D16 : T_SLV_16 := (others => '-'); constant D24 : T_SLV_24 := (others => '-'); constant D32 : T_SLV_32 := (others => '-'); -- Testbench Status Management -- =========================================================================== -- VHDL'08: Provide a protected tSimStatus type that may be used for -- other purposes as well. For compatibility with the VHDL'93 -- implementation, the plain procedure implementation is also -- provided on top of a package private instance of this type. type T_TB_STATUS is protected -- The status is changed to failed. If a message is provided, it is -- reported as an error. procedure simFail(msg : in string := ""); -- If the passed condition has evaluated false, the status is marked -- as failed. In this case, the optional message will be reported as -- an error if provided. procedure simAssert(cond : in boolean; msg : in string := ""); -- Prints the final status. Unless simFail() or simAssert() with a -- false condition have been called before, a successful completion -- will be indicated, a failure otherwise. procedure simReport; end protected; type T_SIM_STATUS is protected procedure stop; impure function isStopped return BOOLEAN; end protected; -- The testbench is marked as failed. If a message is provided, it is -- reported as an error. procedure tbFail(msg : in string := ""); -- If the passed condition has evaluated false, the testbench is marked -- as failed. In this case, the optional message will be reported as an -- error if one was provided. procedure tbAssert(cond : in boolean; msg : in string := ""); -- Prints out the overall testbench result as defined by the automated -- testbench process. Unless tbFail() or tbAssert() with a false condition -- have been called before, a successful completion will be reported, a -- failure otherwise. procedure tbPrintResult; -- clock generation -- =========================================================================== subtype T_DutyCycle is REAL range 0.0 to 1.0; procedure simStop; impure function simIsStopped return BOOLEAN; procedure simGenerateClock(signal Clock : out STD_LOGIC; constant Frequency : in FREQ; constant DutyCycle : T_DutyCycle := 0.5); procedure simGenerateClock(signal Clock : out STD_LOGIC; constant Period : in TIME; constant DutyCycle : T_DutyCycle := 0.5); -- waveform generation -- =========================================================================== type T_SIM_WAVEFORM_TUPLE_SL is record Delay : TIME; Value : STD_LOGIC; end record; type T_SIM_WAVEFORM_TUPLE_SLV_8 is record Delay : TIME; Value : T_SLV_8; end record; type T_SIM_WAVEFORM_TUPLE_SLV_16 is record Delay : TIME; Value : T_SLV_16; end record; type T_SIM_WAVEFORM_TUPLE_SLV_24 is record Delay : TIME; Value : T_SLV_24; end record; type T_SIM_WAVEFORM_TUPLE_SLV_32 is record Delay : TIME; Value : T_SLV_32; end record; type T_SIM_WAVEFORM_TUPLE_SLV_48 is record Delay : TIME; Value : T_SLV_48; end record; type T_SIM_WAVEFORM_TUPLE_SLV_64 is record Delay : TIME; Value : T_SLV_64; end record; type T_SIM_WAVEFORM_SL is array(NATURAL range <>) of T_SIM_WAVEFORM_TUPLE_SL; type T_SIM_WAVEFORM_SLV_8 is array(NATURAL range <>) of T_SIM_WAVEFORM_TUPLE_SLV_8; type T_SIM_WAVEFORM_SLV_16 is array(NATURAL range <>) of T_SIM_WAVEFORM_TUPLE_SLV_16; type T_SIM_WAVEFORM_SLV_24 is array(NATURAL range <>) of T_SIM_WAVEFORM_TUPLE_SLV_24; type T_SIM_WAVEFORM_SLV_32 is array(NATURAL range <>) of T_SIM_WAVEFORM_TUPLE_SLV_32; type T_SIM_WAVEFORM_SLV_48 is array(NATURAL range <>) of T_SIM_WAVEFORM_TUPLE_SLV_48; type T_SIM_WAVEFORM_SLV_64 is array(NATURAL range <>) of T_SIM_WAVEFORM_TUPLE_SLV_64; procedure simGenerateWaveform(signal Wave : out BOOLEAN; Waveform: T_TIMEVEC; InitialValue : BOOLEAN); procedure simGenerateWaveform(signal Wave : out STD_LOGIC; Waveform: T_TIMEVEC; InitialValue : STD_LOGIC := '0'); procedure simGenerateWaveform(signal Wave : out STD_LOGIC; Waveform: T_SIM_WAVEFORM_SL; InitialValue : STD_LOGIC := '0'); procedure simGenerateWaveform(signal Wave : out T_SLV_8; Waveform: T_SIM_WAVEFORM_SLV_8; InitialValue : T_SLV_8); procedure simGenerateWaveform(signal Wave : out T_SLV_16; Waveform: T_SIM_WAVEFORM_SLV_16; InitialValue : T_SLV_16); procedure simGenerateWaveform(signal Wave : out T_SLV_24; Waveform: T_SIM_WAVEFORM_SLV_24; InitialValue : T_SLV_24); procedure simGenerateWaveform(signal Wave : out T_SLV_32; Waveform: T_SIM_WAVEFORM_SLV_32; InitialValue : T_SLV_32); procedure simGenerateWaveform(signal Wave : out T_SLV_48; Waveform: T_SIM_WAVEFORM_SLV_48; InitialValue : T_SLV_48); procedure simGenerateWaveform(signal Wave : out T_SLV_64; Waveform: T_SIM_WAVEFORM_SLV_64; InitialValue : T_SLV_64); function simGenerateWaveform_Reset(constant Pause : TIME := 0 ns; ResetPulse : TIME := 10 ns) return T_TIMEVEC; end; use std.TextIO.all; package body simulation is -- Testbench Status Management -- =========================================================================== type T_TB_STATUS is protected body -- Internal state variable to log a failure condition for final reporting. -- Once de-asserted, this variable will never return to a value of true. variable pass : boolean := true; procedure simFail(msg : in string := "") is begin if msg'length > 0 then report msg severity error; end if; pass := false; end; procedure simAssert(cond : in boolean; msg : in string := "") is begin if not cond then simFail(msg); end if; end; procedure simReport is variable l : line; begin write(l, string'("SIMULATION RESULT = ")); if pass then write(l, string'("PASSED")); else write(l, string'("FAILED")); end if; writeline(output, l); end; end protected body; type T_SIM_STATUS is protected body variable stopped : BOOLEAN := FALSE; procedure stop is begin stopped := TRUE; end procedure; impure function isStopped return BOOLEAN is begin return stopped; end function; end protected body; -- The default global tSimStatus object. shared variable tbStatus : T_TB_STATUS; shared variable simStatus : T_SIM_STATUS; -- legacy procedures -- =========================================================================== procedure tbFail(msg : in string := "") is begin tbStatus.simFail(msg); end; procedure tbAssert(cond : in boolean; msg : in string := "") is begin tbStatus.simAssert(cond, msg); end; procedure tbPrintResult is begin tbStatus.simReport; end procedure; -- clock generation -- =========================================================================== procedure simStop is begin simStatus.stop; end procedure; impure function simIsStopped return BOOLEAN is begin return simStatus.isStopped; end function; procedure simGenerateClock(signal Clock : out STD_LOGIC; constant Frequency : in FREQ; constant DutyCycle : T_DutyCycle := 0.5) is constant Period : TIME := to_time(Frequency); begin simGenerateClock(Clock, Period, DutyCycle); end procedure; procedure simGenerateClock(signal Clock : out STD_LOGIC; constant Period : in TIME; constant DutyCycle : T_DutyCycle := 0.5) is constant TIME_HIGH : TIME := Period * DutyCycle; constant TIME_LOW : TIME := Period - TIME_HIGH; begin Clock <= '0'; while (not simStatus.isStopped) loop wait for TIME_LOW; Clock <= '1'; wait for TIME_HIGH; Clock <= '0'; end loop; end procedure; -- waveform generation -- =========================================================================== procedure simGenerateWaveform(signal Wave : out BOOLEAN; Waveform : T_TIMEVEC; InitialValue : BOOLEAN) is variable State : BOOLEAN := InitialValue; begin Wave <= State; for i in Waveform'range loop wait for Waveform(i); State := not State; Wave <= State; end loop; end procedure; procedure simGenerateWaveform(signal Wave : out STD_LOGIC; Waveform: T_TIMEVEC; InitialValue : STD_LOGIC := '0') is variable State : STD_LOGIC := InitialValue; begin Wave <= State; for i in Waveform'range loop wait for Waveform(i); State := not State; Wave <= State; end loop; end procedure; procedure simGenerateWaveform(signal Wave : out STD_LOGIC; Waveform: T_SIM_WAVEFORM_SL; InitialValue : STD_LOGIC := '0') is begin Wave <= InitialValue; for i in Waveform'range loop wait for Waveform(i).Delay; Wave <= Waveform(i).Value; end loop; end procedure; procedure simGenerateWaveform(signal Wave : out T_SLV_8; Waveform: T_SIM_WAVEFORM_SLV_8; InitialValue : T_SLV_8) is begin Wave <= InitialValue; for i in Waveform'range loop wait for Waveform(i).Delay; Wave <= Waveform(i).Value; end loop; end procedure; procedure simGenerateWaveform(signal Wave : out T_SLV_16; Waveform: T_SIM_WAVEFORM_SLV_16; InitialValue : T_SLV_16) is begin Wave <= InitialValue; for i in Waveform'range loop wait for Waveform(i).Delay; Wave <= Waveform(i).Value; end loop; end procedure; procedure simGenerateWaveform(signal Wave : out T_SLV_24; Waveform: T_SIM_WAVEFORM_SLV_24; InitialValue : T_SLV_24) is begin Wave <= InitialValue; for i in Waveform'range loop wait for Waveform(i).Delay; Wave <= Waveform(i).Value; end loop; end procedure; procedure simGenerateWaveform(signal Wave : out T_SLV_32; Waveform: T_SIM_WAVEFORM_SLV_32; InitialValue : T_SLV_32) is begin Wave <= InitialValue; for i in Waveform'range loop wait for Waveform(i).Delay; Wave <= Waveform(i).Value; end loop; end procedure; procedure simGenerateWaveform(signal Wave : out T_SLV_48; Waveform: T_SIM_WAVEFORM_SLV_48; InitialValue : T_SLV_48) is begin Wave <= InitialValue; for i in Waveform'range loop wait for Waveform(i).Delay; Wave <= Waveform(i).Value; end loop; end procedure; procedure simGenerateWaveform(signal Wave : out T_SLV_64; Waveform: T_SIM_WAVEFORM_SLV_64; InitialValue : T_SLV_64) is begin Wave <= InitialValue; for i in Waveform'range loop wait for Waveform(i).Delay; Wave <= Waveform(i).Value; end loop; end procedure; function simGenerateWaveform_Reset(constant Pause : TIME := 0 ns; ResetPulse : TIME := 10 ns) return T_TIMEVEC is begin return (0 => Pause, 1 => ResetPulse); end function; end package body;
apache-2.0
hoangt/PoC
src/arith/arith_sqrt.vhdl
2
3744
-- EMACS settings: -*- tab-width: 2; indent-tabs-mode: t -*- -- vim: tabstop=2:shiftwidth=2:noexpandtab -- kate: tab-width 2; replace-tabs off; indent-width 2; -- -- ============================================================================================================================================================ -- Description: Iterative Square Root Extractor. -- Its computation requires (N+1)/2 steps for an argument bit width of N. -- -- Authors: Thomas B. Preußer -- ============================================================================================================================================================ -- Copyright 2007-2014 Technische Universität Dresden - Germany, Chair for VLSI-Design, Diagnostics and Architecture -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. -- ============================================================================================================================================================ library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity arith_sqrt is generic ( N : positive -- := 8 -- Bit Width of Argument ); port ( -- Global Control rst : in std_logic; -- Reset (synchronous) clk : in std_logic; -- Clock -- Inputs arg : in std_logic_vector(N-1 downto 0); -- Radicand start : in std_logic; -- Start Strobe -- Outputs sqrt : out std_logic_vector((N-1)/2 downto 0); -- Result rdy : out std_logic -- Ready / Done ); end arith_sqrt; architecture rtl of arith_sqrt is -- Number of Iteration Steps = Number of Result Digits constant STEPS : positive := (N+1)/2; -- Intern Registers signal Rmd : unsigned(N+STEPS-1 downto 0); -- Remainder / Result signal Vld : unsigned(STEPS-1 downto 0); -- Result Flags signal Res : unsigned(STEPS-1 downto 0); -- Extracted Result -- Tentative Difference signal diff : unsigned(STEPS+1 downto 0); begin -- rtl -- Registers process(clk) begin if rising_edge(clk) then if rst = '1' then -- Only clear Ready, everything else: '-' Rmd <= (others => '-'); Vld <= (others => '-'); Vld(Vld'left) <= '0'; else if start = '1' then -- Initilize Computation Rmd <= (Rmd'left downto N => '0') & unsigned(arg); Vld <= (others => '1'); elsif Vld(Vld'left) = '1' then -- Computation Step -- New Residue Rmd(N-1 downto 0) <= Rmd(N-3 downto 0) & '-' & not diff(diff'left); -- just shift lower bits if diff(diff'left) = '1' then -- Sub failed: just shift upper Part Rmd(Rmd'left downto N) <= Rmd(Rmd'left-2 downto N-2); else -- Sub succeeded: replace by shifted Difference Rmd(Rmd'left downto N) <= diff(diff'left-2 downto 0); end if; -- Validate Result Digit Vld <= Vld(Vld'left-1 downto 0) & '0'; end if; end if; end if; end process; -- Extract Result genRes: for i in Res'range generate Res(i) <= Rmd(2*i) and not Vld(i); end generate; -- Tentative Subtraction: 4*rmd - (4*res+1) diff <= Rmd(Rmd'left downto N-2) + ('1' & not Res(STEPS-2 downto 0) & "11"); -- Ouputs sqrt <= std_logic_vector(Res); rdy <= not Vld(Vld'left); end rtl;
apache-2.0
hoangt/PoC
tb/misc/stat/stat_Minimum_tb.vhdl
2
6179
-- EMACS settings: -*- tab-width:2 -*- -- vim: tabstop=2:shiftwidth=2:noexpandtab -- kate: tab-width 2; replace-tabs off; indent-width 2; -- ------------------------------------------------------------------------------- -- Authors: Patrick Lehmann -- -- Description: Testbench for stat_Minimum. -- ------------------------------------------------------------------------------- -- Copyright 2007-2015 Technische Universität Dresden - Germany -- Chair for VLSI-Design, Diagnostics and Architecture -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library PoC; use poC.utils.all; use poC.vectors.all; entity stat_Minimum_tb is end entity; architecture tb of stat_Minimum_tb is -- component generics constant VALUES : T_NATVEC := ( 113, 106, 126, 239, 146, 72, 51, 210, 44, 56, 10, 126, 7, 7, 22, 18, 128, 217, 106, 210, 58, 71, 213, 206, 169, 213, 90, 27, 166, 159, 83, 116, 246, 208, 105, 64, 112, 12, 110, 10, 5, 100, 12, 231, 191, 235, 27, 143, 162, 178, 136, 149, 92, 221, 122, 44, 143, 169, 72, 182, 232, 26, 46, 135, 223, 144, 129, 48, 148, 208, 156, 119, 109, 98, 207, 208, 62, 232, 17, 183, 189, 197, 115, 237, 25, 183, 27, 27, 89, 64, 170, 192, 189, 177, 28, 228, 56, 127, 10, 49, 108, 229, 244, 204, 25, 20, 42, 243, 16, 163, 232, 161, 154, 139, 243, 38, 160, 59, 113, 42, 120, 104, 208, 87, 40, 213, 179, 181, 73, 228, 155, 184, 224, 218, 77, 210, 202, 161, 215, 7, 143, 34, 13, 175, 81, 12, 40, 53, 184, 240, 71, 247, 17, 218, 179, 7, 23, 159, 166, 61, 90, 111, 172, 37, 11, 50, 186, 186, 64, 36, 85, 249, 93, 108, 148, 89, 93, 35, 7, 30, 175, 129, 247, 83, 160, 157, 170, 9, 41, 73, 189, 45, 244, 157, 166, 35, 111, 226, 167, 34, 76, 104, 239, 151, 157, 71, 156, 159, 72, 93, 163, 237, 153, 139, 135, 211, 113, 92, 126, 103, 130, 180, 147, 240, 96, 42, 7, 185, 191, 115, 227, 117, 118, 224, 204, 74, 140, 98, 176, 92, 3, 13, 187, 198, 160, 201, 141, 108, 24, 205, 171, 22, 102, 66, 153, 146, 206, 248, 58, 117, 67, 220, 217, 206, 115, 48, 122, 179, 184, 63, 74, 18, 166, 37, 103, 119, 242, 198, 82, 144, 151, 149, 164, 235, 193, 207, 18, 55, 74, 61, 118, 141, 42, 61, 28, 32, 46, 230, 85, 114, 82, 212, 173, 210, 134, 156, 106, 67, 212, 36, 153, 10, 168, 164, 216, 168, 59, 231, 15, 157, 33, 69, 107, 126, 195, 182, 225, 107, 12, 73, 76, 15, 116, 218, 64, 188, 225, 203, 104, 40, 104, 200, 92, 40, 158, 110, 222, 128, 95, 110, 223, 64, 218, 178, 84, 16, 108, 50, 18, 202, 180, 249, 58, 142, 210, 141, 144, 200, 102, 30, 192, 106, 130, 224, 56, 82, 226, 69, 218, 88, 209, 100, 15, 152, 100, 14, 46, 188, 136, 51, 83, 178, 188, 152, 110, 105, 145, 199, 80, 19, 215, 25, 29, 67, 167, 119, 184, 243, 124, 5, 39, 41, 81, 179, 242, 83, 236, 155, 45, 198, 97, 206, 67, 54, 197, 17, 168, 227, 117, 200, 186, 29, 239, 201, 122, 187, 74, 197, 234, 230, 80, 53, 66, 133, 14, 44, 99, 11, 160, 29, 118, 239, 157, 131, 172, 12, 207, 224, 119, 153, 201, 206, 128, 173, 69, 12, 51, 129, 60, 57, 12, 42, 171, 64, 121, 46, 143, 184, 42, 156, 167, 160, 70, 91, 85, 196, 122, 110, 32, 113, 229, 99, 81, 84, 32, 123, 174, 142, 66, 5, 242, 220, 200, 105, 20, 79, 71, 95, 13, 128, 119, 26 ); type T_RESULT is record Minimum : NATURAL; Count : POSITIVE; end record; type T_RESULT_VECTOR is array(NATURAL range <>) of T_RESULT; constant RESULT : T_RESULT_VECTOR := ( (Minimum => 3, Count => 1), (Minimum => 5, Count => 3), (Minimum => 7, Count => 6), (Minimum => 9, Count => 1), (Minimum => 10, Count => 4), (Minimum => 11, Count => 2), (Minimum => 12, Count => 7), (Minimum => 13, Count => 3) ); constant DEPTH : POSITIVE := RESULT'length; constant DATA_BITS : POSITIVE := 8; constant COUNTER_BITS : POSITIVE := 16; -- component ports signal Clock : STD_LOGIC := '1'; signal Reset : STD_LOGIC := '0'; signal Enable : STD_LOGIC := '0'; signal DataIn : STD_LOGIC_VECTOR(DATA_BITS - 1 downto 0); signal Valids : STD_LOGIC_VECTOR(DEPTH - 1 downto 0); signal Minimums : T_SLM(DEPTH - 1 downto 0, DATA_BITS - 1 downto 0); signal Counts : T_SLM(DEPTH - 1 downto 0, COUNTER_BITS - 1 downto 0); signal Minimums_slvv : T_SLVV_8(DEPTH - 1 downto 0); signal Counts_slvv : T_SLVV_4(DEPTH - 1 downto 0); begin -- component instantiation DUT: entity PoC.stat_Minimum generic map ( DEPTH => DEPTH, DATA_BITS => DATA_BITS, COUNTER_BITS => COUNTER_BITS ) port map ( Clock => Clock, Reset => Reset, Enable => Enable, DataIn => DataIn, Valids => Valids, Minimums => Minimums, Counts => Counts ); Minimums_slvv <= to_slvv_8(Minimums); Counts_slvv <= to_slvv_4(Counts); process procedure cycle is begin Clock <= '1'; wait for 5 ns; Clock <= '0'; wait for 5 ns; end cycle; variable good : BOOLEAN; begin cycle; Reset <= '1'; cycle; Reset <= '0'; cycle; cycle; Enable <= '1'; for i in VALUES'range loop --Enable <= to_sl(VALUES(i) /= 35); DataIn <= to_slv(VALUES(i), DataIn'length); cycle; end loop; cycle; -- test result after all cycles good := (slv_and(Valids) = '1'); for i in RESULT'range loop good := good and (RESULT(i).Minimum = unsigned(Minimums_slvv(i))) and (RESULT(i).Count = unsigned(Counts_slvv(i))); end loop; assert (good = TRUE) report "Test failed." severity note; assert (good = FALSE) report "Test passed." severity note; wait; end process; end;
apache-2.0
hoangt/PoC
src/bus/stream/stream_DeMux.vhdl
2
6302
-- EMACS settings: -*- tab-width: 2; indent-tabs-mode: t -*- -- vim: tabstop=2:shiftwidth=2:noexpandtab -- kate: tab-width 2; replace-tabs off; indent-width 2; -- -- ============================================================================ -- Authors: Patrick Lehmann -- -- Module: A generic buffer module for the PoC.Stream protocol. -- -- Description: -- ------------------------------------ -- This module implements a generic buffer (FifO) for the PoC.Stream protocol. -- It is generic in DATA_BITS and in META_BITS as well as in FifO depths for -- data and meta information. -- -- License: -- ============================================================================ -- Copyright 2007-2015 Technische Universitaet Dresden - Germany -- Chair for VLSI-Design, Diagnostics and Architecture -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS of ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. -- ============================================================================ library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.NUMERIC_STD.all; library PoC; use PoC.config.all; use PoC.utils.all; use PoC.vectors.all; entity Stream_DeMux is generic ( portS : POSITIVE := 2; DATA_BITS : POSITIVE := 8; META_BITS : NATURAL := 8; META_REV_BITS : NATURAL := 2 ); port ( Clock : in STD_LOGIC; Reset : in STD_LOGIC; -- Control interface DeMuxControl : in STD_LOGIC_VECTOR(portS - 1 downto 0); -- IN Port In_Valid : in STD_LOGIC; In_Data : in STD_LOGIC_VECTOR(DATA_BITS - 1 downto 0); In_Meta : in STD_LOGIC_VECTOR(META_BITS - 1 downto 0); In_Meta_rev : out STD_LOGIC_VECTOR(META_REV_BITS - 1 downto 0); In_SOF : in STD_LOGIC; In_EOF : in STD_LOGIC; In_Ack : out STD_LOGIC; -- OUT Ports Out_Valid : out STD_LOGIC_VECTOR(portS - 1 downto 0); Out_Data : out T_SLM(portS - 1 downto 0, DATA_BITS - 1 downto 0); Out_Meta : out T_SLM(portS - 1 downto 0, META_BITS - 1 downto 0); Out_Meta_rev : in T_SLM(portS - 1 downto 0, META_REV_BITS - 1 downto 0); Out_SOF : out STD_LOGIC_VECTOR(portS - 1 downto 0); Out_EOF : out STD_LOGIC_VECTOR(portS - 1 downto 0); Out_Ack : in STD_LOGIC_VECTOR(portS - 1 downto 0) ); end; architecture rtl of Stream_DeMux is attribute KEEP : BOOLEAN; attribute FSM_ENCODING : STRING; subtype T_CHANNEL_INDEX is NATURAL range 0 to portS - 1; type T_STATE is (ST_IDLE, ST_DATAFLOW, ST_DISCARD_FRAME); signal State : T_STATE := ST_IDLE; signal NextState : T_STATE; signal Is_SOF : STD_LOGIC; signal Is_EOF : STD_LOGIC; signal In_Ack_i : STD_LOGIC; signal Out_Valid_i : STD_LOGIC; signal DiscardFrame : STD_LOGIC; signal ChannelPointer_rst : STD_LOGIC; signal ChannelPointer_en : STD_LOGIC; signal ChannelPointer : STD_LOGIC_VECTOR(portS - 1 downto 0); signal ChannelPointer_d : STD_LOGIC_VECTOR(portS - 1 downto 0) := (others => '0'); signal ChannelPointer_bin : UNSIGNED(log2ceilnz(portS) - 1 downto 0); signal idx : T_CHANNEL_INDEX; signal Out_Data_i : T_SLM(portS - 1 downto 0, DATA_BITS - 1 downto 0) := (others => (others => 'Z')); -- necessary default assignment 'Z' to get correct simulation results (iSIM, vSIM, ghdl/gtkwave) signal Out_Meta_i : T_SLM(portS - 1 downto 0, META_BITS - 1 downto 0) := (others => (others => 'Z')); -- necessary default assignment 'Z' to get correct simulation results (iSIM, vSIM, ghdl/gtkwave) begin In_Ack_i <= slv_or(Out_Ack and ChannelPointer); DiscardFrame <= slv_nor(DeMuxControl); Is_SOF <= In_Valid and In_SOF; Is_EOF <= In_Valid and In_EOF; process(Clock) begin if rising_edge(Clock) then if (Reset = '1') then State <= ST_IDLE; else State <= NextState; end if; end if; end process; process(State, In_Ack_i, In_Valid, Is_SOF, Is_EOF, DiscardFrame, DeMuxControl, ChannelPointer_d) begin NextState <= State; ChannelPointer_rst <= Is_EOF; ChannelPointer_en <= '0'; ChannelPointer <= ChannelPointer_d; In_Ack <= '0'; Out_Valid_i <= '0'; case State is when ST_IDLE => ChannelPointer <= DeMuxControl; if (Is_SOF = '1') then if (DiscardFrame = '0') then ChannelPointer_en <= '1'; In_Ack <= In_Ack_i; Out_Valid_i <= '1'; NextState <= ST_DATAFLOW; else In_Ack <= '1'; NextState <= ST_DISCARD_FRAME; end if; end if; when ST_DATAFLOW => In_Ack <= In_Ack_i; Out_Valid_i <= In_Valid; ChannelPointer <= ChannelPointer_d; if (Is_EOF = '1') then NextState <= ST_IDLE; end if; when ST_DISCARD_FRAME => In_Ack <= '1'; if (Is_EOF = '1') then NextState <= ST_IDLE; end if; end case; end process; process(Clock) begin if rising_edge(Clock) then if ((Reset or ChannelPointer_rst) = '1') then ChannelPointer_d <= (others => '0'); else if (ChannelPointer_en = '1') then ChannelPointer_d <= DeMuxControl; end if; end if; end if; end process; ChannelPointer_bin <= onehot2bin(ChannelPointer_d); idx <= to_integer(ChannelPointer_bin); In_Meta_rev <= get_row(Out_Meta_rev, idx); genOutput : for i in 0 to portS - 1 generate Out_Valid(i) <= Out_Valid_i and ChannelPointer(i); assign_row(Out_Data_i, In_Data, i); assign_row(Out_Meta_i, In_Meta, i); Out_SOF(i) <= In_SOF; Out_EOF(i) <= In_EOF; end generate; Out_Data <= Out_Data_i; Out_Meta <= Out_Meta_i; end architecture;
apache-2.0
IAIK/ascon_hardware
caesar_hardware_api/HDL/AEAD/src_rtl_hs/AEAD.vhd
1
2982
------------------------------------------------------------------------------- --! @file AEAD.vhd --! @brief Entity of authenticated encryption unit. --! User should modify the default generics based on the --! design requirements of a target archtiecture of the --! implemented cipher. --! @project CAESAR Candidate Evaluation --! @author Ekawat (ice) Homsirikamol --! @copyright Copyright (c) 2015 Cryptographic Engineering Research Group --! ECE Department, George Mason University Fairfax, VA, U.S.A. --! All rights Reserved. --! @license This project is released under the GNU Public License. --! The license and distribution terms for this file may be --! found in the file LICENSE in this distribution or at --! http://www.gnu.org/licenses/gpl-3.0.txt --! @note This is publicly available encryption source code that falls --! under the License Exception TSU (Technology and software- --! —unrestricted) ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; entity AEAD is generic ( --! I/O size (bits) G_W : integer := 32; --! Public data input G_SW : integer := 32; --! Secret data input --! Reset behavior G_ASYNC_RSTN : boolean := False; --! Async active low reset --! Special features parameters G_ENABLE_PAD : boolean := False; --! Enable padding G_CIPH_EXP : boolean := False; --! Ciphertext expansion G_REVERSE_CIPH : boolean := False; --! Reversed ciphertext G_MERGE_TAG : boolean := False; --! Merge tag with data segment --! Block size (bits) G_ABLK_SIZE : integer := 128; --! Associated data G_DBLK_SIZE : integer := 128; --! Data G_KEY_SIZE : integer := 128; --! Key G_TAG_SIZE : integer := 128; --! Tag --! Padding options G_PAD_STYLE : integer := 0; --! Pad style G_PAD_AD : integer := 1; --! Padding behavior for AD G_PAD_D : integer := 1 --! Padding behavior for Data ); port ( --! Global ports clk : in std_logic; rst : in std_logic; --! Publica data ports pdi_data : in std_logic_vector(G_W -1 downto 0); pdi_valid : in std_logic; pdi_ready : out std_logic; --! Secret data ports sdi_data : in std_logic_vector(G_SW -1 downto 0); sdi_valid : in std_logic; sdi_ready : out std_logic; --! Data out ports do_data : out std_logic_vector(G_W -1 downto 0); do_ready : in std_logic; do_valid : out std_logic ); end AEAD;
apache-2.0
hoangt/PoC
src/xil/xil_Reconfigurator.vhdl
2
6716
-- EMACS settings: -*- tab-width: 2; indent-tabs-mode: t -*- -- vim: tabstop=2:shiftwidth=2:noexpandtab -- kate: tab-width 2; replace-tabs off; indent-width 2; -- -- ============================================================================ -- Authors: Patrick Lehmann -- -- Module: Reconfiguration engine for DRP enabled Xilinx primtives -- -- Description: -- ------------------------------------ -- Many complex primitives in a Xilinx device offer a Dynamic Reconfiguration -- Port (DRP) to reconfigure the primitive at runtime without reconfiguring then -- whole FPGA. -- This module is a DRP master that can be preconfigured at compile time with -- different configuration sets. The configuration sets are mapped into a ROM. -- The user can select a stored configuration with 'ConfigSelect' and sending a -- strobe to 'Reconfig'. The Operation completes with an other strobe on -- 'ReconfigDone'. -- -- License: -- ============================================================================ -- Copyright 2007-2015 Technische Universitaet Dresden - Germany -- Chair for VLSI-Design, Diagnostics and Architecture -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. -- ============================================================================ library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.NUMERIC_STD.all; library PoC; use PoC.config.all; use PoC.utils.all; use PoC.vectors.all; use PoC.physical.all; use PoC.xil.all; entity xil_Reconfigurator is generic ( DEBUG : BOOLEAN := FALSE; -- CLOCK_FREQ : FREQ := 100 MHz; -- CONFIG_ROM : in T_XIL_DRP_CONFIG_ROM := (0 downto 0 => C_XIL_DRP_CONFIG_SET_EMPTY) -- ); port ( Clock : in STD_LOGIC; Reset : in STD_LOGIC; Reconfig : in STD_LOGIC; -- ReconfigDone : out STD_LOGIC; -- ConfigSelect : in STD_LOGIC_VECTOR(log2ceilnz(CONFIG_ROM'length) - 1 downto 0); -- DRP_en : out STD_LOGIC; -- DRP_Address : out T_XIL_DRP_ADDRESS; -- DRP_we : out STD_LOGIC; -- DRP_DataIn : in T_XIL_DRP_DATA; -- DRP_DataOut : out T_XIL_DRP_DATA; -- DRP_Ack : in STD_LOGIC -- ); end; architecture rtl of xil_Reconfigurator is attribute KEEP : BOOLEAN; attribute FSM_ENCODING : STRING; attribute signal_ENCODING : STRING; type T_STATE is ( ST_IDLE, ST_READ_BEGIN, ST_READ_WAIT, ST_WRITE_BEGIN, ST_WRITE_WAIT, ST_DONE ); -- DualConfiguration - Statemachine signal State : T_STATE := ST_IDLE; signal NextState : T_STATE; attribute FSM_ENCODING of State : signal is ite(DEBUG, "gray", "speed1"); signal DataBuffer_en : STD_LOGIC; signal DataBuffer_d : T_XIL_DRP_DATA := (others => '0'); signal ROM_Entry : T_XIL_DRP_CONFIG; signal ROM_LastConfigWord : STD_LOGIC; constant CONFIGINDEX_BITS : POSITIVE := log2ceilnz(CONFIG_ROM'length); signal ConfigIndex_rst : STD_LOGIC; signal ConfigIndex_en : STD_LOGIC; signal ConfigIndex_us : UNSIGNED(CONFIGINDEX_BITS - 1 downto 0); attribute KEEP OF ROM_LastConfigWord : signal IS DEBUG; begin -- configuration ROM blkCONFIG_ROM : block signal SetIndex : inTEGER range 0 to CONFIG_ROM'high; signal RowIndex : T_XIL_DRP_CONFIG_INDEX; attribute KEEP OF SetIndex : signal IS DEBUG; attribute KEEP OF RowIndex : signal IS DEBUG; begin SetIndex <= to_index(ConfigSelect, CONFIG_ROM'high); RowIndex <= to_index(ConfigIndex_us, T_XIL_DRP_CONFIG_INDEX'high); ROM_Entry <= CONFIG_ROM(SetIndex).Configs(RowIndex); ROM_LastConfigWord <= to_sl(RowIndex = CONFIG_ROM(SetIndex).LastIndex); end block; -- configuration index counter process(Clock) begin if rising_edge(Clock) then if ((Reset or ConfigIndex_rst) = '1') then ConfigIndex_us <= (others => '0'); else if (ConfigIndex_en = '1') then ConfigIndex_us <= ConfigIndex_us + 1; end if; end if; end if; end process; -- data buffer for DRP configuration words process(Clock) begin if rising_edge(Clock) then if (Reset = '1') then DataBuffer_d <= (others => '0'); else if (DataBuffer_en = '1') then DataBuffer_d <= ((DRP_DataIn and not ROM_Entry.Mask) or (ROM_Entry.Data and ROM_Entry.Mask)); end if; end if; end if; end process; -- assign DRP signals DRP_Address <= ROM_Entry.Address; DRP_DataOut <= DataBuffer_d; -- DRP read-modify-write statemachine process(Clock) begin if rising_edge(Clock) then if (Reset = '1') then State <= ST_IDLE; else State <= NextState; end if; end if; end process; process(State, Reconfig, ROM_LastConfigWord, DRP_Ack ) begin NextState <= State; ReconfigDone <= '0'; -- Dynamic Reconfiguration Port DRP_en <= '0'; DRP_we <= '0'; -- internal modules ConfigIndex_rst <= '0'; ConfigIndex_en <= '0'; DataBuffer_en <= '0'; case State is when ST_IDLE => if (Reconfig = '1') then ConfigIndex_rst <= '1'; NextState <= ST_READ_BEGIN; end if; when ST_READ_BEGIN => DRP_en <= '1'; DRP_we <= '0'; NextState <= ST_READ_WAIT; when ST_READ_WAIT => if (DRP_Ack = '1') then DataBuffer_en <= '1'; NextState <= ST_WRITE_BEGIN; end if; when ST_WRITE_BEGIN => DRP_en <= '1'; DRP_we <= '1'; NextState <= ST_WRITE_WAIT; when ST_WRITE_WAIT => if (DRP_Ack = '1') then if (ROM_LastConfigWord = '1') then NextState <= ST_DONE; ELSE ConfigIndex_en <= '1'; NextState <= ST_READ_BEGIN; end if; end if; when ST_DONE => ReconfigDone <= '1'; NextState <= ST_IDLE; end case; end process; end;
apache-2.0
IAIK/ascon_hardware
generic_implementation/ascon_fast_bus.vhdl
1
10795
------------------------------------------------------------------------------- -- Title : Bus logic of Ascon module -- Project : ------------------------------------------------------------------------------- -- File : ascon_fast_bus.vhdl -- Author : Hannes Gross <[email protected]> -- Company : -- Created : 2016-05-25 -- Last update: 2016-06-14 -- Platform : -- Standard : VHDL'93/02 ------------------------------------------------------------------------------- -- Copyright 2014 Graz University of Technology -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. ------------------------------------------------------------------------------- -- Revisions : -- Date Version Author Description -- 2016-05-25 1.0 Hannes Gross created ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity ascon is generic ( UNROLED_ROUNDS : integer := 1; --1,2,3,6 KEY_SIZE : integer := 128; DATA_BLOCK_SIZE : integer := 64; ROUNDS_A : integer := 12; ROUNDS_B : integer := 6; DATA_BUS_WIDTH : integer := 32; ADDR_BUS_WIDTH : integer := 8); port ( ClkxCI : in std_logic; RstxRBI : in std_logic; CSxSI : in std_logic; -- active-high chip select WExSI : in std_logic; -- active-high write enable AddressxDI : in std_logic_vector(ADDR_BUS_WIDTH-1 downto 0); DataWritexDI : in std_logic_vector(DATA_BUS_WIDTH-1 downto 0); DataReadxDO : out std_logic_vector(DATA_BUS_WIDTH-1 downto 0)); end entity ascon; architecture structural of ascon is constant CONTROL_STATE_SIZE : integer := 4; constant STATE_WORD_SIZE : integer := 64; constant CONST_UNROLED_R : std_logic_vector(7 downto 0) := std_logic_vector(to_unsigned(UNROLED_ROUNDS, 8)); constant CONST_KEY_SIZE : std_logic_vector(7 downto 0) := std_logic_vector(to_unsigned(KEY_SIZE, 8)); constant CONST_ROUNDS_A : std_logic_vector(7 downto 0) := std_logic_vector(to_unsigned(ROUNDS_A, 8)); constant CONST_ROUNDS_B : std_logic_vector(7 downto 0) := std_logic_vector(to_unsigned(ROUNDS_B, 8)); signal CP_InitxSP, CP_InitxSn : std_logic; signal CP_AssociatexSP, CP_AssociatexSN : std_logic; signal CP_EncryptxSP, CP_EncryptxSN : std_logic; signal CP_DecryptxSP, CP_DecryptxSN : std_logic; signal CP_FinalEncryptxSP, CP_FinalEncryptxSN : std_logic; signal CP_FinalDecryptxSP, CP_FinalDecryptxSN : std_logic; signal KeyxDP, KeyxDN : std_logic_vector(KEY_SIZE-1 downto 0); signal DP_WriteNoncexS : std_logic; signal DP_WriteIODataxS : std_logic; signal CP_DonexS, CP_InitxS, CP_AssociatexSI : std_logic; signal CP_EncryptxS, CP_DecryptxS, CP_FinalEncryptxS : std_logic; signal CP_FinalDecryptxS : std_logic; signal IODataxD : std_logic_vector(DATA_BLOCK_SIZE-1 downto 0); signal StatexD : std_logic_vector(5*STATE_WORD_SIZE-1 downto 0); alias State0xD : std_logic_vector(STATE_WORD_SIZE-1 downto 0) is StatexD(64*1 -1 downto 64*0); alias State1xD : std_logic_vector(STATE_WORD_SIZE-1 downto 0) is StatexD(64*2 -1 downto 64*1); alias State2xD : std_logic_vector(STATE_WORD_SIZE-1 downto 0) is StatexD(64*3 -1 downto 64*2); alias State3xD : std_logic_vector(STATE_WORD_SIZE-1 downto 0) is StatexD(64*4 -1 downto 64*3); alias State4xD : std_logic_vector(STATE_WORD_SIZE-1 downto 0) is StatexD(64*5 -1 downto 64*4); function ZEROS ( constant WIDTH : natural) return std_logic_vector is variable x : std_logic_vector(WIDTH-1 downto 0); begin -- ZEROS x := (others => '0'); return x; end ZEROS; function ROTATE_STATE_WORD ( word : std_logic_vector(STATE_WORD_SIZE-1 downto 0); constant rotate : integer) return std_logic_vector is variable x : std_logic_vector(STATE_WORD_SIZE-1 downto 0); begin -- ROTATE_STATE_WORD x := word(ROTATE-1 downto 0) & word(STATE_WORD_SIZE-1 downto ROTATE); return x; end ROTATE_STATE_WORD; begin -- architecture structural -- purpose: Defines all registers -- type : sequential -- inputs : ClkxCI, RstxRBI, *xDN signals -- outputs: *xDP signals RegisterProc : process (ClkxCI, RstxRBI) is begin -- process RegisterProc if RstxRBI = '0' then -- asynchronous reset (active low) KeyxDP <= (others => '0'); CP_InitxSP <= '0'; CP_AssociatexSP <= '0'; CP_EncryptxSP <= '0'; CP_DecryptxSP <= '0'; CP_FinalEncryptxSP <= '0'; CP_FinalDecryptxSP <= '0'; elsif ClkxCI'event and ClkxCI = '1' then -- rising clock edge KeyxDP <= KeyxDN; CP_InitxSP <= CP_InitxSN; CP_AssociatexSP <= CP_AssociatexSN; CP_EncryptxSP <= CP_EncryptxSN; CP_DecryptxSP <= CP_DecryptxSN; CP_FinalEncryptxSP <= CP_FinalEncryptxSN; CP_FinalDecryptxSP <= CP_FinalDecryptxSN; end if; end process RegisterProc; -- purpose: Glue the internal registers with the bus -- type : combinational DataBusLogicProc : process (AddressxDI, CP_AssociatexSP, CP_DecryptxSP, CP_DonexS, CP_EncryptxSP, CP_FinalDecryptxSP, CP_FinalEncryptxSP, CP_InitxSP, CSxSI, DataWritexDI, DataWritexDI(0), DataWritexDI, IODataxD, KeyxDP, State3xD, State4xD, WExSI) is variable AddressxDV : integer; variable index : integer; begin -- process DataBusLogicProc KeyxDN <= KeyxDP; AddressxDV := to_integer(unsigned(AddressxDI)); index := 0; DataReadxDO <= (others => '0'); DP_WriteNoncexS <= '0'; DP_WriteIODataxS <= '0'; CP_InitxSN <= CP_InitxSP; CP_AssociatexSN <= CP_AssociatexSP; CP_EncryptxSN <= CP_EncryptxSP; CP_DecryptxSN <= CP_DecryptxSP; CP_FinalEncryptxSN <= CP_FinalEncryptxSP; CP_FinalDecryptxSN <= CP_FinalDecryptxSP; if CP_DonexS = '1' then CP_InitxSN <= '0'; CP_AssociatexSN <= '0'; CP_EncryptxSN <= '0'; CP_DecryptxSN <= '0'; CP_FinalEncryptxSN <= '0'; CP_FinalDecryptxSN <= '0'; end if; if CSxSI = '1' then if WExSI = '1' then -- synchronous write if AddressxDV = 2 then -- command register CP_InitxSN <= DataWritexDI(0); CP_AssociatexSN <= DataWritexDI(1); CP_EncryptxSN <= DataWritexDI(2); CP_DecryptxSN <= DataWritexDI(3); CP_FinalEncryptxSN <= DataWritexDI(4); CP_FinalDecryptxSN <= DataWritexDI(5); elsif (AddressxDV >= 4) and (AddressxDV < 8) then -- write the key index := to_integer(unsigned(AddressxDI(1 downto 0))); KeyxDN((index+1)*DATA_BUS_WIDTH-1 downto index*DATA_BUS_WIDTH) <= DataWritexDI; elsif (AddressxDV >= 8) and (AddressxDV < 12) then -- write the nonce DP_WriteNoncexS <= '1'; --elsif (AddressxDV >= 12) and (AddressxDV < 14) then -- -- write the data to de/encrypt and associated data -- DP_WriteIODataxS <= '1'; end if; else -- asynchronous read if AddressxDV = 0 then DataReadxDO <= x"deadbeef"; elsif AddressxDV = 1 then -- status register -- returns 1 if busy DataReadxDO(0) <= CP_InitxSP or CP_AssociatexSP or CP_EncryptxSP or CP_DecryptxSP or CP_FinalEncryptxSP or CP_FinalDecryptxSP; elsif (AddressxDV >= 12) and (AddressxDV < 14) then -- read the de/encrypted data and associated data index := to_integer(unsigned(AddressxDI(0 downto 0))); DataReadxDO <= IODataxD((index+1)*DATA_BUS_WIDTH-1 downto index*DATA_BUS_WIDTH); elsif (AddressxDV >= 16) and (AddressxDV < 20) then -- read the tag if DATA_BUS_WIDTH = 64 then if AddressxDI(1 downto 0) = "00" then DataReadxDO <= State4xD; elsif AddressxDI(1 downto 0) = "01" then DataReadxDO <= State3xD; end if; else -- 128 bit variant DataReadxDO <= State3xD & State4xD; end if; end if; end if; end if; end process DataBusLogicProc; ascon_core_1: entity work.ascon_core generic map ( UNROLED_ROUNDS => UNROLED_ROUNDS, KEY_SIZE => KEY_SIZE, DATA_BLOCK_SIZE => DATA_BLOCK_SIZE, ROUNDS_A => ROUNDS_A, ROUNDS_B => ROUNDS_B, DATA_BUS_WIDTH => DATA_BUS_WIDTH, ADDR_BUS_WIDTH => ADDR_BUS_WIDTH) port map ( ClkxCI => ClkxCI, RstxRBI => RstxRBI, AddressxDI => AddressxDI, DP_WriteNoncexSI => DP_WriteNoncexS, DataWritexDI => DataWritexDI, KeyxDI => KeyxDP, DP_WriteIODataxSI => DP_WriteIODataxS, IODataxDO => IODataxD, CP_DonexSO => CP_DonexS, CP_InitxSI => CP_InitxSP, CP_AssociatexSI => CP_AssociatexSP, CP_EncryptxSI => CP_EncryptxSP, CP_DecryptxSI => CP_DecryptxSP, CP_FinalEncryptxSI => CP_FinalEncryptxSP, CP_FinalDecryptxSI => CP_FinalDecryptxSP, StatexDO => StatexD); end architecture structural;
apache-2.0
Paebbels/PicoBlaze-Library
vhdl/Device/pb_Scaler_Device.vhdl
1
7455
-- EMACS settings: -*- tab-width: 2; indent-tabs-mode: t -*- -- vim: tabstop=2:shiftwidth=2:noexpandtab -- kate: tab-width 2; replace-tabs off; indent-width 2; -- -- ============================================================================= -- ____ _ ____ _ _ _ _ -- | _ \(_) ___ ___ | __ )| | __ _ _______ | | (_) |__ _ __ __ _ _ __ _ _ -- | |_) | |/ __/ _ \| _ \| |/ _` |_ / _ \ | | | | '_ \| '__/ _` | '__| | | | -- | __/| | (_| (_) | |_) | | (_| |/ / __/ | |___| | |_) | | | (_| | | | |_| | -- |_| |_|\___\___/|____/|_|\__,_/___\___| |_____|_|_.__/|_| \__,_|_| \__, | -- |___/ -- ============================================================================= -- Authors: Patrick Lehmann -- -- Module: Scaler (8/16/24/32/40 bit) Device for PicoBlaze -- -- Description: -- ------------------------------------ -- TODO -- -- -- License: -- ============================================================================ -- Copyright 2007-2015 Patrick Lehmann - Dresden, Germany -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. -- ============================================================================ library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.NUMERIC_STD.all; library PoC; use PoC.utils.all; use PoC.vectors.all; use PoC.strings.all; library L_PicoBlaze; use L_PicoBlaze.pb.all; entity pb_Scaler_Device is generic ( DEVICE_INSTANCE : T_PB_DEVICE_INSTANCE; BITS : POSITIVE ); port ( Clock : in STD_LOGIC; Reset : in STD_LOGIC; -- PicoBlaze interface Address : in T_SLV_8; WriteStrobe : in STD_LOGIC; WriteStrobe_K : in STD_LOGIC; ReadStrobe : in STD_LOGIC; DataIn : in T_SLV_8; DataOut : out T_SLV_8; Interrupt : out STD_LOGIC; Interrupt_Ack : in STD_LOGIC; Message : out T_SLV_8 ); end entity; architecture rtl of pb_Scaler_Device is constant REG_RW_A0 : STD_LOGIC_VECTOR(2 downto 0) := "000"; constant REG_RW_A1 : STD_LOGIC_VECTOR(2 downto 0) := "001"; constant REG_RW_A2 : STD_LOGIC_VECTOR(2 downto 0) := "010"; constant REG_RW_A3 : STD_LOGIC_VECTOR(2 downto 0) := "011"; constant REG_RW_A4 : STD_LOGIC_VECTOR(2 downto 0) := "100"; constant REG_WO_MULT : STD_LOGIC_VECTOR(2 downto 0) := "101"; constant REG_WO_DIV : STD_LOGIC_VECTOR(2 downto 0) := "110"; constant REG_RO_STATUS : STD_LOGIC_VECTOR(2 downto 0) := "111"; signal AdrDec_we : STD_LOGIC; signal AdrDec_re : STD_LOGIC; signal AdrDec_WriteAddress : T_SLV_8; signal AdrDec_ReadAddress : T_SLV_8; signal AdrDec_Data : T_SLV_8; constant BYTES : POSITIVE := div_ceil(BITS, 8); constant BITS_A : NATURAL := log2ceil(BYTES); signal Reg_Scaler : STD_LOGIC_VECTOR(BITS - 1 downto 0) := x"0000000000"; signal Reg_Mult : T_SLV_8 := x"00"; signal Reg_Div : T_SLV_8 := x"00"; function getVALUES return T_POSVEC is variable Result : T_POSVEC(0 to 255); begin Result(0) := 1; for i in 1 to Result'high loop Result(I) := I; end loop; return Result; end function; constant MULTS : T_POSVEC := getVALUES; constant DIVS : T_POSVEC := getVALUES; signal MultDiv_Start : STD_LOGIC; signal MultDiv_Start_d : STD_LOGIC := '0'; signal MultDiv_Done : STD_LOGIC; signal MultDiv_Done_d : STD_LOGIC := '0'; signal MultDiv_Done_re : STD_LOGIC; signal MultDiv_Result : STD_LOGIC_VECTOR(BITS - 1 downto 0); begin assert (BITS = 40) -- assert ((BITS = 8) or (BITS = 16) or (BITS = 24) or (BITS = 32) or (BITS = 40)) report "Multiplier size is not supported. Supported sizes: 8, 16, 24, 32, 40. BITS=" & INTEGER'image(BITS) severity failure; AdrDec : entity L_PicoBlaze.PicoBlaze_AddressDecoder generic map ( DEVICE_NAME => str_trim(DEVICE_INSTANCE.DeviceShort), BUS_NAME => str_trim(DEVICE_INSTANCE.BusShort), READ_MAPPINGS => pb_FilterMappings(DEVICE_INSTANCE, PB_MAPPING_KIND_READ), WRITE_MAPPINGS => pb_FilterMappings(DEVICE_INSTANCE, PB_MAPPING_KIND_WRITE), WRITEK_MAPPINGS => pb_FilterMappings(DEVICE_INSTANCE, PB_MAPPING_KIND_WRITEK) ) port map ( Clock => Clock, Reset => Reset, -- PicoBlaze interface In_WriteStrobe => WriteStrobe, In_WriteStrobe_K => WriteStrobe_K, In_ReadStrobe => ReadStrobe, In_Address => Address, In_Data => DataIn, Out_WriteStrobe => AdrDec_we, Out_ReadStrobe => AdrDec_re, Out_WriteAddress => AdrDec_WriteAddress, Out_ReadAddress => AdrDec_ReadAddress, Out_Data => AdrDec_Data ); process(Clock) begin if rising_edge(Clock) then if (Reset = '1') then Reg_Scaler <= (others => '0'); Reg_Mult <= (others => '0'); Reg_Div <= (others => '0'); else if (AdrDec_we = '1') then case AdrDec_WriteAddress(2 downto 0) IS when REG_WO_MULT => Reg_Mult <= AdrDec_Data; when REG_WO_DIV => Reg_Div <= AdrDec_Data; when REG_RW_A0 => Reg_Scaler(7 downto 0) <= AdrDec_Data; when REG_RW_A1 => Reg_Scaler(15 downto 8) <= AdrDec_Data; when REG_RW_A2 => Reg_Scaler(23 downto 16) <= AdrDec_Data; when REG_RW_A3 => Reg_Scaler(31 downto 24) <= AdrDec_Data; when REG_RW_A4 => Reg_Scaler(39 downto 32) <= AdrDec_Data; when others => null; end case; elsif (MultDiv_Done_re = '1') then Reg_Scaler <= MultDiv_Result; end if; end if; end if; end process; MultDiv_Start <= AdrDec_we and to_sl(AdrDec_WriteAddress(2 downto 0) = REG_WO_DIV); MultDiv_Start_d <= MultDiv_Start when rising_edge(Clock); MultDiv_Done_d <= MultDiv_Done when rising_edge(Clock); MultDiv_Done_re <= not MultDiv_Done_d and MultDiv_Done; MultDiv : entity PoC.arith_scaler generic map ( MULS => MULTS, -- The set of multipliers to choose from in scaling operations. DIVS => DIVS -- The set of divisors to choose from in scaling operations. ) port map ( clk => Clock, rst => Reset, start => MultDiv_Start_d, arg => Reg_Scaler, msel => Reg_Mult, dsel => Reg_Div, done => MultDiv_Done, res => MultDiv_Result ); process(AdrDec_re, AdrDec_ReadAddress, Reg_Scaler, MultDiv_Done_d) variable Reg_Scaler_slvv : T_SLVV_8(4 downto 0); begin Reg_Scaler_slvv := to_slvv_8(Reg_Scaler); DataOut <= Reg_Scaler_slvv(to_index(AdrDec_ReadAddress(2 downto 0), Reg_Scaler_slvv'length - 1)); if (AdrDec_ReadAddress(2 downto 0) = REG_RO_STATUS) then DataOut <= "0000000" & MultDiv_Done_d; end if; end process; Interrupt <= MultDiv_Done_re; Message <= x"00"; end;
apache-2.0
project-oak/silveroak
investigations/tutorials/and2/and2.vhdl
1
1057
-- -- Copyright 2019 The Project Oak Authors -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. -- package and2_package is component and2 is port(signal a : in bit; signal b : bit; signal c : out bit); end component and2; end package and2_package; entity and2 is port(signal a : in bit; signal b : bit; signal c : out bit); end entity and2; architecture behavioural of and2 is begin and2_behaviour : process (a, b) is begin c <= a and b; end process and2_behaviour; end architecture behavioural;
apache-2.0
nsensfel/tabellion
data/test/combinational_processes/valid_unsupported.vhd
1
1492
library IEEE; use IEEE.std_logic_1164.all; entity valid is port ( ip0, ip1, ip2, ip3: in std_logic; op0, op1, op2, op3: out std_logic ); end; architecture RTL of valid is signal s0, s1, s2, s3 : std_logic; begin -- Add some vectors. -- Add some enums. s0 <= s1; s0 <= (s1 and s2); process (s0, s1) begin case s1 is when '0' => op0 <= s0; when others => op0 <= s1; end case; end process; process (s0, s1) begin case s1 is when '0' => op0 <= s0; op1 <= (s0 or s1); when others => op1 <= (s1 or '0'); op0 <= s1; end case; end process; process (s0, s1) begin op2 <= '0'; case s1 is when '0' => op0 <= s0; op1 <= (s0 or s1); when others => op1 <= (s1 or '0'); op0 <= s1; op2 <= '1'; end case; end process; process (s0, s1, s2) begin op2 <= '0'; case s1 is when '0' => if (s2 = '0') then op0 <= s0; else op0 <= s1; end if; op1 <= (s0 or s1); when others => op1 <= (s1 or '0'); op0 <= s1; op2 <= '1'; end case; end process; with ip0 select s1 <= ip1 when '0', ip2 when '1', ip3 when others; end;
apache-2.0
Paebbels/PicoBlaze-Library
vhdl/pb_Devices.pkg.vhdl
1
34368
-- EMACS settings: -*- tab-width: 2; indent-tabs-mode: t -*- -- vim: tabstop=2:shiftwidth=2:noexpandtab -- kate: tab-width 2; replace-tabs off; indent-width 2; -- -- ============================================================================= -- ____ _ ____ _ _ _ _ -- | _ \(_) ___ ___ | __ )| | __ _ _______ | | (_) |__ _ __ __ _ _ __ _ _ -- | |_) | |/ __/ _ \| _ \| |/ _` |_ / _ \ | | | | '_ \| '__/ _` | '__| | | | -- | __/| | (_| (_) | |_) | | (_| |/ / __/ | |___| | |_) | | | (_| | | | |_| | -- |_| |_|\___\___/|____/|_|\__,_/___\___| |_____|_|_.__/|_| \__,_|_| \__, | -- |___/ -- ============================================================================= -- Authors: Patrick Lehmann -- -- Package: VHDL package for component declarations, types and -- functions associated to the L_PicoBlaze namespace -- -- Description: -- ------------------------------------ -- For detailed documentation see below. -- -- License: -- ============================================================================ -- Copyright 2007-2015 Patrick Lehmann - Dresden, Germany -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. -- ============================================================================ use STD.TextIO.all; library IEEE; use IEEE.NUMERIC_STD.all; use IEEE.STD_LOGIC_1164.all; use IEEE.STD_LOGIC_TEXTIO.all; library PoC; use PoC.utils.all; use PoC.vectors.all; use PoC.strings.all; library L_PicoBlaze; use L_PicoBlaze.pb.all; package pb_Devices is -- =========================================================================== -- PicoBlaze bus descriptions -- =========================================================================== constant C_PB_BUSSES : T_PB_BUS_VECTOR := ( 0 => pb_CreateBus("Any", "Any", ""), 1 => pb_CreateBus("Intern", "Intern", "Any"), 2 => pb_CreateBus("Extern", "Extern", "Any") ); -- =========================================================================== -- PicoBlaze device descriptions -- =========================================================================== constant PB_DEV_RESET : T_PB_DEVICE; constant PB_DEV_ROM : T_PB_DEVICE; constant PB_DEV_INTERRUPT : T_PB_DEVICE; constant PB_DEV_INTERRUPT8 : T_PB_DEVICE; constant PB_DEV_INTERRUPT16 : T_PB_DEVICE; constant PB_DEV_TIMER : T_PB_DEVICE; constant PB_DEV_MULTIPLIER : T_PB_DEVICE; constant PB_DEV_MULTIPLIER8 : T_PB_DEVICE; constant PB_DEV_MULTIPLIER16 : T_PB_DEVICE; constant PB_DEV_MULTIPLIER24 : T_PB_DEVICE; constant PB_DEV_MULTIPLIER32 : T_PB_DEVICE; constant PB_DEV_ACCUMULATOR16 : T_PB_DEVICE; constant PB_DEV_DIVIDER : T_PB_DEVICE; constant PB_DEV_DIVIDER8 : T_PB_DEVICE; constant PB_DEV_DIVIDER16 : T_PB_DEVICE; constant PB_DEV_DIVIDER24 : T_PB_DEVICE; constant PB_DEV_DIVIDER32 : T_PB_DEVICE; -- constant PB_DEV_SCALER16 : T_PB_DEVICE; -- constant PB_DEV_SCALER32 : T_PB_DEVICE; constant PB_DEV_SCALER40 : T_PB_DEVICE; constant PB_DEV_CONVERTER_BCD : T_PB_DEVICE; constant PB_DEV_CONVERTER_BCD24 : T_PB_DEVICE; constant PB_DEV_GPIO : T_PB_DEVICE; constant PB_DEV_BIT_BANGING_IO : T_PB_DEVICE; constant PB_DEV_BIT_BANGING_IO8 : T_PB_DEVICE; constant PB_DEV_BIT_BANGING_IO16 : T_PB_DEVICE; constant PB_DEV_LCDISPLAY : T_PB_DEVICE; constant PB_DEV_UART : T_PB_DEVICE; -- constant PB_DEV_UARTSTREAM : T_PB_DEVICE; constant PB_DEV_IICCONTROLLER : T_PB_DEVICE; -- constant PB_DEV_MDIOCONTROLLER : T_PB_DEVICE; constant PB_DEV_DRP : T_PB_DEVICE; constant PB_DEV_FREQM : T_PB_DEVICE; constant PB_DEV_BCDCOUNTER : T_PB_DEVICE; end package; package body pb_Devices is -- =========================================================================== -- PicoBlaze device descriptions -- =========================================================================== -- Reset Circuit -- --------------------------------------------------------------------------- constant PB_DEV_RESET_FIELDS : T_PB_REGISTER_FIELD_VECTOR := ( 0 => pb_CreateRegisterField("Reset", "Reset", 8) ); constant PB_DEV_RESET : T_PB_DEVICE := pb_CreateDevice( DeviceName => "Reset Circuit", DeviceShort => "Reset", Registers => ( pb_CreateRegisterWK("Reset", 0, PB_DEV_RESET_FIELDS, "Reset", 0)), RegisterFields => PB_DEV_RESET_FIELDS ); -- Instruction ROM -- --------------------------------------------------------------------------- constant PB_DEV_ROM_FIELDS : T_PB_REGISTER_FIELD_VECTOR := ( 0 => pb_CreateRegisterField("PageNumber", "PageNumber", 3) ); constant PB_DEV_ROM : T_PB_DEVICE := pb_CreateDevice( DeviceName => "Instruction ROM", DeviceShort => "InstROM", Registers => ( pb_CreateRegisterRWK("PageNumber", 0, PB_DEV_ROM_FIELDS, "PageNumber", 5)), RegisterFields => PB_DEV_ROM_FIELDS ); -- InterruptController -- --------------------------------------------------------------------------- constant PB_DEV_INTERRUPT8_FIELDS : T_PB_REGISTER_FIELD_VECTOR := ( pb_CreateWriteOnlyField("Interrupt Enable", "IntEnable", 8) & pb_CreateWriteOnlyField("Interrupt Disable", "IntDisable", 8) & pb_CreateReadOnlyField("Interrupt Enable Mask", "IntMask", 8) & pb_CreateReadOnlyField("Interrupt Source", "IntSource", 8) ); constant PB_DEV_INTERRUPT16_FIELDS : T_PB_REGISTER_FIELD_VECTOR := ( pb_CreateWriteOnlyField("Interrupt Enable", "IntEnable", 16) & pb_CreateWriteOnlyField("Interrupt Disable", "IntDisable", 16) & pb_CreateReadOnlyField("Interrupt Enable Mask", "IntMask", 16) & pb_CreateReadOnlyField("Interrupt Source", "IntSource", 8) ); constant PB_DEV_INTERRUPT8 : T_PB_DEVICE := pb_CreateDevice( DeviceName => "Interrupt Controller (8 ports)", DeviceShort => "IntC8", Registers => ( pb_CreateRegisterWK("IntEnable0", 0, PB_DEV_INTERRUPT8_FIELDS, "IntEnable", 0) & pb_CreateRegisterWK("IntDisable0", 1, PB_DEV_INTERRUPT8_FIELDS, "IntDisable", 0) & pb_CreateRegisterRO("IntMask0", 0, PB_DEV_INTERRUPT8_FIELDS, "IntMask", 0) & pb_CreateRegisterRO("IntSource", 1, PB_DEV_INTERRUPT8_FIELDS, "IntSource", 0)), RegisterFields => PB_DEV_INTERRUPT8_FIELDS ); constant PB_DEV_INTERRUPT16 : T_PB_DEVICE := pb_CreateDevice( DeviceName => "Interrupt Controller (16 ports)", DeviceShort => "IntC16", Registers => ( pb_CreateRegisterWK("IntEnable0", 0, PB_DEV_INTERRUPT16_FIELDS, "IntEnable", 0) & pb_CreateRegisterWK("IntEnable1", 1, PB_DEV_INTERRUPT16_FIELDS, "IntEnable", 8) & pb_CreateRegisterWK("IntDisable0", 2, PB_DEV_INTERRUPT16_FIELDS, "IntDisable", 0) & pb_CreateRegisterWK("IntDisable1", 3, PB_DEV_INTERRUPT16_FIELDS, "IntDisable", 8) & pb_CreateRegisterRO("IntMask0", 0, PB_DEV_INTERRUPT16_FIELDS, "IntMask", 0) & pb_CreateRegisterRO("IntMask1", 1, PB_DEV_INTERRUPT16_FIELDS, "IntMask", 8) & pb_CreateRegisterRO("IntSource", 2, PB_DEV_INTERRUPT16_FIELDS, "IntSource", 0)), RegisterFields => PB_DEV_INTERRUPT16_FIELDS ); -- Timer -- --------------------------------------------------------------------------- constant PB_DEV_TIMER_FIELDS : T_PB_REGISTER_FIELD_VECTOR := ( pb_CreateWriteOnlyField("Control", "Control", 8) & pb_CreateWriteOnlyField("Max Value", "MaxValue", 16) & pb_CreateReadOnlyField("Current Value", "CurValue", 16) ); constant PB_DEV_TIMER : T_PB_DEVICE := pb_CreateDevice( DeviceName => "Timer", DeviceShort => "Timer", Registers => ( pb_CreateRegisterWK("Control", 0, PB_DEV_TIMER_FIELDS, "Control", 0) & pb_CreateRegisterWO("MaxValue0", 2, PB_DEV_TIMER_FIELDS, "MaxValue", 0) & pb_CreateRegisterWO("MaxValue1", 3, PB_DEV_TIMER_FIELDS, "MaxValue", 8) & pb_CreateRegisterRO("CurValue0", 2, PB_DEV_TIMER_FIELDS, "CurValue", 0) & pb_CreateRegisterRO("CurValue1", 3, PB_DEV_TIMER_FIELDS, "CurValue", 8)), RegisterFields => PB_DEV_TIMER_FIELDS, CreatesInterrupt => TRUE ); -- Multiplier (8/16/24/32 bit) -- --------------------------------------------------------------------------- constant PB_DEV_MULTIPLIER8_FIELDS : T_PB_REGISTER_FIELD_VECTOR := ( pb_CreateWriteOnlyField("Operand A", "OperandA", 8) & pb_CreateWriteOnlyField("Operand B", "OperandB", 8) & pb_CreateReadOnlyField("Result R", "Result", 16) ); constant PB_DEV_MULTIPLIER16_FIELDS : T_PB_REGISTER_FIELD_VECTOR := ( pb_CreateWriteOnlyField("Operand A", "OperandA", 16) & pb_CreateWriteOnlyField("Operand B", "OperandB", 16) & pb_CreateReadOnlyField("Result R", "Result", 32) ); constant PB_DEV_MULTIPLIER24_FIELDS : T_PB_REGISTER_FIELD_VECTOR := ( pb_CreateWriteOnlyField("Operand A", "OperandA", 24) & pb_CreateWriteOnlyField("Operand B", "OperandB", 24) & pb_CreateReadOnlyField("Result R", "Result", 48) ); constant PB_DEV_MULTIPLIER32_FIELDS : T_PB_REGISTER_FIELD_VECTOR := ( pb_CreateWriteOnlyField("Operand A", "OperandA", 32) & pb_CreateWriteOnlyField("Operand B", "OperandB", 32) & pb_CreateReadOnlyField("Result R", "Result", 64) ); constant PB_DEV_MULTIPLIER8 : T_PB_DEVICE := pb_CreateDevice( DeviceName => "Multiplier (8 bit)", DeviceShort => "Mult8", Registers => ( pb_CreateRegisterWO("OperandA0", 0, PB_DEV_MULTIPLIER8_FIELDS, "OperandA", 0) & pb_CreateRegisterWO("OperandB0", 2, PB_DEV_MULTIPLIER8_FIELDS, "OperandB", 0) & pb_CreateRegisterRO("Result0", 0, PB_DEV_MULTIPLIER8_FIELDS, "Result", 0) & pb_CreateRegisterRO("Result1", 1, PB_DEV_MULTIPLIER8_FIELDS, "Result", 8)), RegisterFields => PB_DEV_MULTIPLIER8_FIELDS ); constant PB_DEV_MULTIPLIER16 : T_PB_DEVICE := pb_CreateDevice( DeviceName => "Multiplier (16 bit)", DeviceShort => "Mult16", Registers => ( pb_CreateRegisterWO("OperandA0", 0, PB_DEV_MULTIPLIER16_FIELDS, "OperandA", 0) & pb_CreateRegisterWO("OperandA1", 1, PB_DEV_MULTIPLIER16_FIELDS, "OperandA", 8) & pb_CreateRegisterWO("OperandB0", 2, PB_DEV_MULTIPLIER16_FIELDS, "OperandB", 0) & pb_CreateRegisterWO("OperandB1", 3, PB_DEV_MULTIPLIER16_FIELDS, "OperandB", 8) & pb_CreateRegisterRO("Result0", 0, PB_DEV_MULTIPLIER16_FIELDS, "Result", 0) & pb_CreateRegisterRO("Result1", 1, PB_DEV_MULTIPLIER16_FIELDS, "Result", 8) & pb_CreateRegisterRO("Result2", 2, PB_DEV_MULTIPLIER16_FIELDS, "Result", 16) & pb_CreateRegisterRO("Result3", 3, PB_DEV_MULTIPLIER16_FIELDS, "Result", 24)), RegisterFields => PB_DEV_MULTIPLIER16_FIELDS ); constant PB_DEV_MULTIPLIER24 : T_PB_DEVICE := pb_CreateDevice( DeviceName => "Multiplier (24 bit)", DeviceShort => "Mult24", Registers => ( pb_CreateRegisterWO("OperandA0", 0, PB_DEV_MULTIPLIER24_FIELDS, "OperandA", 0) & pb_CreateRegisterWO("OperandA1", 1, PB_DEV_MULTIPLIER24_FIELDS, "OperandA", 8) & pb_CreateRegisterWO("OperandA2", 2, PB_DEV_MULTIPLIER24_FIELDS, "OperandA", 16) & pb_CreateRegisterWO("OperandB0", 3, PB_DEV_MULTIPLIER24_FIELDS, "OperandB", 0) & pb_CreateRegisterWO("OperandB1", 4, PB_DEV_MULTIPLIER24_FIELDS, "OperandB", 8) & pb_CreateRegisterWO("OperandB2", 5, PB_DEV_MULTIPLIER24_FIELDS, "OperandB", 16) & pb_CreateRegisterRO("Result0", 0, PB_DEV_MULTIPLIER24_FIELDS, "Result", 0) & pb_CreateRegisterRO("Result1", 1, PB_DEV_MULTIPLIER24_FIELDS, "Result", 8) & pb_CreateRegisterRO("Result2", 2, PB_DEV_MULTIPLIER24_FIELDS, "Result", 16) & pb_CreateRegisterRO("Result3", 3, PB_DEV_MULTIPLIER24_FIELDS, "Result", 24) & pb_CreateRegisterRO("Result4", 4, PB_DEV_MULTIPLIER24_FIELDS, "Result", 32) & pb_CreateRegisterRO("Result5", 5, PB_DEV_MULTIPLIER24_FIELDS, "Result", 40)), RegisterFields => PB_DEV_MULTIPLIER24_FIELDS ); constant PB_DEV_MULTIPLIER32 : T_PB_DEVICE := pb_CreateDevice( DeviceName => "Multiplier (32 bit)", DeviceShort => "Mult32", Registers => ( pb_CreateRegisterWO("OperandA0", 0, PB_DEV_MULTIPLIER32_FIELDS, "OperandA", 0) & pb_CreateRegisterWO("OperandA1", 1, PB_DEV_MULTIPLIER32_FIELDS, "OperandA", 8) & pb_CreateRegisterWO("OperandA2", 2, PB_DEV_MULTIPLIER32_FIELDS, "OperandA", 16) & pb_CreateRegisterWO("OperandA3", 3, PB_DEV_MULTIPLIER32_FIELDS, "OperandA", 24) & pb_CreateRegisterWO("OperandB0", 4, PB_DEV_MULTIPLIER32_FIELDS, "OperandB", 0) & pb_CreateRegisterWO("OperandB1", 5, PB_DEV_MULTIPLIER32_FIELDS, "OperandB", 8) & pb_CreateRegisterWO("OperandB2", 6, PB_DEV_MULTIPLIER32_FIELDS, "OperandB", 16) & pb_CreateRegisterWO("OperandB3", 7, PB_DEV_MULTIPLIER32_FIELDS, "OperandB", 24) & pb_CreateRegisterRO("Result0", 0, PB_DEV_MULTIPLIER32_FIELDS, "Result", 0) & pb_CreateRegisterRO("Result1", 1, PB_DEV_MULTIPLIER32_FIELDS, "Result", 8) & pb_CreateRegisterRO("Result2", 2, PB_DEV_MULTIPLIER32_FIELDS, "Result", 16) & pb_CreateRegisterRO("Result3", 3, PB_DEV_MULTIPLIER32_FIELDS, "Result", 24) & pb_CreateRegisterRO("Result4", 4, PB_DEV_MULTIPLIER32_FIELDS, "Result", 32) & pb_CreateRegisterRO("Result5", 5, PB_DEV_MULTIPLIER32_FIELDS, "Result", 40) & pb_CreateRegisterRO("Result6", 6, PB_DEV_MULTIPLIER32_FIELDS, "Result", 48) & pb_CreateRegisterRO("Result7", 7, PB_DEV_MULTIPLIER32_FIELDS, "Result", 56)), RegisterFields => PB_DEV_MULTIPLIER32_FIELDS ); -- Accumulator (16 bit) -- --------------------------------------------------------------------------- constant PB_DEV_ACCUMULATOR16_FIELDS : T_PB_REGISTER_FIELD_VECTOR := ( pb_CreateWriteOnlyField("Operation", "Operation", 8) & pb_CreateWriteOnlyField("Operand A", "OperandA", 16) & pb_CreateWriteOnlyField("Operand B", "OperandB", 16) & pb_CreateWriteOnlyField("Operand C", "OperandC", 16) & pb_CreateReadOnlyField("Result R", "Result", 16) ); constant PB_DEV_ACCUMULATOR16 : T_PB_DEVICE := pb_CreateDevice( DeviceName => "Accumulator (16 bit)", DeviceShort => "Accu16", Registers => ( pb_CreateRegisterWO("OperandA0", 0, PB_DEV_ACCUMULATOR16_FIELDS, "OperandA", 0) & pb_CreateRegisterWO("OperandA1", 1, PB_DEV_ACCUMULATOR16_FIELDS, "OperandA", 8) & pb_CreateRegisterWO("OperandB0", 2, PB_DEV_ACCUMULATOR16_FIELDS, "OperandB", 0) & pb_CreateRegisterWO("OperandB1", 3, PB_DEV_ACCUMULATOR16_FIELDS, "OperandB", 8) & pb_CreateRegisterWO("OperandC0", 2, PB_DEV_ACCUMULATOR16_FIELDS, "OperandC", 0) & pb_CreateRegisterWO("OperandC1", 3, PB_DEV_ACCUMULATOR16_FIELDS, "OperandC", 8) & pb_CreateRegisterRO("Result0", 0, PB_DEV_ACCUMULATOR16_FIELDS, "Result", 0) & pb_CreateRegisterRO("Result1", 1, PB_DEV_ACCUMULATOR16_FIELDS, "Result", 8)), RegisterFields => PB_DEV_ACCUMULATOR16_FIELDS ); -- Divider (8/16/24/32 bit) -- --------------------------------------------------------------------------- constant PB_DEV_DIVIDER8_FIELDS : T_PB_REGISTER_FIELD_VECTOR := ( pb_CreateWriteOnlyField("Operand A", "OperandA", 8) & pb_CreateWriteOnlyField("Operand B", "OperandB", 8) & pb_CreateReadOnlyField("Result R", "Result", 8) & pb_CreateReadOnlyField("Status", "Status", 8) ); constant PB_DEV_DIVIDER16_FIELDS : T_PB_REGISTER_FIELD_VECTOR := ( pb_CreateWriteOnlyField("Operand A", "OperandA", 16) & pb_CreateWriteOnlyField("Operand B", "OperandB", 16) & pb_CreateReadOnlyField("Result R", "Result", 16) & pb_CreateReadOnlyField("Status", "Status", 8) ); constant PB_DEV_DIVIDER24_FIELDS : T_PB_REGISTER_FIELD_VECTOR := ( pb_CreateWriteOnlyField("Operand A", "OperandA", 24) & pb_CreateWriteOnlyField("Operand B", "OperandB", 24) & pb_CreateReadOnlyField("Result R", "Result", 24) & pb_CreateReadOnlyField("Status", "Status", 8) ); constant PB_DEV_DIVIDER32_FIELDS : T_PB_REGISTER_FIELD_VECTOR := ( pb_CreateWriteOnlyField("Operand A", "OperandA", 32) & pb_CreateWriteOnlyField("Operand B", "OperandB", 32) & pb_CreateReadOnlyField("Result R", "Result", 32) & pb_CreateReadOnlyField("Status", "Status", 8) ); constant PB_DEV_DIVIDER8 : T_PB_DEVICE := pb_CreateDevice( DeviceName => "Divider (8 bit)", DeviceShort => "Div8", Registers => ( pb_CreateRegisterWO("OperandA0", 0, PB_DEV_DIVIDER8_FIELDS, "OperandA", 0) & pb_CreateRegisterWO("OperandB0", 2, PB_DEV_DIVIDER8_FIELDS, "OperandB", 0) & pb_CreateRegisterRO("Result0", 0, PB_DEV_DIVIDER8_FIELDS, "Result", 0) & pb_CreateRegisterRO("Status", 3, PB_DEV_DIVIDER8_FIELDS, "Status", 0)), RegisterFields => PB_DEV_DIVIDER8_FIELDS, CreatesInterrupt => TRUE ); constant PB_DEV_DIVIDER16 : T_PB_DEVICE := pb_CreateDevice( DeviceName => "Divider (16 bit)", DeviceShort => "Div16", Registers => ( pb_CreateRegisterWO("OperandA0", 0, PB_DEV_DIVIDER16_FIELDS, "OperandA", 0) & pb_CreateRegisterWO("OperandA1", 1, PB_DEV_DIVIDER16_FIELDS, "OperandA", 8) & pb_CreateRegisterWO("OperandB0", 2, PB_DEV_DIVIDER16_FIELDS, "OperandB", 0) & pb_CreateRegisterWO("OperandB1", 3, PB_DEV_DIVIDER16_FIELDS, "OperandB", 8) & pb_CreateRegisterRO("Result0", 0, PB_DEV_DIVIDER16_FIELDS, "Result", 0) & pb_CreateRegisterRO("Result1", 1, PB_DEV_DIVIDER16_FIELDS, "Result", 8) & pb_CreateRegisterRO("Status", 3, PB_DEV_DIVIDER16_FIELDS, "Status", 0)), RegisterFields => PB_DEV_DIVIDER16_FIELDS, CreatesInterrupt => TRUE ); constant PB_DEV_DIVIDER24 : T_PB_DEVICE := pb_CreateDevice( DeviceName => "Divider (24 bit)", DeviceShort => "Div24", Registers => ( pb_CreateRegisterWO("OperandA0", 0, PB_DEV_DIVIDER24_FIELDS, "OperandA", 0) & pb_CreateRegisterWO("OperandA1", 1, PB_DEV_DIVIDER24_FIELDS, "OperandA", 8) & pb_CreateRegisterWO("OperandA2", 2, PB_DEV_DIVIDER24_FIELDS, "OperandA", 16) & pb_CreateRegisterWO("OperandB0", 3, PB_DEV_DIVIDER24_FIELDS, "OperandB", 0) & pb_CreateRegisterWO("OperandB1", 4, PB_DEV_DIVIDER24_FIELDS, "OperandB", 8) & pb_CreateRegisterWO("OperandB2", 5, PB_DEV_DIVIDER24_FIELDS, "OperandB", 16) & pb_CreateRegisterRO("Result0", 0, PB_DEV_DIVIDER24_FIELDS, "Result", 0) & pb_CreateRegisterRO("Result1", 1, PB_DEV_DIVIDER24_FIELDS, "Result", 8) & pb_CreateRegisterRO("Result2", 2, PB_DEV_DIVIDER24_FIELDS, "Result", 16) & pb_CreateRegisterRO("Status", 5, PB_DEV_DIVIDER24_FIELDS, "Status", 0)), RegisterFields => PB_DEV_DIVIDER24_FIELDS, CreatesInterrupt => TRUE ); constant PB_DEV_DIVIDER32 : T_PB_DEVICE := pb_CreateDevice( DeviceName => "Divider (32 bit)", DeviceShort => "Div32", Registers => ( pb_CreateRegisterWO("OperandA0", 0, PB_DEV_DIVIDER32_FIELDS, "OperandA", 0) & pb_CreateRegisterWO("OperandA1", 1, PB_DEV_DIVIDER32_FIELDS, "OperandA", 8) & pb_CreateRegisterWO("OperandA2", 2, PB_DEV_DIVIDER32_FIELDS, "OperandA", 16) & pb_CreateRegisterWO("OperandA3", 3, PB_DEV_DIVIDER32_FIELDS, "OperandA", 24) & pb_CreateRegisterWO("OperandB0", 4, PB_DEV_DIVIDER32_FIELDS, "OperandB", 0) & pb_CreateRegisterWO("OperandB1", 5, PB_DEV_DIVIDER32_FIELDS, "OperandB", 8) & pb_CreateRegisterWO("OperandB2", 6, PB_DEV_DIVIDER32_FIELDS, "OperandB", 16) & pb_CreateRegisterWO("OperandB3", 7, PB_DEV_DIVIDER32_FIELDS, "OperandB", 24) & pb_CreateRegisterRO("Result0", 0, PB_DEV_DIVIDER32_FIELDS, "Result", 0) & pb_CreateRegisterRO("Result1", 1, PB_DEV_DIVIDER32_FIELDS, "Result", 8) & pb_CreateRegisterRO("Result2", 2, PB_DEV_DIVIDER32_FIELDS, "Result", 16) & pb_CreateRegisterRO("Result3", 3, PB_DEV_DIVIDER32_FIELDS, "Result", 24) & pb_CreateRegisterRO("Status", 7, PB_DEV_DIVIDER32_FIELDS, "Status", 0)), RegisterFields => PB_DEV_DIVIDER32_FIELDS, CreatesInterrupt => TRUE ); -- Scaler (40 bit) -- --------------------------------------------------------------------------- constant PB_DEV_SCALER40_FIELDS : T_PB_REGISTER_FIELD_VECTOR := ( pb_CreateWriteOnlyField("Operand A", "OperandA", 40) & pb_CreateWriteOnlyField("Multiplicator", "Mult", 8) & pb_CreateWriteOnlyField("Divisor", "Div", 8) & pb_CreateWriteOnlyField("Command", "Command", 8) & pb_CreateReadOnlyField("Result R", "Result", 40) & pb_CreateReadOnlyField("Status", "Status", 8) ); constant PB_DEV_SCALER40 : T_PB_DEVICE := pb_CreateDevice( DeviceName => "Scaler (40 bit)", DeviceShort => "Scaler40", Registers => ( pb_CreateRegisterWO("OperandA0", 0, PB_DEV_SCALER40_FIELDS, "OperandA", 0) & pb_CreateRegisterWO("OperandA1", 1, PB_DEV_SCALER40_FIELDS, "OperandA", 8) & pb_CreateRegisterWO("OperandA2", 2, PB_DEV_SCALER40_FIELDS, "OperandA", 16) & pb_CreateRegisterWO("OperandA3", 3, PB_DEV_SCALER40_FIELDS, "OperandA", 24) & pb_CreateRegisterWO("OperandA4", 4, PB_DEV_SCALER40_FIELDS, "OperandA", 32) & pb_CreateRegisterWO("Mult", 5, PB_DEV_SCALER40_FIELDS, "Mult", 0) & pb_CreateRegisterWO("Div", 6, PB_DEV_SCALER40_FIELDS, "Div", 0) & pb_CreateRegisterWO("Command", 7, PB_DEV_SCALER40_FIELDS, "Command", 0) & pb_CreateRegisterRO("Result0", 0, PB_DEV_SCALER40_FIELDS, "Result", 0) & pb_CreateRegisterRO("Result1", 1, PB_DEV_SCALER40_FIELDS, "Result", 8) & pb_CreateRegisterRO("Result2", 2, PB_DEV_SCALER40_FIELDS, "Result", 16) & pb_CreateRegisterRO("Result3", 3, PB_DEV_SCALER40_FIELDS, "Result", 24) & pb_CreateRegisterRO("Result4", 4, PB_DEV_SCALER40_FIELDS, "Result", 32) & pb_CreateRegisterRO("Status", 7, PB_DEV_SCALER40_FIELDS, "Status", 0)), RegisterFields => PB_DEV_SCALER40_FIELDS, CreatesInterrupt => TRUE ); -- Converter Bin2BCD (24 bit) -- --------------------------------------------------------------------------- constant PB_DEV_CONVERTER_BCD24_FIELDS : T_PB_REGISTER_FIELD_VECTOR := ( pb_CreateWriteOnlyField("Operand", "OperandA", 24) & pb_CreateReadOnlyField("Result", "Result", 28) & pb_CreateReadOnlyField("Status", "Status", 4) ); constant PB_DEV_CONVERTER_BCD24 : T_PB_DEVICE := pb_CreateDevice( DeviceName => "Converter Bin2BCD (24 bit)", DeviceShort => "ConvBCD24", Registers => ( pb_CreateRegisterWO("OperandA0", 0, PB_DEV_CONVERTER_BCD24_FIELDS, "OperandA", 0) & pb_CreateRegisterWO("OperandA1", 1, PB_DEV_CONVERTER_BCD24_FIELDS, "OperandA", 8) & pb_CreateRegisterWO("OperandA2", 2, PB_DEV_CONVERTER_BCD24_FIELDS, "OperandA", 16) & pb_CreateRegisterRO("Result0", 0, PB_DEV_CONVERTER_BCD24_FIELDS, "Result", 0) & pb_CreateRegisterRO("Result1", 1, PB_DEV_CONVERTER_BCD24_FIELDS, "Result", 8) & pb_CreateRegisterRO("Result2", 2, PB_DEV_CONVERTER_BCD24_FIELDS, "Result", 16) & -- pb_CreateRegisterRO("Result3", 3, PB_DEV_CONVERTER_BCD24_FIELDS, "Result", 24) & pb_CreateRegisterRO("Status", 3, PB_DEV_CONVERTER_BCD24_FIELDS, "Status", 0)), RegisterFields => PB_DEV_CONVERTER_BCD24_FIELDS, CreatesInterrupt => TRUE ); -- General Purpose I/O -- --------------------------------------------------------------------------- constant PB_DEV_GPIO_FIELDS : T_PB_REGISTER_FIELD_VECTOR := ( pb_CreateRegisterField("GPIO DataOut", "DataOut", 8) & pb_CreateReadOnlyField("GPIO DataIn", "DataIn", 8) & pb_CreateWriteOnlyField("Interrupt Enable", "IntEnable", 8) ); constant PB_DEV_GPIO : T_PB_DEVICE := pb_CreateDevice( DeviceName => "General Purpose I/O", DeviceShort => "GPIO", Registers => ( pb_CreateRegisterRWK("DataOut", 0, PB_DEV_GPIO_FIELDS, "DataOut", 0) & pb_CreateRegisterRO("DataIn", 1, PB_DEV_GPIO_FIELDS, "DataIn", 0) & pb_CreateRegisterWO("IntEnable", 1, PB_DEV_GPIO_FIELDS, "IntEnable", 0)), RegisterFields => PB_DEV_GPIO_FIELDS, CreatesInterrupt => TRUE ); -- Bit Banging I/O (8 bit) -- --------------------------------------------------------------------------- constant PB_DEV_BIT_BANGING_IO8_FIELDS : T_PB_REGISTER_FIELD_VECTOR := ( pb_CreateWriteOnlyField("BBIO Set", "Set", 8) & pb_CreateWriteOnlyField("BBIO Clear", "Clear", 8) & pb_CreateReadOnlyField("BBIO DataOut", "DataOut", 8) & pb_CreateReadOnlyField("BBIO DataIn", "DataIn", 8) ); constant PB_DEV_BIT_BANGING_IO8 : T_PB_DEVICE := pb_CreateDevice( DeviceName => "Bit Banging I/O", DeviceShort => "BBIO8", Registers => ( pb_CreateRegisterWK("Set", 0, PB_DEV_BIT_BANGING_IO8_FIELDS, "Set", 0) & pb_CreateRegisterWK("Clear", 1, PB_DEV_BIT_BANGING_IO8_FIELDS, "Clear", 0) & pb_CreateRegisterRO("DataOut", 0, PB_DEV_BIT_BANGING_IO8_FIELDS, "DataOut", 0) & pb_CreateRegisterRO("DataIn", 1, PB_DEV_BIT_BANGING_IO8_FIELDS, "DataIn", 0)), RegisterFields => PB_DEV_BIT_BANGING_IO8_FIELDS ); -- Bit Banging I/O (16 bit) -- --------------------------------------------------------------------------- constant PB_DEV_BIT_BANGING_IO16_FIELDS : T_PB_REGISTER_FIELD_VECTOR := ( pb_CreateWriteOnlyField("BBIO Set", "Set", 16) & pb_CreateWriteOnlyField("BBIO Clear", "Clear", 16) & pb_CreateReadOnlyField("BBIO DataOut", "DataOut", 16) & pb_CreateReadOnlyField("BBIO DataIn", "DataIn", 16) ); constant PB_DEV_BIT_BANGING_IO16 : T_PB_DEVICE := pb_CreateDevice( DeviceName => "Bit Banging I/O", DeviceShort => "BBIO16", Registers => ( pb_CreateRegisterWK("Set0", 0, PB_DEV_BIT_BANGING_IO16_FIELDS, "Set", 0) & pb_CreateRegisterWK("Set1", 1, PB_DEV_BIT_BANGING_IO16_FIELDS, "Set", 8) & pb_CreateRegisterWK("Clear0", 2, PB_DEV_BIT_BANGING_IO16_FIELDS, "Clear", 0) & pb_CreateRegisterWK("Clear1", 3, PB_DEV_BIT_BANGING_IO16_FIELDS, "Clear", 8) & pb_CreateRegisterRO("DataOut0", 0, PB_DEV_BIT_BANGING_IO16_FIELDS, "DataOut", 0) & pb_CreateRegisterRO("DataOut1", 1, PB_DEV_BIT_BANGING_IO16_FIELDS, "DataOut", 8) & pb_CreateRegisterRO("DataIn0", 2, PB_DEV_BIT_BANGING_IO16_FIELDS, "DataIn", 0) & pb_CreateRegisterRO("DataIn1", 3, PB_DEV_BIT_BANGING_IO16_FIELDS, "DataIn", 8)), RegisterFields => PB_DEV_BIT_BANGING_IO16_FIELDS ); -- LC-Display -- --------------------------------------------------------------------------- constant PB_DEV_LCDISPLAY_FIELDS : T_PB_REGISTER_FIELD_VECTOR := ( pb_CreateWriteOnlyField("Command", "Command", 8) & pb_CreateWriteOnlyField("Data", "Data", 8) ); constant PB_DEV_LCDISPLAY : T_PB_DEVICE := pb_CreateDevice( DeviceName => "LC Display Controller", DeviceShort => "LCD", Registers => ( pb_CreateRegisterWO("Command", 0, PB_DEV_LCDISPLAY_FIELDS, "Command", 0) & pb_CreateRegisterWO("DataOut", 1, PB_DEV_LCDISPLAY_FIELDS, "Data", 0) & pb_CreateRegisterKO("DataOut", 1, PB_DEV_LCDISPLAY_FIELDS, "Data", 0)), RegisterFields => PB_DEV_LCDISPLAY_FIELDS ); -- UART -- --------------------------------------------------------------------------- constant PB_DEV_UART_FIELDS : T_PB_REGISTER_FIELD_VECTOR := ( pb_CreateWriteOnlyField("Command", "Command", 8) & pb_CreateReadOnlyField("Status", "Status", 8) & pb_CreateWriteOnlyField("FIFO DataOut", "DataOut", 8) & pb_CreateReadOnlyField("FIFO DataIn", "DataIn", 8) ); constant PB_DEV_UART : T_PB_DEVICE := pb_CreateDevice( DeviceName => "UART", DeviceShort => "UART", Registers => ( pb_CreateRegisterWO("Command", 0, PB_DEV_UART_FIELDS, "Command", 0) & pb_CreateRegisterRO("Status", 0, PB_DEV_UART_FIELDS, "Status", 0) & pb_CreateRegisterWO("DataOut", 1, PB_DEV_UART_FIELDS, "DataOut", 0) & pb_CreateRegisterKO("DataOut", 1, PB_DEV_UART_FIELDS, "DataOut", 0) & pb_CreateRegisterRO("DataIn", 1, PB_DEV_UART_FIELDS, "DataIn", 0)), RegisterFields => PB_DEV_UART_FIELDS, CreatesInterrupt => TRUE ); -- UARTStream -- --------------------------------------------------------------------------- constant PB_DEV_UARTSTREAM_FIELDS : T_PB_REGISTER_FIELD_VECTOR := ( 0 => pb_CreateRegisterField("Dummy", "Dummy", 8) ); -- constant PB_DEV_UARTSTREAM : T_PB_DEVICE := pb_CreateDevice( -- DeviceName => "UARTStream", -- DeviceShort => "UARTStream", -- Registers => (( -- 0 => pb_CreateRegister("Dummy", 0, PB_DEV_UARTSTREAM_FIELDS, "Dummy", 0)) -- ), -- RegisterFields => PB_DEV_UARTSTREAM_FIELDS, -- CreatesInterrupt => TRUE -- ); -- I2C Controller -- --------------------------------------------------------------------------- constant PB_DEV_IICCONTROLLER_FIELDS : T_PB_REGISTER_FIELD_VECTOR := ( pb_CreateWriteOnlyField("Command", "Command", 8) & pb_CreateReadOnlyField("Status", "Status", 8) & pb_CreateRegisterField("Device Address [7:1]", "DeviceAddress", 8) & pb_CreateRegisterField("RX Length [3:0]", "RXLength", 8) & pb_CreateWriteOnlyField("TX_FIFO", "TX_FIFO", 8) & pb_CreateReadOnlyField("RX_FIFO", "RX_FIFO", 8) ); constant PB_DEV_IICCONTROLLER : T_PB_DEVICE := pb_CreateDevice( DeviceName => "I2C Controller", DeviceShort => "IICCtrl", Registers => ( pb_CreateRegisterWO("Command", 0, PB_DEV_IICCONTROLLER_FIELDS, "Command", 0) & pb_CreateRegisterRO("Status", 0, PB_DEV_IICCONTROLLER_FIELDS, "Status", 0) & pb_CreateRegisterRW("DeviceAddress", 1, PB_DEV_IICCONTROLLER_FIELDS, "DeviceAddress", 0) & pb_CreateRegisterRW("Length", 2, PB_DEV_IICCONTROLLER_FIELDS, "RXLength", 0) & pb_CreateRegisterWO("TX_FIFO", 3, PB_DEV_IICCONTROLLER_FIELDS, "TX_FIFO", 0) & pb_CreateRegisterRO("RX_FIFO", 3, PB_DEV_IICCONTROLLER_FIELDS, "RX_FIFO", 0)), RegisterFields => PB_DEV_IICCONTROLLER_FIELDS, CreatesInterrupt => TRUE ); -- MDIO Controller -- --------------------------------------------------------------------------- constant PB_DEV_MDIOCONTROLLER_FIELDS : T_PB_REGISTER_FIELD_VECTOR := ( 0 => pb_CreateRegisterField("Dummy", "Dummy", 8) ); -- constant PB_DEV_MDIOCONTROLLER : T_PB_DEVICE := pb_CreateDevice( -- DeviceName => "MDIO Controller", -- DeviceShort => "MDIOCtrl", -- Registers => (( -- 0 => pb_CreateRegister("Dummy", 0, PB_DEV_MDIOCONTROLLER_FIELDS, "Dummy", 0)) -- ), -- RegisterFields => PB_DEV_MDIOCONTROLLER_FIELDS, -- CreatesInterrupt => FALSE -- ); -- Dynamic Reconfiguration Port -- --------------------------------------------------------------------------- constant PB_DEV_DRP_FIELDS : T_PB_REGISTER_FIELD_VECTOR := ( pb_CreateWriteOnlyField("Command", "Command", 8) & pb_CreateReadOnlyField("Status", "Status", 8) & pb_CreateRegisterField("Address", "Address", 8) & pb_CreateRegisterField("Data", "Data", 16) & pb_CreateWriteOnlyField("Mask Register Set", "MaskRegSet", 16) & pb_CreateWriteOnlyField("Mask Register Clear", "MaskRegClr", 16) ); constant PB_DEV_DRP : T_PB_DEVICE := pb_CreateDevice( DeviceName => "PicoBlaze to DRP Adapter", DeviceShort => "DRP", Registers => ( pb_CreateRegisterWO("Command", 0, PB_DEV_DRP_FIELDS, "Command", 0) & pb_CreateRegisterRO("Status", 0, PB_DEV_DRP_FIELDS, "Status", 0) & pb_CreateRegisterRW("Address", 1, PB_DEV_DRP_FIELDS, "Address", 0) & pb_CreateRegisterRW("Data0", 2, PB_DEV_DRP_FIELDS, "Data", 0) & pb_CreateRegisterRW("Data1", 3, PB_DEV_DRP_FIELDS, "Data", 0) & pb_CreateRegisterWO("MaskRegSet0", 4, PB_DEV_DRP_FIELDS, "MaskRegSet", 0) & pb_CreateRegisterWO("MaskRegSet1", 5, PB_DEV_DRP_FIELDS, "MaskRegSet", 0) & pb_CreateRegisterWO("MaskRegClr0", 6, PB_DEV_DRP_FIELDS, "MaskRegClr", 0) & pb_CreateRegisterWO("MaskRegClr1", 7, PB_DEV_DRP_FIELDS, "MaskRegClr", 0)), RegisterFields => PB_DEV_DRP_FIELDS ); -- Frequency Measurement -- --------------------------------------------------------------------------- constant PB_DEV_FREQM_FIELDS : T_PB_REGISTER_FIELD_VECTOR := ( pb_CreateWriteOnlyField("Command", "Command", 8) & pb_CreateReadOnlyField("Frequency Counter", "FreqCntValue", 24) & pb_CreateReadOnlyField("Status", "Status", 8) ); constant PB_DEV_FREQM : T_PB_DEVICE := pb_CreateDevice( DeviceName => "FrequencyMeasurement", DeviceShort => "FreqM", Registers => ( pb_CreateRegisterWO("Command", 0, PB_DEV_FREQM_FIELDS, "Command", 0) & pb_CreateRegisterRO("FreqCntValue0", 0, PB_DEV_FREQM_FIELDS, "FreqCntValue", 0) & pb_CreateRegisterRO("FreqCntValue1", 1, PB_DEV_FREQM_FIELDS, "FreqCntValue", 0) & pb_CreateRegisterRO("FreqCntValue2", 2, PB_DEV_FREQM_FIELDS, "FreqCntValue", 0) & pb_CreateRegisterRO("Status", 3, PB_DEV_FREQM_FIELDS, "Status", 0)), RegisterFields => PB_DEV_FREQM_FIELDS ); -- BCD Counter -- --------------------------------------------------------------------------- constant PB_DEV_BCDCOUNTER_FIELDS : T_PB_REGISTER_FIELD_VECTOR := ( pb_CreateWriteOnlyField("Command", "Command", 8) & pb_CreateReadOnlyField("Value", "Value", 32) ); constant PB_DEV_BCDCOUNTER : T_PB_DEVICE := pb_CreateDevice( DeviceName => "BCD Counter", DeviceShort => "BCDCnt", Registers => ( pb_CreateRegisterWO("Command", 0, PB_DEV_BCDCOUNTER_FIELDS, "Command", 0) & pb_CreateRegisterRO("Value0", 0, PB_DEV_BCDCOUNTER_FIELDS, "Value", 0) & pb_CreateRegisterRO("Value1", 1, PB_DEV_BCDCOUNTER_FIELDS, "Value", 8) & pb_CreateRegisterRO("Value2", 2, PB_DEV_BCDCOUNTER_FIELDS, "Value", 16) & pb_CreateRegisterRO("Value3", 3, PB_DEV_BCDCOUNTER_FIELDS, "Value", 24)), RegisterFields => PB_DEV_BCDCOUNTER_FIELDS ); -- define aliases constant PB_DEV_INTERRUPT : T_PB_DEVICE := pb_CreateDeviceAlias(PB_DEV_INTERRUPT16, "IntC"); constant PB_DEV_MULTIPLIER : T_PB_DEVICE := pb_CreateDeviceAlias(PB_DEV_MULTIPLIER32, "Mult"); constant PB_DEV_DIVIDER : T_PB_DEVICE := pb_CreateDeviceAlias(PB_DEV_DIVIDER32, "Div"); constant PB_DEV_CONVERTER_BCD : T_PB_DEVICE := pb_CreateDeviceAlias(PB_DEV_CONVERTER_BCD24, "ConvBCD"); constant PB_DEV_BIT_BANGING_IO : T_PB_DEVICE := pb_CreateDeviceAlias(PB_DEV_BIT_BANGING_IO8, "BBIO"); end package body;
apache-2.0
Paebbels/PicoBlaze-Library
vhdl/UART6_RX.vhdl
1
17215
-- ------------------------------------------------------------------------------------------- -- Copyright © 2011, Xilinx, Inc. -- This file contains confidential and proprietary information of Xilinx, Inc. and is -- protected under U.S. and international copyright and other intellectual property laws. ------------------------------------------------------------------------------------------- -- -- Disclaimer: -- This disclaimer is not a license and does not grant any rights to the materials -- distributed herewith. Except as otherwise provided in a valid license issued to -- you by Xilinx, and to the maximum extent permitted by applicable law: (1) THESE -- MATERIALS ARE MADE AVAILABLE "AS IS" AND WITH ALL FAULTS, AND XILINX HEREBY -- DISCLAIMS ALL WARRANTIES AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, -- INCLUDING BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-INFRINGEMENT, -- OR FITNESS FOR ANY PARTICULAR PURPOSE; and (2) Xilinx shall not be liable -- (whether in contract or tort, including negligence, or under any other theory -- of liability) for any loss or damage of any kind or nature related to, arising -- under or in connection with these materials, including for any direct, or any -- indirect, special, incidental, or consequential loss or damage (including loss -- of data, profits, goodwill, or any type of loss or damage suffered as a result -- of any action brought by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail-safe, or for use in any -- application requiring fail-safe performance, such as life-support or safety -- devices or systems, Class III medical devices, nuclear facilities, applications -- related to the deployment of airbags, or any other applications that could lead -- to death, personal injury, or severe property or environmental damage -- (individually and collectively, "Critical Applications"). Customer assumes the -- sole risk and liability of any use of Xilinx products in Critical Applications, -- subject only to applicable laws and regulations governing limitations on product -- liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS PART OF THIS FILE AT ALL TIMES. -- ------------------------------------------------------------------------------------------- -- -- UART Receiver with integral 16 byte FIFO buffer -- -- 8 bit, no parity, 1 stop bit -- -- This module was made for use with Spartan-6 Generation Devices and is also ideally -- suited for use with Virtex-6 and 7-Series devices. -- -- Version 1 - 31st March 2011. -- -- Ken Chapman -- Xilinx Ltd -- Benchmark House -- 203 Brooklands Road -- Weybridge -- Surrey KT13 ORH -- United Kingdom -- -- [email protected] -- ------------------------------------------------------------------------------------------- -- -- Format of this file. -- -- The module defines the implementation of the logic using Xilinx primitives. -- These ensure predictable synthesis results and maximise the density of the -- implementation. The Unisim Library is used to define Xilinx primitives. It is also -- used during simulation. -- The source can be viewed at %XILINX%\vhdl\src\unisims\unisim_VCOMP.vhd -- ------------------------------------------------------------------------------------------- -- -- Library declarations -- -- Standard IEEE libraries -- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; library unisim; use unisim.vcomponents.all; -- ------------------------------------------------------------------------------------------- -- -- Main Entity for -- entity uart_rx6 is Port ( serial_in : in std_logic; en_16_x_baud : in std_logic; data_out : out std_logic_vector(7 downto 0); buffer_read : in std_logic; buffer_data_present : out std_logic; buffer_half_full : out std_logic; buffer_full : out std_logic; buffer_reset : in std_logic; clk : in std_logic); end uart_rx6; -- ------------------------------------------------------------------------------------------- -- -- Start of Main Architecture for uart_rx6 - constrained -- architecture rtl of uart_rx6 is -- ------------------------------------------------------------------------------------------- -- -- Signals used in uart_rx6 -- ------------------------------------------------------------------------------------------- -- signal pointer_value : std_logic_vector(3 downto 0); signal pointer : std_logic_vector(3 downto 0); signal en_pointer : std_logic; signal zero : std_logic; signal full_int : std_logic; signal data_present_value : std_logic; signal data_present_int : std_logic; signal sample_value : std_logic; signal sample : std_logic; signal sample_dly_value : std_logic; signal sample_dly : std_logic; signal stop_bit_value : std_logic; signal stop_bit : std_logic; signal data_value : std_logic_vector(7 downto 0); signal data : std_logic_vector(7 downto 0); signal run_value : std_logic; signal run : std_logic; signal start_bit_value : std_logic; signal start_bit : std_logic; signal div_value : std_logic_vector(3 downto 0); signal div : std_logic_vector(3 downto 0); signal div_carry : std_logic; signal sample_input_value : std_logic; signal sample_input : std_logic; signal buffer_write_value : std_logic; signal buffer_write : std_logic; -- ------------------------------------------------------------------------------------------- -- -- Attributes to guide mapping of logic into Slices. ------------------------------------------------------------------------------------------- -- -- attribute hblknm : string; attribute hblknm of pointer3_lut : label is "uart_rx6_1"; attribute hblknm of pointer3_flop : label is "uart_rx6_1"; attribute hblknm of pointer2_lut : label is "uart_rx6_1"; attribute hblknm of pointer2_flop : label is "uart_rx6_1"; attribute hblknm of pointer01_lut : label is "uart_rx6_1"; attribute hblknm of pointer1_flop : label is "uart_rx6_1"; attribute hblknm of pointer0_flop : label is "uart_rx6_1"; attribute hblknm of data_present_lut : label is "uart_rx6_1"; attribute hblknm of data_present_flop : label is "uart_rx6_1"; -- attribute hblknm of data01_lut : label is "uart_rx6_2"; attribute hblknm of data0_flop : label is "uart_rx6_2"; attribute hblknm of data1_flop : label is "uart_rx6_2"; attribute hblknm of data23_lut : label is "uart_rx6_2"; attribute hblknm of data2_flop : label is "uart_rx6_2"; attribute hblknm of data3_flop : label is "uart_rx6_2"; attribute hblknm of data45_lut : label is "uart_rx6_2"; attribute hblknm of data4_flop : label is "uart_rx6_2"; attribute hblknm of data5_flop : label is "uart_rx6_2"; attribute hblknm of data67_lut : label is "uart_rx6_2"; attribute hblknm of data6_flop : label is "uart_rx6_2"; attribute hblknm of data7_flop : label is "uart_rx6_2"; -- attribute hblknm of div01_lut : label is "uart_rx6_3"; attribute hblknm of div23_lut : label is "uart_rx6_3"; attribute hblknm of div0_flop : label is "uart_rx6_3"; attribute hblknm of div1_flop : label is "uart_rx6_3"; attribute hblknm of div2_flop : label is "uart_rx6_3"; attribute hblknm of div3_flop : label is "uart_rx6_3"; attribute hblknm of sample_input_lut : label is "uart_rx6_3"; attribute hblknm of sample_input_flop : label is "uart_rx6_3"; attribute hblknm of full_lut : label is "uart_rx6_3"; -- attribute hblknm of sample_lut : label is "uart_rx6_4"; attribute hblknm of sample_flop : label is "uart_rx6_4"; attribute hblknm of sample_dly_flop : label is "uart_rx6_4"; attribute hblknm of stop_bit_lut : label is "uart_rx6_4"; attribute hblknm of stop_bit_flop : label is "uart_rx6_4"; attribute hblknm of buffer_write_flop : label is "uart_rx6_4"; attribute hblknm of start_bit_lut : label is "uart_rx6_4"; attribute hblknm of start_bit_flop : label is "uart_rx6_4"; attribute hblknm of run_lut : label is "uart_rx6_4"; attribute hblknm of run_flop : label is "uart_rx6_4"; -- -- ------------------------------------------------------------------------------------------- -- -- Start of uart_rx6 circuit description -- ------------------------------------------------------------------------------------------- -- begin -- SRL16E data storage data_width_loop: for i in 0 to 7 generate attribute hblknm : string; attribute hblknm of storage_srl : label is "uart_rx6_5"; begin storage_srl: SRL16E generic map (INIT => X"0000") port map( D => data(i), CE => buffer_write, CLK => clk, A0 => pointer(0), A1 => pointer(1), A2 => pointer(2), A3 => pointer(3), Q => data_out(i) ); end generate data_width_loop; pointer3_lut: LUT6 generic map (INIT => X"FF00FE00FF80FF00") port map( I0 => pointer(0), I1 => pointer(1), I2 => pointer(2), I3 => pointer(3), I4 => buffer_write, I5 => buffer_read, O => pointer_value(3)); pointer3_flop: FDR port map ( D => pointer_value(3), Q => pointer(3), R => buffer_reset, C => clk); pointer2_lut: LUT6 generic map (INIT => X"F0F0E1E0F878F0F0") port map( I0 => pointer(0), I1 => pointer(1), I2 => pointer(2), I3 => pointer(3), I4 => buffer_write, I5 => buffer_read, O => pointer_value(2)); pointer2_flop: FDR port map ( D => pointer_value(2), Q => pointer(2), R => buffer_reset, C => clk); pointer01_lut: LUT6_2 generic map (INIT => X"CC9060CCAA5050AA") port map( I0 => pointer(0), I1 => pointer(1), I2 => en_pointer, I3 => buffer_write, I4 => buffer_read, I5 => '1', O5 => pointer_value(0), O6 => pointer_value(1)); pointer1_flop: FDR port map ( D => pointer_value(1), Q => pointer(1), R => buffer_reset, C => clk); pointer0_flop: FDR port map ( D => pointer_value(0), Q => pointer(0), R => buffer_reset, C => clk); data_present_lut: LUT6_2 generic map (INIT => X"F4FCF4FC040004C0") port map( I0 => zero, I1 => data_present_int, I2 => buffer_write, I3 => buffer_read, I4 => full_int, I5 => '1', O5 => en_pointer, O6 => data_present_value); data_present_flop: FDR port map ( D => data_present_value, Q => data_present_int, R => buffer_reset, C => clk); full_lut: LUT6_2 generic map (INIT => X"0001000080000000") port map( I0 => pointer(0), I1 => pointer(1), I2 => pointer(2), I3 => pointer(3), I4 => '1', I5 => '1', O5 => full_int, O6 => zero); sample_lut: LUT6_2 generic map (INIT => X"CCF00000AACC0000") port map( I0 => serial_in, I1 => sample, I2 => sample_dly, I3 => en_16_x_baud, I4 => '1', I5 => '1', O5 => sample_value, O6 => sample_dly_value); sample_flop: FD port map ( D => sample_value, Q => sample, C => clk); sample_dly_flop: FD port map ( D => sample_dly_value, Q => sample_dly, C => clk); stop_bit_lut: LUT6_2 generic map (INIT => X"CAFFCAFF0000C0C0") port map( I0 => stop_bit, I1 => sample, I2 => sample_input, I3 => run, I4 => data(0), I5 => '1', O5 => buffer_write_value, O6 => stop_bit_value); buffer_write_flop: FD port map ( D => buffer_write_value, Q => buffer_write, C => clk); stop_bit_flop: FD port map ( D => stop_bit_value, Q => stop_bit, C => clk); data01_lut: LUT6_2 generic map (INIT => X"F0CCFFFFCCAAFFFF") port map( I0 => data(0), I1 => data(1), I2 => data(2), I3 => sample_input, I4 => run, I5 => '1', O5 => data_value(0), O6 => data_value(1)); data0_flop: FD port map ( D => data_value(0), Q => data(0), C => clk); data1_flop: FD port map ( D => data_value(1), Q => data(1), C => clk); data23_lut: LUT6_2 generic map (INIT => X"F0CCFFFFCCAAFFFF") port map( I0 => data(2), I1 => data(3), I2 => data(4), I3 => sample_input, I4 => run, I5 => '1', O5 => data_value(2), O6 => data_value(3)); data2_flop: FD port map ( D => data_value(2), Q => data(2), C => clk); data3_flop: FD port map ( D => data_value(3), Q => data(3), C => clk); data45_lut: LUT6_2 generic map (INIT => X"F0CCFFFFCCAAFFFF") port map( I0 => data(4), I1 => data(5), I2 => data(6), I3 => sample_input, I4 => run, I5 => '1', O5 => data_value(4), O6 => data_value(5)); data4_flop: FD port map ( D => data_value(4), Q => data(4), C => clk); data5_flop: FD port map ( D => data_value(5), Q => data(5), C => clk); data67_lut: LUT6_2 generic map (INIT => X"F0CCFFFFCCAAFFFF") port map( I0 => data(6), I1 => data(7), I2 => stop_bit, I3 => sample_input, I4 => run, I5 => '1', O5 => data_value(6), O6 => data_value(7)); data6_flop: FD port map ( D => data_value(6), Q => data(6), C => clk); data7_flop: FD port map ( D => data_value(7), Q => data(7), C => clk); run_lut: LUT6 generic map (INIT => X"2F2FAFAF0000FF00") port map( I0 => data(0), I1 => start_bit, I2 => sample_input, I3 => sample_dly, I4 => sample, I5 => run, O => run_value); run_flop: FD port map ( D => run_value, Q => run, C => clk); start_bit_lut: LUT6 generic map (INIT => X"222200F000000000") port map( I0 => start_bit, I1 => sample_input, I2 => sample_dly, I3 => sample, I4 => run, I5 => '1', O => start_bit_value); start_bit_flop: FD port map ( D => start_bit_value, Q => start_bit, C => clk); div01_lut: LUT6_2 generic map (INIT => X"6C0000005A000000") port map( I0 => div(0), I1 => div(1), I2 => en_16_x_baud, I3 => run, I4 => '1', I5 => '1', O5 => div_value(0), O6 => div_value(1)); div0_flop: FD port map ( D => div_value(0), Q => div(0), C => clk); div1_flop: FD port map ( D => div_value(1), Q => div(1), C => clk); div23_lut: LUT6_2 generic map (INIT => X"6CCC00005AAA0000") port map( I0 => div(2), I1 => div(3), I2 => div_carry, I3 => en_16_x_baud, I4 => run, I5 => '1', O5 => div_value(2), O6 => div_value(3)); div2_flop: FD port map ( D => div_value(2), Q => div(2), C => clk); div3_flop: FD port map ( D => div_value(3), Q => div(3), C => clk); sample_input_lut: LUT6_2 generic map (INIT => X"0080000088888888") port map( I0 => div(0), I1 => div(1), I2 => div(2), I3 => div(3), I4 => en_16_x_baud, I5 => '1', O5 => div_carry, O6 => sample_input_value); sample_input_flop: FD port map ( D => sample_input_value, Q => sample_input, C => clk); -- assign internal signals to outputs buffer_full <= full_int; buffer_half_full <= pointer(3); buffer_data_present <= data_present_int; end; ------------------------------------------------------------------------------------------- -- -- END OF FILE uart_rx6.vhd -- -------------------------------------------------------------------------------------------
apache-2.0
Paebbels/PicoBlaze-Library
vhdl/Device/pb_InstructionROM_Device.vhdl
1
15785
-- EMACS settings: -*- tab-width: 2; indent-tabs-mode: t -*- -- vim: tabstop=2:shiftwidth=2:noexpandtab -- kate: tab-width 2; replace-tabs off; indent-width 2; -- ============================================================================= -- ____ _ ____ _ _ _ _ -- | _ \(_) ___ ___ | __ )| | __ _ _______ | | (_) |__ _ __ __ _ _ __ _ _ -- | |_) | |/ __/ _ \| _ \| |/ _` |_ / _ \ | | | | '_ \| '__/ _` | '__| | | | -- | __/| | (_| (_) | |_) | | (_| |/ / __/ | |___| | |_) | | | (_| | | | |_| | -- |_| |_|\___\___/|____/|_|\__,_/___\___| |_____|_|_.__/|_| \__,_|_| \__, | -- |___/ -- ============================================================================= -- Authors: Patrick Lehmann -- -- Module: Wrapper module for up to 8 PicoBlaze ROM pages. All ROMs are -- reprogrammable via JTAG_Loader -- -- Description: -- ------------------------------------ -- TODO -- -- -- License: -- ----------------------------------------------------------------------------- -- Copyright 2007-2015 Patrick Lehmann - Dresden, Germany -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. -- ============================================================================= library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.NUMERIC_STD.all; library PoC; use PoC.config.all; use PoC.utils.all; use PoC.vectors.all; use PoC.strings.all; use PoC.components.all; use PoC.ocram.all; library L_PicoBlaze; use L_PicoBlaze.pb.all; use L_PicoBlaze.pb_comp.all; entity pb_InstructionROM_Device is generic ( PAGES : POSITIVE := 1; SOURCE_DIRECTORY : STRING := ""; DEVICE_INSTANCE : T_PB_DEVICE_INSTANCE; ENABLE_JTAG_LOADER : BOOLEAN := FALSE ); port ( Clock : in STD_LOGIC; Fetch : in STD_LOGIC; InstructionPointer : in T_PB_ADDRESS; Instruction : out T_PB_INSTRUCTION; Reboot : out STD_LOGIC; -- PicoBlaze interface Address : in T_SLV_8; WriteStrobe : in STD_LOGIC; WriteStrobe_K : in STD_LOGIC; ReadStrobe : in STD_LOGIC; DataIn : in T_SLV_8; DataOut : out T_SLV_8; Interrupt : out STD_LOGIC; Interrupt_Ack : in STD_LOGIC; Message : out T_SLV_8; PageNumber : out STD_LOGIC_VECTOR(2 downto 0) ); end; architecture rtl of pb_InstructionROM_Device is type T_PB_INSTRUCTION_VECTOR is array (NATURAL range <>) of T_PB_INSTRUCTION; function reverse(vec : T_PB_INSTRUCTION_VECTOR) return T_PB_INSTRUCTION_VECTOR is variable res : T_PB_INSTRUCTION_VECTOR(vec'range); begin for i in vec'low to vec'high loop res(vec'low + (vec'high - i)) := vec(i); end loop; return res; end function; constant ENABLE_LOADER : BOOLEAN := ite((VENDOR = VENDOR_XILINX), ENABLE_JTAG_LOADER, FALSE); constant FILENAME_PATTERN : STRING := ite((VENDOR = VENDOR_ALTERA), "main_Page#.mif", "main_Page#.hex"); constant REG_RW_PAGE_NUMBER : STD_LOGIC_VECTOR(0 downto 0) := "0"; signal AdrDec_we : STD_LOGIC; signal AdrDec_re : STD_LOGIC; signal AdrDec_WriteAddress : T_SLV_8; signal AdrDec_ReadAddress : T_SLV_8; signal AdrDec_Data : T_SLV_8; signal Reg_PageNumber : T_SLV_8 := (others => '0'); signal Reg_PageNumber_us : UNSIGNED(log2ceilnz(PAGES) - 1 downto 0) := (others => '0'); signal Page_Instructions : T_PB_INSTRUCTION_VECTOR(PAGES - 1 downto 0); signal Pages_DataOut : T_PB_INSTRUCTION_VECTOR(PAGES - 1 downto 0); signal JTAGLoader_Clock : STD_LOGIC; signal JTAGLoader_Enable : STD_LOGIC_VECTOR(PAGES - 1 downto 0); signal JTAGLoader_Address : T_PB_ADDRESS; signal JTAGLoader_WriteEnable : STD_LOGIC; signal JTAGLoader_DataOut : T_PB_INSTRUCTION; signal JTAGLoader_PB_Reset : STD_LOGIC_VECTOR(PAGES - 1 downto 0); signal Page_n_rst : STD_LOGIC; signal Page_0_rst : STD_LOGIC; signal Page_n_rst_d : STD_LOGIC := '0'; signal Page_0_rst_d : STD_LOGIC := '0'; signal Page_n_rst_re : STD_LOGIC; signal Page_0_rst_fe : STD_LOGIC; signal Reset_r : STD_LOGIC := '0'; begin assert (PAGES <= 8) report "This ROM and JTAGLoader6 support 8 pages maximum." severity FAILURE; AdrDec : entity L_PicoBlaze.PicoBlaze_AddressDecoder generic map ( DEVICE_NAME => str_trim(DEVICE_INSTANCE.DeviceShort), BUS_NAME => str_trim(DEVICE_INSTANCE.BusShort), READ_MAPPINGS => pb_FilterMappings(DEVICE_INSTANCE, PB_MAPPING_KIND_READ), WRITE_MAPPINGS => pb_FilterMappings(DEVICE_INSTANCE, PB_MAPPING_KIND_WRITE), WRITEK_MAPPINGS => pb_FilterMappings(DEVICE_INSTANCE, PB_MAPPING_KIND_WRITEK) ) port map ( Clock => Clock, Reset => Reset_r, -- PicoBlaze interface In_WriteStrobe => WriteStrobe, In_WriteStrobe_K => WriteStrobe_K, In_ReadStrobe => ReadStrobe, In_Address => Address, In_Data => DataIn, Out_WriteStrobe => AdrDec_we, Out_ReadStrobe => AdrDec_re, Out_WriteAddress => AdrDec_WriteAddress, Out_ReadAddress => AdrDec_ReadAddress, Out_Data => AdrDec_Data ); -- Registers process(Clock) begin if rising_edge(Clock) then if (Reset_r = '1') then Reg_PageNumber <= (others => '0'); elsif (AdrDec_we = '1') then case AdrDec_WriteAddress(0 downto 0) is when REG_RW_PAGE_NUMBER => Reg_PageNumber <= AdrDec_Data; when others => null; end case; end if; end if; end process; process(AdrDec_re, AdrDec_ReadAddress, Reg_PageNumber) begin case AdrDec_ReadAddress(0 downto 0) IS when REG_RW_PAGE_NUMBER => DataOut <= Reg_PageNumber; when others => DataOut <= Reg_PageNumber; end case; end process; Interrupt <= '0'; Message <= x"00"; -- PageNumber <= Reg_PageNumber(PageNumber'range); Reg_PageNumber_us <= unsigned(Reg_PageNumber(Reg_PageNumber_us'range)); Instruction <= Page_Instructions(to_index(Reg_PageNumber_us, Page_Instructions'length)); -- Reset control: keep PB in reset while programming, release after last ROM is written => reboot Page_n_rst <= JTAGLoader_PB_Reset(PAGES - 1); Page_0_rst <= JTAGLoader_PB_Reset(0); Page_n_rst_d <= Page_n_rst when rising_edge(Clock); Page_0_rst_d <= Page_0_rst when rising_edge(Clock); Page_n_rst_re <= not Page_n_rst_d and Page_n_rst; Page_0_rst_fe <= Page_0_rst_d and not Page_0_rst; Reset_r <= ffrs(q => Reset_r, set => Page_n_rst_re, rst => Page_0_rst_fe) when rising_edge(Clock); Reboot <= Reset_r; genTemplate : if (str_length(SOURCE_DIRECTORY) = 0) generate genPage0 : if (TRUE) generate constant PAGE_NUMBER : NATURAL := 0; constant PAGE_INDEX : NATURAL := imin(PAGES - 1, PAGE_NUMBER); begin page : main_Page0 port map ( Clock => Clock, Fetch => Fetch, Address => InstructionPointer, Instruction => Page_Instructions(PAGE_INDEX), JTAGLoader_Clock => JTAGLoader_Clock, JTAGLoader_Enable => JTAGLoader_Enable(PAGE_INDEX), JTAGLoader_Address => JTAGLoader_Address, JTAGLoader_WriteEnable => JTAGLoader_WriteEnable, JTAGLoader_DataOut => Pages_DataOut(PAGE_INDEX), JTAGLoader_DataIn => JTAGLoader_DataOut ); end generate; genPage1 : if (PAGES > 1) generate constant PAGE_NUMBER : NATURAL := 1; constant PAGE_INDEX : NATURAL := imin(PAGES - 1, PAGE_NUMBER); begin page : main_Page1 port map ( Clock => Clock, Fetch => Fetch, Address => InstructionPointer, Instruction => Page_Instructions(PAGE_INDEX), JTAGLoader_Clock => JTAGLoader_Clock, JTAGLoader_Enable => JTAGLoader_Enable(PAGE_INDEX), JTAGLoader_Address => JTAGLoader_Address, JTAGLoader_WriteEnable => JTAGLoader_WriteEnable, JTAGLoader_DataOut => Pages_DataOut(PAGE_INDEX), JTAGLoader_DataIn => JTAGLoader_DataOut ); end generate; genPage2 : if (PAGES > 2) generate constant PAGE_NUMBER : NATURAL := 2; constant PAGE_INDEX : NATURAL := imin(PAGES - 1, PAGE_NUMBER); begin page : main_Page2 port map ( Clock => Clock, Fetch => Fetch, Address => InstructionPointer, Instruction => Page_Instructions(PAGE_INDEX), JTAGLoader_Clock => JTAGLoader_Clock, JTAGLoader_Enable => JTAGLoader_Enable(PAGE_INDEX), JTAGLoader_Address => JTAGLoader_Address, JTAGLoader_WriteEnable => JTAGLoader_WriteEnable, JTAGLoader_DataOut => Pages_DataOut(PAGE_INDEX), JTAGLoader_DataIn => JTAGLoader_DataOut ); end generate; genPage3 : if (PAGES > 3) generate constant PAGE_NUMBER : NATURAL := 3; constant PAGE_INDEX : NATURAL := imin(PAGES - 1, PAGE_NUMBER); begin page : main_Page3 port map ( Clock => Clock, Fetch => Fetch, Address => InstructionPointer, Instruction => Page_Instructions(PAGE_INDEX), JTAGLoader_Clock => JTAGLoader_Clock, JTAGLoader_Enable => JTAGLoader_Enable(PAGE_INDEX), JTAGLoader_Address => JTAGLoader_Address, JTAGLoader_WriteEnable => JTAGLoader_WriteEnable, JTAGLoader_DataOut => Pages_DataOut(PAGE_INDEX), JTAGLoader_DataIn => JTAGLoader_DataOut ); end generate; genPage4 : if (PAGES > 4) generate constant PAGE_NUMBER : NATURAL := 4; constant PAGE_INDEX : NATURAL := imin(PAGES - 1, PAGE_NUMBER); begin page : main_Page4 port map ( Clock => Clock, Fetch => Fetch, Address => InstructionPointer, Instruction => Page_Instructions(PAGE_INDEX), JTAGLoader_Clock => JTAGLoader_Clock, JTAGLoader_Enable => JTAGLoader_Enable(PAGE_INDEX), JTAGLoader_Address => JTAGLoader_Address, JTAGLoader_WriteEnable => JTAGLoader_WriteEnable, JTAGLoader_DataOut => Pages_DataOut(PAGE_INDEX), JTAGLoader_DataIn => JTAGLoader_DataOut ); end generate; genPage5 : if (PAGES > 5) generate constant PAGE_NUMBER : NATURAL := 5; constant PAGE_INDEX : NATURAL := imin(PAGES - 1, PAGE_NUMBER); begin page : main_Page5 port map ( Clock => Clock, Fetch => Fetch, Address => InstructionPointer, Instruction => Page_Instructions(PAGE_INDEX), JTAGLoader_Clock => JTAGLoader_Clock, JTAGLoader_Enable => JTAGLoader_Enable(PAGE_INDEX), JTAGLoader_Address => JTAGLoader_Address, JTAGLoader_WriteEnable => JTAGLoader_WriteEnable, JTAGLoader_DataOut => Pages_DataOut(PAGE_INDEX), JTAGLoader_DataIn => JTAGLoader_DataOut ); end generate; genPage6 : if (PAGES > 6) generate constant PAGE_NUMBER : NATURAL := 6; constant PAGE_INDEX : NATURAL := imin(PAGES - 1, PAGE_NUMBER); begin page : main_Page6 port map ( Clock => Clock, Fetch => Fetch, Address => InstructionPointer, Instruction => Page_Instructions(PAGE_INDEX), JTAGLoader_Clock => JTAGLoader_Clock, JTAGLoader_Enable => JTAGLoader_Enable(PAGE_INDEX), JTAGLoader_Address => JTAGLoader_Address, JTAGLoader_WriteEnable => JTAGLoader_WriteEnable, JTAGLoader_DataOut => Pages_DataOut(PAGE_INDEX), JTAGLoader_DataIn => JTAGLoader_DataOut ); end generate; genPage7 : if (PAGES > 7) generate constant PAGE_NUMBER : NATURAL := 7; constant PAGE_INDEX : NATURAL := imin(PAGES - 1, PAGE_NUMBER); begin page : main_Page7 port map ( Clock => Clock, Fetch => Fetch, Address => InstructionPointer, Instruction => Page_Instructions(PAGE_INDEX), JTAGLoader_Clock => JTAGLoader_Clock, JTAGLoader_Enable => JTAGLoader_Enable(PAGE_INDEX), JTAGLoader_Address => JTAGLoader_Address, JTAGLoader_WriteEnable => JTAGLoader_WriteEnable, JTAGLoader_DataOut => Pages_DataOut(PAGE_INDEX), JTAGLoader_DataIn => JTAGLoader_DataOut ); end generate; end generate; genLoadFile : if (str_length(SOURCE_DIRECTORY) /= 0) generate genPages : for i in 0 to PAGES - 1 generate constant FILENAME : STRING := SOURCE_DIRECTORY & str_replace(FILENAME_PATTERN, "#", INTEGER'image(i)); signal Port1_Address : UNSIGNED(InstructionPointer'range); signal Port2_Address : UNSIGNED(JTAGLoader_Address'range); begin assert PB_VERBOSE report "Loading ROM file: '" & FILENAME & "'" severity NOTE; genOCROM : if (ENABLE_LOADER = FALSE) generate Port1_Address <= unsigned(InstructionPointer); genericMemory : ocrom_sp generic map ( A_BITS => 12, D_BITS => 18, FILENAME => FILENAME ) port map ( clk => Clock, ce => Fetch, a => Port1_Address, q => Page_Instructions(i) ); end generate; genOCRAM : if (ENABLE_LOADER = TRUE) generate Port1_Address <= unsigned(InstructionPointer); Port2_Address <= unsigned(JTAGLoader_Address); genericMemory : ocram_tdp generic map ( A_BITS => 12, D_BITS => 18, FILENAME => FILENAME ) port map ( clk1 => Clock, ce1 => Fetch, we1 => '0', a1 => Port1_Address, d1 => (others => '0'), q1 => Page_Instructions(i), clk2 => JTAGLoader_Clock, ce2 => JTAGLoader_Enable(i), we2 => JTAGLoader_WriteEnable, a2 => Port2_Address, d2 => JTAGLoader_DataOut, q2 => Pages_DataOut(i) ); end generate; end generate; end generate; genNoJTAGLoader : if (ENABLE_LOADER = FALSE) generate JTAGLoader_Clock <= '0'; JTAGLoader_Enable <= (others => '0'); JTAGLoader_Address <= (others => '0'); JTAGLoader_WriteEnable <= '0'; JTAGLoader_DataOut <= (others => '0'); end generate; genJTAGLoader : if (ENABLE_LOADER = TRUE) generate signal WorkAround_Enable : STD_LOGIC_VECTOR(PAGES - 1 downto 0); signal WorkAround_DataIn : T_PB_INSTRUCTION_VECTOR(PAGES - 1 downto 0); begin JTAGLoader : JTAGLoader6 generic map ( C_NUM_PICOBLAZE => PAGES, C_ADDR_WIDTH => (others => T_PB_ADDRESS'length) ) port map ( jtag_clk => JTAGLoader_Clock, jtag_en => WorkAround_Enable, jtag_din => JTAGLoader_DataOut, jtag_addr => JTAGLoader_Address, jtag_we => JTAGLoader_WriteEnable, jtag_dout_0 => WorkAround_DataIn(imin(PAGES - 1, 0)), jtag_dout_1 => WorkAround_DataIn(imin(PAGES - 1, 1)), jtag_dout_2 => WorkAround_DataIn(imin(PAGES - 1, 2)), jtag_dout_3 => WorkAround_DataIn(imin(PAGES - 1, 3)), jtag_dout_4 => WorkAround_DataIn(imin(PAGES - 1, 4)), jtag_dout_5 => WorkAround_DataIn(imin(PAGES - 1, 5)), jtag_dout_6 => WorkAround_DataIn(imin(PAGES - 1, 6)), jtag_dout_7 => WorkAround_DataIn(imin(PAGES - 1, 7)), picoblaze_reset => JTAGLoader_PB_Reset ); -- work around for a bug in JTAGLoader.exe WorkAround_DataIn <= reverse(Pages_DataOut); JTAGLoader_Enable <= reverse(WorkAround_Enable); end generate; end;
apache-2.0
vikene/vhdl
Gates and drivers/XNORGATE.vhd
1
294
LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; ENTITY XNORGATE IS PORT(A,B:IN STD_LOGIC; C:OUT STD_LOGIC); END XNORGATE; ARCHITECTURE XNORG OF XNORGATE IS BEGIN C <= A XNOR B; END XNORG;
apache-2.0
Paebbels/PicoBlaze-Library
netlist/XC5VLX50T-1FF1136/CSP_DRP_ILA.vhdl
1
1130
------------------------------------------------------------------------------- -- Copyright (c) 2014 Xilinx, Inc. -- All Rights Reserved ------------------------------------------------------------------------------- -- ____ ____ -- / /\/ / -- /___/ \ / Vendor : Xilinx -- \ \ \/ Version : 14.7 -- \ \ Application: XILINX CORE Generator -- / / Filename : CSP_DRP_ILA.vhd -- /___/ /\ Timestamp : Wed Nov 12 20:38:34 Mitteleuropäische Zeit 2014 -- \ \ / \ -- \___\/\___\ -- -- Design Name: VHDL Synthesis Wrapper ------------------------------------------------------------------------------- -- This wrapper is used to integrate with Project Navigator and PlanAhead LIBRARY ieee; USE ieee.std_logic_1164.ALL; ENTITY CSP_DRP_ILA IS port ( CONTROL: inout std_logic_vector(35 downto 0); CLK: in std_logic; DATA: in std_logic_vector(69 downto 0); TRIG0: in std_logic_vector(9 downto 0); TRIG1: in std_logic_vector(11 downto 0); TRIG_OUT: out std_logic); END CSP_DRP_ILA; ARCHITECTURE CSP_DRP_ILA_a OF CSP_DRP_ILA IS BEGIN END CSP_DRP_ILA_a;
apache-2.0
nsensfel/tabellion
data/test/CNE_01100/invalid.vhd
1
694
library IEEE; use IEEE.std_logic_1164.all; entity invalid is port ( i_ip0: in std_logic; i_clock: in std_logic; i_o: in std_logic; i_o_reset: in std_logic; i_o_b_reset: in std_logic; i_i_reset: in std_logic; o_ip0: out std_logic; o_clock: out std_logic; o_o: out std_logic; o_i_reset: out std_logic; o_o_reset: out std_logic; o_o_b_reset: out std_logic; b_ip0: inout std_logic; b_clock: inout std_logic; b_o: inout std_logic; b_i_reset: inout std_logic; b_o_reset: inout std_logic; b_b_o_reset: inout std_logic ); end; architecture RTL of invalid is begin end architecture;
apache-2.0
Paebbels/PicoBlaze-Library
vhdl/Device/pb_InterruptController_Device.vhdl
1
10811
-- EMACS settings: -*- tab-width: 2; indent-tabs-mode: t -*- -- vim: tabstop=2:shiftwidth=2:noexpandtab -- kate: tab-width 2; replace-tabs off; indent-width 2; -- -- ============================================================================= -- ____ _ ____ _ _ _ _ -- | _ \(_) ___ ___ | __ )| | __ _ _______ | | (_) |__ _ __ __ _ _ __ _ _ -- | |_) | |/ __/ _ \| _ \| |/ _` |_ / _ \ | | | | '_ \| '__/ _` | '__| | | | -- | __/| | (_| (_) | |_) | | (_| |/ / __/ | |___| | |_) | | | (_| | | | |_| | -- |_| |_|\___\___/|____/|_|\__,_/___\___| |_____|_|_.__/|_| \__,_|_| \__, | -- |___/ -- ============================================================================= -- Authors: Patrick Lehmann -- -- Module: PicoBlaze Interrupt Controller with up to 32 ports. -- -- Description: -- ------------------------------------ -- TODO -- -- -- License: -- ============================================================================ -- Copyright 2007-2015 Patrick Lehmann - Dresden, Germany -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. -- ============================================================================ library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.NUMERIC_STD.all; library PoC; use PoC.utils.all; use PoC.vectors.all; use PoC.strings.all; use PoC.components.all; library L_PicoBlaze; use L_PicoBlaze.pb.all; entity pb_InterruptController_Device is generic ( DEBUG : BOOLEAN := FALSE; DEVICE_INSTANCE : T_PB_DEVICE_INSTANCE; PORTS : POSITIVE := 4 ); port ( Clock : in STD_LOGIC; Reset : in STD_LOGIC; -- PicoBlaze interface Address : in T_SLV_8; WriteStrobe : in STD_LOGIC; WriteStrobe_K : in STD_LOGIC; ReadStrobe : in STD_LOGIC; DataIn : in T_SLV_8; DataOut : out T_SLV_8; Interrupt : out STD_LOGIC; Interrupt_Ack : in STD_LOGIC; Message : out T_SLV_8; -- PicoBlaze interrupt interface (direct coupled) PB_Interrupt : out STD_LOGIC; PB_Interrupt_Ack : in STD_LOGIC; -- Interrupt source interface Dev_Interrupt : in STD_LOGIC_VECTOR(PORTS - 1 downto 0); Dev_Interrupt_Ack : out STD_LOGIC_VECTOR(PORTS - 1 downto 0); Dev_Interrupt_Message : in T_SLVV_8(PORTS - 1 downto 0) ); end entity; architecture rtl of pb_InterruptController_Device is attribute KEEP : BOOLEAN; constant REQUIRED_REG_BYTES : POSITIVE := div_ceil(PORTS, 8); -- Regarding REQUIRED_REG_BYTES, the position of the enable/disable marker bit is moved from LSB to MSB -- Example 1: -- REQUIRED_REG_BYTES = 1 -- -> no bit is required to address the register in the field, because it's only one byte -- => enable/disable bit is located at bit 0 -- -- Example 2: -- REQUIRED_REG_BYTES = 3 -- -> 2 bits are required to address 3 registers in the field [23:0] -- -> [1:0] is used to address the correct byte/register -- => enable/disable bit is located at bit 2 constant BIT_EN_DIS : NATURAL := log2ceil(REQUIRED_REG_BYTES); constant BIT_VEC_MES : NATURAL := log2ceil(REQUIRED_REG_BYTES); constant REG_WO_ENABLE_BIT_VALUE : STD_LOGIC := '0'; constant REG_WO_DISABLE_BIT_VALUE : STD_LOGIC := '1'; constant REG_RO_VECTOR_BIT_VALUE : STD_LOGIC := '0'; constant REG_RO_MESSAGE_BIT_VALUE : STD_LOGIC := '1'; signal AdrDec_we : STD_LOGIC; signal AdrDec_re : STD_LOGIC; signal AdrDec_WriteAddress : T_SLV_8; signal AdrDec_ReadAddress : T_SLV_8; signal AdrDec_Data : T_SLV_8; signal Reg_InterruptEnable_slvv : T_SLVV_8(REQUIRED_REG_BYTES - 1 downto 0) := (others => (others => '0')); signal Reg_InterruptEnable : STD_LOGIC_VECTOR((REQUIRED_REG_BYTES * 8) - 1 downto 0); type T_STATE is (ST_IDLE, ST_INTERRUPT_PENDING, ST_INTERRUPT_MESSAGE); signal State : T_STATE := ST_IDLE; signal NextState : T_STATE; signal Interrupt_re : STD_LOGIC_VECTOR(PORTS - 1 downto 0); signal InterruptPending_r : STD_LOGIC_VECTOR(PORTS - 1 downto 0) := (others => '0'); signal InterruptMessages_d : T_SLVV_8(PORTS - 1 downto 0) := (others => (others => '0')); signal InterruptRequestsOpen : STD_LOGIC; signal InterruptRequestVector : STD_LOGIC_VECTOR(PORTS - 1 downto 0); signal InterruptSource_Read : STD_LOGIC; signal FSM_DataOut : T_SLV_8; signal FSM_Arbitrate : STD_LOGIC; signal FSM_InterruptClearVector : STD_LOGIC_VECTOR(PORTS - 1 downto 0); signal Arb_GrantVector : STD_LOGIC_VECTOR(PORTS - 1 downto 0); signal Arb_GrantVector_bin : STD_LOGIC_VECTOR(log2ceilnz(PORTS) - 1 downto 0); attribute KEEP of FSM_Arbitrate : signal is DEBUG; attribute KEEP of Arb_GrantVector : signal is DEBUG; attribute KEEP of InterruptRequestVector : signal is DEBUG; begin assert (PORTS <= 32) report "InterruptController supports only up to 32 interrupt sources!" severity failure; AdrDec : entity L_PicoBlaze.PicoBlaze_AddressDecoder generic map ( DEVICE_NAME => str_trim(DEVICE_INSTANCE.DeviceShort), BUS_NAME => str_trim(DEVICE_INSTANCE.BusShort), READ_MAPPINGS => pb_FilterMappings(DEVICE_INSTANCE, PB_MAPPING_KIND_READ), WRITE_MAPPINGS => pb_FilterMappings(DEVICE_INSTANCE, PB_MAPPING_KIND_WRITE), WRITEK_MAPPINGS => pb_FilterMappings(DEVICE_INSTANCE, PB_MAPPING_KIND_WRITEK) ) port map ( Clock => Clock, Reset => Reset, -- PicoBlaze interface In_Address => Address, In_WriteStrobe => WriteStrobe, In_WriteStrobe_K => WriteStrobe_K, In_ReadStrobe => ReadStrobe, In_Data => DataIn, Out_WriteAddress => AdrDec_WriteAddress, Out_ReadAddress => AdrDec_ReadAddress, Out_WriteStrobe => AdrDec_we, Out_ReadStrobe => AdrDec_re, Out_Data => AdrDec_Data ); process(Clock, AdrDec_WriteAddress) variable index : NATURAL; begin index := to_index(AdrDec_WriteAddress(BIT_EN_DIS - 1 downto 0)); if rising_edge(Clock) then if (Reset = '1') then Reg_InterruptEnable_slvv <= (others => (others => '0')); elsif (AdrDec_we = '1') then case AdrDec_WriteAddress(BIT_EN_DIS) is when REG_WO_ENABLE_BIT_VALUE => Reg_InterruptEnable_slvv(index) <= Reg_InterruptEnable_slvv(index) or AdrDec_Data; when REG_WO_DISABLE_BIT_VALUE => Reg_InterruptEnable_slvv(index) <= Reg_InterruptEnable_slvv(index) and not AdrDec_Data; when others => null; end case; end if; end if; end process; process(AdrDec_re, AdrDec_ReadAddress, Reg_InterruptEnable_slvv, FSM_DataOut) variable index : NATURAL; begin index := to_index(AdrDec_ReadAddress(BIT_VEC_MES - 1 downto 0)); DataOut <= FSM_DataOut; case AdrDec_ReadAddress(BIT_VEC_MES) is when REG_RO_VECTOR_BIT_VALUE => DataOut <= Reg_InterruptEnable_slvv(index); when REG_RO_MESSAGE_BIT_VALUE => DataOut <= FSM_DataOut; when others => DataOut <= (others => 'X'); end case; InterruptSource_Read <= AdrDec_re and to_sl(AdrDec_ReadAddress(BIT_VEC_MES) = REG_RO_MESSAGE_BIT_VALUE); end process; Interrupt <= '0'; Message <= x"00"; genPort : for i in 0 to PORTS - 1 generate signal Interrupt_d : STD_LOGIC := '0'; begin Interrupt_d <= Dev_Interrupt(i) when rising_edge(Clock); Interrupt_re(i) <= not Interrupt_d and Dev_Interrupt(i); -- RS-FFs to latch the interrupt signal and the message InterruptPending_r(i) <= ffrs(q => InterruptPending_r(i), rst => (Reset or FSM_InterruptClearVector(i)), set => Interrupt_re(i)) when rising_edge(Clock); InterruptMessages_d(i) <= ffdre(q => InterruptMessages_d(i), d => Dev_Interrupt_Message(i), rst => (Reset or FSM_InterruptClearVector(i)), en => Interrupt_re(i)) when rising_edge(Clock); end generate; Reg_InterruptEnable <= to_slv(Reg_InterruptEnable_slvv); InterruptRequestVector <= InterruptPending_r and Reg_InterruptEnable(InterruptPending_r'range); InterruptRequestsOpen <= slv_or(InterruptRequestVector and not FSM_InterruptClearVector); Arb : entity PoC.bus_Arbiter generic map ( STRATEGY => "RR", PORTS => PORTS, WEIGHTS => (0 to PORTS - 1 => 1), OUTPUT_REG => FALSE ) port map ( Clock => Clock, Reset => Reset, Arbitrate => FSM_Arbitrate, Request_Vector => InterruptRequestVector, Grant_Vector => Arb_GrantVector, Grant_Index => Arb_GrantVector_bin ); process(Clock) begin if rising_edge(Clock) then if (Reset = '1') then State <= ST_IDLE; else State <= NextState; end if; end if; end process; process(State, InterruptRequestsOpen, Arb_GrantVector, Arb_GrantVector_bin, PB_Interrupt_Ack, InterruptSource_Read, InterruptMessages_d) begin NextState <= State; PB_Interrupt <= InterruptRequestsOpen; FSM_DataOut <= resize(Arb_GrantVector_bin, FSM_DataOut'length); Dev_Interrupt_Ack <= (others => '0'); FSM_Arbitrate <= '0'; FSM_InterruptClearVector <= (others => '0'); case State is when ST_IDLE => if (InterruptRequestsOpen = '1') then FSM_Arbitrate <= '1'; NextState <= ST_INTERRUPT_PENDING; end if; when ST_INTERRUPT_PENDING => FSM_DataOut <= resize(Arb_GrantVector_bin, FSM_DataOut'length); if (InterruptSource_Read = '1') then NextState <= ST_INTERRUPT_MESSAGE; end if; when ST_INTERRUPT_MESSAGE => FSM_DataOut <= InterruptMessages_d(to_index(Arb_GrantVector_bin)); if (PB_Interrupt_Ack = '1') then FSM_InterruptClearVector <= Arb_GrantVector; Dev_Interrupt_Ack <= Arb_GrantVector; if (InterruptRequestsOpen = '1') then FSM_Arbitrate <= '1'; NextState <= ST_INTERRUPT_PENDING; else NextState <= ST_IDLE; end if; end if; end case; end process; end;
apache-2.0
Paebbels/PicoBlaze-Library
netlist/XC7VX485T-2FFG1761/CSP_PB_Tracer_ILA.vhdl
1
1261
------------------------------------------------------------------------------- -- Copyright (c) 2015 Xilinx, Inc. -- All Rights Reserved ------------------------------------------------------------------------------- -- ____ ____ -- / /\/ / -- /___/ \ / Vendor : Xilinx -- \ \ \/ Version : 14.7 -- \ \ Application: XILINX CORE Generator -- / / Filename : CSP_PB_Tracer_ILA.vhd -- /___/ /\ Timestamp : Tue Jun 09 21:49:29 Mitteleuropäische Sommerzeit 2015 -- \ \ / \ -- \___\/\___\ -- -- Design Name: VHDL Synthesis Wrapper ------------------------------------------------------------------------------- -- This wrapper is used to integrate with Project Navigator and PlanAhead LIBRARY ieee; USE ieee.std_logic_1164.ALL; ENTITY CSP_PB_Tracer_ILA IS port ( CONTROL: inout std_logic_vector(35 downto 0); CLK: in std_logic; DATA: in std_logic_vector(62 downto 0); TRIG0: in std_logic_vector(14 downto 0); TRIG1: in std_logic_vector(7 downto 0); TRIG2: in std_logic_vector(5 downto 0); TRIG3: in std_logic_vector(15 downto 0); TRIG_OUT: out std_logic); END CSP_PB_Tracer_ILA; ARCHITECTURE CSP_PB_Tracer_ILA_a OF CSP_PB_Tracer_ILA IS BEGIN END CSP_PB_Tracer_ILA_a;
apache-2.0
nsensfel/tabellion
data/test/combinational_processes/valid.vhd
1
3097
library IEEE; use IEEE.std_logic_1164.all; entity valid is port ( ip0, ip1, ip2, ip3: in std_logic; op0, op1, op2, op3: out std_logic ); end; architecture RTL of valid is type enum_t is (V0, V1, V2, V3); signal s0, s1, s2, s3: std_logic; signal st0: enum_t; signal n0, n1, n2, n3: natural range 0 to 3; begin s0 <= s1; -- $SOL:0:0$ s0 <= (s1 and s2); -- $SOL:1:0$ process (s0, s1) -- $SOL:2:0$ begin case s1 is when '0' => op0 <= s0; when others => op0 <= s1; end case; end process; process (s0, s1) -- $SOL:3:0$ begin case s1 is when '0' => op0 <= s0; op1 <= (s0 or s1); when others => op1 <= (s1 or '0'); op0 <= s1; end case; end process; process (s0, s1) -- $SOL:4:0$ begin op2 <= '0'; case s1 is when '0' => op0 <= s0; op1 <= (s0 or s1); when others => op1 <= (s1 or '0'); op0 <= s1; op2 <= '1'; end case; end process; process (s0, s1, s2) -- $SOL:5:0$ begin op2 <= '0'; case s1 is when '0' => if (s2 = '0') then op0 <= s0; else op0 <= s1; end if; op1 <= (s0 or s1); when others => op1 <= (s1 or '0'); op0 <= s1; op2 <= '1'; end case; end process; with ip0 select -- $SOL:6:0$ s1 <= ip1 when '0', ip2 when '1', ip3 when others; with st0 select -- $SOL:7:0$ s2 <= ip1 when V0, ip2 when V1, ip3 when V2, s1 when V3; with st0 select -- $SOL:8:0$ s2 <= ip1 when V0, ip2 when V1, ip3 when others; process (s0, s1, s2, s3) -- $SOL:9:0$ begin case st0 is when V3 => op0 <= s0; when V2 => op0 <= s1; when V1 => op0 <= s2; when V0 => op0 <= s3; end case; end process; process (s0, s1, s2, s3) -- $SOL:10:0$ begin case st0 is when V3 => op0 <= s0; when V2 => op0 <= s1; when others => op0 <= s2; end case; end process; process (n0, n2) -- $SOL:11:0$ begin case n0 is when 0 => n1 <= 0; when 1 to 2 => n1 <= n2; when 3 => n1 <= 2; end case; end process; process (n0, n2) -- $SOL:12:0$ begin case n0 is when 0 => n1 <= 0; when 1 => n1 <= n3; when 2 => n1 <= n2; when 3 => n1 <= 2; end case; end process; process (n0, n3) -- $SOL:13:0$ begin case n0 is when 0 => n1 <= 0; when 1 => n1 <= n3; when others => n1 <= n3; end case; end process; end;
apache-2.0
mithro/vhdl-triple-buffer
hdl/triple_buffer_arbiter_tb.vhd
1
8306
-------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 22:39:58 07/06/2014 -- Design Name: -- Module Name: /home/tansell/foss/buffer/hdl/triple_buffer_arbiter_tb.vhd -- Project Name: buffer -- Target Device: -- Tool versions: -- Description: -- -- VHDL Test Bench Created by ISE for module: triple_buffer_arbiter -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- -- Notes: -- This testbench has been automatically generated using types std_logic and -- std_logic_vector for the ports of the unit under test. Xilinx recommends -- that these types always be used for the top-level I/O of a design in order -- to guarantee that the testbench will bind correctly to the post-implementation -- simulation model. -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --USE ieee.numeric_std.ALL; ENTITY triple_buffer_arbiter_tb IS END triple_buffer_arbiter_tb; ARCHITECTURE behavior OF triple_buffer_arbiter_tb IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT triple_buffer_arbiter generic ( offset : integer; size : integer; addr : integer := 32); PORT( input_clk : IN std_logic; output_clk : IN std_logic; input_addr : OUT unsigned(7 downto 0); output_addr : OUT unsigned(7 downto 0); rst : IN std_logic); END COMPONENT; --Inputs signal input_clk : std_logic := '0'; signal output_clk : std_logic := '0'; signal rst : std_logic := '0'; signal evt : std_logic := '0'; --Outputs signal input_addr : unsigned(7 downto 0); signal expected_input_addr : unsigned(7 downto 0); signal output_addr : unsigned(7 downto 0); signal expected_output_addr : unsigned(7 downto 0); -- Clock period definitions constant addr_delay : time := 5 ns; constant buffer0_addr : unsigned(7 downto 0) := to_unsigned(100, 8); constant buffer1_addr : unsigned(7 downto 0) := to_unsigned(120, 8); constant buffer2_addr : unsigned(7 downto 0) := to_unsigned(140, 8); BEGIN evt <= rst or input_clk or output_clk; -- Instantiate the Unit Under Test (UUT) uut: triple_buffer_arbiter GENERIC MAP ( offset => 100, size => 20, addr => 8) PORT MAP ( input_clk => input_clk, output_clk => output_clk, input_addr => input_addr, output_addr => output_addr, rst => rst); -- Stimulus process stim_proc: process procedure Reset is begin wait for 35 ns; expected_input_addr <= "UUUUUUUU"; expected_output_addr <= "UUUUUUUU"; rst <= '1'; wait for 1 ns; rst <= '0'; wait for 35 ns; end procedure; procedure ExpectedInputAddr( constant expected_value : unsigned(7 downto 0)) is begin wait for 5 ns; input_clk <= '1'; wait for addr_delay; expected_input_addr <= expected_value; end procedure; procedure ExpectedInputClear is begin wait for 5 ns; input_clk <= '0'; expected_input_addr <= "UUUUUUUU"; end procedure; procedure ExpectedOutputAddr( constant expected_value : unsigned(7 downto 0)) is begin wait for 5 ns; output_clk <= '1'; wait for addr_delay; expected_output_addr <= expected_value; end procedure; procedure ExpectedOutputClear is begin wait for 5 ns; output_clk <= '0'; expected_output_addr <= "UUUUUUUU"; end procedure; begin Reset; -- Write starts, should go to buffer0 ExpectedInputAddr(buffer0_addr); ExpectedInputClear; -- Write starts, should go to buffer1 ExpectedInputAddr(buffer1_addr); ExpectedInputClear; -- Write starts, should go to buffer2 ExpectedInputAddr(buffer2_addr); ExpectedInputClear; -- Write starts, should go to buffer0 ExpectedInputAddr(buffer0_addr); ExpectedInputClear; ------------------------------------------------------------------- -- Finished the initial write tests Reset; ------------------------------------------------------------------- ExpectedInputAddr(buffer0_addr); ExpectedInputClear; -- Read, should get the last input_addr ExpectedOutputAddr(buffer0_addr); ExpectedOutputClear; -- Make sure read keeps getting the same addr ExpectedOutputAddr(buffer0_addr); ExpectedOutputClear; -- Advance the input buffer ExpectedInputAddr(buffer1_addr); ExpectedInputClear; -- Read should have advanced to the next addr ExpectedOutputAddr(buffer1_addr); ExpectedOutputClear; ExpectedOutputAddr(buffer1_addr); ExpectedOutputClear; -- Go around the buffer ---- ExpectedInputAddr(buffer2_addr); ExpectedInputClear; -- ExpectedOutputAddr(buffer2_addr); ExpectedOutputClear; ExpectedOutputAddr(buffer2_addr); ExpectedOutputClear; ---- ExpectedInputAddr(buffer0_addr); ExpectedInputClear; -- ExpectedOutputAddr(buffer0_addr); ExpectedOutputClear; ExpectedOutputAddr(buffer0_addr); ExpectedOutputClear; ---- Reset; -- Write starts, should go to buffer0 ExpectedInputAddr(buffer0_addr); ExpectedInputClear; ExpectedInputAddr(buffer1_addr); ExpectedOutputAddr(buffer0_addr); ExpectedOutputClear; ExpectedOutputAddr(buffer0_addr); ExpectedOutputClear; ExpectedInputClear; ExpectedInputAddr(buffer2_addr); ExpectedOutputAddr(buffer1_addr); ExpectedOutputClear; ExpectedInputClear; ExpectedInputAddr(buffer0_addr); ExpectedOutputAddr(buffer2_addr); ExpectedOutputClear; ExpectedOutputAddr(buffer2_addr); ExpectedOutputClear; ExpectedInputClear; ExpectedOutputAddr(buffer0_addr); ExpectedOutputClear; ------------------------------------------------------------------- -- Finished the initial read tests Reset; ------------------------------------------------------------------- ExpectedInputAddr(buffer0_addr); ExpectedInputClear; -- Start reading from the first buffer, make sure the writer bounced -- between the two remaining buffers ExpectedOutputAddr(buffer0_addr); ExpectedInputAddr(buffer1_addr); ExpectedInputClear; ExpectedInputAddr(buffer2_addr); ExpectedInputClear; ExpectedInputAddr(buffer1_addr); ExpectedInputClear; -- Release the reader, should write to buffer0 now ExpectedOutputClear; ExpectedInputAddr(buffer0_addr); ExpectedInputClear; ExpectedInputAddr(buffer2_addr); ExpectedInputClear; ExpectedInputAddr(buffer1_addr); ExpectedInputClear; ExpectedInputAddr(buffer0_addr); ExpectedInputClear; ExpectedInputAddr(buffer2_addr); ExpectedInputClear; -- Try again, except reading from buffer2 ExpectedOutputAddr(buffer2_addr); ExpectedInputAddr(buffer1_addr); ExpectedInputClear; ExpectedInputAddr(buffer0_addr); ExpectedInputClear; ExpectedInputAddr(buffer1_addr); ExpectedInputClear; ExpectedInputAddr(buffer0_addr); ExpectedInputClear; ExpectedOutputClear; ExpectedInputAddr(buffer2_addr); ExpectedInputClear; ExpectedInputAddr(buffer1_addr); ExpectedInputClear; ExpectedInputAddr(buffer0_addr); ExpectedInputClear; ExpectedInputAddr(buffer2_addr); ExpectedInputClear; ExpectedInputAddr(buffer1_addr); ExpectedInputClear; ExpectedInputAddr(buffer0_addr); ExpectedInputClear; -- Reset; wait; end process; END;
apache-2.0
davelab6/fontdirectory.github.io
static/bower_components/ace-builds/demo/kitchen-sink/docs/vhdl.vhd
472
830
library IEEE user IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity COUNT16 is port ( cOut :out std_logic_vector(15 downto 0); -- counter output clkEn :in std_logic; -- count enable clk :in std_logic; -- clock input rst :in std_logic -- reset input ); end entity; architecture count_rtl of COUNT16 is signal count :std_logic_vector (15 downto 0); begin process (clk, rst) begin if(rst = '1') then count <= (others=>'0'); elsif(rising_edge(clk)) then if(clkEn = '1') then count <= count + 1; end if; end if; end process; cOut <= count; end architecture;
apache-2.0
nishtahir/arty-blaze
src/bd/system/ip/system_xadc_wiz_0_0/system_xadc_wiz_0_0_axi_xadc.vhd
1
50598
------------------------------------------------------------------------------- -- system_xadc_wiz_0_0_axi_xadc.vhd - entity/architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************ -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This file contains proprietary and confidential information of ** -- ** Xilinx, Inc. ("Xilinx"), that is distributed under a license ** -- ** from Xilinx, and may be used, copied and/or disclosed only ** -- ** pursuant to the terms of a valid license agreement with Xilinx. ** -- ** ** -- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION ** -- ** ("MATERIALS") "AS IS" WITHOUT WARRANTY OF ANY KIND, EITHER ** -- ** EXPRESSED, IMPLIED, OR STATUTORY, INCLUDING WITHOUT ** -- ** LIMITATION, ANY WARRANTY WITH RESPECT TO NONINFRINGEMENT, ** -- ** MERCHANTABILITY OR FITNESS FOR ANY PARTICULAR PURPOSE. Xilinx ** -- ** does not warrant that functions included in the Materials will ** -- ** meet the requirements of Licensee, or that the operation of the ** -- ** Materials will be uninterrupted or error-free, or that defects ** -- ** in the Materials will be corrected. Furthermore, Xilinx does ** -- ** not warrant or make any representations regarding use, or the ** -- ** results of the use, of the Materials in terms of correctness, ** -- ** accuracy, reliability or otherwise. ** -- ** ** -- ** Xilinx products are not designed or intended to be fail-safe, ** -- ** or for use in any application requiring fail-safe performance, ** -- ** such as life-support or safety devices or systems, Class III ** -- ** medical devices, nuclear facilities, applications related to ** -- ** the deployment of airbags, or any other applications that could ** -- ** lead to death, personal injury or severe property or ** -- ** environmental damage (individually and collectively, "critical ** -- ** applications"). Customer assumes the sole risk and liability ** -- ** of any use of Xilinx products in critical applications, ** -- ** subject only to applicable laws and regulations governing ** -- ** limitations on product liability. ** -- ** ** -- ** Copyright 2010, 2013 Xilinx, Inc. ** -- ** All rights reserved. ** -- ** ** -- ** This disclaimer and copyright notice must be retained as part ** -- ** of this file at all times. ** -- ************************************************************************ ------------------------------------------------------------------------------- -- File : system_xadc_wiz_0_0_axi_xadc.vhd -- Version : v3.0 -- Description : XADC macro with AXI bus interface -- Standard : VHDL-93 ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Structure: -- axi_xadc.vhd -- -system_xadc_wiz_0_0_xadc_core_drp.vhd ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_cmb" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.conv_std_logic_vector; use IEEE.std_logic_arith.unsigned; use IEEE.std_logic_arith.all; use IEEE.std_logic_misc.and_reduce; use IEEE.std_logic_misc.or_reduce; library work; use work.system_xadc_wiz_0_0_ipif_pkg.all; use work.system_xadc_wiz_0_0_soft_reset; use work.system_xadc_wiz_0_0_ipif_pkg.calc_num_ce; use work.system_xadc_wiz_0_0_ipif_pkg.INTEGER_ARRAY_TYPE; use work.system_xadc_wiz_0_0_ipif_pkg.SLV64_ARRAY_TYPE; use work.system_xadc_wiz_0_0_ipif_pkg.INTR_POS_EDGE_DETECT; use work.system_xadc_wiz_0_0_proc_common_pkg.all; ------------------------------------------------------------------------------- -- Definition of Generics -------------------- -- AXI LITE Generics -------------------- -- C_BASEADDR -- Base Address -- C_HIGHADDR -- high address -- C_S_AXI_DATA_WIDTH -- AXI data bus width -- C_S_AXI_ADDR_WIDTH -- AXI address bus width -- C_FAMILY -- Target FPGA family, Virtex 6 only -- C_INCLUDE_INTR -- inclusion of interrupt -- C_SIM_MONITOR_FILE -- simulation file ------------------------------------------------------------------------------- -- Definition of Ports ------------------------------------------------------------------------------- -- s_axi_aclk -- AXI Clock -- s_axi_aresetn -- AXI Reset -- s_axi_awaddr -- AXI Write address -- s_axi_awvalid -- Write address valid -- s_axi_awready -- Write address ready -- s_axi_wdata -- Write data -- s_axi_wstrb -- Write strobes -- s_axi_wvalid -- Write valid -- s_axi_wready -- Write ready -- s_axi_bresp -- Write response -- s_axi_bvalid -- Write response valid -- s_axi_bready -- Response ready -- s_axi_araddr -- Read address -- s_axi_arvalid -- Read address valid -- s_axi_arready -- Read address ready -- s_axi_rdata -- Read data -- s_axi_rresp -- Read response -- s_axi_rvalid -- Read valid -- s_axi_rready -- Read ready ------------------------------------------------------------------------------- -- Note: the unused signals in the port name lists are not listed here. ------------------------------------------------------------------------------- -- SYSMON EXTERNAL INTERFACE -- INPUT Signals ------------------------------------------------------------------------------- -- VAUXN -- Sixteen auxiliary analog input pairs -- VAUXP -- low bandwidth differential analog inputs -- CONVST -- Conversion start signal for event-driven sampling mode ------------------------------------------------------------------------------- -- SYSMON EXTERNAL INTERFACE -- OUTPUT Signals ------------------------------------------------------------------------------- -- ip2intc_irpt -- Interrupt to processor -- alarm_out -- SYSMON alarm output signals of the hard macro ------------------------------------------------------------------------------- entity system_xadc_wiz_0_0_axi_xadc is generic ( ----------------------------------------- -- C_BASEADDR : std_logic_vector := X"FFFF_FFFF"; -- C_HIGHADDR : std_logic_vector := X"0000_0000"; ----------------------------------------- -- AXI slave single block generics C_INSTANCE : string := "system_xadc_wiz_0_0_axi_xadc"; C_FAMILY : string := "virtex7"; C_S_AXI_ADDR_WIDTH : integer range 2 to 32 := 11; C_S_AXI_DATA_WIDTH : integer range 32 to 128 := 32; ----------------------------------------- -- SYSMON Generics C_INCLUDE_INTR : integer range 0 to 1 := 1; C_SIM_MONITOR_FILE : string := "design.txt" ); port ( -- System interface s_axi_aclk : in std_logic; s_axi_aresetn : in std_logic; -- AXI Write address channel signals s_axi_awaddr : in std_logic_vector((C_S_AXI_ADDR_WIDTH-1) downto 0); s_axi_awvalid : in std_logic; s_axi_awready : out std_logic; -- AXI Write data channel signals s_axi_wdata : in std_logic_vector((C_S_AXI_DATA_WIDTH-1) downto 0); s_axi_wstrb : in std_logic_vector(((C_S_AXI_DATA_WIDTH/8)-1) downto 0); s_axi_wvalid : in std_logic; s_axi_wready : out std_logic; -- AXI Write response channel signals s_axi_bresp : out std_logic_vector(1 downto 0); s_axi_bvalid : out std_logic; s_axi_bready : in std_logic; -- AXI Read address channel signals s_axi_araddr : in std_logic_vector((C_S_AXI_ADDR_WIDTH-1) downto 0); s_axi_arvalid : in std_logic; s_axi_arready : out std_logic; -- AXI Read address channel signals s_axi_rdata : out std_logic_vector((C_S_AXI_DATA_WIDTH-1) downto 0); s_axi_rresp : out std_logic_vector(1 downto 0); s_axi_rvalid : out std_logic; s_axi_rready : in std_logic; -- Input to the system from the axi_xadc core ip2intc_irpt : out std_logic; -- XADC External interface signals -- Conversion start control signal for Event driven mode vauxp0 : in STD_LOGIC; -- Auxiliary Channel 0 vauxn0 : in STD_LOGIC; vauxp1 : in STD_LOGIC; -- Auxiliary Channel 1 vauxn1 : in STD_LOGIC; vauxp2 : in STD_LOGIC; -- Auxiliary Channel 2 vauxn2 : in STD_LOGIC; vauxp4 : in STD_LOGIC; -- Auxiliary Channel 4 vauxn4 : in STD_LOGIC; vauxp5 : in STD_LOGIC; -- Auxiliary Channel 5 vauxn5 : in STD_LOGIC; vauxp6 : in STD_LOGIC; -- Auxiliary Channel 6 vauxn6 : in STD_LOGIC; vauxp7 : in STD_LOGIC; -- Auxiliary Channel 7 vauxn7 : in STD_LOGIC; vauxp9 : in STD_LOGIC; -- Auxiliary Channel 9 vauxn9 : in STD_LOGIC; vauxp10 : in STD_LOGIC; -- Auxiliary Channel 10 vauxn10 : in STD_LOGIC; vauxp12 : in STD_LOGIC; -- Auxiliary Channel 12 vauxn12 : in STD_LOGIC; vauxp13 : in STD_LOGIC; -- Auxiliary Channel 13 vauxn13 : in STD_LOGIC; vauxp14 : in STD_LOGIC; -- Auxiliary Channel 14 vauxn14 : in STD_LOGIC; vauxp15 : in STD_LOGIC; -- Auxiliary Channel 15 vauxn15 : in STD_LOGIC; busy_out : out STD_LOGIC; -- ADC Busy signal channel_out : out STD_LOGIC_VECTOR (4 downto 0); -- Channel Selection Outputs eoc_out : out STD_LOGIC; -- End of Conversion Signal eos_out : out STD_LOGIC; -- End of Sequence Signal alarm_out : out STD_LOGIC_VECTOR (7 downto 0); -- OR'ed output of all the Alarms temp_out : out std_logic_vector(11 downto 0); vp_in : in STD_LOGIC; -- Dedicated Analog Input Pair vn_in : in STD_LOGIC ); ------------------------------------------------------------------------------- -- Attributes ------------------------------------------------------------------------------- -- Fan-Out attributes for XST ATTRIBUTE MAX_FANOUT : string; ATTRIBUTE MAX_FANOUT of s_axi_aclk : signal is "10000"; ATTRIBUTE MAX_FANOUT of s_axi_aresetn : signal is "10000"; ----------------------------------------------------------------- -- Start of PSFUtil MPD attributes ----------------------------------------------------------------- ATTRIBUTE HDL : string; ATTRIBUTE HDL of system_xadc_wiz_0_0_axi_xadc : entity is "VHDL"; ATTRIBUTE IPTYPE : string; ATTRIBUTE IPTYPE of system_xadc_wiz_0_0_axi_xadc : entity is "PERIPHERAL"; ATTRIBUTE IP_GROUP : string; ATTRIBUTE IP_GROUP of system_xadc_wiz_0_0_axi_xadc : entity is "LOGICORE"; ATTRIBUTE SIGIS : string; ATTRIBUTE SIGIS of s_axi_aclk : signal is "Clk"; ATTRIBUTE SIGIS of s_axi_aresetn : signal is "Rst"; ATTRIBUTE SIGIS of ip2intc_irpt : signal is "INTR_LEVEL_HIGH"; ----------------------------------------------------------------- -- end of PSFUtil MPD attributes ----------------------------------------------------------------- end entity system_xadc_wiz_0_0_axi_xadc; ------------------------------------------------------------------------------- -- Architecture Section ------------------------------------------------------------------------------- architecture imp of system_xadc_wiz_0_0_axi_xadc is component system_xadc_wiz_0_0_xadc_core_drp generic ( ---------------- C_S_AXI_ADDR_WIDTH : integer; C_S_AXI_DATA_WIDTH : integer; C_FAMILY : string; ---------------- CE_NUMBERS : integer; IP_INTR_NUM : integer; C_SIM_MONITOR_FILE : string ; ---------------- MUX_ADDR_NO : integer ); port ( -- IP Interconnect (IPIC) port signals --------- Bus2IP_Clk : in std_logic; Bus2IP_Rst : in std_logic; -- Bus 2 IP IPIC interface Bus2IP_RdCE : in std_logic_vector(0 to CE_NUMBERS-1); Bus2IP_WrCE : in std_logic_vector(0 to CE_NUMBERS-1); Bus2IP_Addr : in std_logic_vector(0 to (C_S_AXI_ADDR_WIDTH-1)); Bus2IP_Data : in std_logic_vector(0 to (C_S_AXI_DATA_WIDTH-1)); -- IP 2 Bus IPIC interface Sysmon_IP2Bus_Data : out std_logic_vector(0 to (C_S_AXI_DATA_WIDTH-1)); Sysmon_IP2Bus_WrAck : out std_logic; Sysmon_IP2Bus_RdAck : out std_logic; ---------------- interrupt interface with the system ----------- Interrupt_status : out std_logic_vector(0 to IP_INTR_NUM-1); ---------------- sysmon macro interface ------------------- vauxp0 : in STD_LOGIC; -- Auxiliary Channel 0 vauxn0 : in STD_LOGIC; vauxp1 : in STD_LOGIC; -- Auxiliary Channel 1 vauxn1 : in STD_LOGIC; vauxp2 : in STD_LOGIC; -- Auxiliary Channel 2 vauxn2 : in STD_LOGIC; vauxp4 : in STD_LOGIC; -- Auxiliary Channel 4 vauxn4 : in STD_LOGIC; vauxp5 : in STD_LOGIC; -- Auxiliary Channel 5 vauxn5 : in STD_LOGIC; vauxp6 : in STD_LOGIC; -- Auxiliary Channel 6 vauxn6 : in STD_LOGIC; vauxp7 : in STD_LOGIC; -- Auxiliary Channel 7 vauxn7 : in STD_LOGIC; vauxp9 : in STD_LOGIC; -- Auxiliary Channel 9 vauxn9 : in STD_LOGIC; vauxp10 : in STD_LOGIC; -- Auxiliary Channel 10 vauxn10 : in STD_LOGIC; vauxp12 : in STD_LOGIC; -- Auxiliary Channel 12 vauxn12 : in STD_LOGIC; vauxp13 : in STD_LOGIC; -- Auxiliary Channel 13 vauxn13 : in STD_LOGIC; vauxp14 : in STD_LOGIC; -- Auxiliary Channel 14 vauxn14 : in STD_LOGIC; vauxp15 : in STD_LOGIC; -- Auxiliary Channel 15 vauxn15 : in STD_LOGIC; busy_out : out STD_LOGIC; -- ADC Busy signal channel_out : out STD_LOGIC_VECTOR (4 downto 0); -- Channel Selection Outputs eoc_out : out STD_LOGIC; -- End of Conversion Signal eos_out : out STD_LOGIC; -- End of Sequence Signal alarm_out : out STD_LOGIC_VECTOR (7 downto 0); temp_out : out std_logic_vector(11 downto 0); vp_in : in STD_LOGIC; -- Dedicated Analog Input Pair vn_in : in STD_LOGIC ); end component; ------------------------------------------------------------------------------- -- Function Declarations starts ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Function: add_intr_ard_addr_range_array ------------------------------------------------------------------------------- -- Add the interrupt base and high address to ARD_ADDR_RANGE_ARRAY, if -- C_INCLUDE_INTR is = 1 ------------------------------------------------------------------------------- function add_intr_ard_addr_range_array (include_intr : integer; USER_ARD_ADDR_RANGE_ARRAY : SLV64_ARRAY_TYPE; INTR_USER_ARD_ADDR_RANGE_ARRAY : SLV64_ARRAY_TYPE ) return SLV64_ARRAY_TYPE is begin if include_intr = 1 then return INTR_USER_ARD_ADDR_RANGE_ARRAY; else return USER_ARD_ADDR_RANGE_ARRAY; end if; end function add_intr_ard_addr_range_array; ------------------------------------------------------------------------------- -- Function: add_intr_ce_range_array ------------------------------------------------------------------------------- -- This function is used to add the 16 interrupts in the NUM_CE range array, if -- C_INCLUDE_INTR is = 1 ------------------------------------------------------------------------------- function add_intr_ce_range_array (include_intr : integer; USER_ARD_NUM_CE_ARRAY : INTEGER_ARRAY_TYPE; INTR_USER_ARD_NUM_CE_ARRAY : INTEGER_ARRAY_TYPE ) return INTEGER_ARRAY_TYPE is begin if include_intr = 1 then return INTR_USER_ARD_NUM_CE_ARRAY; else return USER_ARD_NUM_CE_ARRAY; end if; end function add_intr_ce_range_array; ------------------------------------------------------------------------------- -- Function Declaration ends ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Type Declaration ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Constant Declaration Starts ------------------------------------------------------------------------------- -- AXI lite parameters constant C_BASEADDR : std_logic_vector := X"0000_0000"; --constant C_BASEADDR : std_logic_vector := X"FFFF_FFFF"; constant C_HIGHADDR : std_logic_vector := X"0000_0000"; constant C_S_AXI_SYSMON_MIN_SIZE : std_logic_vector(31 downto 0):= X"000003FF"; constant C_USE_WSTRB : integer := 1; constant C_DPHASE_TIMEOUT : integer := 64; --constant ZERO_ADDR_PAD : std_logic_vector(0 to 64-C_S_AXI_ADDR_WIDTH-1) -- := (others => '0'); constant ZERO_ADDR_PAD : std_logic_vector(0 to 64-32-1) := (others => '0'); constant INTERRUPT_NO : natural := 17; -- changed from 10 to 17 for adding -- falling edge interrupts constant C_INTR_CE_NUM : integer := 16; -- this is fixed for interrupt controller constant MUX_ADDR_NO : integer := 5; -- added for XADC ------------------------------------------------------------------------------- -- The local register array contains -- 1. Software Reset Register (SRR), -- address C_BASEADDR + 0x00 -- 2. Status Register (SR), -- address C_BASEADDR + 0x04 -- 3. Alarm Output Status Register (AOSR), -- address C_BASEADDR + 0x08 -- 4. CONVST Register (CONVSTR), -- address C_BASEADDR + 0x0C -- 5. SYSMON Reset Register (SYSMONRR). -- address C_BASEADDR + 0x10 -- All registers are 32 bit width and their addresses are at word boundry. ------------------------------------------------------------------------------- constant LOCAL_REG_BASEADDR : std_logic_vector := C_BASEADDR or X"00000000"; constant LOCAL_REG_HIGHADDR : std_logic_vector := C_BASEADDR or X"0000001F"; ------------------------------------------------------------------------------- -- The interrupt registers to be added if C_INCLUDE_INTR = 1 ------------------------------------------------------------------------------- constant INTR_BASEADDR : std_logic_vector := C_BASEADDR or X"00000040"; constant INTR_HIGHADDR : std_logic_vector := C_BASEADDR or x"0000007F"; ------------------------------------------------------------------------------- -- The address range is devided in the range of Status & Control registers -- there are total 128 registers. First 64 are the status and remaning 64 are -- control registers ------------------------------------------------------------------------------- constant REG_FILE_BASEADDR : std_logic_vector := C_BASEADDR or X"00000200"; constant REG_FILE_HIGHADDR : std_logic_vector := C_BASEADDR or X"000003FF"; ------------------------------------------------------------------------------- --The address ranges for the registers are defined in USER_ARD_ADDR_RANGE_ARRAY ------------------------------------------------------------------------------- constant USER_ARD_ADDR_RANGE_ARRAY : SLV64_ARRAY_TYPE := ( ZERO_ADDR_PAD & LOCAL_REG_BASEADDR, ZERO_ADDR_PAD & LOCAL_REG_HIGHADDR, ZERO_ADDR_PAD & REG_FILE_BASEADDR, ZERO_ADDR_PAD & REG_FILE_HIGHADDR ); constant INTR_USER_ARD_ADDR_RANGE_ARRAY : SLV64_ARRAY_TYPE := ( ZERO_ADDR_PAD & LOCAL_REG_BASEADDR, ZERO_ADDR_PAD & LOCAL_REG_HIGHADDR, ZERO_ADDR_PAD & INTR_BASEADDR, ZERO_ADDR_PAD & INTR_HIGHADDR, ZERO_ADDR_PAD & REG_FILE_BASEADDR, ZERO_ADDR_PAD & REG_FILE_HIGHADDR ); ------------------------------------------------------------------------------- -- The USER_ARD_ADDR_RANGE_ARRAY is subset of ARD_ADDR_RANGE_ARRAY based on the -- C_INCLUDE_INTR parameter value. ------------------------------------------------------------------------------- constant ARD_ADDR_RANGE_ARRAY : SLV64_ARRAY_TYPE := add_intr_ard_addr_range_array( C_INCLUDE_INTR, USER_ARD_ADDR_RANGE_ARRAY, INTR_USER_ARD_ADDR_RANGE_ARRAY ); ------------------------------------------------------------------------------- --The total 128 DRP register address space is divided in two 64 register arrays --The status and control registers are equally divided in the range to generate --the chip enable signals. --There are some local alarm registers, conversion start registers, ip reset --registers present in the design. --the no. of CE's required is defined in USER_ARD_NUM_CE_ARRAY array ------------------------------------------------------------------------------- constant USER_ARD_NUM_CE_ARRAY : INTEGER_ARRAY_TYPE := ( 0 => 8, -- 5 chip enable + 3 dummy -- CS_0 & CE_0 => SRR -- Addr = 00 -- CS_0 & CE_1 => SR -- Addr = 04 -- CS_0 & CE_2 => AOSR -- Addr = 08 -- CS_0 & CE_3 => CONVSTR -- Addr = 0C -- CS_0 & CE_4 => SYSMONRR -- Addr = 10 -- CS_0 & CE_5 => dummy -- Addr = 14 -- CS_0 & CE_6 => dummy -- Addr = 18 -- CS_0 & CE_7 => dummy -- Addr = 1C 1 => 1--, -- 1 chip enable -- CS_1 & CE_8 => 1 CE required to access DRP ); constant INTR_USER_ARD_NUM_CE_ARRAY : INTEGER_ARRAY_TYPE := ( 0 => 8, -- 5 chip enable + 3 dummy -- CS_0 & CE_0 => SRR -- Addr = 00 -- CS_0 & CE_1 => SR -- Addr = 04 -- CS_0 & CE_2 => AOSR -- Addr = 08 -- CS_0 & CE_3 => CONVSTR -- Addr = 0C -- CS_0 & CE_4 => SYSMONRR -- Addr = 10 -- CS_0 & CE_5 => dummy -- Addr = 14 -- CS_0 & CE_6 => dummy -- Addr = 18 -- CS_0 & CE_7 => dummy -- Addr = 1C 1 => 16, -- 16 chip enable -- CS_1 & CE_15 => GIER -- Addr = 5C -- CS_1 & CE_16 => IPISR -- Addr = 60 -- CS_1 & CE_18 => IPIER -- Addr = 68 -- Following commented code is for reference with execution of above function 2 => 1 -- 1 chip enable -- addr = 200 to 3FF -- CS_2 & CE_24 => 1 CE required to access DRP ); ------------------------------------------------------------------------------- -- The USER_ARD_NUM_CE_ARRAY is subset of ARD_NUM_CE_ARRAY based on the -- C_INCLUDE_INTR parameter value. ------------------------------------------------------------------------------- constant ARD_NUM_CE_ARRAY : INTEGER_ARRAY_TYPE := add_intr_ce_range_array( C_INCLUDE_INTR, USER_ARD_NUM_CE_ARRAY, INTR_USER_ARD_NUM_CE_ARRAY ); ------------------------------------------------------------------------------- -- Eight interrupts ------------------------------------------------------------------------------- constant IP_INTR_MODE_ARRAY : INTEGER_ARRAY_TYPE(0 to INTERRUPT_NO-1):= ( others => INTR_POS_EDGE_DETECT ); ------------------------------------------------------------------------------- -- Calculating index for interrupt logic ------------------------------------------------------------------------------- constant SWRESET : natural := 0; constant INTR_LO : natural := 0; constant INTR_HI : natural := 15; constant CS_NUMBERS : integer :=((ARD_ADDR_RANGE_ARRAY'LENGTH/2)); constant RD_CE_NUMBERS : integer :=(calc_num_ce(ARD_NUM_CE_ARRAY)); constant WR_CE_NUMBERS : integer :=(calc_num_ce(ARD_NUM_CE_ARRAY)); constant IP_INTR_MODE_ARRAY_NUM : integer := IP_INTR_MODE_ARRAY'length; constant RDCE_WRCE_SYSMON_CORE : integer := 9; -------------------------------------------------------------------------------- -- Constant Declaration Ends -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- -- Signal and Type Declarations -------------------------------------------------------------------------------- --bus2ip signals signal bus2ip_clk : std_logic; signal bus2ip_reset : std_logic; --- signal bus2ip_rdce : std_logic_vector((RD_CE_NUMBERS-1)downto 0); signal bus2ip_rdce_int : std_logic_vector(0 to (RD_CE_NUMBERS-1)); signal bus2ip_rdce_xadc_core : std_logic_vector(0 to (RDCE_WRCE_SYSMON_CORE-1)); --- signal bus2ip_wrce : std_logic_vector((WR_CE_NUMBERS-1)downto 0); signal bus2ip_wrce_int : std_logic_vector(0 to (WR_CE_NUMBERS-1)); signal bus2ip_wrce_xadc_core : std_logic_vector(0 to (RDCE_WRCE_SYSMON_CORE-1)); --- signal bus2ip_addr : std_logic_vector((C_S_AXI_ADDR_WIDTH-1)downto 0); signal bus2ip_addr_int : std_logic_vector(0 to (C_S_AXI_ADDR_WIDTH-1)); --- signal bus2ip_be : std_logic_vector(((C_S_AXI_DATA_WIDTH/8)-1)downto 0); signal bus2ip_be_int : std_logic_vector(0 to (C_S_AXI_DATA_WIDTH/8)-1); --- signal bus2ip_data : std_logic_vector(((C_S_AXI_DATA_WIDTH)-1)downto 0); signal bus2ip_data_int : std_logic_vector(0 to (C_S_AXI_DATA_WIDTH-1)); -- ip2bus signals signal ip2bus_data : std_logic_vector((C_S_AXI_DATA_WIDTH-1)downto 0) := (others => '0'); signal ip2bus_data_int : std_logic_vector(0 to (C_S_AXI_DATA_WIDTH-1)); signal ip2bus_data_int1 : std_logic_vector(0 to (C_S_AXI_DATA_WIDTH-1)); --- signal ip2bus_wrack : std_logic; signal ip2bus_rdack : std_logic; signal ip2bus_error : std_logic; signal ip2bus_wrack_int1 : std_logic; signal ip2bus_rdack_int1 : std_logic; signal ip2bus_error_int1 : std_logic; signal xadc_ip2bus_data : std_logic_vector(0 to (C_S_AXI_DATA_WIDTH-1)); signal xadc_ip2bus_wrack : std_logic; signal xadc_ip2bus_rdack : std_logic; -- signal xadc_ip2bus_error : std_logic; signal interrupt_status_i : std_logic_vector(0 to (IP_INTR_MODE_ARRAY_NUM-1)); signal intr_ip2bus_data : std_logic_vector(0 to (C_S_AXI_DATA_WIDTH-1)); signal intr_ip2bus_wrack : std_logic; signal intr_ip2bus_rdack : std_logic; signal intr_ip2bus_error : std_logic; -- Software Reset Signals signal reset2ip_reset : std_logic := '0'; signal rst_ip2bus_wrack : std_logic; signal rst_ip2bus_error : std_logic; signal rst_ip2bus_rdack : std_logic; signal rst_ip2bus_rdack_d1 : std_logic; -- following signals are used to impleemnt the register access rule signal and_reduce_be : std_logic; signal partial_reg_access_error : std_logic; signal bus2ip_reset_active_low : std_logic; signal bus2ip_reset_active_high: std_logic; -------------------------------------------- signal dummy_local_reg_rdack_d1 : std_logic; signal dummy_local_reg_rdack : std_logic; signal dummy_local_reg_wrack_d1 : std_logic; signal dummy_local_reg_wrack : std_logic; signal bus2ip_rdce_intr : std_logic_vector(INTR_LO to INTR_HI); signal bus2ip_wrce_intr : std_logic_vector(INTR_LO to INTR_HI); ------------------------------------------------------------------------------- -- Architecture begins ------------------------------------------------------------------------------- begin -------------------------------------------- -- INSTANTIATE AXI SLAVE SINGLE -------------------------------------------- AXI_LITE_IPIF_I : entity work.system_xadc_wiz_0_0_axi_lite_ipif generic map ( C_S_AXI_ADDR_WIDTH => C_S_AXI_ADDR_WIDTH, C_S_AXI_DATA_WIDTH => C_S_AXI_DATA_WIDTH, C_S_AXI_MIN_SIZE => C_S_AXI_SYSMON_MIN_SIZE, C_USE_WSTRB => C_USE_WSTRB, C_DPHASE_TIMEOUT => C_DPHASE_TIMEOUT, C_ARD_ADDR_RANGE_ARRAY => ARD_ADDR_RANGE_ARRAY, C_ARD_NUM_CE_ARRAY => ARD_NUM_CE_ARRAY, C_FAMILY => C_FAMILY ) port map ( s_axi_aclk => s_axi_aclk, -- in s_axi_aresetn => s_axi_aresetn, -- in s_axi_awaddr => s_axi_awaddr, -- in s_axi_awvalid => s_axi_awvalid, -- in s_axi_awready => s_axi_awready, -- out s_axi_wdata => s_axi_wdata, -- in s_axi_wstrb => s_axi_wstrb, -- in s_axi_wvalid => s_axi_wvalid, -- in s_axi_wready => s_axi_wready, -- out s_axi_bresp => s_axi_bresp, -- out s_axi_bvalid => s_axi_bvalid, -- out s_axi_bready => s_axi_bready, -- in s_axi_araddr => s_axi_araddr, -- in s_axi_arvalid => s_axi_arvalid, -- in s_axi_arready => s_axi_arready, -- out s_axi_rdata => s_axi_rdata, -- out s_axi_rresp => s_axi_rresp, -- out s_axi_rvalid => s_axi_rvalid, -- out s_axi_rready => s_axi_rready, -- in -- IP Interconnect (IPIC) port signals Bus2IP_Clk => bus2ip_clk, -- out Bus2IP_Resetn => bus2ip_reset_active_low, -- out Bus2IP_Addr => bus2ip_addr, -- out Bus2IP_RNW => open, -- out Bus2IP_BE => bus2ip_be, -- out Bus2IP_CS => open, -- out Bus2IP_RdCE => bus2ip_rdce, -- out Bus2IP_WrCE => bus2ip_wrce, -- out Bus2IP_Data => bus2ip_data, -- out IP2Bus_Data => ip2bus_data, -- in IP2Bus_WrAck => ip2bus_wrack, -- in IP2Bus_RdAck => ip2bus_rdack, -- in IP2Bus_Error => ip2bus_error -- in ); ------------------------------------------------------------------------------- ------------------------------- bus2ip_rdce_int <= bus2ip_rdce; ------------------------------- bus2ip_wrce_int <= bus2ip_wrce; ------------------------------- ip2bus_data <= ip2bus_data_int; ------------------------------- ---------------------- --REG_RESET_FROM_IPIF: convert active low to active hig reset to rest of -- the core. ---------------------- REG_RESET_FROM_IPIF: process (s_axi_aclk) is begin if(s_axi_aclk'event and s_axi_aclk = '1') then bus2ip_reset_active_high <= not(bus2ip_reset_active_low); end if; end process REG_RESET_FROM_IPIF; ---------------------- ------------------------------------------------------------------------------- -------------------- when interrupt is used. RDCE_WRCE_GEN_I: if (C_INCLUDE_INTR = 1) generate ----------------- -------- begin -------- bus2ip_rdce_intr <= bus2ip_rdce_int -- (25-16=8) to (25-2=23) (((RD_CE_NUMBERS-C_INTR_CE_NUM)-1)to (RD_CE_NUMBERS-2)); bus2ip_wrce_intr <= bus2ip_wrce_int -- (25-16=8) to (25-2=23) (((WR_CE_NUMBERS-C_INTR_CE_NUM)-1)to (WR_CE_NUMBERS-2)); bus2ip_rdce_xadc_core <= bus2ip_rdce_int -- 0 to ((25-16=8)-2)=7 ((RD_CE_NUMBERS-RD_CE_NUMBERS)to ((RD_CE_NUMBERS-C_INTR_CE_NUM)-2) ) & -- 24 = last rdce bus2ip_rdce_int(RD_CE_NUMBERS-1); bus2ip_wrce_xadc_core <= bus2ip_wrce_int -- 0 to ((25-16=8)-1)=7 ((WR_CE_NUMBERS-WR_CE_NUMBERS)to ((WR_CE_NUMBERS-C_INTR_CE_NUM)-2) ) & -- 24 = last wrce bus2ip_wrce_int(WR_CE_NUMBERS-1); end generate RDCE_WRCE_GEN_I; ----------------------------- ------------------------------------------------------------------------------- -------------------- when interrupt is NOT used. RDCE_WRCE_NOT_GEN_I: if (C_INCLUDE_INTR = 0) generate ----------------- -------- begin -------- bus2ip_rdce_xadc_core <= bus2ip_rdce_int; bus2ip_wrce_xadc_core <= bus2ip_wrce_int; end generate RDCE_WRCE_NOT_GEN_I; --------------------------------- ------------------------------------------------------------------------------- -------------------------------------------- -- XADC_CORE_I: INSTANTIATE XADC CORE -------------------------------------------- AXI_XADC_CORE_I : system_xadc_wiz_0_0_xadc_core_drp generic map ( ---------------- ------------------------- C_S_AXI_ADDR_WIDTH => C_S_AXI_ADDR_WIDTH, C_S_AXI_DATA_WIDTH => C_S_AXI_DATA_WIDTH, C_FAMILY => C_FAMILY, ---------------- ------------------------- CE_NUMBERS => RDCE_WRCE_SYSMON_CORE, IP_INTR_NUM => IP_INTR_MODE_ARRAY_NUM, C_SIM_MONITOR_FILE => C_SIM_MONITOR_FILE, ------------------ ------------------------- MUX_ADDR_NO => MUX_ADDR_NO ) port map ( -- IP Interconnect (IPIC) port signals --------- Bus2IP_Clk => bus2ip_clk, Bus2IP_Rst => reset2ip_reset, Bus2IP_RdCE => bus2ip_rdce_xadc_core, Bus2IP_WrCE => bus2ip_wrce_xadc_core, Bus2IP_Addr => bus2ip_addr, Bus2IP_Data => bus2ip_data, -- ip2bus signals ------------------------------ Sysmon_IP2Bus_Data => xadc_ip2bus_data, Sysmon_IP2Bus_WrAck => xadc_ip2bus_wrack, Sysmon_IP2Bus_RdAck => xadc_ip2bus_rdack, Interrupt_status => interrupt_status_i, --- external interface signals ------------------ vauxp0 => vauxp0, vauxn0 => vauxn0, vauxp1 => vauxp1, vauxn1 => vauxn1, vauxp2 => vauxp2, vauxn2 => vauxn2, vauxp4 => vauxp4, vauxn4 => vauxn4, vauxp5 => vauxp5, vauxn5 => vauxn5, vauxp6 => vauxp6, vauxn6 => vauxn6, vauxp7 => vauxp7, vauxn7 => vauxn7, vauxp9 => vauxp9, vauxn9 => vauxn9, vauxp10 => vauxp10, vauxn10 => vauxn10, vauxp12 => vauxp12, vauxn12 => vauxn12, vauxp13 => vauxp13, vauxn13 => vauxn13, vauxp14 => vauxp14, vauxn14 => vauxn14, vauxp15 => vauxp15, vauxn15 => vauxn15, busy_out => busy_out, channel_out => channel_out, eoc_out => eoc_out, eos_out => eos_out, alarm_out => alarm_out, temp_out => temp_out, vp_in => vp_in, vn_in => vn_in ); ---------------------------------------------------------- -- SOFT_RESET_I: INSTANTIATE SOFTWARE RESET REGISTER (SRR) ---------------------------------------------------------- SOFT_RESET_I: entity work.system_xadc_wiz_0_0_soft_reset generic map ( C_SIPIF_DWIDTH => C_S_AXI_DATA_WIDTH, -- Width of triggered reset in Bus Clocks C_RESET_WIDTH => 16 ) port map ( -- Inputs From the AXI Slave Single Bus Bus2IP_Reset => bus2ip_reset_active_high, -- in Bus2IP_Clk => bus2ip_clk, -- in Bus2IP_WrCE => bus2ip_wrce_int(SWRESET), -- in Bus2IP_Data => bus2ip_data, -- in Bus2IP_BE => bus2ip_be, -- in -- Final Device Reset Output Reset2IP_Reset => reset2ip_reset, -- out -- Status Reply Outputs to the Bus Reset2Bus_WrAck => rst_ip2bus_wrack, -- out Reset2Bus_Error => rst_ip2bus_error, -- out Reset2Bus_ToutSup => open -- out ); ------------------------------------------------------------ -- INSTANTIATE INTERRUPT CONTROLLER MODULE (IPISR,IPIER,GIER) ------------------------------------------------------------ -- INTR_CTRLR_GEN_I: Generate logic to be used to pass signals, -------------------- when interrupt is used. INTR_CTRLR_GEN_I: if (C_INCLUDE_INTR = 1) generate ----------------- -------- signal bus2ip_rdce_intr_int : std_logic_vector(INTR_LO to INTR_HI); signal bus2ip_wrce_intr_int : std_logic_vector(INTR_LO to INTR_HI); signal dummy_bus2ip_rdce_intr : std_logic; signal dummy_bus2ip_wrce_intr : std_logic; signal dummy_intr_reg_rdack_d1: std_logic; signal dummy_intr_reg_rdack : std_logic; signal dummy_intr_reg_wrack_d1: std_logic; signal dummy_intr_reg_wrack : std_logic; -------- begin -------- bus2ip_rdce_intr_int <= "0000000" & bus2ip_rdce_intr(7 to 8) & "0" & bus2ip_rdce_intr(10) & "00000"; bus2ip_wrce_intr_int <= "0000000" & bus2ip_wrce_intr(7 to 8) & "0" & bus2ip_wrce_intr(10) & "00000"; dummy_bus2ip_rdce_intr <= or_reduce(bus2ip_rdce_intr(0 to 6)) or bus2ip_rdce_intr(9) or or_reduce(bus2ip_rdce_intr(11 to 15)); dummy_bus2ip_wrce_intr <= or_reduce(bus2ip_wrce_intr(0 to 6)) or bus2ip_wrce_intr(9) or or_reduce(bus2ip_wrce_intr(11 to 15)); --------------------------------------------- DUMMY_INTR_RD_WR_ACK_GEN_PROCESS:process(Bus2IP_Clk) is begin if (bus2ip_clk'event and bus2ip_clk = '1') then if (reset2ip_reset = RESET_ACTIVE) then dummy_intr_reg_rdack_d1 <= '0'; dummy_intr_reg_rdack <= '0'; dummy_intr_reg_wrack_d1 <= '0'; dummy_intr_reg_wrack <= '0'; else dummy_intr_reg_rdack_d1 <= dummy_bus2ip_rdce_intr; dummy_intr_reg_rdack <= dummy_bus2ip_rdce_intr and (not dummy_intr_reg_rdack_d1); dummy_intr_reg_wrack_d1 <= dummy_bus2ip_wrce_intr; dummy_intr_reg_wrack <= dummy_bus2ip_wrce_intr and (not dummy_intr_reg_wrack_d1); end if; end if; end process DUMMY_INTR_RD_WR_ACK_GEN_PROCESS; --------------------------------------------- INTERRUPT_CONTROL_I: entity work.system_xadc_wiz_0_0_interrupt_control generic map ( C_NUM_CE => C_INTR_CE_NUM, C_NUM_IPIF_IRPT_SRC => 1, -- Set to 1 to avoid null array C_IP_INTR_MODE_ARRAY => IP_INTR_MODE_ARRAY, -- Specifies device Priority Encoder function C_INCLUDE_DEV_PENCODER => FALSE, -- Specifies device ISC hierarchy C_INCLUDE_DEV_ISC => FALSE, C_IPIF_DWIDTH => C_S_AXI_DATA_WIDTH ) port map ( Bus2IP_Clk => Bus2IP_Clk, Bus2IP_Reset => reset2ip_reset, Bus2IP_Data => bus2ip_data, Bus2IP_BE => bus2ip_be, Interrupt_RdCE => bus2ip_rdce_intr_int, Interrupt_WrCE => bus2ip_wrce_intr_int, IPIF_Reg_Interrupts => "00", -- Tie off the unused reg intr's IPIF_Lvl_Interrupts => "0", -- Tie off the dummy lvl intr IP2Bus_IntrEvent => interrupt_status_i, Intr2Bus_DevIntr => ip2intc_irpt, Intr2Bus_DBus => intr_ip2bus_data, Intr2Bus_WrAck => intr_ip2bus_wrack, Intr2Bus_RdAck => intr_ip2bus_rdack, Intr2Bus_Error => intr_ip2bus_error, Intr2Bus_Retry => open, Intr2Bus_ToutSup => open ); ip2bus_wrack_int1 <= xadc_ip2bus_wrack or rst_ip2bus_wrack or intr_ip2bus_wrack or dummy_intr_reg_wrack or dummy_local_reg_wrack; ip2bus_rdack_int1 <= xadc_ip2bus_rdack or rst_ip2bus_rdack or intr_ip2bus_rdack or dummy_intr_reg_rdack or dummy_local_reg_rdack; ip2bus_error_int1 <= rst_ip2bus_error or intr_ip2bus_error or partial_reg_access_error; ip2bus_data_int1 <= xadc_ip2bus_data or intr_ip2bus_data; process (Bus2IP_Clk) begin if (Bus2IP_Clk'event and Bus2IP_Clk = '1') then if (reset2ip_reset = '1') then ip2bus_wrack <= '0'; ip2bus_rdack <= '0'; ip2bus_error <= '0'; ip2bus_data_int <= (others => '0'); else ip2bus_wrack <= ip2bus_wrack_int1; ip2bus_rdack <= ip2bus_rdack_int1; ip2bus_error <= ip2bus_error_int1; ip2bus_data_int <= ip2bus_data_int1; end if; end if; end process; end generate INTR_CTRLR_GEN_I; ------------------------------ ------------------------------------------------------------------------------- -- NO_INTR_CTRLR_GEN_I: Generate logic to be used to pass signals, ----------------------- when interrupt is not used. NO_INTR_CTRLR_GEN_I : if (C_INCLUDE_INTR = 0) generate ----- begin ----- ip2bus_wrack_int1 <= xadc_ip2bus_wrack or rst_ip2bus_wrack or dummy_local_reg_wrack; ip2bus_rdack_int1 <= xadc_ip2bus_rdack or rst_ip2bus_rdack or dummy_local_reg_rdack; ip2bus_error_int1 <= rst_ip2bus_error or partial_reg_access_error; ip2bus_data_int1 <= xadc_ip2bus_data; ip2intc_irpt <= '0'; process (Bus2IP_Clk) begin if (Bus2IP_Clk'event and Bus2IP_Clk = '1') then if (reset2ip_reset = '1') then ip2bus_wrack <= '0'; ip2bus_rdack <= '0'; ip2bus_error <= '0'; ip2bus_data_int <= (others => '0'); else ip2bus_wrack <= ip2bus_wrack_int1; ip2bus_rdack <= ip2bus_rdack_int1; ip2bus_error <= ip2bus_error_int1; ip2bus_data_int <= ip2bus_data_int1; end if; end if; end process; end generate NO_INTR_CTRLR_GEN_I; --------------------------------- ------------------------------------------------------------------------------- ------------------------------------------------------------ -- SW_RESET_REG_READ_ACK_GEN_PROCESS:IMPLEMENT READ ACK LOGIC FOR SOFTWARE -- RESET MODULE. This is dummy read as read is -- not allowed on reset core. ------------------------------------------------------------ SW_RESET_REG_READ_ACK_GEN_PROCESS:process(Bus2IP_Clk) is begin if (bus2ip_clk'event and bus2ip_clk = '1') then if (reset2ip_reset = RESET_ACTIVE) then rst_ip2bus_rdack_d1 <= '0'; rst_ip2bus_rdack <= '0'; else rst_ip2bus_rdack_d1 <= bus2ip_rdce_int(SWRESET); rst_ip2bus_rdack <= bus2ip_rdce_int(SWRESET) and (not rst_ip2bus_rdack_d1); end if; end if; end process SW_RESET_REG_READ_ACK_GEN_PROCESS; --------------------------------------------- ------------------------------------------------------------------------------- -- Logic for generation of error signal for partial word access byte enables and_reduce_be <= and_reduce(bus2ip_be); partial_reg_access_error <= (not and_reduce_be) and (xadc_ip2bus_rdack or xadc_ip2bus_wrack); ------------------------------------------------------------------------------- -------------------------------------------------------------- ---- SW_RESET_REG_READ_ACK_GEN_PROCESS:Implement read ack logic for dummy register ---- holes. This is dummy read as read/write is ---- not returning any value. In local registers. -------------------------------------------------------------- DUMMY_REG_READ_WRITE_ACK_GEN_PROCESS:process(Bus2IP_Clk) is begin if (bus2ip_clk'event and bus2ip_clk = '1') then if (reset2ip_reset = RESET_ACTIVE) then dummy_local_reg_rdack_d1 <= '0'; dummy_local_reg_rdack <= '0'; dummy_local_reg_wrack_d1 <= '0'; dummy_local_reg_wrack <= '0'; else dummy_local_reg_rdack_d1 <= or_reduce(bus2ip_rdce_int(5 to 7)); dummy_local_reg_rdack <= or_reduce(bus2ip_rdce_int(5 to 7)) and (not dummy_local_reg_rdack_d1); dummy_local_reg_wrack_d1 <= or_reduce(bus2ip_wrce_int(5 to 7)); dummy_local_reg_wrack <= or_reduce(bus2ip_wrce_int(5 to 7)) and (not dummy_local_reg_wrack_d1); end if; end if; end process DUMMY_REG_READ_WRITE_ACK_GEN_PROCESS; ----------------------------------------------- end architecture imp;
apache-2.0
nishtahir/arty-blaze
src/bd/system/ip/system_dlmb_v10_0/system_dlmb_v10_0_sim_netlist.vhdl
1
7200
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2016.4 (win64) Build 1733598 Wed Dec 14 22:35:39 MST 2016 -- Date : Mon Feb 13 12:45:00 2017 -- Host : WK117 running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode funcsim -rename_top system_dlmb_v10_0 -prefix -- system_dlmb_v10_0_ system_ilmb_v10_0_sim_netlist.vhdl -- Design : system_ilmb_v10_0 -- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or -- synthesized. This netlist cannot be used for SDF annotated simulation. -- Device : xc7a35ticsg324-1L -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_dlmb_v10_0_lmb_v10 is port ( LMB_Clk : in STD_LOGIC; SYS_Rst : in STD_LOGIC; LMB_Rst : out STD_LOGIC; M_ABus : in STD_LOGIC_VECTOR ( 0 to 31 ); M_ReadStrobe : in STD_LOGIC; M_WriteStrobe : in STD_LOGIC; M_AddrStrobe : in STD_LOGIC; M_DBus : in STD_LOGIC_VECTOR ( 0 to 31 ); M_BE : in STD_LOGIC_VECTOR ( 0 to 3 ); Sl_DBus : in STD_LOGIC_VECTOR ( 0 to 31 ); Sl_Ready : in STD_LOGIC_VECTOR ( 0 to 0 ); Sl_Wait : in STD_LOGIC_VECTOR ( 0 to 0 ); Sl_UE : in STD_LOGIC_VECTOR ( 0 to 0 ); Sl_CE : in STD_LOGIC_VECTOR ( 0 to 0 ); LMB_ABus : out STD_LOGIC_VECTOR ( 0 to 31 ); LMB_ReadStrobe : out STD_LOGIC; LMB_WriteStrobe : out STD_LOGIC; LMB_AddrStrobe : out STD_LOGIC; LMB_ReadDBus : out STD_LOGIC_VECTOR ( 0 to 31 ); LMB_WriteDBus : out STD_LOGIC_VECTOR ( 0 to 31 ); LMB_Ready : out STD_LOGIC; LMB_Wait : out STD_LOGIC; LMB_UE : out STD_LOGIC; LMB_CE : out STD_LOGIC; LMB_BE : out STD_LOGIC_VECTOR ( 0 to 3 ) ); attribute C_EXT_RESET_HIGH : integer; attribute C_EXT_RESET_HIGH of system_dlmb_v10_0_lmb_v10 : entity is 1; attribute C_LMB_AWIDTH : integer; attribute C_LMB_AWIDTH of system_dlmb_v10_0_lmb_v10 : entity is 32; attribute C_LMB_DWIDTH : integer; attribute C_LMB_DWIDTH of system_dlmb_v10_0_lmb_v10 : entity is 32; attribute C_LMB_NUM_SLAVES : integer; attribute C_LMB_NUM_SLAVES of system_dlmb_v10_0_lmb_v10 : entity is 1; end system_dlmb_v10_0_lmb_v10; architecture STRUCTURE of system_dlmb_v10_0_lmb_v10 is signal \^m_abus\ : STD_LOGIC_VECTOR ( 0 to 31 ); signal \^m_addrstrobe\ : STD_LOGIC; signal \^m_be\ : STD_LOGIC_VECTOR ( 0 to 3 ); signal \^m_dbus\ : STD_LOGIC_VECTOR ( 0 to 31 ); signal \^m_readstrobe\ : STD_LOGIC; signal \^m_writestrobe\ : STD_LOGIC; signal \^sl_ce\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \^sl_dbus\ : STD_LOGIC_VECTOR ( 0 to 31 ); signal \^sl_ready\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \^sl_ue\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \^sl_wait\ : STD_LOGIC_VECTOR ( 0 to 0 ); attribute BOX_TYPE : string; attribute BOX_TYPE of POR_FF_I : label is "PRIMITIVE"; attribute XILINX_LEGACY_PRIM : string; attribute XILINX_LEGACY_PRIM of POR_FF_I : label is "FDS"; begin LMB_ABus(0 to 31) <= \^m_abus\(0 to 31); LMB_AddrStrobe <= \^m_addrstrobe\; LMB_BE(0 to 3) <= \^m_be\(0 to 3); LMB_CE <= \^sl_ce\(0); LMB_ReadDBus(0 to 31) <= \^sl_dbus\(0 to 31); LMB_ReadStrobe <= \^m_readstrobe\; LMB_Ready <= \^sl_ready\(0); LMB_UE <= \^sl_ue\(0); LMB_Wait <= \^sl_wait\(0); LMB_WriteDBus(0 to 31) <= \^m_dbus\(0 to 31); LMB_WriteStrobe <= \^m_writestrobe\; \^m_abus\(0 to 31) <= M_ABus(0 to 31); \^m_addrstrobe\ <= M_AddrStrobe; \^m_be\(0 to 3) <= M_BE(0 to 3); \^m_dbus\(0 to 31) <= M_DBus(0 to 31); \^m_readstrobe\ <= M_ReadStrobe; \^m_writestrobe\ <= M_WriteStrobe; \^sl_ce\(0) <= Sl_CE(0); \^sl_dbus\(0 to 31) <= Sl_DBus(0 to 31); \^sl_ready\(0) <= Sl_Ready(0); \^sl_ue\(0) <= Sl_UE(0); \^sl_wait\(0) <= Sl_Wait(0); POR_FF_I: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => LMB_Clk, CE => '1', D => '0', Q => LMB_Rst, S => SYS_Rst ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_dlmb_v10_0 is port ( LMB_Clk : in STD_LOGIC; SYS_Rst : in STD_LOGIC; LMB_Rst : out STD_LOGIC; M_ABus : in STD_LOGIC_VECTOR ( 0 to 31 ); M_ReadStrobe : in STD_LOGIC; M_WriteStrobe : in STD_LOGIC; M_AddrStrobe : in STD_LOGIC; M_DBus : in STD_LOGIC_VECTOR ( 0 to 31 ); M_BE : in STD_LOGIC_VECTOR ( 0 to 3 ); Sl_DBus : in STD_LOGIC_VECTOR ( 0 to 31 ); Sl_Ready : in STD_LOGIC_VECTOR ( 0 to 0 ); Sl_Wait : in STD_LOGIC_VECTOR ( 0 to 0 ); Sl_UE : in STD_LOGIC_VECTOR ( 0 to 0 ); Sl_CE : in STD_LOGIC_VECTOR ( 0 to 0 ); LMB_ABus : out STD_LOGIC_VECTOR ( 0 to 31 ); LMB_ReadStrobe : out STD_LOGIC; LMB_WriteStrobe : out STD_LOGIC; LMB_AddrStrobe : out STD_LOGIC; LMB_ReadDBus : out STD_LOGIC_VECTOR ( 0 to 31 ); LMB_WriteDBus : out STD_LOGIC_VECTOR ( 0 to 31 ); LMB_Ready : out STD_LOGIC; LMB_Wait : out STD_LOGIC; LMB_UE : out STD_LOGIC; LMB_CE : out STD_LOGIC; LMB_BE : out STD_LOGIC_VECTOR ( 0 to 3 ) ); attribute NotValidForBitStream : boolean; attribute NotValidForBitStream of system_dlmb_v10_0 : entity is true; attribute CHECK_LICENSE_TYPE : string; attribute CHECK_LICENSE_TYPE of system_dlmb_v10_0 : entity is "system_ilmb_v10_0,lmb_v10,{}"; attribute downgradeipidentifiedwarnings : string; attribute downgradeipidentifiedwarnings of system_dlmb_v10_0 : entity is "yes"; attribute x_core_info : string; attribute x_core_info of system_dlmb_v10_0 : entity is "lmb_v10,Vivado 2016.4"; end system_dlmb_v10_0; architecture STRUCTURE of system_dlmb_v10_0 is attribute C_EXT_RESET_HIGH : integer; attribute C_EXT_RESET_HIGH of U0 : label is 1; attribute C_LMB_AWIDTH : integer; attribute C_LMB_AWIDTH of U0 : label is 32; attribute C_LMB_DWIDTH : integer; attribute C_LMB_DWIDTH of U0 : label is 32; attribute C_LMB_NUM_SLAVES : integer; attribute C_LMB_NUM_SLAVES of U0 : label is 1; begin U0: entity work.system_dlmb_v10_0_lmb_v10 port map ( LMB_ABus(0 to 31) => LMB_ABus(0 to 31), LMB_AddrStrobe => LMB_AddrStrobe, LMB_BE(0 to 3) => LMB_BE(0 to 3), LMB_CE => LMB_CE, LMB_Clk => LMB_Clk, LMB_ReadDBus(0 to 31) => LMB_ReadDBus(0 to 31), LMB_ReadStrobe => LMB_ReadStrobe, LMB_Ready => LMB_Ready, LMB_Rst => LMB_Rst, LMB_UE => LMB_UE, LMB_Wait => LMB_Wait, LMB_WriteDBus(0 to 31) => LMB_WriteDBus(0 to 31), LMB_WriteStrobe => LMB_WriteStrobe, M_ABus(0 to 31) => M_ABus(0 to 31), M_AddrStrobe => M_AddrStrobe, M_BE(0 to 3) => M_BE(0 to 3), M_DBus(0 to 31) => M_DBus(0 to 31), M_ReadStrobe => M_ReadStrobe, M_WriteStrobe => M_WriteStrobe, SYS_Rst => SYS_Rst, Sl_CE(0) => Sl_CE(0), Sl_DBus(0 to 31) => Sl_DBus(0 to 31), Sl_Ready(0) => Sl_Ready(0), Sl_UE(0) => Sl_UE(0), Sl_Wait(0) => Sl_Wait(0) ); end STRUCTURE;
apache-2.0
nishtahir/arty-blaze
src/bd/system/ip/system_rst_mig_7series_0_83M_0/sim/system_rst_mig_7series_0_83M_0.vhd
1
5883
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:proc_sys_reset:5.0 -- IP Revision: 10 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY proc_sys_reset_v5_0_10; USE proc_sys_reset_v5_0_10.proc_sys_reset; ENTITY system_rst_mig_7series_0_83M_0 IS PORT ( slowest_sync_clk : IN STD_LOGIC; ext_reset_in : IN STD_LOGIC; aux_reset_in : IN STD_LOGIC; mb_debug_sys_rst : IN STD_LOGIC; dcm_locked : IN STD_LOGIC; mb_reset : OUT STD_LOGIC; bus_struct_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); peripheral_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); interconnect_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); peripheral_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0) ); END system_rst_mig_7series_0_83M_0; ARCHITECTURE system_rst_mig_7series_0_83M_0_arch OF system_rst_mig_7series_0_83M_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF system_rst_mig_7series_0_83M_0_arch: ARCHITECTURE IS "yes"; COMPONENT proc_sys_reset IS GENERIC ( C_FAMILY : STRING; C_EXT_RST_WIDTH : INTEGER; C_AUX_RST_WIDTH : INTEGER; C_EXT_RESET_HIGH : STD_LOGIC; C_AUX_RESET_HIGH : STD_LOGIC; C_NUM_BUS_RST : INTEGER; C_NUM_PERP_RST : INTEGER; C_NUM_INTERCONNECT_ARESETN : INTEGER; C_NUM_PERP_ARESETN : INTEGER ); PORT ( slowest_sync_clk : IN STD_LOGIC; ext_reset_in : IN STD_LOGIC; aux_reset_in : IN STD_LOGIC; mb_debug_sys_rst : IN STD_LOGIC; dcm_locked : IN STD_LOGIC; mb_reset : OUT STD_LOGIC; bus_struct_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); peripheral_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); interconnect_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); peripheral_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0) ); END COMPONENT proc_sys_reset; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF slowest_sync_clk: SIGNAL IS "xilinx.com:signal:clock:1.0 clock CLK"; ATTRIBUTE X_INTERFACE_INFO OF ext_reset_in: SIGNAL IS "xilinx.com:signal:reset:1.0 ext_reset RST"; ATTRIBUTE X_INTERFACE_INFO OF aux_reset_in: SIGNAL IS "xilinx.com:signal:reset:1.0 aux_reset RST"; ATTRIBUTE X_INTERFACE_INFO OF mb_debug_sys_rst: SIGNAL IS "xilinx.com:signal:reset:1.0 dbg_reset RST"; ATTRIBUTE X_INTERFACE_INFO OF mb_reset: SIGNAL IS "xilinx.com:signal:reset:1.0 mb_rst RST"; ATTRIBUTE X_INTERFACE_INFO OF bus_struct_reset: SIGNAL IS "xilinx.com:signal:reset:1.0 bus_struct_reset RST"; ATTRIBUTE X_INTERFACE_INFO OF peripheral_reset: SIGNAL IS "xilinx.com:signal:reset:1.0 peripheral_high_rst RST"; ATTRIBUTE X_INTERFACE_INFO OF interconnect_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 interconnect_low_rst RST"; ATTRIBUTE X_INTERFACE_INFO OF peripheral_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 peripheral_low_rst RST"; BEGIN U0 : proc_sys_reset GENERIC MAP ( C_FAMILY => "artix7", C_EXT_RST_WIDTH => 4, C_AUX_RST_WIDTH => 4, C_EXT_RESET_HIGH => '1', C_AUX_RESET_HIGH => '0', C_NUM_BUS_RST => 1, C_NUM_PERP_RST => 1, C_NUM_INTERCONNECT_ARESETN => 1, C_NUM_PERP_ARESETN => 1 ) PORT MAP ( slowest_sync_clk => slowest_sync_clk, ext_reset_in => ext_reset_in, aux_reset_in => aux_reset_in, mb_debug_sys_rst => mb_debug_sys_rst, dcm_locked => dcm_locked, mb_reset => mb_reset, bus_struct_reset => bus_struct_reset, peripheral_reset => peripheral_reset, interconnect_aresetn => interconnect_aresetn, peripheral_aresetn => peripheral_aresetn ); END system_rst_mig_7series_0_83M_0_arch;
apache-2.0
nishtahir/arty-blaze
src/bd/system/ipshared/04b4/hdl/proc_sys_reset_v5_0_vh_rfs.vhd
8
69537
------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- upcnt_n - entity/architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************ -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This file contains proprietary and confidential information of ** -- ** Xilinx, Inc. ("Xilinx"), that is distributed under a license ** -- ** from Xilinx, and may be used, copied and/or disclosed only ** -- ** pursuant to the terms of a valid license agreement with Xilinx. ** -- ** ** -- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION ** -- ** ("MATERIALS") "AS IS" WITHOUT WARRANTY OF ANY KIND, EITHER ** -- ** EXPRESSED, IMPLIED, OR STATUTORY, INCLUDING WITHOUT ** -- ** LIMITATION, ANY WARRANTY WITH RESPECT TO NONINFRINGEMENT, ** -- ** MERCHANTABILITY OR FITNESS FOR ANY PARTICULAR PURPOSE. Xilinx ** -- ** does not warrant that functions included in the Materials will ** -- ** meet the requirements of Licensee, or that the operation of the ** -- ** Materials will be uninterrupted or error-free, or that defects ** -- ** in the Materials will be corrected. Furthermore, Xilinx does ** -- ** not warrant or make any representations regarding use, or the ** -- ** results of the use, of the Materials in terms of correctness, ** -- ** accuracy, reliability or otherwise. ** -- ** ** -- ** Xilinx products are not designed or intended to be fail-safe, ** -- ** or for use in any application requiring fail-safe performance, ** -- ** such as life-support or safety devices or systems, Class III ** -- ** medical devices, nuclear facilities, applications related to ** -- ** the deployment of airbags, or any other applications that could ** -- ** lead to death, personal injury or severe property or ** -- ** environmental damage (individually and collectively, "critical ** -- ** applications"). Customer assumes the sole risk and liability ** -- ** of any use of Xilinx products in critical applications, ** -- ** subject only to applicable laws and regulations governing ** -- ** limitations on product liability. ** -- ** ** -- ** Copyright 2010 Xilinx, Inc. ** -- ** All rights reserved. ** -- ** ** -- ** This disclaimer and copyright notice must be retained as part ** -- ** of this file at all times. ** -- ************************************************************************ -- ------------------------------------------------------------------------------- -- Filename: upcnt_n.vhd -- Version: v4.00a -- Description: Parameterizeable top level processor reset module. -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: This section should show the hierarchical structure of the -- designs.Separate lines with blank lines if necessary to improve -- readability. -- -- proc_sys_reset.vhd -- upcnt_n.vhd -- lpf.vhd -- sequence.vhd ------------------------------------------------------------------------------- -- Author: Kurt Conover -- History: -- Kurt Conover 11/07/01 -- First Release -- -- ~~~~~~~ -- SK 03/11/10 -- ^^^^^^^ -- 1. Updated the core so support the active low "Interconnect_aresetn" and -- "Peripheral_aresetn" signals. -- ^^^^^^^ ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; ------------------------------------------------------------------------------- -- Port Declaration ------------------------------------------------------------------------------- -- Definition of Generics: -- C_SIZE -- Number of bits in counter -- -- -- Definition of Ports: -- Data -- parallel data input -- Cnt_en -- count enable -- Load -- Load Data -- Clr -- reset -- Clk -- Clock -- Qout -- Count output -- ------------------------------------------------------------------------------- entity upcnt_n is generic( C_SIZE : Integer ); port( Data : in STD_LOGIC_VECTOR (C_SIZE-1 downto 0); Cnt_en : in STD_LOGIC; Load : in STD_LOGIC; Clr : in STD_LOGIC; Clk : in STD_LOGIC; Qout : out STD_LOGIC_VECTOR (C_SIZE-1 downto 0) ); end upcnt_n; architecture imp of upcnt_n is constant CLEAR : std_logic := '0'; signal q_int : UNSIGNED (C_SIZE-1 downto 0) := (others => '1'); begin process(Clk) begin if (Clk'event) and Clk = '1' then -- Clear output register if (Clr = CLEAR) then q_int <= (others => '0'); -- Load in start value elsif (Load = '1') then q_int <= UNSIGNED(Data); -- If count enable is high elsif Cnt_en = '1' then q_int <= q_int + 1; end if; end if; end process; Qout <= STD_LOGIC_VECTOR(q_int); end imp; ------------------------------------------------------------------------------- -- sequence - entity/architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************ -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This file contains proprietary and confidential information of ** -- ** Xilinx, Inc. ("Xilinx"), that is distributed under a license ** -- ** from Xilinx, and may be used, copied and/or disclosed only ** -- ** pursuant to the terms of a valid license agreement with Xilinx. ** -- ** ** -- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION ** -- ** ("MATERIALS") "AS IS" WITHOUT WARRANTY OF ANY KIND, EITHER ** -- ** EXPRESSED, IMPLIED, OR STATUTORY, INCLUDING WITHOUT ** -- ** LIMITATION, ANY WARRANTY WITH RESPECT TO NONINFRINGEMENT, ** -- ** MERCHANTABILITY OR FITNESS FOR ANY PARTICULAR PURPOSE. Xilinx ** -- ** does not warrant that functions included in the Materials will ** -- ** meet the requirements of Licensee, or that the operation of the ** -- ** Materials will be uninterrupted or error-free, or that defects ** -- ** in the Materials will be corrected. Furthermore, Xilinx does ** -- ** not warrant or make any representations regarding use, or the ** -- ** results of the use, of the Materials in terms of correctness, ** -- ** accuracy, reliability or otherwise. ** -- ** ** -- ** Xilinx products are not designed or intended to be fail-safe, ** -- ** or for use in any application requiring fail-safe performance, ** -- ** such as life-support or safety devices or systems, Class III ** -- ** medical devices, nuclear facilities, applications related to ** -- ** the deployment of airbags, or any other applications that could ** -- ** lead to death, personal injury or severe property or ** -- ** environmental damage (individually and collectively, "critical ** -- ** applications"). Customer assumes the sole risk and liability ** -- ** of any use of Xilinx products in critical applications, ** -- ** subject only to applicable laws and regulations governing ** -- ** limitations on product liability. ** -- ** ** -- ** Copyright 2012 Xilinx, Inc. ** -- ** All rights reserved. ** -- ** ** -- ** This disclaimer and copyright notice must be retained as part ** -- ** of this file at all times. ** -- ************************************************************************ -- ------------------------------------------------------------------------------- -- Filename: proc_sys_reset.vhd -- Version: v4.00a -- Description: Parameterizeable top level processor reset module. -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: This section should show the hierarchical structure of the -- designs.Separate lines with blank lines if necessary to improve -- readability. -- -- proc_sys_reset.vhd -- -- upcnt_n.vhd -- -- lpf.vhd -- -- sequence.vhd ------------------------------------------------------------------------------- -- Filename: sequence.vhd -- -- Description: -- This file control the sequencing coming out of a reset. -- The sequencing is as follows: -- Bus_Struct_Reset comes out of reset first. Either when the -- external or auxiliary reset goes inactive or 16 clocks -- after a PPC Chip_Reset_Request, or 30 clocks after a PPC -- System_Reset_Request. -- Peripheral_Reset comes out of reset 16 clocks after -- Bus_Struct_Reset. -- The PPC resetcore, comes out of reset -- 16 clocks after Peripheral_Reset. -- The PPC resetchip and resetsystem come out of reset -- at the same time as Bus_Struct_Reset. ------------------------------------------------------------------------------- -- Author: Kurt Conover -- History: -- Kurt Conover 11/12/01 -- First Release -- LC Whittle 10/11/2004 -- Update for NCSim -- rolandp 04/16/2007 -- v2.00a -- -- ~~~~~~~ -- SK 03/11/10 -- ^^^^^^^ -- 1. Updated the core so support the active low "Interconnect_aresetn" and -- "Peripheral_aresetn" signals. -- ^^^^^^^ ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; library unisim; use unisim.vcomponents.all; library proc_sys_reset_v5_0_10; ------------------------------------------------------------------------------- -- Port Declaration ------------------------------------------------------------------------------- -- Definition of Generics: -- -- Definition of Ports: -- Lpf_reset -- Low Pass Filtered in -- System_Reset_Req -- System Reset Request -- Chip_Reset_Req -- Chip Reset Request -- Slowest_Sync_Clk -- Clock -- Bsr_out -- Bus Structure Reset out -- Pr_out -- Peripheral Reset out -- Core_out -- Core reset out -- Chip_out -- Chip reset out -- Sys_out -- System reset out -- MB_out -- MB reset out -- ------------------------------------------------------------------------------- entity sequence_psr is port( Lpf_reset : in std_logic; -- System_Reset_Req : in std_logic; -- Chip_Reset_Req : in std_logic; Slowest_Sync_Clk : in std_logic; Bsr_out : out std_logic; Pr_out : out std_logic; -- Core_out : out std_logic; -- Chip_out : out std_logic; -- Sys_out : out std_logic; MB_out : out std_logic ); end sequence_psr; architecture imp of sequence_psr is constant CLEAR : std_logic := '0'; constant BSR_END_LPF_CHIP : std_logic_vector(5 downto 0) := "001100"; -- 12 constant BSR_END_SYS : std_logic_vector(5 downto 0) := "011001"; -- 25 constant PR_END_LPF_CHIP : std_logic_vector(5 downto 0) := "011100"; -- 28 constant PR_END_SYS : std_logic_vector(5 downto 0) := "101001"; -- 41 constant CORE_END_LPF_CHIP : std_logic_vector(5 downto 0) := "101100"; -- 44 constant CORE_END_SYS : std_logic_vector(5 downto 0) := "111001"; -- 57 constant CHIP_END_LPF_CHIP : std_logic_vector(5 downto 0) := BSR_END_LPF_CHIP; constant CHIP_END_SYS : std_logic_vector(5 downto 0) := BSR_END_SYS; constant SYS_END_LPF : std_logic_vector(5 downto 0) := BSR_END_LPF_CHIP; constant SYS_END_SYS : std_logic_vector(5 downto 0) := BSR_END_SYS; signal bsr : std_logic := '0'; signal bsr_dec : std_logic_vector(2 downto 0) := (others => '0'); signal pr : std_logic := '0'; signal pr_dec : std_logic_vector(2 downto 0) := (others => '0'); signal Core : std_logic := '0'; signal core_dec : std_logic_vector(2 downto 0) := (others => '0'); signal Chip : std_logic := '0'; signal chip_dec : std_logic_vector(2 downto 0) := (others => '0'); signal Sys : std_logic := '0'; signal sys_dec : std_logic_vector(2 downto 0) := (others => '0'); signal chip_Reset_Req_d1 : std_logic := '0'; -- delayed Chip_Reset_Req signal chip_Reset_Req_d2 : std_logic := '0'; -- delayed Chip_Reset_Req signal chip_Reset_Req_d3 : std_logic := '0'; -- delayed Chip_Reset_Req signal system_Reset_Req_d1 : std_logic := '0'; -- delayed System_Reset_Req signal system_Reset_Req_d2 : std_logic := '0'; -- delayed System_Reset_Req signal system_Reset_Req_d3 : std_logic := '0'; -- delayed System_Reset_Req signal seq_cnt : std_logic_vector(5 downto 0); signal seq_cnt_en : std_logic := '0'; signal seq_clr : std_logic := '0'; signal ris_edge : std_logic := '0'; signal sys_edge : std_logic := '0'; signal from_sys : std_logic; ------------------------------------------------------------------------------- -- Component Declarations ------------------------------------------------------------------------------- begin Pr_out <= pr; Bsr_out <= bsr; MB_out <= core; -- Core_out <= core; -- Chip_out <= chip or sys; -- Sys_out <= sys; ------------------------------------------------------------------------------- -- This process remembers that the reset was caused be -- System_Reset_Req ------------------------------------------------------------------------------- SYS_FROM_PROCESS: process (Slowest_sync_clk) begin if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then --if Lpf_reset='1' or system_reset_req_d3='1' then if (Lpf_reset = '1') then from_sys <= '1'; --elsif Chip_Reset_Req_d3='1' then -- from_sys <= '0'; elsif (Core = '0') then from_sys <='0'; end if; end if; end process; ------------------------------------------------------------------------------- -- This instantiates a counter to control the sequencing ------------------------------------------------------------------------------- SEQ_COUNTER : entity proc_sys_reset_v5_0_10.UPCNT_N generic map (C_SIZE => 6) port map( Data => "000000", Cnt_en => seq_cnt_en, Load => '0', Clr => seq_clr, Clk => Slowest_sync_clk, Qout => seq_cnt ); ------------------------------------------------------------------------------- -- SEQ_CNT_EN_PROCESS ------------------------------------------------------------------------------- -- This generates the reset pulse and the count enable to core reset counter -- count until all outputs are inactive ------------------------------------------------------------------------------- SEQ_CNT_EN_PROCESS: process (Slowest_sync_clk) begin if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then if (Lpf_reset='1' --or --System_Reset_Req_d3='1' or --Chip_Reset_Req_d3='1' or --ris_edge = '1' ) then seq_cnt_en <= '1'; elsif (Core='0') then -- Core always present and always last seq_cnt_en <= '0'; end if; end if; end process; ------------------------------------------------------------------------------- -- SEQ_CLR_PROCESS ------------------------------------------------------------------------------- -- This generates the reset to the sequence counter -- Clear the counter on a rising edge of chip or system request or low pass -- filter output ------------------------------------------------------------------------------- SEQ_CLR_PROCESS: process (Slowest_sync_clk) begin if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then -- if ris_edge = '1' or Lpf_reset = '1' then if (Lpf_reset = '1') then seq_clr <= '0'; else seq_clr <= '1'; end if; end if; end process; ------------------------------------------------------------------------------- -- This process defines the Peripheral_Reset output signal ------------------------------------------------------------------------------- PR_PROCESS: process (Slowest_sync_clk) begin if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then --if ris_edge = '1' or Lpf_reset = '1' then if (Lpf_reset = '1') then pr <= '1'; elsif (pr_dec(2) = '1') then pr <= '0'; end if; end if; end process; ------------------------------------------------------------------------------- -- This process decodes the sequence counter for PR to use ------------------------------------------------------------------------------- PR_DECODE_PROCESS: process (Slowest_sync_clk) begin if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then if ( (seq_cnt(5 downto 3) = PR_END_LPF_CHIP(5 downto 3) and from_sys = '0') or (seq_cnt(5 downto 3) = PR_END_SYS(5 downto 3) and from_sys = '1') ) then pr_dec(0) <= '1'; else pr_dec(0) <= '0'; end if; if ( (seq_cnt(2 downto 0) = PR_END_LPF_CHIP(2 downto 0) and from_sys = '0') or (seq_cnt(2 downto 0) = PR_END_SYS(2 downto 0) and from_sys = '1') )then pr_dec(1) <= '1'; else pr_dec(1) <= '0'; end if; pr_dec(2) <= pr_dec(1) and pr_dec(0); end if; end process; ------------------------------------------------------------------------------- -- This process defines the Bus_Struct_Reset output signal ------------------------------------------------------------------------------- BSR_PROCESS: process (Slowest_sync_clk) begin if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then --if ris_edge = '1' or Lpf_reset = '1' then if (Lpf_reset = '1') then bsr <= '1'; elsif (bsr_dec(2) = '1') then bsr <= '0'; end if; end if; end process; ------------------------------------------------------------------------------- -- This process decodes the sequence counter for BSR to use ------------------------------------------------------------------------------- BSR_DECODE_PROCESS: process (Slowest_sync_clk) begin if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then if ( (seq_cnt(5 downto 3) = BSR_END_LPF_CHIP(5 downto 3) and from_sys = '0') or (seq_cnt(5 downto 3) = BSR_END_SYS(5 downto 3) and from_sys = '1') )then bsr_dec(0) <= '1'; else bsr_dec(0) <= '0'; end if; if ( (seq_cnt(2 downto 0) = BSR_END_LPF_CHIP(2 downto 0) and from_sys = '0') or (seq_cnt(2 downto 0) = BSR_END_SYS(2 downto 0) and from_sys = '1') )then bsr_dec(1) <= '1'; else bsr_dec(1) <= '0'; end if; bsr_dec(2) <= bsr_dec(1) and bsr_dec(0); end if; end process; ------------------------------------------------------------------------------- -- This process defines the Peripheral_Reset output signal ------------------------------------------------------------------------------- CORE_PROCESS: process (Slowest_sync_clk) begin if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then -- if ris_edge = '1' or Lpf_reset = '1' then if (Lpf_reset = '1') then core <= '1'; elsif (core_dec(2) = '1') then core <= '0'; end if; end if; end process; ------------------------------------------------------------------------------- -- This process decodes the sequence counter for PR to use ------------------------------------------------------------------------------- CORE_DECODE_PROCESS: process (Slowest_sync_clk) begin if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then if ( (seq_cnt(5 downto 3) = CORE_END_LPF_CHIP(5 downto 3) and from_sys = '0') or (seq_cnt(5 downto 3) = CORE_END_SYS(5 downto 3) and from_sys = '1') )then core_dec(0) <= '1'; else core_dec(0) <= '0'; end if; if ( (seq_cnt(2 downto 0) = CORE_END_LPF_CHIP(2 downto 0) and from_sys = '0') or (seq_cnt(2 downto 0) = CORE_END_SYS(2 downto 0) and from_sys = '1') )then core_dec(1) <= '1'; else core_dec(1) <= '0'; end if; core_dec(2) <= core_dec(1) and core_dec(0); end if; end process; --------------------------------------------------------------------------------- ---- This process defines the Chip output signal --------------------------------------------------------------------------------- -- CHIP_PROCESS: process (Slowest_sync_clk) -- begin -- if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then -- -- if ris_edge = '1' or Lpf_reset = '1' then -- if Lpf_reset = '1' then -- chip <= '1'; -- elsif chip_dec(2) = '1' then -- chip <= '0'; -- end if; -- end if; -- end process; -- --------------------------------------------------------------------------------- ---- This process decodes the sequence counter for Chip to use ---- sys is overlapping the chip reset and thus no need to decode this here --------------------------------------------------------------------------------- -- CHIP_DECODE_PROCESS: process (Slowest_sync_clk) -- begin -- if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then -- if (seq_cnt(5 downto 2) = CHIP_END_LPF_CHIP(5 downto 2)) then -- chip_dec(0) <= '1'; -- else -- chip_dec(0) <= '0'; -- end if; -- if (seq_cnt(1 downto 0) = CHIP_END_LPF_CHIP(1 downto 0)) then -- chip_dec(1) <= '1'; -- else -- chip_dec(1) <= '0'; -- end if; -- chip_dec(2) <= chip_dec(1) and chip_dec(0); -- end if; -- end process; --------------------------------------------------------------------------------- ---- This process defines the Sys output signal --------------------------------------------------------------------------------- -- SYS_PROCESS: process (Slowest_sync_clk) -- begin -- if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then -- if sys_edge = '1' or Lpf_reset = '1' then -- sys <= '1'; -- elsif sys_dec(2) = '1' then -- sys <= '0'; -- end if; -- end if; -- end process; -- --------------------------------------------------------------------------------- ---- This process decodes the sequence counter for Sys to use --------------------------------------------------------------------------------- -- SYS_DECODE_PROCESS: process (Slowest_sync_clk) -- begin -- if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then -- if (seq_cnt(5 downto 3) = SYS_END_LPF(5 downto 3) and from_sys = '0') or -- (seq_cnt(5 downto 3) = SYS_END_SYS(5 downto 3) and from_sys = '1') then -- sys_dec(0) <= '1'; -- else -- sys_dec(0) <= '0'; -- end if; -- if (seq_cnt(2 downto 0) = SYS_END_LPF(2 downto 0) and from_sys = '0') or -- (seq_cnt(2 downto 0) = SYS_END_SYS(2 downto 0) and from_sys = '1') then -- sys_dec(1) <= '1'; -- else -- sys_dec(1) <= '0'; -- end if; -- sys_dec(2) <= sys_dec(1) and sys_dec(0); -- end if; -- end process; -- --------------------------------------------------------------------------------- ---- This process delays signals so the the edge can be detected and used --------------------------------------------------------------------------------- -- DELAY_PROCESS: process (Slowest_sync_clk) -- begin -- if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then -- chip_reset_req_d1 <= Chip_Reset_Req ; -- chip_reset_req_d2 <= chip_Reset_Req_d1 ; -- chip_reset_req_d3 <= chip_Reset_Req_d2 ; -- system_reset_req_d1 <= System_Reset_Req; -- system_reset_req_d2 <= system_Reset_Req_d1; -- system_reset_req_d3 <= system_Reset_Req_d2; -- end if; -- end process; ------------------------------------------------------------------------------- -- This process creates a signal that goes high on the rising edge of either -- Chip_Reset_Req or System_Reset_Req ------------------------------------------------------------------------------- -- RIS_EDGE_PROCESS: process (Slowest_sync_clk) -- begin -- if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then -- if (chip_reset_req_d3='0' and chip_Reset_Req_d2= '1') -- rising edge -- or (system_reset_req_d3='0' and system_Reset_Req_d2='1') then -- ris_edge <= '1'; -- else -- ris_edge <='0'; -- end if; -- end if; -- end process; ------------------------------------------------------------------------------- -- This process creates a signal that goes high on the rising edge of -- System_Reset_Req ------------------------------------------------------------------------------- -- SYS_EDGE_PROCESS: process (Slowest_sync_clk) -- begin -- if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then -- if (system_reset_req_d3='0' and system_reset_req_d2='1') then -- sys_edge <= '1'; -- else -- sys_edge <='0'; -- end if; -- end if; -- end process; end architecture imp; ------------------------------------------------------------------------------- -- lpf - entity/architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************ -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This file contains proprietary and confidential information of ** -- ** Xilinx, Inc. ("Xilinx"), that is distributed under a license ** -- ** from Xilinx, and may be used, copied and/or disclosed only ** -- ** pursuant to the terms of a valid license agreement with Xilinx. ** -- ** ** -- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION ** -- ** ("MATERIALS") "AS IS" WITHOUT WARRANTY OF ANY KIND, EITHER ** -- ** EXPRESSED, IMPLIED, OR STATUTORY, INCLUDING WITHOUT ** -- ** LIMITATION, ANY WARRANTY WITH RESPECT TO NONINFRINGEMENT, ** -- ** MERCHANTABILITY OR FITNESS FOR ANY PARTICULAR PURPOSE. Xilinx ** -- ** does not warrant that functions included in the Materials will ** -- ** meet the requirements of Licensee, or that the operation of the ** -- ** Materials will be uninterrupted or error-free, or that defects ** -- ** in the Materials will be corrected. Furthermore, Xilinx does ** -- ** not warrant or make any representations regarding use, or the ** -- ** results of the use, of the Materials in terms of correctness, ** -- ** accuracy, reliability or otherwise. ** -- ** ** -- ** Xilinx products are not designed or intended to be fail-safe, ** -- ** or for use in any application requiring fail-safe performance, ** -- ** such as life-support or safety devices or systems, Class III ** -- ** medical devices, nuclear facilities, applications related to ** -- ** the deployment of airbags, or any other applications that could ** -- ** lead to death, personal injury or severe property or ** -- ** environmental damage (individually and collectively, "critical ** -- ** applications"). Customer assumes the sole risk and liability ** -- ** of any use of Xilinx products in critical applications, ** -- ** subject only to applicable laws and regulations governing ** -- ** limitations on product liability. ** -- ** ** -- ** Copyright 2012 Xilinx, Inc. ** -- ** All rights reserved. ** -- ** ** -- ** This disclaimer and copyright notice must be retained as part ** -- ** of this file at all times. ** -- ************************************************************************ -- ------------------------------------------------------------------------------- -- Filename: lpf.vhd -- Version: v4.00a -- Description: Parameterizeable top level processor reset module. -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: This section should show the hierarchical structure of the -- designs.Separate lines with blank lines if necessary to improve -- readability. -- -- proc_sys_reset.vhd -- upcnt_n.vhd -- lpf.vhd -- sequence.vhd ------------------------------------------------------------------------------- -- Author: Kurt Conover -- History: -- Kurt Conover 11/08/01 -- First Release -- -- KC 02/25/2002 -- Added Dcm_locked as an input -- -- Added Power on reset srl_time_out -- -- KC 08/26/2003 -- Added attribute statements for power on -- reset SRL -- -- ~~~~~~~ -- SK 03/11/10 -- ^^^^^^^ -- 1. Updated the core so support the active low "Interconnect_aresetn" and -- "Peripheral_aresetn" signals. -- ^^^^^^^ ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; library lib_cdc_v1_0_2; --use lib_cdc_v1_0_2.all; library Unisim; use Unisim.all; ------------------------------------------------------------------------------- -- Port Declaration ------------------------------------------------------------------------------- -- Definition of Generics: -- C_EXT_RST_WIDTH -- External Reset Low Pass Filter setting -- C_AUX_RST_WIDTH -- Auxiliary Reset Low Pass Filter setting -- C_EXT_RESET_HIGH -- External Reset Active High or Active Low -- C_AUX_RESET_HIGH -= Auxiliary Reset Active High or Active Low -- -- Definition of Ports: -- Slowest_sync_clk -- Clock -- External_System_Reset -- External Reset Input -- Auxiliary_System_Reset -- Auxiliary Reset Input -- Dcm_locked -- DCM Locked, hold system in reset until 1 -- Lpf_reset -- Low Pass Filtered Output -- ------------------------------------------------------------------------------- entity lpf is generic( C_EXT_RST_WIDTH : Integer; C_AUX_RST_WIDTH : Integer; C_EXT_RESET_HIGH : std_logic; C_AUX_RESET_HIGH : std_logic ); port( MB_Debug_Sys_Rst : in std_logic; Dcm_locked : in std_logic; External_System_Reset : in std_logic; Auxiliary_System_Reset : in std_logic; Slowest_Sync_Clk : in std_logic; Lpf_reset : out std_logic ); end lpf; architecture imp of lpf is component SRL16 is -- synthesis translate_off generic ( INIT : bit_vector ); -- synthesis translate_on port (D : in std_logic; CLK : in std_logic; A0 : in std_logic; A1 : in std_logic; A2 : in std_logic; A3 : in std_logic; Q : out std_logic); end component SRL16; constant CLEAR : std_logic := '0'; signal exr_d1 : std_logic := '0'; -- delayed External_System_Reset signal exr_lpf : std_logic_vector(0 to C_EXT_RST_WIDTH - 1) := (others => '0'); -- LPF DFF signal asr_d1 : std_logic := '0'; -- delayed Auxiliary_System_Reset signal asr_lpf : std_logic_vector(0 to C_AUX_RST_WIDTH - 1) := (others => '0'); -- LPF DFF signal exr_and : std_logic := '0'; -- varible input width "and" gate signal exr_nand : std_logic := '0'; -- vaiable input width "and" gate signal asr_and : std_logic := '0'; -- varible input width "and" gate signal asr_nand : std_logic := '0'; -- vaiable input width "and" gate signal lpf_int : std_logic := '0'; -- internal Lpf_reset signal lpf_exr : std_logic := '0'; signal lpf_asr : std_logic := '0'; signal srl_time_out : std_logic; attribute INIT : string; attribute INIT of POR_SRL_I: label is "FFFF"; begin Lpf_reset <= lpf_int; ------------------------------------------------------------------------------- -- Power On Reset Generation ------------------------------------------------------------------------------- -- This generates a reset for the first 16 clocks after a power up ------------------------------------------------------------------------------- POR_SRL_I: SRL16 -- synthesis translate_off generic map ( INIT => X"FFFF") -- synthesis translate_on port map ( D => '0', CLK => Slowest_sync_clk, A0 => '1', A1 => '1', A2 => '1', A3 => '1', Q => srl_time_out); ------------------------------------------------------------------------------- -- LPF_OUTPUT_PROCESS ------------------------------------------------------------------------------- -- This generates the reset pulse and the count enable to core reset counter -- --ACTIVE_HIGH_LPF_EXT: if (C_EXT_RESET_HIGH = '1') generate --begin LPF_OUTPUT_PROCESS: process (Slowest_sync_clk) begin if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then lpf_int <= lpf_exr or lpf_asr or srl_time_out or not Dcm_locked; end if; end process LPF_OUTPUT_PROCESS; --end generate ACTIVE_HIGH_LPF_EXT; --ACTIVE_LOW_LPF_EXT: if (C_EXT_RESET_HIGH = '0') generate --begin --LPF_OUTPUT_PROCESS: process (Slowest_sync_clk) -- begin -- if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then -- lpf_int <= not (lpf_exr or -- lpf_asr or -- srl_time_out)or -- not Dcm_locked; -- end if; -- end process; --end generate ACTIVE_LOW_LPF_EXT; EXR_OUTPUT_PROCESS: process (Slowest_sync_clk) begin if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then if exr_and = '1' then lpf_exr <= '1'; elsif (exr_and = '0' and exr_nand = '1') then lpf_exr <= '0'; end if; end if; end process EXR_OUTPUT_PROCESS; ASR_OUTPUT_PROCESS: process (Slowest_sync_clk) begin if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then if asr_and = '1' then lpf_asr <= '1'; elsif (asr_and = '0' and asr_nand = '1') then lpf_asr <= '0'; end if; end if; end process ASR_OUTPUT_PROCESS; ------------------------------------------------------------------------------- -- This If-generate selects an active high input for External System Reset ------------------------------------------------------------------------------- ACTIVE_HIGH_EXT: if (C_EXT_RESET_HIGH /= '0') generate begin ----------------------------------- exr_d1 <= External_System_Reset or MB_Debug_Sys_Rst; ACT_HI_EXT: entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 1, C_FLOP_INPUT => 0, C_VECTOR_WIDTH => 2, C_MTBF_STAGES => 4 ) port map( prmry_aclk => '1', prmry_resetn => '1',--S_AXI_ARESETN, prmry_in => exr_d1, prmry_ack => open, scndry_out => exr_lpf(0), scndry_aclk => Slowest_Sync_Clk, scndry_resetn => '1', --S_AXIS_ARESETN, prmry_vect_in => "00", scndry_vect_out => open ); ----------------------------------- end generate ACTIVE_HIGH_EXT; ------------------------------------------------------------------------------- -- This If-generate selects an active low input for External System Reset ------------------------------------------------------------------------------- ACTIVE_LOW_EXT: if (C_EXT_RESET_HIGH = '0') generate begin exr_d1 <= not External_System_Reset or MB_Debug_Sys_Rst; ------------------------------------- ACT_LO_EXT: entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 1, C_FLOP_INPUT => 0, C_VECTOR_WIDTH => 2, C_MTBF_STAGES => 4 ) port map( prmry_aclk => '1', prmry_resetn => '1',--S_AXI_ARESETN, prmry_in => exr_d1, prmry_ack => open, scndry_out => exr_lpf(0), scndry_aclk => Slowest_Sync_Clk, scndry_resetn => '1', --S_AXIS_ARESETN, prmry_vect_in => "00", scndry_vect_out => open ); ------------------------------------- end generate ACTIVE_LOW_EXT; ------------------------------------------------------------------------------- -- This If-generate selects an active high input for Auxiliary System Reset ------------------------------------------------------------------------------- ACTIVE_HIGH_AUX: if (C_AUX_RESET_HIGH /= '0') generate begin asr_d1 <= Auxiliary_System_Reset; ------------------------------------- ACT_HI_AUX: entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 1, C_FLOP_INPUT => 0, C_VECTOR_WIDTH => 2, C_MTBF_STAGES => 4 ) port map( prmry_aclk => '1', prmry_resetn => '1',--S_AXI_ARESETN, prmry_in => asr_d1, prmry_ack => open, scndry_out => asr_lpf(0), scndry_aclk => Slowest_Sync_Clk, scndry_resetn => '1', --S_AXIS_ARESETN, prmry_vect_in => "00", scndry_vect_out => open ); ------------------------------------- end generate ACTIVE_HIGH_AUX; ------------------------------------------------------------------------------- -- This If-generate selects an active low input for Auxiliary System Reset ------------------------------------------------------------------------------- ACTIVE_LOW_AUX: if (C_AUX_RESET_HIGH = '0') generate begin ------------------------------------- asr_d1 <= not Auxiliary_System_Reset; ACT_LO_AUX: entity lib_cdc_v1_0_2.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 1, C_FLOP_INPUT => 0, C_VECTOR_WIDTH => 2, C_MTBF_STAGES => 4 ) port map( prmry_aclk => '1', prmry_resetn => '1',--S_AXI_ARESETN, prmry_in => asr_d1, prmry_ack => open, scndry_out => asr_lpf(0), scndry_aclk => Slowest_Sync_Clk, scndry_resetn => '1', --S_AXIS_ARESETN, prmry_vect_in => "00", scndry_vect_out => open ); ------------------------------------- end generate ACTIVE_LOW_AUX; ------------------------------------------------------------------------------- -- This For-generate creates the low pass filter D-Flip Flops ------------------------------------------------------------------------------- EXT_LPF: for i in 1 to C_EXT_RST_WIDTH - 1 generate begin ---------------------------------------- EXT_LPF_DFF : process (Slowest_Sync_Clk) begin if (Slowest_Sync_Clk'event) and Slowest_Sync_Clk = '1' then exr_lpf(i) <= exr_lpf(i-1); end if; end process; ---------------------------------------- end generate EXT_LPF; ------------------------------------------------------------------------------------------ -- Implement the 'AND' function on the for the LPF ------------------------------------------------------------------------------------------ EXT_LPF_AND : process (exr_lpf) Variable loop_and : std_logic; Variable loop_nand : std_logic; Begin loop_and := '1'; loop_nand := '1'; for j in 0 to C_EXT_RST_WIDTH - 1 loop loop_and := loop_and and exr_lpf(j); loop_nand := loop_nand and not exr_lpf(j); End loop; exr_and <= loop_and; exr_nand <= loop_nand; end process; ------------------------------------------------------------------------------- -- This For-generate creates the low pass filter D-Flip Flops ------------------------------------------------------------------------------- AUX_LPF: for k in 1 to C_AUX_RST_WIDTH - 1 generate begin ---------------------------------------- AUX_LPF_DFF : process (Slowest_Sync_Clk) begin if (Slowest_Sync_Clk'event) and Slowest_Sync_Clk = '1' then asr_lpf(k) <= asr_lpf(k-1); end if; end process; ---------------------------------------- end generate AUX_LPF; ------------------------------------------------------------------------------------------ -- Implement the 'AND' function on the for the LPF ------------------------------------------------------------------------------------------ AUX_LPF_AND : process (asr_lpf) Variable aux_loop_and : std_logic; Variable aux_loop_nand : std_logic; Begin aux_loop_and := '1'; aux_loop_nand := '1'; for m in 0 to C_AUX_RST_WIDTH - 1 loop aux_loop_and := aux_loop_and and asr_lpf(m); aux_loop_nand := aux_loop_nand and not asr_lpf(m); End loop; asr_and <= aux_loop_and; asr_nand <= aux_loop_nand; end process; end imp; ------------------------------------------------------------------------------- -- proc_sys_reset - entity/architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************ -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This file contains proprietary and confidential information of ** -- ** Xilinx, Inc. ("Xilinx"), that is distributed under a license ** -- ** from Xilinx, and may be used, copied and/or disclosed only ** -- ** pursuant to the terms of a valid license agreement with Xilinx. ** -- ** ** -- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION ** -- ** ("MATERIALS") "AS IS" WITHOUT WARRANTY OF ANY KIND, EITHER ** -- ** EXPRESSED, IMPLIED, OR STATUTORY, INCLUDING WITHOUT ** -- ** LIMITATION, ANY WARRANTY WITH RESPECT TO NONINFRINGEMENT, ** -- ** MERCHANTABILITY OR FITNESS FOR ANY PARTICULAR PURPOSE. Xilinx ** -- ** does not warrant that functions included in the Materials will ** -- ** meet the requirements of Licensee, or that the operation of the ** -- ** Materials will be uninterrupted or error-free, or that defects ** -- ** in the Materials will be corrected. Furthermore, Xilinx does ** -- ** not warrant or make any representations regarding use, or the ** -- ** results of the use, of the Materials in terms of correctness, ** -- ** accuracy, reliability or otherwise. ** -- ** ** -- ** Xilinx products are not designed or intended to be fail-safe, ** -- ** or for use in any application requiring fail-safe performance, ** -- ** such as life-support or safety devices or systems, Class III ** -- ** medical devices, nuclear facilities, applications related to ** -- ** the deployment of airbags, or any other applications that could ** -- ** lead to death, personal injury or severe property or ** -- ** environmental damage (individually and collectively, "critical ** -- ** applications"). Customer assumes the sole risk and liability ** -- ** of any use of Xilinx products in critical applications, ** -- ** subject only to applicable laws and regulations governing ** -- ** limitations on product liability. ** -- ** ** -- ** Copyright 2012 Xilinx, Inc. ** -- ** All rights reserved. ** -- ** ** -- ** This disclaimer and copyright notice must be retained as part ** -- ** of this file at all times. ** -- ************************************************************************ -- ------------------------------------------------------------------------------- -- Filename: proc_sys_reset.vhd -- Version: v4.00a -- Description: Parameterizeable top level processor reset module. -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: This section should show the hierarchical structure of the -- designs.Separate lines with blank lines if necessary to improve -- readability. -- -- proc_sys_reset.vhd -- upcnt_n.vhd -- lpf.vhd -- sequence.vhd ------------------------------------------------------------------------------- -- Author: rolandp -- History: -- kc 11/07/01 -- First version -- -- kc 02/25/2002 -- Changed generic names C_EXT_RST_ACTIVE to -- C_EXT_RESET_HIGH and C_AUX_RST_ACTIVE to -- C_AUX_RESET_HIGH to match generics used in -- MicroBlaze. Added the DCM Lock as an input -- to keep reset active until after the Lock -- is valid. -- lcw 10/11/2004 -- Updated for NCSim -- Ravi 09/14/2006 -- Added Attributes for synthesis -- rolandp 04/16/2007 -- version 2.00a -- ~~~~~~~ -- SK 03/11/10 -- ^^^^^^^ -- 1. Updated the core so support the active low "Interconnect_aresetn" and -- "Peripheral_aresetn" signals. -- ^^^^^^^ -- ~~~~~~~ -- SK 05/12/11 -- ^^^^^^^ -- 1. Updated the core so remove the support for PPC related functionality. -- ^^^^^^^ ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_cmb" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; library unisim; use unisim.vcomponents.all; library proc_sys_reset_v5_0_10; use proc_sys_reset_v5_0_10.all; ------------------------------------------------------------------------------- -- Port Declaration ------------------------------------------------------------------------------- -- Definition of Generics: -- C_EXT_RST_WIDTH -- External Reset Low Pass Filter setting -- C_AUX_RST_WIDTH -- Auxiliary Reset Low Pass Filter setting -- C_EXT_RESET_HIGH -- External Reset Active High or Active Low -- C_AUX_RESET_HIGH -= Auxiliary Reset Active High or Active Low -- C_NUM_BUS_RST -- Number of Bus Structures reset to generate -- C_NUM_PERP_RST -- Number of Peripheral resets to generate -- -- C_NUM_INTERCONNECT_ARESETN -- No. of Active low reset to interconnect -- C_NUM_PERP_ARESETN -- No. of Active low reset to peripheral -- Definition of Ports: -- slowest_sync_clk -- Clock -- ext_reset_in -- External Reset Input -- aux_reset_in -- Auxiliary Reset Input -- mb_debug_sys_rst -- MDM Reset Input -- dcm_locked -- DCM Locked, hold system in reset until 1 -- mb_reset -- MB core reset out -- bus_struct_reset -- Bus structure reset out -- peripheral_reset -- Peripheral reset out -- interconnect_aresetn -- Interconnect Bus structure registered rst out -- peripheral_aresetn -- Active Low Peripheral registered reset out ------------------------------------------------------------------------------- entity proc_sys_reset is generic ( C_FAMILY : string := "virtex7"; C_EXT_RST_WIDTH : integer := 4; C_AUX_RST_WIDTH : integer := 4; C_EXT_RESET_HIGH : std_logic := '0'; -- High active input C_AUX_RESET_HIGH : std_logic := '1'; -- High active input C_NUM_BUS_RST : integer := 1; C_NUM_PERP_RST : integer := 1; C_NUM_INTERCONNECT_ARESETN : integer := 1; -- 3/15/2010 C_NUM_PERP_ARESETN : integer := 1 -- 3/15/2010 ); port ( slowest_sync_clk : in std_logic; ext_reset_in : in std_logic; aux_reset_in : in std_logic; -- from MDM mb_debug_sys_rst : in std_logic; -- DCM locked information dcm_locked : in std_logic := '1'; -- -- from PPC -- Core_Reset_Req_0 : in std_logic; -- Chip_Reset_Req_0 : in std_logic; -- System_Reset_Req_0 : in std_logic; -- Core_Reset_Req_1 : in std_logic; -- Chip_Reset_Req_1 : in std_logic; -- System_Reset_Req_1 : in std_logic; -- RstcPPCresetcore_0 : out std_logic := '0'; -- RstcPPCresetchip_0 : out std_logic := '0'; -- RstcPPCresetsys_0 : out std_logic := '0'; -- RstcPPCresetcore_1 : out std_logic := '0'; -- RstcPPCresetchip_1 : out std_logic := '0'; -- RstcPPCresetsys_1 : out std_logic := '0'; -- to Microblaze active high reset mb_reset : out std_logic := '0'; -- active high resets bus_struct_reset : out std_logic_vector(0 to C_NUM_BUS_RST - 1) := (others => '0'); peripheral_reset : out std_logic_vector(0 to C_NUM_PERP_RST - 1) := (others => '0'); -- active low resets interconnect_aresetn : out std_logic_vector(0 to (C_NUM_INTERCONNECT_ARESETN-1)) := (others => '1'); peripheral_aresetn : out std_logic_vector(0 to (C_NUM_PERP_ARESETN-1)) := (others => '1') ); end entity proc_sys_reset; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture imp of proc_sys_reset is ------------------------------------------------------------------------------- -- Constant Declarations ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Signal and Type Declarations -- signal Core_Reset_Req_0_d1 : std_logic := '0'; -- delayed Core_Reset_Req -- signal Core_Reset_Req_0_d2 : std_logic := '0'; -- delayed Core_Reset_Req -- signal Core_Reset_Req_0_d3 : std_logic := '0'; -- delayed Core_Reset_Req -- signal Core_Reset_Req_1_d1 : std_logic := '0'; -- delayed Core_Reset_Req -- signal Core_Reset_Req_1_d2 : std_logic := '0'; -- delayed Core_Reset_Req -- signal Core_Reset_Req_1_d3 : std_logic := '0'; -- delayed Core_Reset_Req signal core_cnt_en_0 : std_logic := '0'; -- Core_Reset_Req_0 counter enable signal core_cnt_en_1 : std_logic := '0'; -- Core_Reset_Req_1 counter enable signal core_req_edge_0 : std_logic := '1'; -- Rising edge of Core_Reset_Req_0 signal core_req_edge_1 : std_logic := '1'; -- Rising edge of Core_Reset_Req_1 signal core_cnt_0 : std_logic_vector(3 downto 0); -- core counter output signal core_cnt_1 : std_logic_vector(3 downto 0); -- core counter output signal lpf_reset : std_logic; -- Low pass filtered ext or aux --signal Chip_Reset_Req : std_logic := '0'; --signal System_Reset_Req : std_logic := '0'; signal Bsr_out : std_logic; signal Pr_out : std_logic; -- signal Core_out : std_logic; -- signal Chip_out : std_logic; -- signal Sys_out : std_logic; signal MB_out : std_logic; ------------------------------------------------------------------------------- -- Attributes to synthesis ------------------------------------------------------------------------------- attribute equivalent_register_removal: string; attribute equivalent_register_removal of bus_struct_reset : signal is "no"; attribute equivalent_register_removal of peripheral_reset : signal is "no"; attribute equivalent_register_removal of interconnect_aresetn : signal is "no"; attribute equivalent_register_removal of peripheral_aresetn : signal is "no"; begin ------------------------------------------------------------------------------- -- --------------------- -- -- MB_RESET_HIGH_GEN: Generate active high reset for Micro-Blaze -- --------------------- -- MB_RESET_HIGH_GEN: if C_INT_RESET_HIGH = 1 generate -- begin MB_Reset_PROCESS: process (slowest_sync_clk) begin if (slowest_sync_clk'event and slowest_sync_clk = '1') then mb_reset <= MB_out; end if; end process; -- ---------------------------------------------------------------------------- -- -- This For-generate creates D-Flip Flops for the Bus_Struct_Reset output(s) -- ---------------------------------------------------------------------------- BSR_OUT_DFF: for i in 0 to (C_NUM_BUS_RST-1) generate BSR_DFF : process (slowest_sync_clk) begin if (slowest_sync_clk'event and slowest_sync_clk = '1') then bus_struct_reset(i) <= Bsr_out; end if; end process; end generate BSR_OUT_DFF; -- --------------------------------------------------------------------------- -- This For-generate creates D-Flip Flops for the Interconnect_aresetn op(s) -- --------------------------------------------------------------------------- ACTIVE_LOW_BSR_OUT_DFF: for i in 0 to (C_NUM_INTERCONNECT_ARESETN-1) generate BSR_DFF : process (slowest_sync_clk) begin if (slowest_sync_clk'event and slowest_sync_clk = '1') then interconnect_aresetn(i) <= not (Bsr_out); end if; end process; end generate ACTIVE_LOW_BSR_OUT_DFF; ------------------------------------------------------------------------------- -- ---------------------------------------------------------------------------- -- -- This For-generate creates D-Flip Flops for the Peripheral_Reset output(s) -- ---------------------------------------------------------------------------- PR_OUT_DFF: for i in 0 to (C_NUM_PERP_RST-1) generate PR_DFF : process (slowest_sync_clk) begin if (slowest_sync_clk'event and slowest_sync_clk = '1') then peripheral_reset(i) <= Pr_out; end if; end process; end generate PR_OUT_DFF; -- ---------------------------------------------------------------------------- -- This For-generate creates D-Flip Flops for the Peripheral_aresetn op(s) -- ---------------------------------------------------------------------------- ACTIVE_LOW_PR_OUT_DFF: for i in 0 to (C_NUM_PERP_ARESETN-1) generate ACTIVE_LOW_PR_DFF : process (slowest_sync_clk) begin if (slowest_sync_clk'event and slowest_sync_clk = '1') then peripheral_aresetn(i) <= not(Pr_out); end if; end process; end generate ACTIVE_LOW_PR_OUT_DFF; ------------------------------------------------------------------------------- -- This process defines the RstcPPCreset and MB_Reset outputs ------------------------------------------------------------------------------- -- Rstc_output_PROCESS_0: process (Slowest_sync_clk) -- begin -- if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then -- RstcPPCresetcore_0 <= not (core_cnt_0(3) and core_cnt_0(2) and -- core_cnt_0(1) and core_cnt_0(0)) -- or Core_out; -- RstcPPCresetchip_0 <= Chip_out; -- RstcPPCresetsys_0 <= Sys_out; -- end if; -- end process; -- Rstc_output_PROCESS_1: process (Slowest_sync_clk) -- begin -- if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then -- RstcPPCresetcore_1 <= not (core_cnt_1(3) and core_cnt_1(2) and -- core_cnt_1(1) and core_cnt_1(0)) -- or Core_out; -- RstcPPCresetchip_1 <= Chip_out; -- RstcPPCresetsys_1 <= Sys_out; -- end if; -- end process; ------------------------------------------------------------------------------- --------------------------------------------------------------------------------- ---- This process delays signals so the the edge can be detected and used ---- Double register to sync up with slowest_sync_clk --------------------------------------------------------------------------------- -- DELAY_PROCESS_0: process (Slowest_sync_clk) -- begin -- if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then -- core_reset_req_0_d1 <= Core_Reset_Req_0; -- core_reset_req_0_d2 <= core_reset_req_0_d1; -- core_reset_req_0_d3 <= core_reset_req_0_d2; -- end if; -- end process; -- -- DELAY_PROCESS_1: process (Slowest_sync_clk) -- begin -- if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then -- core_reset_req_1_d1 <= Core_Reset_Req_1; -- core_reset_req_1_d2 <= core_reset_req_1_d1; -- core_reset_req_1_d3 <= core_reset_req_1_d2; -- end if; -- end process; -- ** -- ------------------------------------------------------------------------------- -- ** -- -- This instantiates a counter to ensure the Core_Reset_Req_* will genereate a -- ** -- -- RstcPPCresetcore_* that is a mimimum of 15 clocks -- ** -- ------------------------------------------------------------------------------- -- ** -- CORE_RESET_0 : entity proc_sys_reset_v5_0_10.UPCNT_N -- ** -- generic map (C_SIZE => 4) -- ** -- port map( -- ** -- Data => "0000", -- in STD_LOGIC_VECTOR (C_SIZE-1 downto 0); -- ** -- Cnt_en => core_cnt_en_0, -- in STD_LOGIC; -- ** -- Load => '0', -- in STD_LOGIC; -- ** -- Clr => core_req_edge_0, -- in STD_LOGIC; -- ** -- Clk => Slowest_sync_clk, -- in STD_LOGIC; -- ** -- Qout => core_cnt_0 -- out STD_LOGIC_VECTOR (C_SIZE-1 downto 0) -- ** -- ); -- ** -- -- ** -- CORE_RESET_1 : entity proc_sys_reset_v5_0_10.UPCNT_N -- ** -- generic map (C_SIZE => 4) -- ** -- port map( -- ** -- Data => "0000", -- in STD_LOGIC_VECTOR (C_SIZE-1 downto 0); -- ** -- Cnt_en => core_cnt_en_1, -- in STD_LOGIC; -- ** -- Load => '0', -- in STD_LOGIC; -- ** -- Clr => core_req_edge_1, -- in STD_LOGIC; -- ** -- Clk => Slowest_sync_clk, -- in STD_LOGIC; -- ** -- Qout => core_cnt_1 -- out STD_LOGIC_VECTOR (C_SIZE-1 downto 0) -- ** -- ); -- ** -- -- ** -- ------------------------------------------------------------------------------- -- ** -- -- CORE_RESET_PROCESS -- ** -- ------------------------------------------------------------------------------- -- ** -- -- This generates the reset pulse and the count enable to core reset counter -- ** -- -- -- ** -- CORE_RESET_PROCESS_0: process (Slowest_sync_clk) -- ** -- begin -- ** -- if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then -- ** -- core_cnt_en_0 <= not (core_cnt_0(3) and core_cnt_0(2) and core_cnt_0(1)); -- ** -- --or not core_req_edge_0; -- ** -- --core_req_edge_0 <= not(Core_Reset_Req_0_d2 and not Core_Reset_Req_0_d3); -- ** -- end if; -- ** -- end process; -- ** -- -- ** -- CORE_RESET_PROCESS_1: process (Slowest_sync_clk) -- ** -- begin -- ** -- if (Slowest_sync_clk'event and Slowest_sync_clk = '1') then -- ** -- core_cnt_en_1 <= not (core_cnt_1(3) and core_cnt_1(2) and core_cnt_1(1)); -- ** -- --or not core_req_edge_1; -- ** -- --core_req_edge_1 <= not(Core_Reset_Req_1_d2 and not Core_Reset_Req_1_d3); -- ** -- end if; -- ** -- end process; ------------------------------------------------------------------------------- -- This instantiates a low pass filter to filter both External and Auxiliary -- Reset Inputs. ------------------------------------------------------------------------------- EXT_LPF : entity proc_sys_reset_v5_0_10.LPF generic map ( C_EXT_RST_WIDTH => C_EXT_RST_WIDTH, C_AUX_RST_WIDTH => C_AUX_RST_WIDTH, C_EXT_RESET_HIGH => C_EXT_RESET_HIGH, C_AUX_RESET_HIGH => C_AUX_RESET_HIGH ) port map( MB_Debug_Sys_Rst => mb_debug_sys_rst, -- in std_logic Dcm_locked => dcm_locked, -- in std_logic External_System_Reset => ext_reset_in, -- in std_logic Auxiliary_System_Reset => aux_reset_in, -- in std_logic Slowest_Sync_Clk => slowest_sync_clk, -- in std_logic Lpf_reset => lpf_reset -- out std_logic ); ------------------------------------------------------------------------------- -- This instantiates the sequencer -- This controls the time between resets becoming inactive ------------------------------------------------------------------------------- -- System_Reset_Req <= System_Reset_Req_0 or System_Reset_Req_1; -- Chip_Reset_Req <= Chip_Reset_Req_0 or Chip_Reset_Req_1; SEQ : entity proc_sys_reset_v5_0_10.SEQUENCE_PSR --generic map ( -- C_EXT_RESET_HIGH_1 => C_EXT_RESET_HIGH --) port map( Lpf_reset => lpf_reset, -- in std_logic --System_Reset_Req => '0', -- System_Reset_Req, -- in std_logic --Chip_Reset_Req => '0', -- Chip_Reset_Req, -- in std_logic Slowest_Sync_Clk => slowest_sync_clk, -- in std_logic Bsr_out => Bsr_out, -- out std_logic Pr_out => Pr_out, -- out std_logic --Core_out => open, -- Core_out, -- out std_logic --Chip_out => open, -- Chip_out, -- out std_logic --Sys_out => open, -- Sys_out, -- out std_logic MB_out => MB_out); -- out std_logic end imp; --END_SINGLE_FILE_TAG
apache-2.0
nishtahir/arty-blaze
src/bd/system/ip/system_axi_gpio_0_0/sim/system_axi_gpio_0_0.vhd
1
9295
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:axi_gpio:2.0 -- IP Revision: 13 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY axi_gpio_v2_0_13; USE axi_gpio_v2_0_13.axi_gpio; ENTITY system_axi_gpio_0_0 IS PORT ( s_axi_aclk : IN STD_LOGIC; s_axi_aresetn : IN STD_LOGIC; s_axi_awaddr : IN STD_LOGIC_VECTOR(8 DOWNTO 0); s_axi_awvalid : IN STD_LOGIC; s_axi_awready : OUT STD_LOGIC; s_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_wvalid : IN STD_LOGIC; s_axi_wready : OUT STD_LOGIC; s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_bvalid : OUT STD_LOGIC; s_axi_bready : IN STD_LOGIC; s_axi_araddr : IN STD_LOGIC_VECTOR(8 DOWNTO 0); s_axi_arvalid : IN STD_LOGIC; s_axi_arready : OUT STD_LOGIC; s_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_rvalid : OUT STD_LOGIC; s_axi_rready : IN STD_LOGIC; ip2intc_irpt : OUT STD_LOGIC; gpio_io_i : IN STD_LOGIC_VECTOR(19 DOWNTO 0); gpio_io_o : OUT STD_LOGIC_VECTOR(19 DOWNTO 0); gpio_io_t : OUT STD_LOGIC_VECTOR(19 DOWNTO 0) ); END system_axi_gpio_0_0; ARCHITECTURE system_axi_gpio_0_0_arch OF system_axi_gpio_0_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF system_axi_gpio_0_0_arch: ARCHITECTURE IS "yes"; COMPONENT axi_gpio IS GENERIC ( C_FAMILY : STRING; C_S_AXI_ADDR_WIDTH : INTEGER; C_S_AXI_DATA_WIDTH : INTEGER; C_GPIO_WIDTH : INTEGER; C_GPIO2_WIDTH : INTEGER; C_ALL_INPUTS : INTEGER; C_ALL_INPUTS_2 : INTEGER; C_ALL_OUTPUTS : INTEGER; C_ALL_OUTPUTS_2 : INTEGER; C_INTERRUPT_PRESENT : INTEGER; C_DOUT_DEFAULT : STD_LOGIC_VECTOR(31 DOWNTO 0); C_TRI_DEFAULT : STD_LOGIC_VECTOR(31 DOWNTO 0); C_IS_DUAL : INTEGER; C_DOUT_DEFAULT_2 : STD_LOGIC_VECTOR(31 DOWNTO 0); C_TRI_DEFAULT_2 : STD_LOGIC_VECTOR(31 DOWNTO 0) ); PORT ( s_axi_aclk : IN STD_LOGIC; s_axi_aresetn : IN STD_LOGIC; s_axi_awaddr : IN STD_LOGIC_VECTOR(8 DOWNTO 0); s_axi_awvalid : IN STD_LOGIC; s_axi_awready : OUT STD_LOGIC; s_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_wvalid : IN STD_LOGIC; s_axi_wready : OUT STD_LOGIC; s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_bvalid : OUT STD_LOGIC; s_axi_bready : IN STD_LOGIC; s_axi_araddr : IN STD_LOGIC_VECTOR(8 DOWNTO 0); s_axi_arvalid : IN STD_LOGIC; s_axi_arready : OUT STD_LOGIC; s_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_rvalid : OUT STD_LOGIC; s_axi_rready : IN STD_LOGIC; ip2intc_irpt : OUT STD_LOGIC; gpio_io_i : IN STD_LOGIC_VECTOR(19 DOWNTO 0); gpio_io_o : OUT STD_LOGIC_VECTOR(19 DOWNTO 0); gpio_io_t : OUT STD_LOGIC_VECTOR(19 DOWNTO 0); gpio2_io_i : IN STD_LOGIC_VECTOR(31 DOWNTO 0); gpio2_io_o : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); gpio2_io_t : OUT STD_LOGIC_VECTOR(31 DOWNTO 0) ); END COMPONENT axi_gpio; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF s_axi_aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 S_AXI_ACLK CLK"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 S_AXI_ARESETN RST"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_awaddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWADDR"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_awvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_awready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_wdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_wstrb: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WSTRB"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_wvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_wready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_bresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BRESP"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_bvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_bready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_araddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARADDR"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_arvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_arready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_rdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_rresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RRESP"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_rvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_rready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RREADY"; ATTRIBUTE X_INTERFACE_INFO OF ip2intc_irpt: SIGNAL IS "xilinx.com:signal:interrupt:1.0 IP2INTC_IRQ INTERRUPT"; ATTRIBUTE X_INTERFACE_INFO OF gpio_io_i: SIGNAL IS "xilinx.com:interface:gpio:1.0 GPIO TRI_I"; ATTRIBUTE X_INTERFACE_INFO OF gpio_io_o: SIGNAL IS "xilinx.com:interface:gpio:1.0 GPIO TRI_O"; ATTRIBUTE X_INTERFACE_INFO OF gpio_io_t: SIGNAL IS "xilinx.com:interface:gpio:1.0 GPIO TRI_T"; BEGIN U0 : axi_gpio GENERIC MAP ( C_FAMILY => "artix7", C_S_AXI_ADDR_WIDTH => 9, C_S_AXI_DATA_WIDTH => 32, C_GPIO_WIDTH => 20, C_GPIO2_WIDTH => 32, C_ALL_INPUTS => 0, C_ALL_INPUTS_2 => 0, C_ALL_OUTPUTS => 0, C_ALL_OUTPUTS_2 => 0, C_INTERRUPT_PRESENT => 1, C_DOUT_DEFAULT => X"00000000", C_TRI_DEFAULT => X"FFFFFFFF", C_IS_DUAL => 0, C_DOUT_DEFAULT_2 => X"00000000", C_TRI_DEFAULT_2 => X"FFFFFFFF" ) PORT MAP ( s_axi_aclk => s_axi_aclk, s_axi_aresetn => s_axi_aresetn, s_axi_awaddr => s_axi_awaddr, s_axi_awvalid => s_axi_awvalid, s_axi_awready => s_axi_awready, s_axi_wdata => s_axi_wdata, s_axi_wstrb => s_axi_wstrb, s_axi_wvalid => s_axi_wvalid, s_axi_wready => s_axi_wready, s_axi_bresp => s_axi_bresp, s_axi_bvalid => s_axi_bvalid, s_axi_bready => s_axi_bready, s_axi_araddr => s_axi_araddr, s_axi_arvalid => s_axi_arvalid, s_axi_arready => s_axi_arready, s_axi_rdata => s_axi_rdata, s_axi_rresp => s_axi_rresp, s_axi_rvalid => s_axi_rvalid, s_axi_rready => s_axi_rready, ip2intc_irpt => ip2intc_irpt, gpio_io_i => gpio_io_i, gpio_io_o => gpio_io_o, gpio_io_t => gpio_io_t, gpio2_io_i => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)) ); END system_axi_gpio_0_0_arch;
apache-2.0
nishtahir/arty-blaze
src/bd/system/ip/system_rst_clk_wiz_1_100M_0/system_rst_clk_wiz_1_100M_0_sim_netlist.vhdl
1
32658
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2016.4 (win64) Build 1733598 Wed Dec 14 22:35:39 MST 2016 -- Date : Mon Feb 13 12:44:30 2017 -- Host : WK117 running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode funcsim -- C:/Users/aholzer/Documents/new/Arty-BSD/src/bd/system/ip/system_rst_clk_wiz_1_100M_0/system_rst_clk_wiz_1_100M_0_sim_netlist.vhdl -- Design : system_rst_clk_wiz_1_100M_0 -- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or -- synthesized. This netlist cannot be used for SDF annotated simulation. -- Device : xc7a35ticsg324-1L -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_rst_clk_wiz_1_100M_0_cdc_sync is port ( lpf_asr_reg : out STD_LOGIC; scndry_out : out STD_LOGIC; aux_reset_in : in STD_LOGIC; lpf_asr : in STD_LOGIC; asr_lpf : in STD_LOGIC_VECTOR ( 0 to 0 ); p_1_in : in STD_LOGIC; p_2_in : in STD_LOGIC; slowest_sync_clk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_rst_clk_wiz_1_100M_0_cdc_sync : entity is "cdc_sync"; end system_rst_clk_wiz_1_100M_0_cdc_sync; architecture STRUCTURE of system_rst_clk_wiz_1_100M_0_cdc_sync is signal asr_d1 : STD_LOGIC; signal s_level_out_d1_cdc_to : STD_LOGIC; signal s_level_out_d2 : STD_LOGIC; signal s_level_out_d3 : STD_LOGIC; signal \^scndry_out\ : STD_LOGIC; attribute ASYNC_REG : boolean; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is std.standard.true; attribute BOX_TYPE : string; attribute BOX_TYPE of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is "PRIMITIVE"; attribute XILINX_LEGACY_PRIM : string; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is "FDR"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d2\ : label is std.standard.true; attribute BOX_TYPE of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d2\ : label is "PRIMITIVE"; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d2\ : label is "FDR"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d3\ : label is std.standard.true; attribute BOX_TYPE of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d3\ : label is "PRIMITIVE"; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d3\ : label is "FDR"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d4\ : label is std.standard.true; attribute BOX_TYPE of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d4\ : label is "PRIMITIVE"; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d4\ : label is "FDR"; begin scndry_out <= \^scndry_out\; \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_IN_cdc_to\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => slowest_sync_clk, CE => '1', D => asr_d1, Q => s_level_out_d1_cdc_to, R => '0' ); \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_IN_cdc_to_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => aux_reset_in, O => asr_d1 ); \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d2\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => slowest_sync_clk, CE => '1', D => s_level_out_d1_cdc_to, Q => s_level_out_d2, R => '0' ); \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d3\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => slowest_sync_clk, CE => '1', D => s_level_out_d2, Q => s_level_out_d3, R => '0' ); \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d4\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => slowest_sync_clk, CE => '1', D => s_level_out_d3, Q => \^scndry_out\, R => '0' ); lpf_asr_i_1: unisim.vcomponents.LUT5 generic map( INIT => X"EAAAAAA8" ) port map ( I0 => lpf_asr, I1 => asr_lpf(0), I2 => \^scndry_out\, I3 => p_1_in, I4 => p_2_in, O => lpf_asr_reg ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_rst_clk_wiz_1_100M_0_cdc_sync_0 is port ( lpf_exr_reg : out STD_LOGIC; scndry_out : out STD_LOGIC; lpf_exr : in STD_LOGIC; p_3_out : in STD_LOGIC_VECTOR ( 2 downto 0 ); mb_debug_sys_rst : in STD_LOGIC; ext_reset_in : in STD_LOGIC; slowest_sync_clk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_rst_clk_wiz_1_100M_0_cdc_sync_0 : entity is "cdc_sync"; end system_rst_clk_wiz_1_100M_0_cdc_sync_0; architecture STRUCTURE of system_rst_clk_wiz_1_100M_0_cdc_sync_0 is signal \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_IN_cdc_to_i_1__0_n_0\ : STD_LOGIC; signal s_level_out_d1_cdc_to : STD_LOGIC; signal s_level_out_d2 : STD_LOGIC; signal s_level_out_d3 : STD_LOGIC; signal \^scndry_out\ : STD_LOGIC; attribute ASYNC_REG : boolean; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is std.standard.true; attribute BOX_TYPE : string; attribute BOX_TYPE of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is "PRIMITIVE"; attribute XILINX_LEGACY_PRIM : string; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is "FDR"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d2\ : label is std.standard.true; attribute BOX_TYPE of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d2\ : label is "PRIMITIVE"; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d2\ : label is "FDR"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d3\ : label is std.standard.true; attribute BOX_TYPE of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d3\ : label is "PRIMITIVE"; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d3\ : label is "FDR"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d4\ : label is std.standard.true; attribute BOX_TYPE of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d4\ : label is "PRIMITIVE"; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d4\ : label is "FDR"; begin scndry_out <= \^scndry_out\; \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_IN_cdc_to\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => slowest_sync_clk, CE => '1', D => \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_IN_cdc_to_i_1__0_n_0\, Q => s_level_out_d1_cdc_to, R => '0' ); \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_IN_cdc_to_i_1__0\: unisim.vcomponents.LUT2 generic map( INIT => X"B" ) port map ( I0 => mb_debug_sys_rst, I1 => ext_reset_in, O => \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_IN_cdc_to_i_1__0_n_0\ ); \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d2\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => slowest_sync_clk, CE => '1', D => s_level_out_d1_cdc_to, Q => s_level_out_d2, R => '0' ); \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d3\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => slowest_sync_clk, CE => '1', D => s_level_out_d2, Q => s_level_out_d3, R => '0' ); \GENERATE_LEVEL_P_S_CDC.SINGLE_BIT.CROSS_PLEVEL_IN2SCNDRY_s_level_out_d4\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => slowest_sync_clk, CE => '1', D => s_level_out_d3, Q => \^scndry_out\, R => '0' ); lpf_exr_i_1: unisim.vcomponents.LUT5 generic map( INIT => X"EAAAAAA8" ) port map ( I0 => lpf_exr, I1 => p_3_out(0), I2 => \^scndry_out\, I3 => p_3_out(1), I4 => p_3_out(2), O => lpf_exr_reg ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_rst_clk_wiz_1_100M_0_upcnt_n is port ( Q : out STD_LOGIC_VECTOR ( 5 downto 0 ); seq_clr : in STD_LOGIC; seq_cnt_en : in STD_LOGIC; slowest_sync_clk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_rst_clk_wiz_1_100M_0_upcnt_n : entity is "upcnt_n"; end system_rst_clk_wiz_1_100M_0_upcnt_n; architecture STRUCTURE of system_rst_clk_wiz_1_100M_0_upcnt_n is signal \^q\ : STD_LOGIC_VECTOR ( 5 downto 0 ); signal clear : STD_LOGIC; signal q_int0 : STD_LOGIC_VECTOR ( 5 downto 0 ); attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \q_int[1]_i_1\ : label is "soft_lutpair1"; attribute SOFT_HLUTNM of \q_int[2]_i_1\ : label is "soft_lutpair1"; attribute SOFT_HLUTNM of \q_int[3]_i_1\ : label is "soft_lutpair0"; attribute SOFT_HLUTNM of \q_int[4]_i_1\ : label is "soft_lutpair0"; begin Q(5 downto 0) <= \^q\(5 downto 0); \q_int[0]_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \^q\(0), O => q_int0(0) ); \q_int[1]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \^q\(0), I1 => \^q\(1), O => q_int0(1) ); \q_int[2]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"78" ) port map ( I0 => \^q\(0), I1 => \^q\(1), I2 => \^q\(2), O => q_int0(2) ); \q_int[3]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"7F80" ) port map ( I0 => \^q\(1), I1 => \^q\(0), I2 => \^q\(2), I3 => \^q\(3), O => q_int0(3) ); \q_int[4]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"7FFF8000" ) port map ( I0 => \^q\(2), I1 => \^q\(0), I2 => \^q\(1), I3 => \^q\(3), I4 => \^q\(4), O => q_int0(4) ); \q_int[5]_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => seq_clr, O => clear ); \q_int[5]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"7FFFFFFF80000000" ) port map ( I0 => \^q\(3), I1 => \^q\(1), I2 => \^q\(0), I3 => \^q\(2), I4 => \^q\(4), I5 => \^q\(5), O => q_int0(5) ); \q_int_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => slowest_sync_clk, CE => seq_cnt_en, D => q_int0(0), Q => \^q\(0), R => clear ); \q_int_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => slowest_sync_clk, CE => seq_cnt_en, D => q_int0(1), Q => \^q\(1), R => clear ); \q_int_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => slowest_sync_clk, CE => seq_cnt_en, D => q_int0(2), Q => \^q\(2), R => clear ); \q_int_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => slowest_sync_clk, CE => seq_cnt_en, D => q_int0(3), Q => \^q\(3), R => clear ); \q_int_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => slowest_sync_clk, CE => seq_cnt_en, D => q_int0(4), Q => \^q\(4), R => clear ); \q_int_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => slowest_sync_clk, CE => seq_cnt_en, D => q_int0(5), Q => \^q\(5), R => clear ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_rst_clk_wiz_1_100M_0_lpf is port ( lpf_int : out STD_LOGIC; slowest_sync_clk : in STD_LOGIC; dcm_locked : in STD_LOGIC; aux_reset_in : in STD_LOGIC; mb_debug_sys_rst : in STD_LOGIC; ext_reset_in : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_rst_clk_wiz_1_100M_0_lpf : entity is "lpf"; end system_rst_clk_wiz_1_100M_0_lpf; architecture STRUCTURE of system_rst_clk_wiz_1_100M_0_lpf is signal \ACTIVE_LOW_AUX.ACT_LO_AUX_n_0\ : STD_LOGIC; signal \ACTIVE_LOW_EXT.ACT_LO_EXT_n_0\ : STD_LOGIC; signal Q : STD_LOGIC; signal asr_lpf : STD_LOGIC_VECTOR ( 0 to 0 ); signal lpf_asr : STD_LOGIC; signal lpf_exr : STD_LOGIC; signal \lpf_int0__0\ : STD_LOGIC; signal p_1_in : STD_LOGIC; signal p_2_in : STD_LOGIC; signal p_3_in1_in : STD_LOGIC; signal p_3_out : STD_LOGIC_VECTOR ( 3 downto 0 ); attribute BOX_TYPE : string; attribute BOX_TYPE of POR_SRL_I : label is "PRIMITIVE"; attribute XILINX_LEGACY_PRIM : string; attribute XILINX_LEGACY_PRIM of POR_SRL_I : label is "SRL16"; attribute srl_name : string; attribute srl_name of POR_SRL_I : label is "U0/\EXT_LPF/POR_SRL_I "; begin \ACTIVE_LOW_AUX.ACT_LO_AUX\: entity work.system_rst_clk_wiz_1_100M_0_cdc_sync port map ( asr_lpf(0) => asr_lpf(0), aux_reset_in => aux_reset_in, lpf_asr => lpf_asr, lpf_asr_reg => \ACTIVE_LOW_AUX.ACT_LO_AUX_n_0\, p_1_in => p_1_in, p_2_in => p_2_in, scndry_out => p_3_in1_in, slowest_sync_clk => slowest_sync_clk ); \ACTIVE_LOW_EXT.ACT_LO_EXT\: entity work.system_rst_clk_wiz_1_100M_0_cdc_sync_0 port map ( ext_reset_in => ext_reset_in, lpf_exr => lpf_exr, lpf_exr_reg => \ACTIVE_LOW_EXT.ACT_LO_EXT_n_0\, mb_debug_sys_rst => mb_debug_sys_rst, p_3_out(2 downto 0) => p_3_out(2 downto 0), scndry_out => p_3_out(3), slowest_sync_clk => slowest_sync_clk ); \AUX_LPF[1].asr_lpf_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => slowest_sync_clk, CE => '1', D => p_3_in1_in, Q => p_2_in, R => '0' ); \AUX_LPF[2].asr_lpf_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => slowest_sync_clk, CE => '1', D => p_2_in, Q => p_1_in, R => '0' ); \AUX_LPF[3].asr_lpf_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => slowest_sync_clk, CE => '1', D => p_1_in, Q => asr_lpf(0), R => '0' ); \EXT_LPF[1].exr_lpf_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => slowest_sync_clk, CE => '1', D => p_3_out(3), Q => p_3_out(2), R => '0' ); \EXT_LPF[2].exr_lpf_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => slowest_sync_clk, CE => '1', D => p_3_out(2), Q => p_3_out(1), R => '0' ); \EXT_LPF[3].exr_lpf_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => slowest_sync_clk, CE => '1', D => p_3_out(1), Q => p_3_out(0), R => '0' ); POR_SRL_I: unisim.vcomponents.SRL16E generic map( INIT => X"FFFF" ) port map ( A0 => '1', A1 => '1', A2 => '1', A3 => '1', CE => '1', CLK => slowest_sync_clk, D => '0', Q => Q ); lpf_asr_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => slowest_sync_clk, CE => '1', D => \ACTIVE_LOW_AUX.ACT_LO_AUX_n_0\, Q => lpf_asr, R => '0' ); lpf_exr_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => slowest_sync_clk, CE => '1', D => \ACTIVE_LOW_EXT.ACT_LO_EXT_n_0\, Q => lpf_exr, R => '0' ); lpf_int0: unisim.vcomponents.LUT4 generic map( INIT => X"FFEF" ) port map ( I0 => Q, I1 => lpf_asr, I2 => dcm_locked, I3 => lpf_exr, O => \lpf_int0__0\ ); lpf_int_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => slowest_sync_clk, CE => '1', D => \lpf_int0__0\, Q => lpf_int, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_rst_clk_wiz_1_100M_0_sequence_psr is port ( Core : out STD_LOGIC; bsr : out STD_LOGIC; pr : out STD_LOGIC; \ACTIVE_LOW_BSR_OUT_DFF[0].interconnect_aresetn_reg[0]\ : out STD_LOGIC; \ACTIVE_LOW_PR_OUT_DFF[0].peripheral_aresetn_reg[0]\ : out STD_LOGIC; lpf_int : in STD_LOGIC; slowest_sync_clk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_rst_clk_wiz_1_100M_0_sequence_psr : entity is "sequence_psr"; end system_rst_clk_wiz_1_100M_0_sequence_psr; architecture STRUCTURE of system_rst_clk_wiz_1_100M_0_sequence_psr is signal \^core\ : STD_LOGIC; signal Core_i_1_n_0 : STD_LOGIC; signal \^bsr\ : STD_LOGIC; signal \bsr_dec_reg_n_0_[0]\ : STD_LOGIC; signal \bsr_dec_reg_n_0_[2]\ : STD_LOGIC; signal bsr_i_1_n_0 : STD_LOGIC; signal \core_dec[0]_i_1_n_0\ : STD_LOGIC; signal \core_dec[2]_i_1_n_0\ : STD_LOGIC; signal \core_dec_reg_n_0_[0]\ : STD_LOGIC; signal \core_dec_reg_n_0_[1]\ : STD_LOGIC; signal from_sys_i_1_n_0 : STD_LOGIC; signal p_0_in : STD_LOGIC; signal p_3_out : STD_LOGIC_VECTOR ( 2 downto 0 ); signal p_5_out : STD_LOGIC_VECTOR ( 2 downto 0 ); signal \^pr\ : STD_LOGIC; signal \pr_dec0__0\ : STD_LOGIC; signal \pr_dec_reg_n_0_[0]\ : STD_LOGIC; signal \pr_dec_reg_n_0_[2]\ : STD_LOGIC; signal pr_i_1_n_0 : STD_LOGIC; signal seq_clr : STD_LOGIC; signal seq_cnt : STD_LOGIC_VECTOR ( 5 downto 0 ); signal seq_cnt_en : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \ACTIVE_LOW_BSR_OUT_DFF[0].interconnect_aresetn[0]_i_1\ : label is "soft_lutpair5"; attribute SOFT_HLUTNM of \ACTIVE_LOW_PR_OUT_DFF[0].peripheral_aresetn[0]_i_1\ : label is "soft_lutpair4"; attribute SOFT_HLUTNM of Core_i_1 : label is "soft_lutpair3"; attribute SOFT_HLUTNM of \bsr_dec[2]_i_1\ : label is "soft_lutpair6"; attribute SOFT_HLUTNM of bsr_i_1 : label is "soft_lutpair5"; attribute SOFT_HLUTNM of \core_dec[0]_i_1\ : label is "soft_lutpair2"; attribute SOFT_HLUTNM of \core_dec[2]_i_1\ : label is "soft_lutpair6"; attribute SOFT_HLUTNM of from_sys_i_1 : label is "soft_lutpair3"; attribute SOFT_HLUTNM of \pr_dec[0]_i_1\ : label is "soft_lutpair2"; attribute SOFT_HLUTNM of pr_i_1 : label is "soft_lutpair4"; begin Core <= \^core\; bsr <= \^bsr\; pr <= \^pr\; \ACTIVE_LOW_BSR_OUT_DFF[0].interconnect_aresetn[0]_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \^bsr\, O => \ACTIVE_LOW_BSR_OUT_DFF[0].interconnect_aresetn_reg[0]\ ); \ACTIVE_LOW_PR_OUT_DFF[0].peripheral_aresetn[0]_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \^pr\, O => \ACTIVE_LOW_PR_OUT_DFF[0].peripheral_aresetn_reg[0]\ ); Core_i_1: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => \^core\, I1 => p_0_in, O => Core_i_1_n_0 ); Core_reg: unisim.vcomponents.FDSE generic map( INIT => '0' ) port map ( C => slowest_sync_clk, CE => '1', D => Core_i_1_n_0, Q => \^core\, S => lpf_int ); SEQ_COUNTER: entity work.system_rst_clk_wiz_1_100M_0_upcnt_n port map ( Q(5 downto 0) => seq_cnt(5 downto 0), seq_clr => seq_clr, seq_cnt_en => seq_cnt_en, slowest_sync_clk => slowest_sync_clk ); \bsr_dec[0]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"0804" ) port map ( I0 => seq_cnt_en, I1 => seq_cnt(3), I2 => seq_cnt(5), I3 => seq_cnt(4), O => p_5_out(0) ); \bsr_dec[2]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => \core_dec_reg_n_0_[1]\, I1 => \bsr_dec_reg_n_0_[0]\, O => p_5_out(2) ); \bsr_dec_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => slowest_sync_clk, CE => '1', D => p_5_out(0), Q => \bsr_dec_reg_n_0_[0]\, R => '0' ); \bsr_dec_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => slowest_sync_clk, CE => '1', D => p_5_out(2), Q => \bsr_dec_reg_n_0_[2]\, R => '0' ); bsr_i_1: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => \^bsr\, I1 => \bsr_dec_reg_n_0_[2]\, O => bsr_i_1_n_0 ); bsr_reg: unisim.vcomponents.FDSE generic map( INIT => '0' ) port map ( C => slowest_sync_clk, CE => '1', D => bsr_i_1_n_0, Q => \^bsr\, S => lpf_int ); \core_dec[0]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"8040" ) port map ( I0 => seq_cnt(4), I1 => seq_cnt(3), I2 => seq_cnt(5), I3 => seq_cnt_en, O => \core_dec[0]_i_1_n_0\ ); \core_dec[2]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => \core_dec_reg_n_0_[1]\, I1 => \core_dec_reg_n_0_[0]\, O => \core_dec[2]_i_1_n_0\ ); \core_dec_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => slowest_sync_clk, CE => '1', D => \core_dec[0]_i_1_n_0\, Q => \core_dec_reg_n_0_[0]\, R => '0' ); \core_dec_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => slowest_sync_clk, CE => '1', D => \pr_dec0__0\, Q => \core_dec_reg_n_0_[1]\, R => '0' ); \core_dec_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => slowest_sync_clk, CE => '1', D => \core_dec[2]_i_1_n_0\, Q => p_0_in, R => '0' ); from_sys_i_1: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => \^core\, I1 => seq_cnt_en, O => from_sys_i_1_n_0 ); from_sys_reg: unisim.vcomponents.FDSE generic map( INIT => '0' ) port map ( C => slowest_sync_clk, CE => '1', D => from_sys_i_1_n_0, Q => seq_cnt_en, S => lpf_int ); pr_dec0: unisim.vcomponents.LUT4 generic map( INIT => X"0210" ) port map ( I0 => seq_cnt(0), I1 => seq_cnt(1), I2 => seq_cnt(2), I3 => seq_cnt_en, O => \pr_dec0__0\ ); \pr_dec[0]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"1080" ) port map ( I0 => seq_cnt_en, I1 => seq_cnt(5), I2 => seq_cnt(3), I3 => seq_cnt(4), O => p_3_out(0) ); \pr_dec[2]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => \core_dec_reg_n_0_[1]\, I1 => \pr_dec_reg_n_0_[0]\, O => p_3_out(2) ); \pr_dec_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => slowest_sync_clk, CE => '1', D => p_3_out(0), Q => \pr_dec_reg_n_0_[0]\, R => '0' ); \pr_dec_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => slowest_sync_clk, CE => '1', D => p_3_out(2), Q => \pr_dec_reg_n_0_[2]\, R => '0' ); pr_i_1: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => \^pr\, I1 => \pr_dec_reg_n_0_[2]\, O => pr_i_1_n_0 ); pr_reg: unisim.vcomponents.FDSE generic map( INIT => '0' ) port map ( C => slowest_sync_clk, CE => '1', D => pr_i_1_n_0, Q => \^pr\, S => lpf_int ); seq_clr_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => slowest_sync_clk, CE => '1', D => '1', Q => seq_clr, R => lpf_int ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_rst_clk_wiz_1_100M_0_proc_sys_reset is port ( slowest_sync_clk : in STD_LOGIC; ext_reset_in : in STD_LOGIC; aux_reset_in : in STD_LOGIC; mb_debug_sys_rst : in STD_LOGIC; dcm_locked : in STD_LOGIC; mb_reset : out STD_LOGIC; bus_struct_reset : out STD_LOGIC_VECTOR ( 0 to 0 ); peripheral_reset : out STD_LOGIC_VECTOR ( 0 to 0 ); interconnect_aresetn : out STD_LOGIC_VECTOR ( 0 to 0 ); peripheral_aresetn : out STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute C_AUX_RESET_HIGH : string; attribute C_AUX_RESET_HIGH of system_rst_clk_wiz_1_100M_0_proc_sys_reset : entity is "1'b0"; attribute C_AUX_RST_WIDTH : integer; attribute C_AUX_RST_WIDTH of system_rst_clk_wiz_1_100M_0_proc_sys_reset : entity is 4; attribute C_EXT_RESET_HIGH : string; attribute C_EXT_RESET_HIGH of system_rst_clk_wiz_1_100M_0_proc_sys_reset : entity is "1'b0"; attribute C_EXT_RST_WIDTH : integer; attribute C_EXT_RST_WIDTH of system_rst_clk_wiz_1_100M_0_proc_sys_reset : entity is 4; attribute C_FAMILY : string; attribute C_FAMILY of system_rst_clk_wiz_1_100M_0_proc_sys_reset : entity is "artix7"; attribute C_NUM_BUS_RST : integer; attribute C_NUM_BUS_RST of system_rst_clk_wiz_1_100M_0_proc_sys_reset : entity is 1; attribute C_NUM_INTERCONNECT_ARESETN : integer; attribute C_NUM_INTERCONNECT_ARESETN of system_rst_clk_wiz_1_100M_0_proc_sys_reset : entity is 1; attribute C_NUM_PERP_ARESETN : integer; attribute C_NUM_PERP_ARESETN of system_rst_clk_wiz_1_100M_0_proc_sys_reset : entity is 1; attribute C_NUM_PERP_RST : integer; attribute C_NUM_PERP_RST of system_rst_clk_wiz_1_100M_0_proc_sys_reset : entity is 1; attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_rst_clk_wiz_1_100M_0_proc_sys_reset : entity is "proc_sys_reset"; end system_rst_clk_wiz_1_100M_0_proc_sys_reset; architecture STRUCTURE of system_rst_clk_wiz_1_100M_0_proc_sys_reset is signal Core : STD_LOGIC; signal SEQ_n_3 : STD_LOGIC; signal SEQ_n_4 : STD_LOGIC; signal bsr : STD_LOGIC; signal lpf_int : STD_LOGIC; signal pr : STD_LOGIC; attribute equivalent_register_removal : string; attribute equivalent_register_removal of \ACTIVE_LOW_BSR_OUT_DFF[0].interconnect_aresetn_reg[0]\ : label is "no"; attribute equivalent_register_removal of \ACTIVE_LOW_PR_OUT_DFF[0].peripheral_aresetn_reg[0]\ : label is "no"; attribute equivalent_register_removal of \BSR_OUT_DFF[0].bus_struct_reset_reg[0]\ : label is "no"; attribute equivalent_register_removal of \PR_OUT_DFF[0].peripheral_reset_reg[0]\ : label is "no"; begin \ACTIVE_LOW_BSR_OUT_DFF[0].interconnect_aresetn_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => slowest_sync_clk, CE => '1', D => SEQ_n_3, Q => interconnect_aresetn(0), R => '0' ); \ACTIVE_LOW_PR_OUT_DFF[0].peripheral_aresetn_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '1' ) port map ( C => slowest_sync_clk, CE => '1', D => SEQ_n_4, Q => peripheral_aresetn(0), R => '0' ); \BSR_OUT_DFF[0].bus_struct_reset_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => slowest_sync_clk, CE => '1', D => bsr, Q => bus_struct_reset(0), R => '0' ); EXT_LPF: entity work.system_rst_clk_wiz_1_100M_0_lpf port map ( aux_reset_in => aux_reset_in, dcm_locked => dcm_locked, ext_reset_in => ext_reset_in, lpf_int => lpf_int, mb_debug_sys_rst => mb_debug_sys_rst, slowest_sync_clk => slowest_sync_clk ); \PR_OUT_DFF[0].peripheral_reset_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => slowest_sync_clk, CE => '1', D => pr, Q => peripheral_reset(0), R => '0' ); SEQ: entity work.system_rst_clk_wiz_1_100M_0_sequence_psr port map ( \ACTIVE_LOW_BSR_OUT_DFF[0].interconnect_aresetn_reg[0]\ => SEQ_n_3, \ACTIVE_LOW_PR_OUT_DFF[0].peripheral_aresetn_reg[0]\ => SEQ_n_4, Core => Core, bsr => bsr, lpf_int => lpf_int, pr => pr, slowest_sync_clk => slowest_sync_clk ); mb_reset_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => slowest_sync_clk, CE => '1', D => Core, Q => mb_reset, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_rst_clk_wiz_1_100M_0 is port ( slowest_sync_clk : in STD_LOGIC; ext_reset_in : in STD_LOGIC; aux_reset_in : in STD_LOGIC; mb_debug_sys_rst : in STD_LOGIC; dcm_locked : in STD_LOGIC; mb_reset : out STD_LOGIC; bus_struct_reset : out STD_LOGIC_VECTOR ( 0 to 0 ); peripheral_reset : out STD_LOGIC_VECTOR ( 0 to 0 ); interconnect_aresetn : out STD_LOGIC_VECTOR ( 0 to 0 ); peripheral_aresetn : out STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute NotValidForBitStream : boolean; attribute NotValidForBitStream of system_rst_clk_wiz_1_100M_0 : entity is true; attribute CHECK_LICENSE_TYPE : string; attribute CHECK_LICENSE_TYPE of system_rst_clk_wiz_1_100M_0 : entity is "system_rst_clk_wiz_1_100M_0,proc_sys_reset,{}"; attribute downgradeipidentifiedwarnings : string; attribute downgradeipidentifiedwarnings of system_rst_clk_wiz_1_100M_0 : entity is "yes"; attribute x_core_info : string; attribute x_core_info of system_rst_clk_wiz_1_100M_0 : entity is "proc_sys_reset,Vivado 2016.4"; end system_rst_clk_wiz_1_100M_0; architecture STRUCTURE of system_rst_clk_wiz_1_100M_0 is attribute C_AUX_RESET_HIGH : string; attribute C_AUX_RESET_HIGH of U0 : label is "1'b0"; attribute C_AUX_RST_WIDTH : integer; attribute C_AUX_RST_WIDTH of U0 : label is 4; attribute C_EXT_RESET_HIGH : string; attribute C_EXT_RESET_HIGH of U0 : label is "1'b0"; attribute C_EXT_RST_WIDTH : integer; attribute C_EXT_RST_WIDTH of U0 : label is 4; attribute C_FAMILY : string; attribute C_FAMILY of U0 : label is "artix7"; attribute C_NUM_BUS_RST : integer; attribute C_NUM_BUS_RST of U0 : label is 1; attribute C_NUM_INTERCONNECT_ARESETN : integer; attribute C_NUM_INTERCONNECT_ARESETN of U0 : label is 1; attribute C_NUM_PERP_ARESETN : integer; attribute C_NUM_PERP_ARESETN of U0 : label is 1; attribute C_NUM_PERP_RST : integer; attribute C_NUM_PERP_RST of U0 : label is 1; begin U0: entity work.system_rst_clk_wiz_1_100M_0_proc_sys_reset port map ( aux_reset_in => aux_reset_in, bus_struct_reset(0) => bus_struct_reset(0), dcm_locked => dcm_locked, ext_reset_in => ext_reset_in, interconnect_aresetn(0) => interconnect_aresetn(0), mb_debug_sys_rst => mb_debug_sys_rst, mb_reset => mb_reset, peripheral_aresetn(0) => peripheral_aresetn(0), peripheral_reset(0) => peripheral_reset(0), slowest_sync_clk => slowest_sync_clk ); end STRUCTURE;
apache-2.0
nishtahir/arty-blaze
src/bd/system/ip/system_axi_uartlite_0_0/sim/system_axi_uartlite_0_0.vhd
1
8178
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:axi_uartlite:2.0 -- IP Revision: 15 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY axi_uartlite_v2_0_15; USE axi_uartlite_v2_0_15.axi_uartlite; ENTITY system_axi_uartlite_0_0 IS PORT ( s_axi_aclk : IN STD_LOGIC; s_axi_aresetn : IN STD_LOGIC; interrupt : OUT STD_LOGIC; s_axi_awaddr : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awvalid : IN STD_LOGIC; s_axi_awready : OUT STD_LOGIC; s_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_wvalid : IN STD_LOGIC; s_axi_wready : OUT STD_LOGIC; s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_bvalid : OUT STD_LOGIC; s_axi_bready : IN STD_LOGIC; s_axi_araddr : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_arvalid : IN STD_LOGIC; s_axi_arready : OUT STD_LOGIC; s_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_rvalid : OUT STD_LOGIC; s_axi_rready : IN STD_LOGIC; rx : IN STD_LOGIC; tx : OUT STD_LOGIC ); END system_axi_uartlite_0_0; ARCHITECTURE system_axi_uartlite_0_0_arch OF system_axi_uartlite_0_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF system_axi_uartlite_0_0_arch: ARCHITECTURE IS "yes"; COMPONENT axi_uartlite IS GENERIC ( C_FAMILY : STRING; C_S_AXI_ACLK_FREQ_HZ : INTEGER; C_S_AXI_ADDR_WIDTH : INTEGER; C_S_AXI_DATA_WIDTH : INTEGER; C_BAUDRATE : INTEGER; C_DATA_BITS : INTEGER; C_USE_PARITY : INTEGER; C_ODD_PARITY : INTEGER ); PORT ( s_axi_aclk : IN STD_LOGIC; s_axi_aresetn : IN STD_LOGIC; interrupt : OUT STD_LOGIC; s_axi_awaddr : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awvalid : IN STD_LOGIC; s_axi_awready : OUT STD_LOGIC; s_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_wvalid : IN STD_LOGIC; s_axi_wready : OUT STD_LOGIC; s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_bvalid : OUT STD_LOGIC; s_axi_bready : IN STD_LOGIC; s_axi_araddr : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_arvalid : IN STD_LOGIC; s_axi_arready : OUT STD_LOGIC; s_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_rvalid : OUT STD_LOGIC; s_axi_rready : IN STD_LOGIC; rx : IN STD_LOGIC; tx : OUT STD_LOGIC ); END COMPONENT axi_uartlite; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF s_axi_aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 ACLK CLK"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 ARESETN RST"; ATTRIBUTE X_INTERFACE_INFO OF interrupt: SIGNAL IS "xilinx.com:signal:interrupt:1.0 INTERRUPT INTERRUPT"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_awaddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWADDR"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_awvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_awready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_wdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_wstrb: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WSTRB"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_wvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_wready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_bresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BRESP"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_bvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_bready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_araddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARADDR"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_arvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_arready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_rdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_rresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RRESP"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_rvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_rready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RREADY"; ATTRIBUTE X_INTERFACE_INFO OF rx: SIGNAL IS "xilinx.com:interface:uart:1.0 UART RxD"; ATTRIBUTE X_INTERFACE_INFO OF tx: SIGNAL IS "xilinx.com:interface:uart:1.0 UART TxD"; BEGIN U0 : axi_uartlite GENERIC MAP ( C_FAMILY => "artix7", C_S_AXI_ACLK_FREQ_HZ => 100000000, C_S_AXI_ADDR_WIDTH => 4, C_S_AXI_DATA_WIDTH => 32, C_BAUDRATE => 9600, C_DATA_BITS => 8, C_USE_PARITY => 0, C_ODD_PARITY => 0 ) PORT MAP ( s_axi_aclk => s_axi_aclk, s_axi_aresetn => s_axi_aresetn, interrupt => interrupt, s_axi_awaddr => s_axi_awaddr, s_axi_awvalid => s_axi_awvalid, s_axi_awready => s_axi_awready, s_axi_wdata => s_axi_wdata, s_axi_wstrb => s_axi_wstrb, s_axi_wvalid => s_axi_wvalid, s_axi_wready => s_axi_wready, s_axi_bresp => s_axi_bresp, s_axi_bvalid => s_axi_bvalid, s_axi_bready => s_axi_bready, s_axi_araddr => s_axi_araddr, s_axi_arvalid => s_axi_arvalid, s_axi_arready => s_axi_arready, s_axi_rdata => s_axi_rdata, s_axi_rresp => s_axi_rresp, s_axi_rvalid => s_axi_rvalid, s_axi_rready => s_axi_rready, rx => rx, tx => tx ); END system_axi_uartlite_0_0_arch;
apache-2.0
nishtahir/arty-blaze
src/bd/system/ip/system_axi_gpio_pullup_0/system_axi_gpio_pullup_0_stub.vhdl
1
2495
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2016.4 (win64) Build 1733598 Wed Dec 14 22:35:39 MST 2016 -- Date : Mon Feb 13 12:47:11 2017 -- Host : WK117 running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode synth_stub -- C:/Users/aholzer/Documents/new/Arty-BSD/src/bd/system/ip/system_axi_gpio_pullup_0/system_axi_gpio_pullup_0_stub.vhdl -- Design : system_axi_gpio_pullup_0 -- Purpose : Stub declaration of top-level module interface -- Device : xc7a35ticsg324-1L -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity system_axi_gpio_pullup_0 is Port ( s_axi_aclk : in STD_LOGIC; s_axi_aresetn : in STD_LOGIC; s_axi_awaddr : in STD_LOGIC_VECTOR ( 8 downto 0 ); s_axi_awvalid : in STD_LOGIC; s_axi_awready : out STD_LOGIC; s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_wvalid : in STD_LOGIC; s_axi_wready : out STD_LOGIC; s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_bvalid : out STD_LOGIC; s_axi_bready : in STD_LOGIC; s_axi_araddr : in STD_LOGIC_VECTOR ( 8 downto 0 ); s_axi_arvalid : in STD_LOGIC; s_axi_arready : out STD_LOGIC; s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_rvalid : out STD_LOGIC; s_axi_rready : in STD_LOGIC; gpio_io_i : in STD_LOGIC_VECTOR ( 1 downto 0 ); gpio_io_o : out STD_LOGIC_VECTOR ( 1 downto 0 ); gpio_io_t : out STD_LOGIC_VECTOR ( 1 downto 0 ) ); end system_axi_gpio_pullup_0; architecture stub of system_axi_gpio_pullup_0 is attribute syn_black_box : boolean; attribute black_box_pad_pin : string; attribute syn_black_box of stub : architecture is true; attribute black_box_pad_pin of stub : architecture is "s_axi_aclk,s_axi_aresetn,s_axi_awaddr[8:0],s_axi_awvalid,s_axi_awready,s_axi_wdata[31:0],s_axi_wstrb[3:0],s_axi_wvalid,s_axi_wready,s_axi_bresp[1:0],s_axi_bvalid,s_axi_bready,s_axi_araddr[8:0],s_axi_arvalid,s_axi_arready,s_axi_rdata[31:0],s_axi_rresp[1:0],s_axi_rvalid,s_axi_rready,gpio_io_i[1:0],gpio_io_o[1:0],gpio_io_t[1:0]"; attribute x_core_info : string; attribute x_core_info of stub : architecture is "axi_gpio,Vivado 2016.4"; begin end;
apache-2.0
nishtahir/arty-blaze
src/bd/system/ip/system_dlmb_v10_0/synth/system_dlmb_v10_0.vhd
1
9019
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:lmb_v10:3.0 -- IP Revision: 9 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY lmb_v10_v3_0_9; USE lmb_v10_v3_0_9.lmb_v10; ENTITY system_dlmb_v10_0 IS PORT ( LMB_Clk : IN STD_LOGIC; SYS_Rst : IN STD_LOGIC; LMB_Rst : OUT STD_LOGIC; M_ABus : IN STD_LOGIC_VECTOR(0 TO 31); M_ReadStrobe : IN STD_LOGIC; M_WriteStrobe : IN STD_LOGIC; M_AddrStrobe : IN STD_LOGIC; M_DBus : IN STD_LOGIC_VECTOR(0 TO 31); M_BE : IN STD_LOGIC_VECTOR(0 TO 3); Sl_DBus : IN STD_LOGIC_VECTOR(0 TO 31); Sl_Ready : IN STD_LOGIC_VECTOR(0 DOWNTO 0); Sl_Wait : IN STD_LOGIC_VECTOR(0 DOWNTO 0); Sl_UE : IN STD_LOGIC_VECTOR(0 DOWNTO 0); Sl_CE : IN STD_LOGIC_VECTOR(0 DOWNTO 0); LMB_ABus : OUT STD_LOGIC_VECTOR(0 TO 31); LMB_ReadStrobe : OUT STD_LOGIC; LMB_WriteStrobe : OUT STD_LOGIC; LMB_AddrStrobe : OUT STD_LOGIC; LMB_ReadDBus : OUT STD_LOGIC_VECTOR(0 TO 31); LMB_WriteDBus : OUT STD_LOGIC_VECTOR(0 TO 31); LMB_Ready : OUT STD_LOGIC; LMB_Wait : OUT STD_LOGIC; LMB_UE : OUT STD_LOGIC; LMB_CE : OUT STD_LOGIC; LMB_BE : OUT STD_LOGIC_VECTOR(0 TO 3) ); END system_dlmb_v10_0; ARCHITECTURE system_dlmb_v10_0_arch OF system_dlmb_v10_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF system_dlmb_v10_0_arch: ARCHITECTURE IS "yes"; COMPONENT lmb_v10 IS GENERIC ( C_LMB_NUM_SLAVES : INTEGER; C_LMB_DWIDTH : INTEGER; C_LMB_AWIDTH : INTEGER; C_EXT_RESET_HIGH : INTEGER ); PORT ( LMB_Clk : IN STD_LOGIC; SYS_Rst : IN STD_LOGIC; LMB_Rst : OUT STD_LOGIC; M_ABus : IN STD_LOGIC_VECTOR(0 TO 31); M_ReadStrobe : IN STD_LOGIC; M_WriteStrobe : IN STD_LOGIC; M_AddrStrobe : IN STD_LOGIC; M_DBus : IN STD_LOGIC_VECTOR(0 TO 31); M_BE : IN STD_LOGIC_VECTOR(0 TO 3); Sl_DBus : IN STD_LOGIC_VECTOR(0 TO 31); Sl_Ready : IN STD_LOGIC_VECTOR(0 DOWNTO 0); Sl_Wait : IN STD_LOGIC_VECTOR(0 DOWNTO 0); Sl_UE : IN STD_LOGIC_VECTOR(0 DOWNTO 0); Sl_CE : IN STD_LOGIC_VECTOR(0 DOWNTO 0); LMB_ABus : OUT STD_LOGIC_VECTOR(0 TO 31); LMB_ReadStrobe : OUT STD_LOGIC; LMB_WriteStrobe : OUT STD_LOGIC; LMB_AddrStrobe : OUT STD_LOGIC; LMB_ReadDBus : OUT STD_LOGIC_VECTOR(0 TO 31); LMB_WriteDBus : OUT STD_LOGIC_VECTOR(0 TO 31); LMB_Ready : OUT STD_LOGIC; LMB_Wait : OUT STD_LOGIC; LMB_UE : OUT STD_LOGIC; LMB_CE : OUT STD_LOGIC; LMB_BE : OUT STD_LOGIC_VECTOR(0 TO 3) ); END COMPONENT lmb_v10; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF system_dlmb_v10_0_arch: ARCHITECTURE IS "lmb_v10,Vivado 2016.4"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF system_dlmb_v10_0_arch : ARCHITECTURE IS "system_dlmb_v10_0,lmb_v10,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF system_dlmb_v10_0_arch: ARCHITECTURE IS "system_dlmb_v10_0,lmb_v10,{x_ipProduct=Vivado 2016.4,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=lmb_v10,x_ipVersion=3.0,x_ipCoreRevision=9,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED,C_LMB_NUM_SLAVES=1,C_LMB_DWIDTH=32,C_LMB_AWIDTH=32,C_EXT_RESET_HIGH=1}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF LMB_Clk: SIGNAL IS "xilinx.com:signal:clock:1.0 CLK.LMB_Clk CLK"; ATTRIBUTE X_INTERFACE_INFO OF SYS_Rst: SIGNAL IS "xilinx.com:signal:reset:1.0 RST.SYS_Rst RST"; ATTRIBUTE X_INTERFACE_INFO OF LMB_Rst: SIGNAL IS "xilinx.com:interface:lmb:1.0 LMB_Sl_0 RST, xilinx.com:interface:lmb:1.0 LMB_M RST"; ATTRIBUTE X_INTERFACE_INFO OF M_ABus: SIGNAL IS "xilinx.com:interface:lmb:1.0 LMB_M ABUS"; ATTRIBUTE X_INTERFACE_INFO OF M_ReadStrobe: SIGNAL IS "xilinx.com:interface:lmb:1.0 LMB_M READSTROBE"; ATTRIBUTE X_INTERFACE_INFO OF M_WriteStrobe: SIGNAL IS "xilinx.com:interface:lmb:1.0 LMB_M WRITESTROBE"; ATTRIBUTE X_INTERFACE_INFO OF M_AddrStrobe: SIGNAL IS "xilinx.com:interface:lmb:1.0 LMB_M ADDRSTROBE"; ATTRIBUTE X_INTERFACE_INFO OF M_DBus: SIGNAL IS "xilinx.com:interface:lmb:1.0 LMB_M WRITEDBUS"; ATTRIBUTE X_INTERFACE_INFO OF M_BE: SIGNAL IS "xilinx.com:interface:lmb:1.0 LMB_M BE"; ATTRIBUTE X_INTERFACE_INFO OF Sl_DBus: SIGNAL IS "xilinx.com:interface:lmb:1.0 LMB_Sl_0 READDBUS"; ATTRIBUTE X_INTERFACE_INFO OF Sl_Ready: SIGNAL IS "xilinx.com:interface:lmb:1.0 LMB_Sl_0 READY"; ATTRIBUTE X_INTERFACE_INFO OF Sl_Wait: SIGNAL IS "xilinx.com:interface:lmb:1.0 LMB_Sl_0 WAIT"; ATTRIBUTE X_INTERFACE_INFO OF Sl_UE: SIGNAL IS "xilinx.com:interface:lmb:1.0 LMB_Sl_0 UE"; ATTRIBUTE X_INTERFACE_INFO OF Sl_CE: SIGNAL IS "xilinx.com:interface:lmb:1.0 LMB_Sl_0 CE"; ATTRIBUTE X_INTERFACE_INFO OF LMB_ABus: SIGNAL IS "xilinx.com:interface:lmb:1.0 LMB_Sl_0 ABUS"; ATTRIBUTE X_INTERFACE_INFO OF LMB_ReadStrobe: SIGNAL IS "xilinx.com:interface:lmb:1.0 LMB_Sl_0 READSTROBE"; ATTRIBUTE X_INTERFACE_INFO OF LMB_WriteStrobe: SIGNAL IS "xilinx.com:interface:lmb:1.0 LMB_Sl_0 WRITESTROBE"; ATTRIBUTE X_INTERFACE_INFO OF LMB_AddrStrobe: SIGNAL IS "xilinx.com:interface:lmb:1.0 LMB_Sl_0 ADDRSTROBE"; ATTRIBUTE X_INTERFACE_INFO OF LMB_ReadDBus: SIGNAL IS "xilinx.com:interface:lmb:1.0 LMB_M READDBUS"; ATTRIBUTE X_INTERFACE_INFO OF LMB_WriteDBus: SIGNAL IS "xilinx.com:interface:lmb:1.0 LMB_Sl_0 WRITEDBUS"; ATTRIBUTE X_INTERFACE_INFO OF LMB_Ready: SIGNAL IS "xilinx.com:interface:lmb:1.0 LMB_M READY"; ATTRIBUTE X_INTERFACE_INFO OF LMB_Wait: SIGNAL IS "xilinx.com:interface:lmb:1.0 LMB_M WAIT"; ATTRIBUTE X_INTERFACE_INFO OF LMB_UE: SIGNAL IS "xilinx.com:interface:lmb:1.0 LMB_M UE"; ATTRIBUTE X_INTERFACE_INFO OF LMB_CE: SIGNAL IS "xilinx.com:interface:lmb:1.0 LMB_M CE"; ATTRIBUTE X_INTERFACE_INFO OF LMB_BE: SIGNAL IS "xilinx.com:interface:lmb:1.0 LMB_Sl_0 BE"; BEGIN U0 : lmb_v10 GENERIC MAP ( C_LMB_NUM_SLAVES => 1, C_LMB_DWIDTH => 32, C_LMB_AWIDTH => 32, C_EXT_RESET_HIGH => 1 ) PORT MAP ( LMB_Clk => LMB_Clk, SYS_Rst => SYS_Rst, LMB_Rst => LMB_Rst, M_ABus => M_ABus, M_ReadStrobe => M_ReadStrobe, M_WriteStrobe => M_WriteStrobe, M_AddrStrobe => M_AddrStrobe, M_DBus => M_DBus, M_BE => M_BE, Sl_DBus => Sl_DBus, Sl_Ready => Sl_Ready, Sl_Wait => Sl_Wait, Sl_UE => Sl_UE, Sl_CE => Sl_CE, LMB_ABus => LMB_ABus, LMB_ReadStrobe => LMB_ReadStrobe, LMB_WriteStrobe => LMB_WriteStrobe, LMB_AddrStrobe => LMB_AddrStrobe, LMB_ReadDBus => LMB_ReadDBus, LMB_WriteDBus => LMB_WriteDBus, LMB_Ready => LMB_Ready, LMB_Wait => LMB_Wait, LMB_UE => LMB_UE, LMB_CE => LMB_CE, LMB_BE => LMB_BE ); END system_dlmb_v10_0_arch;
apache-2.0
nishtahir/arty-blaze
src/bd/system/ipshared/162e/hdl/lmb_v10_v3_0_vh_rfs.vhd
1
9113
------------------------------------------------------------------------------- -- lmb_v10.vhd - Entity and architecture ------------------------------------------------------------------------------- -- -- (c) Copyright [2003] - [2011] Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES -- ------------------------------------------------------------------------------- -- Filename: lmb_v10.vhd -- -- Description: -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- lmb_v10.vhd -- ------------------------------------------------------------------------------- -- Author: rolandp -- -- History: -- goran 2002-01-30 First Version -- paulo 2002-04-10 Renamed C_NUM_SLAVES to C_LMB_NUM_SLAVES -- roland 2010-02-13 UE, CE and Wait signals added -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; entity lmb_v10 is generic ( C_LMB_NUM_SLAVES : integer := 4; C_LMB_DWIDTH : integer := 32; C_LMB_AWIDTH : integer := 32; C_EXT_RESET_HIGH : integer := 1 ); port ( -- Global Ports LMB_Clk : in std_logic; SYS_Rst : in std_logic; LMB_Rst : out std_logic; -- LMB master signals M_ABus : in std_logic_vector(0 to C_LMB_AWIDTH-1); M_ReadStrobe : in std_logic; M_WriteStrobe : in std_logic; M_AddrStrobe : in std_logic; M_DBus : in std_logic_vector(0 to C_LMB_DWIDTH-1); M_BE : in std_logic_vector(0 to (C_LMB_DWIDTH+7)/8-1); -- LMB slave signals Sl_DBus : in std_logic_vector(0 to (C_LMB_DWIDTH*C_LMB_NUM_SLAVES)-1); Sl_Ready : in std_logic_vector(0 to C_LMB_NUM_SLAVES-1); Sl_Wait : in std_logic_vector(0 to C_LMB_NUM_SLAVES-1); Sl_UE : in std_logic_vector(0 to C_LMB_NUM_SLAVES-1); Sl_CE : in std_logic_vector(0 to C_LMB_NUM_SLAVES-1); -- LMB output signals LMB_ABus : out std_logic_vector(0 to C_LMB_AWIDTH-1); LMB_ReadStrobe : out std_logic; LMB_WriteStrobe : out std_logic; LMB_AddrStrobe : out std_logic; LMB_ReadDBus : out std_logic_vector(0 to C_LMB_DWIDTH-1); LMB_WriteDBus : out std_logic_vector(0 to C_LMB_DWIDTH-1); LMB_Ready : out std_logic; LMB_Wait : out std_logic; LMB_UE : out std_logic; LMB_CE : out std_logic; LMB_BE : out std_logic_vector(0 to (C_LMB_DWIDTH+7)/8-1) ); end entity lmb_v10; library unisim; use unisim.all; architecture IMP of lmb_v10 is component FDS is port( Q : out std_logic; D : in std_logic; C : in std_logic; S : in std_logic); end component FDS; signal sys_rst_i : std_logic; begin -- architecture IMP ----------------------------------------------------------------------------- -- Driving the reset signal ----------------------------------------------------------------------------- SYS_RST_PROC : process (SYS_Rst) is variable sys_rst_input : std_logic; begin if C_EXT_RESET_HIGH = 0 then sys_rst_input := not SYS_Rst; else sys_rst_input := SYS_Rst; end if; sys_rst_i <= sys_rst_input; end process SYS_RST_PROC; POR_FF_I : FDS port map ( Q => LMB_Rst, D => '0', C => LMB_Clk, S => sys_rst_i); ----------------------------------------------------------------------------- -- Drive all Master to Slave signals ----------------------------------------------------------------------------- LMB_ABus <= M_ABus; LMB_ReadStrobe <= M_ReadStrobe; LMB_WriteStrobe <= M_WriteStrobe; LMB_AddrStrobe <= M_AddrStrobe; LMB_BE <= M_BE; LMB_WriteDBus <= M_DBus; ----------------------------------------------------------------------------- -- Drive all the Slave to Master signals ----------------------------------------------------------------------------- Ready_ORing : process (Sl_Ready) is variable i : std_logic; begin -- process Ready_ORing i := '0'; for S in Sl_Ready'range loop i := i or Sl_Ready(S); end loop; -- S LMB_Ready <= i; end process Ready_ORing; Wait_ORing : process (Sl_Wait) is variable i : std_logic; begin -- process Wait_ORing i := '0'; for S in Sl_Wait'range loop i := i or Sl_Wait(S); end loop; -- S LMB_Wait <= i; end process Wait_ORing; SI_UE_ORing : process (Sl_UE) is variable i : std_logic; begin -- process UE_ORing i := '0'; for S in Sl_UE'range loop i := i or Sl_UE(S); end loop; -- S LMB_UE <= i; end process SI_UE_ORing; SI_CE_ORing : process (Sl_CE) is variable i : std_logic; begin -- process CE_ORing i := '0'; for S in Sl_CE'range loop i := i or Sl_CE(S); end loop; -- S LMB_CE <= i; end process SI_CE_ORing; DBus_Oring : process (Sl_Ready, Sl_DBus) is variable Res : std_logic_vector(0 to C_LMB_DWIDTH-1); variable Tmp : std_logic_vector(Sl_DBus'range); variable tmp_or : std_logic; begin -- process DBus_Oring if (C_LMB_NUM_SLAVES = 1) then LMB_ReadDBus <= Sl_DBus; else -- First gating all data signals with their resp. ready signal for I in 0 to C_LMB_NUM_SLAVES-1 loop for J in 0 to C_LMB_DWIDTH-1 loop tmp(I*C_LMB_DWIDTH + J) := Sl_Ready(I) and Sl_DBus(I*C_LMB_DWIDTH + J); end loop; -- J end loop; -- I -- then oring the tmp signals together for J in 0 to C_LMB_DWIDTH-1 loop tmp_or := '0'; for I in 0 to C_LMB_NUM_SLAVES-1 loop tmp_or := tmp_or or tmp(I*C_LMB_DWIDTH + j); end loop; -- J res(J) := tmp_or; end loop; -- I LMB_ReadDBus <= Res; end if; end process DBus_Oring; end architecture IMP;
apache-2.0
nishtahir/arty-blaze
src/bd/system/ip/system_ilmb_v10_0/system_ilmb_v10_0_sim_netlist.vhdl
1
7332
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2016.4 (win64) Build 1733598 Wed Dec 14 22:35:39 MST 2016 -- Date : Mon Feb 13 12:45:00 2017 -- Host : WK117 running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode funcsim -- C:/Users/aholzer/Documents/new/Arty-BSD/src/bd/system/ip/system_ilmb_v10_0/system_ilmb_v10_0_sim_netlist.vhdl -- Design : system_ilmb_v10_0 -- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or -- synthesized. This netlist cannot be used for SDF annotated simulation. -- Device : xc7a35ticsg324-1L -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_ilmb_v10_0_lmb_v10 is port ( LMB_Clk : in STD_LOGIC; SYS_Rst : in STD_LOGIC; LMB_Rst : out STD_LOGIC; M_ABus : in STD_LOGIC_VECTOR ( 0 to 31 ); M_ReadStrobe : in STD_LOGIC; M_WriteStrobe : in STD_LOGIC; M_AddrStrobe : in STD_LOGIC; M_DBus : in STD_LOGIC_VECTOR ( 0 to 31 ); M_BE : in STD_LOGIC_VECTOR ( 0 to 3 ); Sl_DBus : in STD_LOGIC_VECTOR ( 0 to 31 ); Sl_Ready : in STD_LOGIC_VECTOR ( 0 to 0 ); Sl_Wait : in STD_LOGIC_VECTOR ( 0 to 0 ); Sl_UE : in STD_LOGIC_VECTOR ( 0 to 0 ); Sl_CE : in STD_LOGIC_VECTOR ( 0 to 0 ); LMB_ABus : out STD_LOGIC_VECTOR ( 0 to 31 ); LMB_ReadStrobe : out STD_LOGIC; LMB_WriteStrobe : out STD_LOGIC; LMB_AddrStrobe : out STD_LOGIC; LMB_ReadDBus : out STD_LOGIC_VECTOR ( 0 to 31 ); LMB_WriteDBus : out STD_LOGIC_VECTOR ( 0 to 31 ); LMB_Ready : out STD_LOGIC; LMB_Wait : out STD_LOGIC; LMB_UE : out STD_LOGIC; LMB_CE : out STD_LOGIC; LMB_BE : out STD_LOGIC_VECTOR ( 0 to 3 ) ); attribute C_EXT_RESET_HIGH : integer; attribute C_EXT_RESET_HIGH of system_ilmb_v10_0_lmb_v10 : entity is 1; attribute C_LMB_AWIDTH : integer; attribute C_LMB_AWIDTH of system_ilmb_v10_0_lmb_v10 : entity is 32; attribute C_LMB_DWIDTH : integer; attribute C_LMB_DWIDTH of system_ilmb_v10_0_lmb_v10 : entity is 32; attribute C_LMB_NUM_SLAVES : integer; attribute C_LMB_NUM_SLAVES of system_ilmb_v10_0_lmb_v10 : entity is 1; attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_ilmb_v10_0_lmb_v10 : entity is "lmb_v10"; end system_ilmb_v10_0_lmb_v10; architecture STRUCTURE of system_ilmb_v10_0_lmb_v10 is signal \^m_abus\ : STD_LOGIC_VECTOR ( 0 to 31 ); signal \^m_addrstrobe\ : STD_LOGIC; signal \^m_be\ : STD_LOGIC_VECTOR ( 0 to 3 ); signal \^m_dbus\ : STD_LOGIC_VECTOR ( 0 to 31 ); signal \^m_readstrobe\ : STD_LOGIC; signal \^m_writestrobe\ : STD_LOGIC; signal \^sl_ce\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \^sl_dbus\ : STD_LOGIC_VECTOR ( 0 to 31 ); signal \^sl_ready\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \^sl_ue\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \^sl_wait\ : STD_LOGIC_VECTOR ( 0 to 0 ); attribute BOX_TYPE : string; attribute BOX_TYPE of POR_FF_I : label is "PRIMITIVE"; attribute XILINX_LEGACY_PRIM : string; attribute XILINX_LEGACY_PRIM of POR_FF_I : label is "FDS"; begin LMB_ABus(0 to 31) <= \^m_abus\(0 to 31); LMB_AddrStrobe <= \^m_addrstrobe\; LMB_BE(0 to 3) <= \^m_be\(0 to 3); LMB_CE <= \^sl_ce\(0); LMB_ReadDBus(0 to 31) <= \^sl_dbus\(0 to 31); LMB_ReadStrobe <= \^m_readstrobe\; LMB_Ready <= \^sl_ready\(0); LMB_UE <= \^sl_ue\(0); LMB_Wait <= \^sl_wait\(0); LMB_WriteDBus(0 to 31) <= \^m_dbus\(0 to 31); LMB_WriteStrobe <= \^m_writestrobe\; \^m_abus\(0 to 31) <= M_ABus(0 to 31); \^m_addrstrobe\ <= M_AddrStrobe; \^m_be\(0 to 3) <= M_BE(0 to 3); \^m_dbus\(0 to 31) <= M_DBus(0 to 31); \^m_readstrobe\ <= M_ReadStrobe; \^m_writestrobe\ <= M_WriteStrobe; \^sl_ce\(0) <= Sl_CE(0); \^sl_dbus\(0 to 31) <= Sl_DBus(0 to 31); \^sl_ready\(0) <= Sl_Ready(0); \^sl_ue\(0) <= Sl_UE(0); \^sl_wait\(0) <= Sl_Wait(0); POR_FF_I: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => LMB_Clk, CE => '1', D => '0', Q => LMB_Rst, S => SYS_Rst ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_ilmb_v10_0 is port ( LMB_Clk : in STD_LOGIC; SYS_Rst : in STD_LOGIC; LMB_Rst : out STD_LOGIC; M_ABus : in STD_LOGIC_VECTOR ( 0 to 31 ); M_ReadStrobe : in STD_LOGIC; M_WriteStrobe : in STD_LOGIC; M_AddrStrobe : in STD_LOGIC; M_DBus : in STD_LOGIC_VECTOR ( 0 to 31 ); M_BE : in STD_LOGIC_VECTOR ( 0 to 3 ); Sl_DBus : in STD_LOGIC_VECTOR ( 0 to 31 ); Sl_Ready : in STD_LOGIC_VECTOR ( 0 to 0 ); Sl_Wait : in STD_LOGIC_VECTOR ( 0 to 0 ); Sl_UE : in STD_LOGIC_VECTOR ( 0 to 0 ); Sl_CE : in STD_LOGIC_VECTOR ( 0 to 0 ); LMB_ABus : out STD_LOGIC_VECTOR ( 0 to 31 ); LMB_ReadStrobe : out STD_LOGIC; LMB_WriteStrobe : out STD_LOGIC; LMB_AddrStrobe : out STD_LOGIC; LMB_ReadDBus : out STD_LOGIC_VECTOR ( 0 to 31 ); LMB_WriteDBus : out STD_LOGIC_VECTOR ( 0 to 31 ); LMB_Ready : out STD_LOGIC; LMB_Wait : out STD_LOGIC; LMB_UE : out STD_LOGIC; LMB_CE : out STD_LOGIC; LMB_BE : out STD_LOGIC_VECTOR ( 0 to 3 ) ); attribute NotValidForBitStream : boolean; attribute NotValidForBitStream of system_ilmb_v10_0 : entity is true; attribute CHECK_LICENSE_TYPE : string; attribute CHECK_LICENSE_TYPE of system_ilmb_v10_0 : entity is "system_ilmb_v10_0,lmb_v10,{}"; attribute downgradeipidentifiedwarnings : string; attribute downgradeipidentifiedwarnings of system_ilmb_v10_0 : entity is "yes"; attribute x_core_info : string; attribute x_core_info of system_ilmb_v10_0 : entity is "lmb_v10,Vivado 2016.4"; end system_ilmb_v10_0; architecture STRUCTURE of system_ilmb_v10_0 is attribute C_EXT_RESET_HIGH : integer; attribute C_EXT_RESET_HIGH of U0 : label is 1; attribute C_LMB_AWIDTH : integer; attribute C_LMB_AWIDTH of U0 : label is 32; attribute C_LMB_DWIDTH : integer; attribute C_LMB_DWIDTH of U0 : label is 32; attribute C_LMB_NUM_SLAVES : integer; attribute C_LMB_NUM_SLAVES of U0 : label is 1; begin U0: entity work.system_ilmb_v10_0_lmb_v10 port map ( LMB_ABus(0 to 31) => LMB_ABus(0 to 31), LMB_AddrStrobe => LMB_AddrStrobe, LMB_BE(0 to 3) => LMB_BE(0 to 3), LMB_CE => LMB_CE, LMB_Clk => LMB_Clk, LMB_ReadDBus(0 to 31) => LMB_ReadDBus(0 to 31), LMB_ReadStrobe => LMB_ReadStrobe, LMB_Ready => LMB_Ready, LMB_Rst => LMB_Rst, LMB_UE => LMB_UE, LMB_Wait => LMB_Wait, LMB_WriteDBus(0 to 31) => LMB_WriteDBus(0 to 31), LMB_WriteStrobe => LMB_WriteStrobe, M_ABus(0 to 31) => M_ABus(0 to 31), M_AddrStrobe => M_AddrStrobe, M_BE(0 to 3) => M_BE(0 to 3), M_DBus(0 to 31) => M_DBus(0 to 31), M_ReadStrobe => M_ReadStrobe, M_WriteStrobe => M_WriteStrobe, SYS_Rst => SYS_Rst, Sl_CE(0) => Sl_CE(0), Sl_DBus(0 to 31) => Sl_DBus(0 to 31), Sl_Ready(0) => Sl_Ready(0), Sl_UE(0) => Sl_UE(0), Sl_Wait(0) => Sl_Wait(0) ); end STRUCTURE;
apache-2.0
nishtahir/arty-blaze
src/bd/system/ip/system_axi_gpio_pullup_0/synth/system_axi_gpio_pullup_0.vhd
1
10051
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:axi_gpio:2.0 -- IP Revision: 13 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY axi_gpio_v2_0_13; USE axi_gpio_v2_0_13.axi_gpio; ENTITY system_axi_gpio_pullup_0 IS PORT ( s_axi_aclk : IN STD_LOGIC; s_axi_aresetn : IN STD_LOGIC; s_axi_awaddr : IN STD_LOGIC_VECTOR(8 DOWNTO 0); s_axi_awvalid : IN STD_LOGIC; s_axi_awready : OUT STD_LOGIC; s_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_wvalid : IN STD_LOGIC; s_axi_wready : OUT STD_LOGIC; s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_bvalid : OUT STD_LOGIC; s_axi_bready : IN STD_LOGIC; s_axi_araddr : IN STD_LOGIC_VECTOR(8 DOWNTO 0); s_axi_arvalid : IN STD_LOGIC; s_axi_arready : OUT STD_LOGIC; s_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_rvalid : OUT STD_LOGIC; s_axi_rready : IN STD_LOGIC; gpio_io_i : IN STD_LOGIC_VECTOR(1 DOWNTO 0); gpio_io_o : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); gpio_io_t : OUT STD_LOGIC_VECTOR(1 DOWNTO 0) ); END system_axi_gpio_pullup_0; ARCHITECTURE system_axi_gpio_pullup_0_arch OF system_axi_gpio_pullup_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF system_axi_gpio_pullup_0_arch: ARCHITECTURE IS "yes"; COMPONENT axi_gpio IS GENERIC ( C_FAMILY : STRING; C_S_AXI_ADDR_WIDTH : INTEGER; C_S_AXI_DATA_WIDTH : INTEGER; C_GPIO_WIDTH : INTEGER; C_GPIO2_WIDTH : INTEGER; C_ALL_INPUTS : INTEGER; C_ALL_INPUTS_2 : INTEGER; C_ALL_OUTPUTS : INTEGER; C_ALL_OUTPUTS_2 : INTEGER; C_INTERRUPT_PRESENT : INTEGER; C_DOUT_DEFAULT : STD_LOGIC_VECTOR(31 DOWNTO 0); C_TRI_DEFAULT : STD_LOGIC_VECTOR(31 DOWNTO 0); C_IS_DUAL : INTEGER; C_DOUT_DEFAULT_2 : STD_LOGIC_VECTOR(31 DOWNTO 0); C_TRI_DEFAULT_2 : STD_LOGIC_VECTOR(31 DOWNTO 0) ); PORT ( s_axi_aclk : IN STD_LOGIC; s_axi_aresetn : IN STD_LOGIC; s_axi_awaddr : IN STD_LOGIC_VECTOR(8 DOWNTO 0); s_axi_awvalid : IN STD_LOGIC; s_axi_awready : OUT STD_LOGIC; s_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_wvalid : IN STD_LOGIC; s_axi_wready : OUT STD_LOGIC; s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_bvalid : OUT STD_LOGIC; s_axi_bready : IN STD_LOGIC; s_axi_araddr : IN STD_LOGIC_VECTOR(8 DOWNTO 0); s_axi_arvalid : IN STD_LOGIC; s_axi_arready : OUT STD_LOGIC; s_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_rvalid : OUT STD_LOGIC; s_axi_rready : IN STD_LOGIC; ip2intc_irpt : OUT STD_LOGIC; gpio_io_i : IN STD_LOGIC_VECTOR(1 DOWNTO 0); gpio_io_o : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); gpio_io_t : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); gpio2_io_i : IN STD_LOGIC_VECTOR(31 DOWNTO 0); gpio2_io_o : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); gpio2_io_t : OUT STD_LOGIC_VECTOR(31 DOWNTO 0) ); END COMPONENT axi_gpio; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF system_axi_gpio_pullup_0_arch: ARCHITECTURE IS "axi_gpio,Vivado 2016.4"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF system_axi_gpio_pullup_0_arch : ARCHITECTURE IS "system_axi_gpio_pullup_0,axi_gpio,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF system_axi_gpio_pullup_0_arch: ARCHITECTURE IS "system_axi_gpio_pullup_0,axi_gpio,{x_ipProduct=Vivado 2016.4,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=axi_gpio,x_ipVersion=2.0,x_ipCoreRevision=13,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED,C_FAMILY=artix7,C_S_AXI_ADDR_WIDTH=9,C_S_AXI_DATA_WIDTH=32,C_GPIO_WIDTH=2,C_GPIO2_WIDTH=32,C_ALL_INPUTS=0,C_ALL_INPUTS_2=0,C_ALL_OUTPUTS=0,C_ALL_OUTPUTS_2=0,C_INTERRUPT_PRESENT=0,C_DOUT_DEFAULT=0x00000000,C_TRI_DEFAULT=0xFFFFFFFF,C_IS_DUAL=0,C_DOUT_DEFAULT_2=0x00000000,C_TRI_DEFAULT_2=0xFFFFFFFF}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF s_axi_aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 S_AXI_ACLK CLK"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 S_AXI_ARESETN RST"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_awaddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWADDR"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_awvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_awready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_wdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_wstrb: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WSTRB"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_wvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_wready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_bresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BRESP"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_bvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_bready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_araddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARADDR"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_arvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_arready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_rdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_rresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RRESP"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_rvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_rready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RREADY"; ATTRIBUTE X_INTERFACE_INFO OF gpio_io_i: SIGNAL IS "xilinx.com:interface:gpio:1.0 GPIO TRI_I"; ATTRIBUTE X_INTERFACE_INFO OF gpio_io_o: SIGNAL IS "xilinx.com:interface:gpio:1.0 GPIO TRI_O"; ATTRIBUTE X_INTERFACE_INFO OF gpio_io_t: SIGNAL IS "xilinx.com:interface:gpio:1.0 GPIO TRI_T"; BEGIN U0 : axi_gpio GENERIC MAP ( C_FAMILY => "artix7", C_S_AXI_ADDR_WIDTH => 9, C_S_AXI_DATA_WIDTH => 32, C_GPIO_WIDTH => 2, C_GPIO2_WIDTH => 32, C_ALL_INPUTS => 0, C_ALL_INPUTS_2 => 0, C_ALL_OUTPUTS => 0, C_ALL_OUTPUTS_2 => 0, C_INTERRUPT_PRESENT => 0, C_DOUT_DEFAULT => X"00000000", C_TRI_DEFAULT => X"FFFFFFFF", C_IS_DUAL => 0, C_DOUT_DEFAULT_2 => X"00000000", C_TRI_DEFAULT_2 => X"FFFFFFFF" ) PORT MAP ( s_axi_aclk => s_axi_aclk, s_axi_aresetn => s_axi_aresetn, s_axi_awaddr => s_axi_awaddr, s_axi_awvalid => s_axi_awvalid, s_axi_awready => s_axi_awready, s_axi_wdata => s_axi_wdata, s_axi_wstrb => s_axi_wstrb, s_axi_wvalid => s_axi_wvalid, s_axi_wready => s_axi_wready, s_axi_bresp => s_axi_bresp, s_axi_bvalid => s_axi_bvalid, s_axi_bready => s_axi_bready, s_axi_araddr => s_axi_araddr, s_axi_arvalid => s_axi_arvalid, s_axi_arready => s_axi_arready, s_axi_rdata => s_axi_rdata, s_axi_rresp => s_axi_rresp, s_axi_rvalid => s_axi_rvalid, s_axi_rready => s_axi_rready, gpio_io_i => gpio_io_i, gpio_io_o => gpio_io_o, gpio_io_t => gpio_io_t, gpio2_io_i => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)) ); END system_axi_gpio_pullup_0_arch;
apache-2.0
nishtahir/arty-blaze
src/bd/system/ip/system_axi_quad_spi_shield_0/sim/system_axi_quad_spi_shield_0.vhd
1
15796
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:axi_quad_spi:3.2 -- IP Revision: 10 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY axi_quad_spi_v3_2_10; USE axi_quad_spi_v3_2_10.axi_quad_spi; ENTITY system_axi_quad_spi_shield_0 IS PORT ( ext_spi_clk : IN STD_LOGIC; s_axi_aclk : IN STD_LOGIC; s_axi_aresetn : IN STD_LOGIC; s_axi_awaddr : IN STD_LOGIC_VECTOR(6 DOWNTO 0); s_axi_awvalid : IN STD_LOGIC; s_axi_awready : OUT STD_LOGIC; s_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_wvalid : IN STD_LOGIC; s_axi_wready : OUT STD_LOGIC; s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_bvalid : OUT STD_LOGIC; s_axi_bready : IN STD_LOGIC; s_axi_araddr : IN STD_LOGIC_VECTOR(6 DOWNTO 0); s_axi_arvalid : IN STD_LOGIC; s_axi_arready : OUT STD_LOGIC; s_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_rvalid : OUT STD_LOGIC; s_axi_rready : IN STD_LOGIC; io0_i : IN STD_LOGIC; io0_o : OUT STD_LOGIC; io0_t : OUT STD_LOGIC; io1_i : IN STD_LOGIC; io1_o : OUT STD_LOGIC; io1_t : OUT STD_LOGIC; sck_i : IN STD_LOGIC; sck_o : OUT STD_LOGIC; sck_t : OUT STD_LOGIC; ss_i : IN STD_LOGIC_VECTOR(0 DOWNTO 0); ss_o : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); ss_t : OUT STD_LOGIC; ip2intc_irpt : OUT STD_LOGIC ); END system_axi_quad_spi_shield_0; ARCHITECTURE system_axi_quad_spi_shield_0_arch OF system_axi_quad_spi_shield_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF system_axi_quad_spi_shield_0_arch: ARCHITECTURE IS "yes"; COMPONENT axi_quad_spi IS GENERIC ( Async_Clk : INTEGER; C_FAMILY : STRING; C_SELECT_XPM : INTEGER; C_SUB_FAMILY : STRING; C_INSTANCE : STRING; C_SPI_MEM_ADDR_BITS : INTEGER; C_TYPE_OF_AXI4_INTERFACE : INTEGER; C_XIP_MODE : INTEGER; C_UC_FAMILY : INTEGER; C_FIFO_DEPTH : INTEGER; C_SCK_RATIO : INTEGER; C_DUAL_QUAD_MODE : INTEGER; C_NUM_SS_BITS : INTEGER; C_NUM_TRANSFER_BITS : INTEGER; C_SPI_MODE : INTEGER; C_USE_STARTUP : INTEGER; C_USE_STARTUP_EXT : INTEGER; C_SPI_MEMORY : INTEGER; C_S_AXI_ADDR_WIDTH : INTEGER; C_S_AXI_DATA_WIDTH : INTEGER; C_S_AXI4_ADDR_WIDTH : INTEGER; C_S_AXI4_DATA_WIDTH : INTEGER; C_S_AXI4_ID_WIDTH : INTEGER; C_SHARED_STARTUP : INTEGER; C_S_AXI4_BASEADDR : STD_LOGIC_VECTOR; C_S_AXI4_HIGHADDR : STD_LOGIC_VECTOR; C_LSB_STUP : INTEGER ); PORT ( ext_spi_clk : IN STD_LOGIC; s_axi_aclk : IN STD_LOGIC; s_axi_aresetn : IN STD_LOGIC; s_axi4_aclk : IN STD_LOGIC; s_axi4_aresetn : IN STD_LOGIC; s_axi_awaddr : IN STD_LOGIC_VECTOR(6 DOWNTO 0); s_axi_awvalid : IN STD_LOGIC; s_axi_awready : OUT STD_LOGIC; s_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_wvalid : IN STD_LOGIC; s_axi_wready : OUT STD_LOGIC; s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_bvalid : OUT STD_LOGIC; s_axi_bready : IN STD_LOGIC; s_axi_araddr : IN STD_LOGIC_VECTOR(6 DOWNTO 0); s_axi_arvalid : IN STD_LOGIC; s_axi_arready : OUT STD_LOGIC; s_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_rvalid : OUT STD_LOGIC; s_axi_rready : IN STD_LOGIC; s_axi4_awid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi4_awaddr : IN STD_LOGIC_VECTOR(23 DOWNTO 0); s_axi4_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi4_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi4_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi4_awlock : IN STD_LOGIC; s_axi4_awcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi4_awprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi4_awvalid : IN STD_LOGIC; s_axi4_awready : OUT STD_LOGIC; s_axi4_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi4_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi4_wlast : IN STD_LOGIC; s_axi4_wvalid : IN STD_LOGIC; s_axi4_wready : OUT STD_LOGIC; s_axi4_bid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi4_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi4_bvalid : OUT STD_LOGIC; s_axi4_bready : IN STD_LOGIC; s_axi4_arid : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi4_araddr : IN STD_LOGIC_VECTOR(23 DOWNTO 0); s_axi4_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi4_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi4_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi4_arlock : IN STD_LOGIC; s_axi4_arcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi4_arprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi4_arvalid : IN STD_LOGIC; s_axi4_arready : OUT STD_LOGIC; s_axi4_rid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); s_axi4_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi4_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi4_rlast : OUT STD_LOGIC; s_axi4_rvalid : OUT STD_LOGIC; s_axi4_rready : IN STD_LOGIC; io0_i : IN STD_LOGIC; io0_o : OUT STD_LOGIC; io0_t : OUT STD_LOGIC; io1_i : IN STD_LOGIC; io1_o : OUT STD_LOGIC; io1_t : OUT STD_LOGIC; io2_i : IN STD_LOGIC; io2_o : OUT STD_LOGIC; io2_t : OUT STD_LOGIC; io3_i : IN STD_LOGIC; io3_o : OUT STD_LOGIC; io3_t : OUT STD_LOGIC; io0_1_i : IN STD_LOGIC; io0_1_o : OUT STD_LOGIC; io0_1_t : OUT STD_LOGIC; io1_1_i : IN STD_LOGIC; io1_1_o : OUT STD_LOGIC; io1_1_t : OUT STD_LOGIC; io2_1_i : IN STD_LOGIC; io2_1_o : OUT STD_LOGIC; io2_1_t : OUT STD_LOGIC; io3_1_i : IN STD_LOGIC; io3_1_o : OUT STD_LOGIC; io3_1_t : OUT STD_LOGIC; spisel : IN STD_LOGIC; sck_i : IN STD_LOGIC; sck_o : OUT STD_LOGIC; sck_t : OUT STD_LOGIC; ss_i : IN STD_LOGIC_VECTOR(0 DOWNTO 0); ss_o : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); ss_t : OUT STD_LOGIC; ss_1_i : IN STD_LOGIC; ss_1_o : OUT STD_LOGIC; ss_1_t : OUT STD_LOGIC; cfgclk : OUT STD_LOGIC; cfgmclk : OUT STD_LOGIC; eos : OUT STD_LOGIC; preq : OUT STD_LOGIC; clk : IN STD_LOGIC; gsr : IN STD_LOGIC; gts : IN STD_LOGIC; keyclearb : IN STD_LOGIC; usrcclkts : IN STD_LOGIC; usrdoneo : IN STD_LOGIC; usrdonets : IN STD_LOGIC; pack : IN STD_LOGIC; ip2intc_irpt : OUT STD_LOGIC ); END COMPONENT axi_quad_spi; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF ext_spi_clk: SIGNAL IS "xilinx.com:signal:clock:1.0 spi_clk CLK"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 lite_clk CLK"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 lite_reset RST"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_awaddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 AXI_LITE AWADDR"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_awvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 AXI_LITE AWVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_awready: SIGNAL IS "xilinx.com:interface:aximm:1.0 AXI_LITE AWREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_wdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 AXI_LITE WDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_wstrb: SIGNAL IS "xilinx.com:interface:aximm:1.0 AXI_LITE WSTRB"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_wvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 AXI_LITE WVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_wready: SIGNAL IS "xilinx.com:interface:aximm:1.0 AXI_LITE WREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_bresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 AXI_LITE BRESP"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_bvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 AXI_LITE BVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_bready: SIGNAL IS "xilinx.com:interface:aximm:1.0 AXI_LITE BREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_araddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 AXI_LITE ARADDR"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_arvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 AXI_LITE ARVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_arready: SIGNAL IS "xilinx.com:interface:aximm:1.0 AXI_LITE ARREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_rdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 AXI_LITE RDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_rresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 AXI_LITE RRESP"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_rvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 AXI_LITE RVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_rready: SIGNAL IS "xilinx.com:interface:aximm:1.0 AXI_LITE RREADY"; ATTRIBUTE X_INTERFACE_INFO OF io0_i: SIGNAL IS "xilinx.com:interface:spi:1.0 SPI_0 IO0_I"; ATTRIBUTE X_INTERFACE_INFO OF io0_o: SIGNAL IS "xilinx.com:interface:spi:1.0 SPI_0 IO0_O"; ATTRIBUTE X_INTERFACE_INFO OF io0_t: SIGNAL IS "xilinx.com:interface:spi:1.0 SPI_0 IO0_T"; ATTRIBUTE X_INTERFACE_INFO OF io1_i: SIGNAL IS "xilinx.com:interface:spi:1.0 SPI_0 IO1_I"; ATTRIBUTE X_INTERFACE_INFO OF io1_o: SIGNAL IS "xilinx.com:interface:spi:1.0 SPI_0 IO1_O"; ATTRIBUTE X_INTERFACE_INFO OF io1_t: SIGNAL IS "xilinx.com:interface:spi:1.0 SPI_0 IO1_T"; ATTRIBUTE X_INTERFACE_INFO OF sck_i: SIGNAL IS "xilinx.com:interface:spi:1.0 SPI_0 SCK_I"; ATTRIBUTE X_INTERFACE_INFO OF sck_o: SIGNAL IS "xilinx.com:interface:spi:1.0 SPI_0 SCK_O"; ATTRIBUTE X_INTERFACE_INFO OF sck_t: SIGNAL IS "xilinx.com:interface:spi:1.0 SPI_0 SCK_T"; ATTRIBUTE X_INTERFACE_INFO OF ss_i: SIGNAL IS "xilinx.com:interface:spi:1.0 SPI_0 SS_I"; ATTRIBUTE X_INTERFACE_INFO OF ss_o: SIGNAL IS "xilinx.com:interface:spi:1.0 SPI_0 SS_O"; ATTRIBUTE X_INTERFACE_INFO OF ss_t: SIGNAL IS "xilinx.com:interface:spi:1.0 SPI_0 SS_T"; ATTRIBUTE X_INTERFACE_INFO OF ip2intc_irpt: SIGNAL IS "xilinx.com:signal:interrupt:1.0 interrupt INTERRUPT"; BEGIN U0 : axi_quad_spi GENERIC MAP ( Async_Clk => 0, C_FAMILY => "artix7", C_SELECT_XPM => 0, C_SUB_FAMILY => "artix7", C_INSTANCE => "axi_quad_spi_inst", C_SPI_MEM_ADDR_BITS => 24, C_TYPE_OF_AXI4_INTERFACE => 0, C_XIP_MODE => 0, C_UC_FAMILY => 0, C_FIFO_DEPTH => 16, C_SCK_RATIO => 16, C_DUAL_QUAD_MODE => 0, C_NUM_SS_BITS => 1, C_NUM_TRANSFER_BITS => 8, C_SPI_MODE => 0, C_USE_STARTUP => 0, C_USE_STARTUP_EXT => 0, C_SPI_MEMORY => 1, C_S_AXI_ADDR_WIDTH => 7, C_S_AXI_DATA_WIDTH => 32, C_S_AXI4_ADDR_WIDTH => 24, C_S_AXI4_DATA_WIDTH => 32, C_S_AXI4_ID_WIDTH => 1, C_SHARED_STARTUP => 0, C_S_AXI4_BASEADDR => X"FFFFFFFF", C_S_AXI4_HIGHADDR => X"00000000", C_LSB_STUP => 0 ) PORT MAP ( ext_spi_clk => ext_spi_clk, s_axi_aclk => s_axi_aclk, s_axi_aresetn => s_axi_aresetn, s_axi4_aclk => '0', s_axi4_aresetn => '0', s_axi_awaddr => s_axi_awaddr, s_axi_awvalid => s_axi_awvalid, s_axi_awready => s_axi_awready, s_axi_wdata => s_axi_wdata, s_axi_wstrb => s_axi_wstrb, s_axi_wvalid => s_axi_wvalid, s_axi_wready => s_axi_wready, s_axi_bresp => s_axi_bresp, s_axi_bvalid => s_axi_bvalid, s_axi_bready => s_axi_bready, s_axi_araddr => s_axi_araddr, s_axi_arvalid => s_axi_arvalid, s_axi_arready => s_axi_arready, s_axi_rdata => s_axi_rdata, s_axi_rresp => s_axi_rresp, s_axi_rvalid => s_axi_rvalid, s_axi_rready => s_axi_rready, s_axi4_awid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi4_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 24)), s_axi4_awlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi4_awsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi4_awburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), s_axi4_awlock => '0', s_axi4_awcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi4_awprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi4_awvalid => '0', s_axi4_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axi4_wstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi4_wlast => '0', s_axi4_wvalid => '0', s_axi4_bready => '0', s_axi4_arid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axi4_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 24)), s_axi4_arlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)), s_axi4_arsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi4_arburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), s_axi4_arlock => '0', s_axi4_arcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi4_arprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)), s_axi4_arvalid => '0', s_axi4_rready => '0', io0_i => io0_i, io0_o => io0_o, io0_t => io0_t, io1_i => io1_i, io1_o => io1_o, io1_t => io1_t, io2_i => '0', io3_i => '0', io0_1_i => '0', io1_1_i => '0', io2_1_i => '0', io3_1_i => '0', spisel => '1', sck_i => sck_i, sck_o => sck_o, sck_t => sck_t, ss_i => ss_i, ss_o => ss_o, ss_t => ss_t, ss_1_i => '0', clk => '0', gsr => '0', gts => '0', keyclearb => '0', usrcclkts => '0', usrdoneo => '1', usrdonets => '0', pack => '0', ip2intc_irpt => ip2intc_irpt ); END system_axi_quad_spi_shield_0_arch;
apache-2.0
nishtahir/arty-blaze
src/bd/system/ip/system_axi_gpio_led_0/system_axi_gpio_led_0_sim_netlist.vhdl
1
136743
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2016.4 (win64) Build 1733598 Wed Dec 14 22:35:39 MST 2016 -- Date : Mon Feb 13 12:47:50 2017 -- Host : WK117 running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode funcsim -- C:/Users/aholzer/Documents/new/Arty-BSD/src/bd/system/ip/system_axi_gpio_led_0/system_axi_gpio_led_0_sim_netlist.vhdl -- Design : system_axi_gpio_led_0 -- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or -- synthesized. This netlist cannot be used for SDF annotated simulation. -- Device : xc7a35ticsg324-1L -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_axi_gpio_led_0_address_decoder is port ( \MEM_DECODE_GEN[0].cs_out_i_reg[0]_0\ : out STD_LOGIC; s_axi_arready : out STD_LOGIC; s_axi_wready : out STD_LOGIC; D : out STD_LOGIC_VECTOR ( 11 downto 0 ); \ip2bus_data_i_D1_reg[20]\ : out STD_LOGIC_VECTOR ( 11 downto 0 ); Read_Reg_In : out STD_LOGIC_VECTOR ( 0 to 3 ); E : out STD_LOGIC_VECTOR ( 0 to 0 ); \Dual.gpio_Data_Out_reg[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); Read_Reg2_In : out STD_LOGIC_VECTOR ( 0 to 11 ); \Dual.gpio2_OE_reg[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \Dual.gpio2_Data_Out_reg[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); Read_Reg_Rst : out STD_LOGIC; s_axi_aclk : in STD_LOGIC; Q : in STD_LOGIC_VECTOR ( 3 downto 0 ); is_read : in STD_LOGIC; ip2bus_rdack_i_D1 : in STD_LOGIC; is_write_reg : in STD_LOGIC; ip2bus_wrack_i_D1 : in STD_LOGIC; \bus2ip_addr_i_reg[8]\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_wdata : in STD_LOGIC_VECTOR ( 11 downto 0 ); GPIO2_DBus_i : in STD_LOGIC_VECTOR ( 11 downto 0 ); bus2ip_rnw_i_reg : in STD_LOGIC; GPIO_DBus_i : in STD_LOGIC_VECTOR ( 3 downto 0 ); gpio_io_t : in STD_LOGIC_VECTOR ( 3 downto 0 ); \Dual.gpio_Data_In_reg[0]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); rst_reg : in STD_LOGIC; gpio2_io_t : in STD_LOGIC_VECTOR ( 11 downto 0 ); \Dual.gpio2_Data_In_reg[0]\ : in STD_LOGIC_VECTOR ( 11 downto 0 ); gpio_xferAck_Reg : in STD_LOGIC; GPIO_xferAck_i : in STD_LOGIC; start2 : in STD_LOGIC; s_axi_aresetn : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_axi_gpio_led_0_address_decoder : entity is "address_decoder"; end system_axi_gpio_led_0_address_decoder; architecture STRUCTURE of system_axi_gpio_led_0_address_decoder is signal \MEM_DECODE_GEN[0].cs_out_i[0]_i_1_n_0\ : STD_LOGIC; signal \^mem_decode_gen[0].cs_out_i_reg[0]_0\ : STD_LOGIC; signal \^s_axi_arready\ : STD_LOGIC; signal \^s_axi_wready\ : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \Dual.gpio2_Data_Out[10]_i_1\ : label is "soft_lutpair2"; attribute SOFT_HLUTNM of \Dual.gpio2_Data_Out[11]_i_1\ : label is "soft_lutpair3"; attribute SOFT_HLUTNM of \Dual.gpio2_Data_Out[4]_i_1\ : label is "soft_lutpair5"; attribute SOFT_HLUTNM of \Dual.gpio2_Data_Out[5]_i_1\ : label is "soft_lutpair4"; attribute SOFT_HLUTNM of \Dual.gpio2_Data_Out[6]_i_1\ : label is "soft_lutpair5"; attribute SOFT_HLUTNM of \Dual.gpio2_Data_Out[7]_i_1\ : label is "soft_lutpair4"; attribute SOFT_HLUTNM of \Dual.gpio2_Data_Out[8]_i_1\ : label is "soft_lutpair0"; attribute SOFT_HLUTNM of \Dual.gpio2_Data_Out[9]_i_1\ : label is "soft_lutpair1"; attribute SOFT_HLUTNM of \Dual.gpio_Data_Out[0]_i_2\ : label is "soft_lutpair0"; attribute SOFT_HLUTNM of \Dual.gpio_Data_Out[1]_i_1\ : label is "soft_lutpair1"; attribute SOFT_HLUTNM of \Dual.gpio_Data_Out[2]_i_1\ : label is "soft_lutpair2"; attribute SOFT_HLUTNM of \Dual.gpio_Data_Out[3]_i_1\ : label is "soft_lutpair3"; begin \MEM_DECODE_GEN[0].cs_out_i_reg[0]_0\ <= \^mem_decode_gen[0].cs_out_i_reg[0]_0\; s_axi_arready <= \^s_axi_arready\; s_axi_wready <= \^s_axi_wready\; \Dual.READ_REG2_GEN[0].GPIO2_DBus_i[20]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0A0000000C000000" ) port map ( I0 => gpio2_io_t(11), I1 => \Dual.gpio2_Data_In_reg[0]\(11), I2 => \bus2ip_addr_i_reg[8]\(2), I3 => \^mem_decode_gen[0].cs_out_i_reg[0]_0\, I4 => \bus2ip_addr_i_reg[8]\(1), I5 => \bus2ip_addr_i_reg[8]\(0), O => Read_Reg2_In(0) ); \Dual.READ_REG2_GEN[10].GPIO2_DBus_i[30]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0A0000000C000000" ) port map ( I0 => gpio2_io_t(1), I1 => \Dual.gpio2_Data_In_reg[0]\(1), I2 => \bus2ip_addr_i_reg[8]\(2), I3 => \^mem_decode_gen[0].cs_out_i_reg[0]_0\, I4 => \bus2ip_addr_i_reg[8]\(1), I5 => \bus2ip_addr_i_reg[8]\(0), O => Read_Reg2_In(10) ); \Dual.READ_REG2_GEN[11].GPIO2_DBus_i[31]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"FFDF" ) port map ( I0 => \^mem_decode_gen[0].cs_out_i_reg[0]_0\, I1 => gpio_xferAck_Reg, I2 => bus2ip_rnw_i_reg, I3 => GPIO_xferAck_i, O => Read_Reg_Rst ); \Dual.READ_REG2_GEN[11].GPIO2_DBus_i[31]_i_2\: unisim.vcomponents.LUT6 generic map( INIT => X"0A0000000C000000" ) port map ( I0 => gpio2_io_t(0), I1 => \Dual.gpio2_Data_In_reg[0]\(0), I2 => \bus2ip_addr_i_reg[8]\(2), I3 => \^mem_decode_gen[0].cs_out_i_reg[0]_0\, I4 => \bus2ip_addr_i_reg[8]\(1), I5 => \bus2ip_addr_i_reg[8]\(0), O => Read_Reg2_In(11) ); \Dual.READ_REG2_GEN[1].GPIO2_DBus_i[21]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0A0000000C000000" ) port map ( I0 => gpio2_io_t(10), I1 => \Dual.gpio2_Data_In_reg[0]\(10), I2 => \bus2ip_addr_i_reg[8]\(2), I3 => \^mem_decode_gen[0].cs_out_i_reg[0]_0\, I4 => \bus2ip_addr_i_reg[8]\(1), I5 => \bus2ip_addr_i_reg[8]\(0), O => Read_Reg2_In(1) ); \Dual.READ_REG2_GEN[2].GPIO2_DBus_i[22]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0A0000000C000000" ) port map ( I0 => gpio2_io_t(9), I1 => \Dual.gpio2_Data_In_reg[0]\(9), I2 => \bus2ip_addr_i_reg[8]\(2), I3 => \^mem_decode_gen[0].cs_out_i_reg[0]_0\, I4 => \bus2ip_addr_i_reg[8]\(1), I5 => \bus2ip_addr_i_reg[8]\(0), O => Read_Reg2_In(2) ); \Dual.READ_REG2_GEN[3].GPIO2_DBus_i[23]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0A0000000C000000" ) port map ( I0 => gpio2_io_t(8), I1 => \Dual.gpio2_Data_In_reg[0]\(8), I2 => \bus2ip_addr_i_reg[8]\(2), I3 => \^mem_decode_gen[0].cs_out_i_reg[0]_0\, I4 => \bus2ip_addr_i_reg[8]\(1), I5 => \bus2ip_addr_i_reg[8]\(0), O => Read_Reg2_In(3) ); \Dual.READ_REG2_GEN[4].GPIO2_DBus_i[24]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0A0000000C000000" ) port map ( I0 => gpio2_io_t(7), I1 => \Dual.gpio2_Data_In_reg[0]\(7), I2 => \bus2ip_addr_i_reg[8]\(2), I3 => \^mem_decode_gen[0].cs_out_i_reg[0]_0\, I4 => \bus2ip_addr_i_reg[8]\(1), I5 => \bus2ip_addr_i_reg[8]\(0), O => Read_Reg2_In(4) ); \Dual.READ_REG2_GEN[5].GPIO2_DBus_i[25]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0A0000000C000000" ) port map ( I0 => gpio2_io_t(6), I1 => \Dual.gpio2_Data_In_reg[0]\(6), I2 => \bus2ip_addr_i_reg[8]\(2), I3 => \^mem_decode_gen[0].cs_out_i_reg[0]_0\, I4 => \bus2ip_addr_i_reg[8]\(1), I5 => \bus2ip_addr_i_reg[8]\(0), O => Read_Reg2_In(5) ); \Dual.READ_REG2_GEN[6].GPIO2_DBus_i[26]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0A0000000C000000" ) port map ( I0 => gpio2_io_t(5), I1 => \Dual.gpio2_Data_In_reg[0]\(5), I2 => \bus2ip_addr_i_reg[8]\(2), I3 => \^mem_decode_gen[0].cs_out_i_reg[0]_0\, I4 => \bus2ip_addr_i_reg[8]\(1), I5 => \bus2ip_addr_i_reg[8]\(0), O => Read_Reg2_In(6) ); \Dual.READ_REG2_GEN[7].GPIO2_DBus_i[27]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0A0000000C000000" ) port map ( I0 => gpio2_io_t(4), I1 => \Dual.gpio2_Data_In_reg[0]\(4), I2 => \bus2ip_addr_i_reg[8]\(2), I3 => \^mem_decode_gen[0].cs_out_i_reg[0]_0\, I4 => \bus2ip_addr_i_reg[8]\(1), I5 => \bus2ip_addr_i_reg[8]\(0), O => Read_Reg2_In(7) ); \Dual.READ_REG2_GEN[8].GPIO2_DBus_i[28]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0A0000000C000000" ) port map ( I0 => gpio2_io_t(3), I1 => \Dual.gpio2_Data_In_reg[0]\(3), I2 => \bus2ip_addr_i_reg[8]\(2), I3 => \^mem_decode_gen[0].cs_out_i_reg[0]_0\, I4 => \bus2ip_addr_i_reg[8]\(1), I5 => \bus2ip_addr_i_reg[8]\(0), O => Read_Reg2_In(8) ); \Dual.READ_REG2_GEN[9].GPIO2_DBus_i[29]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"0A0000000C000000" ) port map ( I0 => gpio2_io_t(2), I1 => \Dual.gpio2_Data_In_reg[0]\(2), I2 => \bus2ip_addr_i_reg[8]\(2), I3 => \^mem_decode_gen[0].cs_out_i_reg[0]_0\, I4 => \bus2ip_addr_i_reg[8]\(1), I5 => \bus2ip_addr_i_reg[8]\(0), O => Read_Reg2_In(9) ); \Dual.READ_REG_GEN[0].GPIO_DBus_i[28]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"000A0000000C0000" ) port map ( I0 => gpio_io_t(3), I1 => \Dual.gpio_Data_In_reg[0]\(3), I2 => \bus2ip_addr_i_reg[8]\(2), I3 => \bus2ip_addr_i_reg[8]\(1), I4 => \^mem_decode_gen[0].cs_out_i_reg[0]_0\, I5 => \bus2ip_addr_i_reg[8]\(0), O => Read_Reg_In(0) ); \Dual.READ_REG_GEN[1].GPIO_DBus_i[29]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"000A0000000C0000" ) port map ( I0 => gpio_io_t(2), I1 => \Dual.gpio_Data_In_reg[0]\(2), I2 => \bus2ip_addr_i_reg[8]\(2), I3 => \bus2ip_addr_i_reg[8]\(1), I4 => \^mem_decode_gen[0].cs_out_i_reg[0]_0\, I5 => \bus2ip_addr_i_reg[8]\(0), O => Read_Reg_In(1) ); \Dual.READ_REG_GEN[2].GPIO_DBus_i[30]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"000A0000000C0000" ) port map ( I0 => gpio_io_t(1), I1 => \Dual.gpio_Data_In_reg[0]\(1), I2 => \bus2ip_addr_i_reg[8]\(2), I3 => \bus2ip_addr_i_reg[8]\(1), I4 => \^mem_decode_gen[0].cs_out_i_reg[0]_0\, I5 => \bus2ip_addr_i_reg[8]\(0), O => Read_Reg_In(2) ); \Dual.READ_REG_GEN[3].GPIO_DBus_i[31]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"000A0000000C0000" ) port map ( I0 => gpio_io_t(0), I1 => \Dual.gpio_Data_In_reg[0]\(0), I2 => \bus2ip_addr_i_reg[8]\(2), I3 => \bus2ip_addr_i_reg[8]\(1), I4 => \^mem_decode_gen[0].cs_out_i_reg[0]_0\, I5 => \bus2ip_addr_i_reg[8]\(0), O => Read_Reg_In(3) ); \Dual.gpio2_Data_Out[0]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFF00001000" ) port map ( I0 => bus2ip_rnw_i_reg, I1 => \bus2ip_addr_i_reg[8]\(2), I2 => \^mem_decode_gen[0].cs_out_i_reg[0]_0\, I3 => \bus2ip_addr_i_reg[8]\(1), I4 => \bus2ip_addr_i_reg[8]\(0), I5 => rst_reg, O => \Dual.gpio2_Data_Out_reg[0]\(0) ); \Dual.gpio2_Data_Out[10]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"D0" ) port map ( I0 => \^mem_decode_gen[0].cs_out_i_reg[0]_0\, I1 => \bus2ip_addr_i_reg[8]\(1), I2 => s_axi_wdata(1), O => D(1) ); \Dual.gpio2_Data_Out[11]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"D0" ) port map ( I0 => \^mem_decode_gen[0].cs_out_i_reg[0]_0\, I1 => \bus2ip_addr_i_reg[8]\(1), I2 => s_axi_wdata(0), O => D(0) ); \Dual.gpio2_Data_Out[4]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"D0" ) port map ( I0 => \^mem_decode_gen[0].cs_out_i_reg[0]_0\, I1 => \bus2ip_addr_i_reg[8]\(1), I2 => s_axi_wdata(7), O => D(7) ); \Dual.gpio2_Data_Out[5]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"D0" ) port map ( I0 => \^mem_decode_gen[0].cs_out_i_reg[0]_0\, I1 => \bus2ip_addr_i_reg[8]\(1), I2 => s_axi_wdata(6), O => D(6) ); \Dual.gpio2_Data_Out[6]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"D0" ) port map ( I0 => \^mem_decode_gen[0].cs_out_i_reg[0]_0\, I1 => \bus2ip_addr_i_reg[8]\(1), I2 => s_axi_wdata(5), O => D(5) ); \Dual.gpio2_Data_Out[7]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"D0" ) port map ( I0 => \^mem_decode_gen[0].cs_out_i_reg[0]_0\, I1 => \bus2ip_addr_i_reg[8]\(1), I2 => s_axi_wdata(4), O => D(4) ); \Dual.gpio2_Data_Out[8]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"D0" ) port map ( I0 => \^mem_decode_gen[0].cs_out_i_reg[0]_0\, I1 => \bus2ip_addr_i_reg[8]\(1), I2 => s_axi_wdata(3), O => D(3) ); \Dual.gpio2_Data_Out[9]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"D0" ) port map ( I0 => \^mem_decode_gen[0].cs_out_i_reg[0]_0\, I1 => \bus2ip_addr_i_reg[8]\(1), I2 => s_axi_wdata(2), O => D(2) ); \Dual.gpio2_OE[0]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFF10000000" ) port map ( I0 => bus2ip_rnw_i_reg, I1 => \bus2ip_addr_i_reg[8]\(2), I2 => \^mem_decode_gen[0].cs_out_i_reg[0]_0\, I3 => \bus2ip_addr_i_reg[8]\(1), I4 => \bus2ip_addr_i_reg[8]\(0), I5 => rst_reg, O => \Dual.gpio2_OE_reg[0]\(0) ); \Dual.gpio_Data_Out[0]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFF00000100" ) port map ( I0 => bus2ip_rnw_i_reg, I1 => \bus2ip_addr_i_reg[8]\(2), I2 => \bus2ip_addr_i_reg[8]\(1), I3 => \^mem_decode_gen[0].cs_out_i_reg[0]_0\, I4 => \bus2ip_addr_i_reg[8]\(0), I5 => rst_reg, O => \Dual.gpio_Data_Out_reg[0]\(0) ); \Dual.gpio_Data_Out[0]_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"BA8A" ) port map ( I0 => s_axi_wdata(11), I1 => \bus2ip_addr_i_reg[8]\(1), I2 => \^mem_decode_gen[0].cs_out_i_reg[0]_0\, I3 => s_axi_wdata(3), O => D(11) ); \Dual.gpio_Data_Out[1]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"BA8A" ) port map ( I0 => s_axi_wdata(10), I1 => \bus2ip_addr_i_reg[8]\(1), I2 => \^mem_decode_gen[0].cs_out_i_reg[0]_0\, I3 => s_axi_wdata(2), O => D(10) ); \Dual.gpio_Data_Out[2]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"BA8A" ) port map ( I0 => s_axi_wdata(9), I1 => \bus2ip_addr_i_reg[8]\(1), I2 => \^mem_decode_gen[0].cs_out_i_reg[0]_0\, I3 => s_axi_wdata(1), O => D(9) ); \Dual.gpio_Data_Out[3]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"BA8A" ) port map ( I0 => s_axi_wdata(8), I1 => \bus2ip_addr_i_reg[8]\(1), I2 => \^mem_decode_gen[0].cs_out_i_reg[0]_0\, I3 => s_axi_wdata(0), O => D(8) ); \Dual.gpio_OE[0]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFF00040000" ) port map ( I0 => bus2ip_rnw_i_reg, I1 => \bus2ip_addr_i_reg[8]\(0), I2 => \bus2ip_addr_i_reg[8]\(2), I3 => \bus2ip_addr_i_reg[8]\(1), I4 => \^mem_decode_gen[0].cs_out_i_reg[0]_0\, I5 => rst_reg, O => E(0) ); \MEM_DECODE_GEN[0].cs_out_i[0]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"000E0000" ) port map ( I0 => \^mem_decode_gen[0].cs_out_i_reg[0]_0\, I1 => start2, I2 => \^s_axi_wready\, I3 => \^s_axi_arready\, I4 => s_axi_aresetn, O => \MEM_DECODE_GEN[0].cs_out_i[0]_i_1_n_0\ ); \MEM_DECODE_GEN[0].cs_out_i_reg[0]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => \MEM_DECODE_GEN[0].cs_out_i[0]_i_1_n_0\, Q => \^mem_decode_gen[0].cs_out_i_reg[0]_0\, R => '0' ); \ip2bus_data_i_D1[20]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"FFF70000" ) port map ( I0 => bus2ip_rnw_i_reg, I1 => \^mem_decode_gen[0].cs_out_i_reg[0]_0\, I2 => \bus2ip_addr_i_reg[8]\(1), I3 => \bus2ip_addr_i_reg[8]\(2), I4 => GPIO2_DBus_i(11), O => \ip2bus_data_i_D1_reg[20]\(11) ); \ip2bus_data_i_D1[21]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"FFF70000" ) port map ( I0 => bus2ip_rnw_i_reg, I1 => \^mem_decode_gen[0].cs_out_i_reg[0]_0\, I2 => \bus2ip_addr_i_reg[8]\(1), I3 => \bus2ip_addr_i_reg[8]\(2), I4 => GPIO2_DBus_i(10), O => \ip2bus_data_i_D1_reg[20]\(10) ); \ip2bus_data_i_D1[22]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"FFF70000" ) port map ( I0 => bus2ip_rnw_i_reg, I1 => \^mem_decode_gen[0].cs_out_i_reg[0]_0\, I2 => \bus2ip_addr_i_reg[8]\(1), I3 => \bus2ip_addr_i_reg[8]\(2), I4 => GPIO2_DBus_i(9), O => \ip2bus_data_i_D1_reg[20]\(9) ); \ip2bus_data_i_D1[23]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"FFF70000" ) port map ( I0 => bus2ip_rnw_i_reg, I1 => \^mem_decode_gen[0].cs_out_i_reg[0]_0\, I2 => \bus2ip_addr_i_reg[8]\(1), I3 => \bus2ip_addr_i_reg[8]\(2), I4 => GPIO2_DBus_i(8), O => \ip2bus_data_i_D1_reg[20]\(8) ); \ip2bus_data_i_D1[24]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"FFF70000" ) port map ( I0 => bus2ip_rnw_i_reg, I1 => \^mem_decode_gen[0].cs_out_i_reg[0]_0\, I2 => \bus2ip_addr_i_reg[8]\(1), I3 => \bus2ip_addr_i_reg[8]\(2), I4 => GPIO2_DBus_i(7), O => \ip2bus_data_i_D1_reg[20]\(7) ); \ip2bus_data_i_D1[25]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"FFF70000" ) port map ( I0 => bus2ip_rnw_i_reg, I1 => \^mem_decode_gen[0].cs_out_i_reg[0]_0\, I2 => \bus2ip_addr_i_reg[8]\(1), I3 => \bus2ip_addr_i_reg[8]\(2), I4 => GPIO2_DBus_i(6), O => \ip2bus_data_i_D1_reg[20]\(6) ); \ip2bus_data_i_D1[26]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"FFF70000" ) port map ( I0 => bus2ip_rnw_i_reg, I1 => \^mem_decode_gen[0].cs_out_i_reg[0]_0\, I2 => \bus2ip_addr_i_reg[8]\(1), I3 => \bus2ip_addr_i_reg[8]\(2), I4 => GPIO2_DBus_i(5), O => \ip2bus_data_i_D1_reg[20]\(5) ); \ip2bus_data_i_D1[27]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"FFF70000" ) port map ( I0 => bus2ip_rnw_i_reg, I1 => \^mem_decode_gen[0].cs_out_i_reg[0]_0\, I2 => \bus2ip_addr_i_reg[8]\(1), I3 => \bus2ip_addr_i_reg[8]\(2), I4 => GPIO2_DBus_i(4), O => \ip2bus_data_i_D1_reg[20]\(4) ); \ip2bus_data_i_D1[28]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"ABAAAAAAA8AAAAAA" ) port map ( I0 => GPIO2_DBus_i(3), I1 => \bus2ip_addr_i_reg[8]\(2), I2 => \bus2ip_addr_i_reg[8]\(1), I3 => \^mem_decode_gen[0].cs_out_i_reg[0]_0\, I4 => bus2ip_rnw_i_reg, I5 => GPIO_DBus_i(3), O => \ip2bus_data_i_D1_reg[20]\(3) ); \ip2bus_data_i_D1[29]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"ABAAAAAAA8AAAAAA" ) port map ( I0 => GPIO2_DBus_i(2), I1 => \bus2ip_addr_i_reg[8]\(2), I2 => \bus2ip_addr_i_reg[8]\(1), I3 => \^mem_decode_gen[0].cs_out_i_reg[0]_0\, I4 => bus2ip_rnw_i_reg, I5 => GPIO_DBus_i(2), O => \ip2bus_data_i_D1_reg[20]\(2) ); \ip2bus_data_i_D1[30]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"ABAAAAAAA8AAAAAA" ) port map ( I0 => GPIO2_DBus_i(1), I1 => \bus2ip_addr_i_reg[8]\(2), I2 => \bus2ip_addr_i_reg[8]\(1), I3 => \^mem_decode_gen[0].cs_out_i_reg[0]_0\, I4 => bus2ip_rnw_i_reg, I5 => GPIO_DBus_i(1), O => \ip2bus_data_i_D1_reg[20]\(1) ); \ip2bus_data_i_D1[31]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"ABAAAAAAA8AAAAAA" ) port map ( I0 => GPIO2_DBus_i(0), I1 => \bus2ip_addr_i_reg[8]\(2), I2 => \bus2ip_addr_i_reg[8]\(1), I3 => \^mem_decode_gen[0].cs_out_i_reg[0]_0\, I4 => bus2ip_rnw_i_reg, I5 => GPIO_DBus_i(0), O => \ip2bus_data_i_D1_reg[20]\(0) ); s_axi_arready_INST_0: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFF00020000" ) port map ( I0 => Q(3), I1 => Q(2), I2 => Q(1), I3 => Q(0), I4 => is_read, I5 => ip2bus_rdack_i_D1, O => \^s_axi_arready\ ); s_axi_wready_INST_0: unisim.vcomponents.LUT6 generic map( INIT => X"FFFFFFFF00020000" ) port map ( I0 => Q(3), I1 => Q(2), I2 => Q(1), I3 => Q(0), I4 => is_write_reg, I5 => ip2bus_wrack_i_D1, O => \^s_axi_wready\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_axi_gpio_led_0_cdc_sync is port ( scndry_vect_out : out STD_LOGIC_VECTOR ( 3 downto 0 ); gpio_io_i : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_aclk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_axi_gpio_led_0_cdc_sync : entity is "cdc_sync"; end system_axi_gpio_led_0_cdc_sync; architecture STRUCTURE of system_axi_gpio_led_0_cdc_sync is signal s_level_out_bus_d1_cdc_to_0 : STD_LOGIC; signal s_level_out_bus_d1_cdc_to_1 : STD_LOGIC; signal s_level_out_bus_d1_cdc_to_2 : STD_LOGIC; signal s_level_out_bus_d1_cdc_to_3 : STD_LOGIC; signal s_level_out_bus_d2_0 : STD_LOGIC; signal s_level_out_bus_d2_1 : STD_LOGIC; signal s_level_out_bus_d2_2 : STD_LOGIC; signal s_level_out_bus_d2_3 : STD_LOGIC; signal s_level_out_bus_d3_0 : STD_LOGIC; signal s_level_out_bus_d3_1 : STD_LOGIC; signal s_level_out_bus_d3_2 : STD_LOGIC; signal s_level_out_bus_d3_3 : STD_LOGIC; attribute ASYNC_REG : boolean; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[0].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM : string; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[0].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\ : label is "FDR"; attribute box_type : string; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[0].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[1].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[1].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[1].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[2].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[2].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[2].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[3].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[3].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[3].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[0].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[0].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[0].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[1].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[1].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[1].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[2].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[2].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[2].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[3].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[3].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[3].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[0].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[0].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[0].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[1].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[1].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[1].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[2].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[2].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[2].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[3].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[3].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[3].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[0].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[0].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[0].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[1].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[1].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[1].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[2].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[2].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[2].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[3].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[3].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[3].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is "PRIMITIVE"; begin \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[0].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => s_level_out_bus_d1_cdc_to_0, Q => s_level_out_bus_d2_0, R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[1].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => s_level_out_bus_d1_cdc_to_1, Q => s_level_out_bus_d2_1, R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[2].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => s_level_out_bus_d1_cdc_to_2, Q => s_level_out_bus_d2_2, R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[3].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => s_level_out_bus_d1_cdc_to_3, Q => s_level_out_bus_d2_3, R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[0].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => s_level_out_bus_d2_0, Q => s_level_out_bus_d3_0, R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[1].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => s_level_out_bus_d2_1, Q => s_level_out_bus_d3_1, R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[2].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => s_level_out_bus_d2_2, Q => s_level_out_bus_d3_2, R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[3].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => s_level_out_bus_d2_3, Q => s_level_out_bus_d3_3, R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[0].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => s_level_out_bus_d3_0, Q => scndry_vect_out(0), R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[1].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => s_level_out_bus_d3_1, Q => scndry_vect_out(1), R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[2].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => s_level_out_bus_d3_2, Q => scndry_vect_out(2), R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[3].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => s_level_out_bus_d3_3, Q => scndry_vect_out(3), R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[0].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => gpio_io_i(0), Q => s_level_out_bus_d1_cdc_to_0, R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[1].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => gpio_io_i(1), Q => s_level_out_bus_d1_cdc_to_1, R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[2].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => gpio_io_i(2), Q => s_level_out_bus_d1_cdc_to_2, R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[3].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => gpio_io_i(3), Q => s_level_out_bus_d1_cdc_to_3, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \system_axi_gpio_led_0_cdc_sync__parameterized0\ is port ( scndry_vect_out : out STD_LOGIC_VECTOR ( 11 downto 0 ); gpio2_io_i : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_aclk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \system_axi_gpio_led_0_cdc_sync__parameterized0\ : entity is "cdc_sync"; end \system_axi_gpio_led_0_cdc_sync__parameterized0\; architecture STRUCTURE of \system_axi_gpio_led_0_cdc_sync__parameterized0\ is signal s_level_out_bus_d1_cdc_to_0 : STD_LOGIC; signal s_level_out_bus_d1_cdc_to_1 : STD_LOGIC; signal s_level_out_bus_d1_cdc_to_10 : STD_LOGIC; signal s_level_out_bus_d1_cdc_to_11 : STD_LOGIC; signal s_level_out_bus_d1_cdc_to_2 : STD_LOGIC; signal s_level_out_bus_d1_cdc_to_3 : STD_LOGIC; signal s_level_out_bus_d1_cdc_to_4 : STD_LOGIC; signal s_level_out_bus_d1_cdc_to_5 : STD_LOGIC; signal s_level_out_bus_d1_cdc_to_6 : STD_LOGIC; signal s_level_out_bus_d1_cdc_to_7 : STD_LOGIC; signal s_level_out_bus_d1_cdc_to_8 : STD_LOGIC; signal s_level_out_bus_d1_cdc_to_9 : STD_LOGIC; signal s_level_out_bus_d2_0 : STD_LOGIC; signal s_level_out_bus_d2_1 : STD_LOGIC; signal s_level_out_bus_d2_10 : STD_LOGIC; signal s_level_out_bus_d2_11 : STD_LOGIC; signal s_level_out_bus_d2_2 : STD_LOGIC; signal s_level_out_bus_d2_3 : STD_LOGIC; signal s_level_out_bus_d2_4 : STD_LOGIC; signal s_level_out_bus_d2_5 : STD_LOGIC; signal s_level_out_bus_d2_6 : STD_LOGIC; signal s_level_out_bus_d2_7 : STD_LOGIC; signal s_level_out_bus_d2_8 : STD_LOGIC; signal s_level_out_bus_d2_9 : STD_LOGIC; signal s_level_out_bus_d3_0 : STD_LOGIC; signal s_level_out_bus_d3_1 : STD_LOGIC; signal s_level_out_bus_d3_10 : STD_LOGIC; signal s_level_out_bus_d3_11 : STD_LOGIC; signal s_level_out_bus_d3_2 : STD_LOGIC; signal s_level_out_bus_d3_3 : STD_LOGIC; signal s_level_out_bus_d3_4 : STD_LOGIC; signal s_level_out_bus_d3_5 : STD_LOGIC; signal s_level_out_bus_d3_6 : STD_LOGIC; signal s_level_out_bus_d3_7 : STD_LOGIC; signal s_level_out_bus_d3_8 : STD_LOGIC; signal s_level_out_bus_d3_9 : STD_LOGIC; attribute ASYNC_REG : boolean; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[0].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM : string; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[0].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\ : label is "FDR"; attribute box_type : string; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[0].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[10].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[10].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[10].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[11].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[11].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[11].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[1].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[1].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[1].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[2].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[2].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[2].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[3].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[3].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[3].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[4].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[4].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[4].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[5].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[5].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[5].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[6].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[6].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[6].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[7].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[7].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[7].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[8].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[8].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[8].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[9].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[9].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[9].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[0].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[0].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[0].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[10].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[10].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[10].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[11].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[11].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[11].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[1].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[1].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[1].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[2].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[2].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[2].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[3].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[3].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[3].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[4].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[4].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[4].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[5].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[5].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[5].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[6].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[6].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[6].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[7].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[7].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[7].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[8].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[8].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[8].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[9].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[9].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[9].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[0].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[0].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[0].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[10].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[10].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[10].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[11].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[11].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[11].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[1].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[1].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[1].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[2].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[2].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[2].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[3].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[3].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[3].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[4].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[4].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[4].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[5].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[5].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[5].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[6].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[6].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[6].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[7].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[7].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[7].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[8].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[8].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[8].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[9].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[9].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[9].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[0].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[0].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[0].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[10].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[10].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[10].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[11].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[11].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[11].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[1].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[1].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[1].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[2].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[2].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[2].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[3].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[3].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[3].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[4].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[4].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[4].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[5].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[5].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[5].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[6].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[6].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[6].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[7].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[7].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[7].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[8].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[8].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[8].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is "PRIMITIVE"; attribute ASYNC_REG of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[9].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is std.standard.true; attribute XILINX_LEGACY_PRIM of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[9].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is "FDR"; attribute box_type of \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[9].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\ : label is "PRIMITIVE"; begin \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[0].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => s_level_out_bus_d1_cdc_to_0, Q => s_level_out_bus_d2_0, R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[10].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => s_level_out_bus_d1_cdc_to_10, Q => s_level_out_bus_d2_10, R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[11].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => s_level_out_bus_d1_cdc_to_11, Q => s_level_out_bus_d2_11, R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[1].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => s_level_out_bus_d1_cdc_to_1, Q => s_level_out_bus_d2_1, R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[2].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => s_level_out_bus_d1_cdc_to_2, Q => s_level_out_bus_d2_2, R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[3].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => s_level_out_bus_d1_cdc_to_3, Q => s_level_out_bus_d2_3, R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[4].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => s_level_out_bus_d1_cdc_to_4, Q => s_level_out_bus_d2_4, R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[5].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => s_level_out_bus_d1_cdc_to_5, Q => s_level_out_bus_d2_5, R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[6].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => s_level_out_bus_d1_cdc_to_6, Q => s_level_out_bus_d2_6, R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[7].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => s_level_out_bus_d1_cdc_to_7, Q => s_level_out_bus_d2_7, R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[8].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => s_level_out_bus_d1_cdc_to_8, Q => s_level_out_bus_d2_8, R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d2[9].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d2\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => s_level_out_bus_d1_cdc_to_9, Q => s_level_out_bus_d2_9, R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[0].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => s_level_out_bus_d2_0, Q => s_level_out_bus_d3_0, R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[10].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => s_level_out_bus_d2_10, Q => s_level_out_bus_d3_10, R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[11].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => s_level_out_bus_d2_11, Q => s_level_out_bus_d3_11, R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[1].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => s_level_out_bus_d2_1, Q => s_level_out_bus_d3_1, R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[2].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => s_level_out_bus_d2_2, Q => s_level_out_bus_d3_2, R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[3].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => s_level_out_bus_d2_3, Q => s_level_out_bus_d3_3, R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[4].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => s_level_out_bus_d2_4, Q => s_level_out_bus_d3_4, R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[5].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => s_level_out_bus_d2_5, Q => s_level_out_bus_d3_5, R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[6].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => s_level_out_bus_d2_6, Q => s_level_out_bus_d3_6, R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[7].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => s_level_out_bus_d2_7, Q => s_level_out_bus_d3_7, R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[8].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => s_level_out_bus_d2_8, Q => s_level_out_bus_d3_8, R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d3[9].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d3\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => s_level_out_bus_d2_9, Q => s_level_out_bus_d3_9, R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[0].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => s_level_out_bus_d3_0, Q => scndry_vect_out(0), R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[10].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => s_level_out_bus_d3_10, Q => scndry_vect_out(10), R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[11].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => s_level_out_bus_d3_11, Q => scndry_vect_out(11), R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[1].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => s_level_out_bus_d3_1, Q => scndry_vect_out(1), R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[2].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => s_level_out_bus_d3_2, Q => scndry_vect_out(2), R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[3].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => s_level_out_bus_d3_3, Q => scndry_vect_out(3), R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[4].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => s_level_out_bus_d3_4, Q => scndry_vect_out(4), R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[5].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => s_level_out_bus_d3_5, Q => scndry_vect_out(5), R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[6].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => s_level_out_bus_d3_6, Q => scndry_vect_out(6), R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[7].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => s_level_out_bus_d3_7, Q => scndry_vect_out(7), R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[8].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => s_level_out_bus_d3_8, Q => scndry_vect_out(8), R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_CROSS_PLEVEL_IN2SCNDRY_bus_d4[9].CROSS2_PLEVEL_IN2SCNDRY_s_level_out_bus_d4\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => s_level_out_bus_d3_9, Q => scndry_vect_out(9), R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[0].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => gpio2_io_i(0), Q => s_level_out_bus_d1_cdc_to_0, R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[10].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => gpio2_io_i(10), Q => s_level_out_bus_d1_cdc_to_10, R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[11].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => gpio2_io_i(11), Q => s_level_out_bus_d1_cdc_to_11, R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[1].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => gpio2_io_i(1), Q => s_level_out_bus_d1_cdc_to_1, R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[2].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => gpio2_io_i(2), Q => s_level_out_bus_d1_cdc_to_2, R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[3].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => gpio2_io_i(3), Q => s_level_out_bus_d1_cdc_to_3, R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[4].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => gpio2_io_i(4), Q => s_level_out_bus_d1_cdc_to_4, R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[5].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => gpio2_io_i(5), Q => s_level_out_bus_d1_cdc_to_5, R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[6].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => gpio2_io_i(6), Q => s_level_out_bus_d1_cdc_to_6, R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[7].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => gpio2_io_i(7), Q => s_level_out_bus_d1_cdc_to_7, R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[8].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => gpio2_io_i(8), Q => s_level_out_bus_d1_cdc_to_8, R => '0' ); \GENERATE_LEVEL_P_S_CDC.MULTI_BIT.FOR_IN_cdc_to[9].CROSS2_PLEVEL_IN2SCNDRY_IN_cdc_to\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => gpio2_io_i(9), Q => s_level_out_bus_d1_cdc_to_9, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_axi_gpio_led_0_GPIO_Core is port ( GPIO2_DBus_i : out STD_LOGIC_VECTOR ( 11 downto 0 ); GPIO_DBus_i : out STD_LOGIC_VECTOR ( 3 downto 0 ); GPIO_xferAck_i : out STD_LOGIC; gpio_xferAck_Reg : out STD_LOGIC; ip2bus_rdack_i : out STD_LOGIC; ip2bus_wrack_i_D1_reg : out STD_LOGIC; gpio_io_o : out STD_LOGIC_VECTOR ( 3 downto 0 ); gpio_io_t : out STD_LOGIC_VECTOR ( 3 downto 0 ); gpio2_io_o : out STD_LOGIC_VECTOR ( 11 downto 0 ); gpio2_io_t : out STD_LOGIC_VECTOR ( 11 downto 0 ); Q : out STD_LOGIC_VECTOR ( 11 downto 0 ); \Dual.READ_REG_GEN[0].GPIO_DBus_i_reg[28]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); Read_Reg_Rst : in STD_LOGIC; Read_Reg2_In : in STD_LOGIC_VECTOR ( 0 to 11 ); s_axi_aclk : in STD_LOGIC; Read_Reg_In : in STD_LOGIC_VECTOR ( 0 to 3 ); SS : in STD_LOGIC_VECTOR ( 0 to 0 ); bus2ip_rnw : in STD_LOGIC; bus2ip_cs : in STD_LOGIC; gpio_io_i : in STD_LOGIC_VECTOR ( 3 downto 0 ); gpio2_io_i : in STD_LOGIC_VECTOR ( 11 downto 0 ); E : in STD_LOGIC_VECTOR ( 0 to 0 ); D : in STD_LOGIC_VECTOR ( 11 downto 0 ); bus2ip_rnw_i_reg : in STD_LOGIC_VECTOR ( 0 to 0 ); bus2ip_rnw_i_reg_0 : in STD_LOGIC_VECTOR ( 0 to 0 ); bus2ip_rnw_i_reg_1 : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_axi_gpio_led_0_GPIO_Core : entity is "GPIO_Core"; end system_axi_gpio_led_0_GPIO_Core; architecture STRUCTURE of system_axi_gpio_led_0_GPIO_Core is signal \^gpio_xferack_i\ : STD_LOGIC; signal gpio2_io_i_d2 : STD_LOGIC_VECTOR ( 0 to 11 ); signal gpio_io_i_d2 : STD_LOGIC_VECTOR ( 0 to 3 ); signal \^gpio_xferack_reg\ : STD_LOGIC; signal iGPIO_xferAck : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of iGPIO_xferAck_i_1 : label is "soft_lutpair9"; attribute SOFT_HLUTNM of ip2bus_rdack_i_D1_i_1 : label is "soft_lutpair9"; begin GPIO_xferAck_i <= \^gpio_xferack_i\; gpio_xferAck_Reg <= \^gpio_xferack_reg\; \Dual.INPUT_DOUBLE_REGS4\: entity work.system_axi_gpio_led_0_cdc_sync port map ( gpio_io_i(3 downto 0) => gpio_io_i(3 downto 0), s_axi_aclk => s_axi_aclk, scndry_vect_out(3) => gpio_io_i_d2(0), scndry_vect_out(2) => gpio_io_i_d2(1), scndry_vect_out(1) => gpio_io_i_d2(2), scndry_vect_out(0) => gpio_io_i_d2(3) ); \Dual.INPUT_DOUBLE_REGS5\: entity work.\system_axi_gpio_led_0_cdc_sync__parameterized0\ port map ( gpio2_io_i(11 downto 0) => gpio2_io_i(11 downto 0), s_axi_aclk => s_axi_aclk, scndry_vect_out(11) => gpio2_io_i_d2(0), scndry_vect_out(10) => gpio2_io_i_d2(1), scndry_vect_out(9) => gpio2_io_i_d2(2), scndry_vect_out(8) => gpio2_io_i_d2(3), scndry_vect_out(7) => gpio2_io_i_d2(4), scndry_vect_out(6) => gpio2_io_i_d2(5), scndry_vect_out(5) => gpio2_io_i_d2(6), scndry_vect_out(4) => gpio2_io_i_d2(7), scndry_vect_out(3) => gpio2_io_i_d2(8), scndry_vect_out(2) => gpio2_io_i_d2(9), scndry_vect_out(1) => gpio2_io_i_d2(10), scndry_vect_out(0) => gpio2_io_i_d2(11) ); \Dual.READ_REG2_GEN[0].GPIO2_DBus_i_reg[20]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => Read_Reg2_In(0), Q => GPIO2_DBus_i(11), R => Read_Reg_Rst ); \Dual.READ_REG2_GEN[10].GPIO2_DBus_i_reg[30]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => Read_Reg2_In(10), Q => GPIO2_DBus_i(1), R => Read_Reg_Rst ); \Dual.READ_REG2_GEN[11].GPIO2_DBus_i_reg[31]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => Read_Reg2_In(11), Q => GPIO2_DBus_i(0), R => Read_Reg_Rst ); \Dual.READ_REG2_GEN[1].GPIO2_DBus_i_reg[21]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => Read_Reg2_In(1), Q => GPIO2_DBus_i(10), R => Read_Reg_Rst ); \Dual.READ_REG2_GEN[2].GPIO2_DBus_i_reg[22]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => Read_Reg2_In(2), Q => GPIO2_DBus_i(9), R => Read_Reg_Rst ); \Dual.READ_REG2_GEN[3].GPIO2_DBus_i_reg[23]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => Read_Reg2_In(3), Q => GPIO2_DBus_i(8), R => Read_Reg_Rst ); \Dual.READ_REG2_GEN[4].GPIO2_DBus_i_reg[24]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => Read_Reg2_In(4), Q => GPIO2_DBus_i(7), R => Read_Reg_Rst ); \Dual.READ_REG2_GEN[5].GPIO2_DBus_i_reg[25]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => Read_Reg2_In(5), Q => GPIO2_DBus_i(6), R => Read_Reg_Rst ); \Dual.READ_REG2_GEN[6].GPIO2_DBus_i_reg[26]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => Read_Reg2_In(6), Q => GPIO2_DBus_i(5), R => Read_Reg_Rst ); \Dual.READ_REG2_GEN[7].GPIO2_DBus_i_reg[27]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => Read_Reg2_In(7), Q => GPIO2_DBus_i(4), R => Read_Reg_Rst ); \Dual.READ_REG2_GEN[8].GPIO2_DBus_i_reg[28]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => Read_Reg2_In(8), Q => GPIO2_DBus_i(3), R => Read_Reg_Rst ); \Dual.READ_REG2_GEN[9].GPIO2_DBus_i_reg[29]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => Read_Reg2_In(9), Q => GPIO2_DBus_i(2), R => Read_Reg_Rst ); \Dual.READ_REG_GEN[0].GPIO_DBus_i_reg[28]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => Read_Reg_In(0), Q => GPIO_DBus_i(3), R => Read_Reg_Rst ); \Dual.READ_REG_GEN[1].GPIO_DBus_i_reg[29]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => Read_Reg_In(1), Q => GPIO_DBus_i(2), R => Read_Reg_Rst ); \Dual.READ_REG_GEN[2].GPIO_DBus_i_reg[30]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => Read_Reg_In(2), Q => GPIO_DBus_i(1), R => Read_Reg_Rst ); \Dual.READ_REG_GEN[3].GPIO_DBus_i_reg[31]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => Read_Reg_In(3), Q => GPIO_DBus_i(0), R => Read_Reg_Rst ); \Dual.gpio2_Data_In_reg[0]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => gpio2_io_i_d2(0), Q => Q(11), R => '0' ); \Dual.gpio2_Data_In_reg[10]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => gpio2_io_i_d2(10), Q => Q(1), R => '0' ); \Dual.gpio2_Data_In_reg[11]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => gpio2_io_i_d2(11), Q => Q(0), R => '0' ); \Dual.gpio2_Data_In_reg[1]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => gpio2_io_i_d2(1), Q => Q(10), R => '0' ); \Dual.gpio2_Data_In_reg[2]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => gpio2_io_i_d2(2), Q => Q(9), R => '0' ); \Dual.gpio2_Data_In_reg[3]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => gpio2_io_i_d2(3), Q => Q(8), R => '0' ); \Dual.gpio2_Data_In_reg[4]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => gpio2_io_i_d2(4), Q => Q(7), R => '0' ); \Dual.gpio2_Data_In_reg[5]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => gpio2_io_i_d2(5), Q => Q(6), R => '0' ); \Dual.gpio2_Data_In_reg[6]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => gpio2_io_i_d2(6), Q => Q(5), R => '0' ); \Dual.gpio2_Data_In_reg[7]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => gpio2_io_i_d2(7), Q => Q(4), R => '0' ); \Dual.gpio2_Data_In_reg[8]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => gpio2_io_i_d2(8), Q => Q(3), R => '0' ); \Dual.gpio2_Data_In_reg[9]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => gpio2_io_i_d2(9), Q => Q(2), R => '0' ); \Dual.gpio2_Data_Out_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => bus2ip_rnw_i_reg_0(0), D => D(11), Q => gpio2_io_o(11), R => SS(0) ); \Dual.gpio2_Data_Out_reg[10]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => bus2ip_rnw_i_reg_0(0), D => D(1), Q => gpio2_io_o(1), R => SS(0) ); \Dual.gpio2_Data_Out_reg[11]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => bus2ip_rnw_i_reg_0(0), D => D(0), Q => gpio2_io_o(0), R => SS(0) ); \Dual.gpio2_Data_Out_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => bus2ip_rnw_i_reg_0(0), D => D(10), Q => gpio2_io_o(10), R => SS(0) ); \Dual.gpio2_Data_Out_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => bus2ip_rnw_i_reg_0(0), D => D(9), Q => gpio2_io_o(9), R => SS(0) ); \Dual.gpio2_Data_Out_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => bus2ip_rnw_i_reg_0(0), D => D(8), Q => gpio2_io_o(8), R => SS(0) ); \Dual.gpio2_Data_Out_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => bus2ip_rnw_i_reg_0(0), D => D(7), Q => gpio2_io_o(7), R => SS(0) ); \Dual.gpio2_Data_Out_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => bus2ip_rnw_i_reg_0(0), D => D(6), Q => gpio2_io_o(6), R => SS(0) ); \Dual.gpio2_Data_Out_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => bus2ip_rnw_i_reg_0(0), D => D(5), Q => gpio2_io_o(5), R => SS(0) ); \Dual.gpio2_Data_Out_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => bus2ip_rnw_i_reg_0(0), D => D(4), Q => gpio2_io_o(4), R => SS(0) ); \Dual.gpio2_Data_Out_reg[8]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => bus2ip_rnw_i_reg_0(0), D => D(3), Q => gpio2_io_o(3), R => SS(0) ); \Dual.gpio2_Data_Out_reg[9]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => bus2ip_rnw_i_reg_0(0), D => D(2), Q => gpio2_io_o(2), R => SS(0) ); \Dual.gpio2_OE_reg[0]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => s_axi_aclk, CE => bus2ip_rnw_i_reg_1(0), D => D(11), Q => gpio2_io_t(11), S => SS(0) ); \Dual.gpio2_OE_reg[10]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => s_axi_aclk, CE => bus2ip_rnw_i_reg_1(0), D => D(1), Q => gpio2_io_t(1), S => SS(0) ); \Dual.gpio2_OE_reg[11]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => s_axi_aclk, CE => bus2ip_rnw_i_reg_1(0), D => D(0), Q => gpio2_io_t(0), S => SS(0) ); \Dual.gpio2_OE_reg[1]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => s_axi_aclk, CE => bus2ip_rnw_i_reg_1(0), D => D(10), Q => gpio2_io_t(10), S => SS(0) ); \Dual.gpio2_OE_reg[2]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => s_axi_aclk, CE => bus2ip_rnw_i_reg_1(0), D => D(9), Q => gpio2_io_t(9), S => SS(0) ); \Dual.gpio2_OE_reg[3]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => s_axi_aclk, CE => bus2ip_rnw_i_reg_1(0), D => D(8), Q => gpio2_io_t(8), S => SS(0) ); \Dual.gpio2_OE_reg[4]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => s_axi_aclk, CE => bus2ip_rnw_i_reg_1(0), D => D(7), Q => gpio2_io_t(7), S => SS(0) ); \Dual.gpio2_OE_reg[5]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => s_axi_aclk, CE => bus2ip_rnw_i_reg_1(0), D => D(6), Q => gpio2_io_t(6), S => SS(0) ); \Dual.gpio2_OE_reg[6]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => s_axi_aclk, CE => bus2ip_rnw_i_reg_1(0), D => D(5), Q => gpio2_io_t(5), S => SS(0) ); \Dual.gpio2_OE_reg[7]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => s_axi_aclk, CE => bus2ip_rnw_i_reg_1(0), D => D(4), Q => gpio2_io_t(4), S => SS(0) ); \Dual.gpio2_OE_reg[8]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => s_axi_aclk, CE => bus2ip_rnw_i_reg_1(0), D => D(3), Q => gpio2_io_t(3), S => SS(0) ); \Dual.gpio2_OE_reg[9]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => s_axi_aclk, CE => bus2ip_rnw_i_reg_1(0), D => D(2), Q => gpio2_io_t(2), S => SS(0) ); \Dual.gpio_Data_In_reg[0]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => gpio_io_i_d2(0), Q => \Dual.READ_REG_GEN[0].GPIO_DBus_i_reg[28]_0\(3), R => '0' ); \Dual.gpio_Data_In_reg[1]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => gpio_io_i_d2(1), Q => \Dual.READ_REG_GEN[0].GPIO_DBus_i_reg[28]_0\(2), R => '0' ); \Dual.gpio_Data_In_reg[2]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => gpio_io_i_d2(2), Q => \Dual.READ_REG_GEN[0].GPIO_DBus_i_reg[28]_0\(1), R => '0' ); \Dual.gpio_Data_In_reg[3]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => gpio_io_i_d2(3), Q => \Dual.READ_REG_GEN[0].GPIO_DBus_i_reg[28]_0\(0), R => '0' ); \Dual.gpio_Data_Out_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => E(0), D => D(11), Q => gpio_io_o(3), R => SS(0) ); \Dual.gpio_Data_Out_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => E(0), D => D(10), Q => gpio_io_o(2), R => SS(0) ); \Dual.gpio_Data_Out_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => E(0), D => D(9), Q => gpio_io_o(1), R => SS(0) ); \Dual.gpio_Data_Out_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => E(0), D => D(8), Q => gpio_io_o(0), R => SS(0) ); \Dual.gpio_OE_reg[0]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => s_axi_aclk, CE => bus2ip_rnw_i_reg(0), D => D(11), Q => gpio_io_t(3), S => SS(0) ); \Dual.gpio_OE_reg[1]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => s_axi_aclk, CE => bus2ip_rnw_i_reg(0), D => D(10), Q => gpio_io_t(2), S => SS(0) ); \Dual.gpio_OE_reg[2]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => s_axi_aclk, CE => bus2ip_rnw_i_reg(0), D => D(9), Q => gpio_io_t(1), S => SS(0) ); \Dual.gpio_OE_reg[3]\: unisim.vcomponents.FDSE generic map( INIT => '1' ) port map ( C => s_axi_aclk, CE => bus2ip_rnw_i_reg(0), D => D(8), Q => gpio_io_t(0), S => SS(0) ); gpio_xferAck_Reg_reg: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => \^gpio_xferack_i\, Q => \^gpio_xferack_reg\, R => SS(0) ); iGPIO_xferAck_i_1: unisim.vcomponents.LUT3 generic map( INIT => X"04" ) port map ( I0 => \^gpio_xferack_i\, I1 => bus2ip_cs, I2 => \^gpio_xferack_reg\, O => iGPIO_xferAck ); iGPIO_xferAck_reg: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => iGPIO_xferAck, Q => \^gpio_xferack_i\, R => SS(0) ); ip2bus_rdack_i_D1_i_1: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => \^gpio_xferack_i\, I1 => bus2ip_rnw, O => ip2bus_rdack_i ); ip2bus_wrack_i_D1_i_1: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => \^gpio_xferack_i\, I1 => bus2ip_rnw, O => ip2bus_wrack_i_D1_reg ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_axi_gpio_led_0_slave_attachment is port ( bus2ip_rnw_i_reg_0 : out STD_LOGIC; \ip2bus_data_i_D1_reg[31]\ : out STD_LOGIC; \MEM_DECODE_GEN[0].cs_out_i_reg[0]\ : out STD_LOGIC; s_axi_rvalid : out STD_LOGIC; s_axi_bvalid : out STD_LOGIC; s_axi_arready : out STD_LOGIC; s_axi_wready : out STD_LOGIC; D : out STD_LOGIC_VECTOR ( 11 downto 0 ); \ip2bus_data_i_D1_reg[20]\ : out STD_LOGIC_VECTOR ( 11 downto 0 ); Read_Reg_In : out STD_LOGIC_VECTOR ( 0 to 3 ); E : out STD_LOGIC_VECTOR ( 0 to 0 ); \Dual.gpio_Data_Out_reg[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); Read_Reg2_In : out STD_LOGIC_VECTOR ( 0 to 11 ); \Dual.gpio2_OE_reg[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \Dual.gpio2_Data_Out_reg[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); Read_Reg_Rst : out STD_LOGIC; s_axi_rdata : out STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_aclk : in STD_LOGIC; s_axi_arvalid : in STD_LOGIC; ip2bus_rdack_i_D1 : in STD_LOGIC; ip2bus_wrack_i_D1 : in STD_LOGIC; s_axi_bready : in STD_LOGIC; s_axi_rready : in STD_LOGIC; s_axi_awaddr : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_araddr : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awvalid : in STD_LOGIC; s_axi_wvalid : in STD_LOGIC; s_axi_wdata : in STD_LOGIC_VECTOR ( 11 downto 0 ); GPIO2_DBus_i : in STD_LOGIC_VECTOR ( 11 downto 0 ); GPIO_DBus_i : in STD_LOGIC_VECTOR ( 3 downto 0 ); gpio_io_t : in STD_LOGIC_VECTOR ( 3 downto 0 ); Q : in STD_LOGIC_VECTOR ( 3 downto 0 ); gpio2_io_t : in STD_LOGIC_VECTOR ( 11 downto 0 ); \Dual.gpio2_Data_In_reg[0]\ : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_aresetn : in STD_LOGIC; gpio_xferAck_Reg : in STD_LOGIC; GPIO_xferAck_i : in STD_LOGIC; \ip2bus_data_i_D1_reg[20]_0\ : in STD_LOGIC_VECTOR ( 11 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_axi_gpio_led_0_slave_attachment : entity is "slave_attachment"; end system_axi_gpio_led_0_slave_attachment; architecture STRUCTURE of system_axi_gpio_led_0_slave_attachment is signal \INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal bus2ip_addr : STD_LOGIC_VECTOR ( 0 to 6 ); signal \bus2ip_addr_i[2]_i_1_n_0\ : STD_LOGIC; signal \bus2ip_addr_i[3]_i_1_n_0\ : STD_LOGIC; signal \bus2ip_addr_i[8]_i_1_n_0\ : STD_LOGIC; signal bus2ip_rnw_i06_out : STD_LOGIC; signal \^bus2ip_rnw_i_reg_0\ : STD_LOGIC; signal clear : STD_LOGIC; signal \^ip2bus_data_i_d1_reg[31]\ : STD_LOGIC; signal is_read : STD_LOGIC; signal is_read_i_1_n_0 : STD_LOGIC; signal is_write : STD_LOGIC; signal is_write_i_1_n_0 : STD_LOGIC; signal is_write_reg_n_0 : STD_LOGIC; signal p_1_in : STD_LOGIC; signal plusOp : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \^s_axi_arready\ : STD_LOGIC; signal \^s_axi_bvalid\ : STD_LOGIC; signal s_axi_bvalid_i_i_1_n_0 : STD_LOGIC; signal s_axi_rdata_i : STD_LOGIC; signal \^s_axi_rvalid\ : STD_LOGIC; signal s_axi_rvalid_i_i_1_n_0 : STD_LOGIC; signal \^s_axi_wready\ : STD_LOGIC; signal start2 : STD_LOGIC; signal start2_i_1_n_0 : STD_LOGIC; signal state : STD_LOGIC_VECTOR ( 1 downto 0 ); signal \state[0]_i_1_n_0\ : STD_LOGIC; signal \state[1]_i_1_n_0\ : STD_LOGIC; signal \state[1]_i_2_n_0\ : STD_LOGIC; signal \state[1]_i_3_n_0\ : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \INCLUDE_DPHASE_TIMER.dpto_cnt[0]_i_1\ : label is "soft_lutpair8"; attribute SOFT_HLUTNM of \INCLUDE_DPHASE_TIMER.dpto_cnt[1]_i_1\ : label is "soft_lutpair8"; attribute SOFT_HLUTNM of \INCLUDE_DPHASE_TIMER.dpto_cnt[2]_i_1\ : label is "soft_lutpair7"; attribute SOFT_HLUTNM of \INCLUDE_DPHASE_TIMER.dpto_cnt[3]_i_2\ : label is "soft_lutpair7"; attribute SOFT_HLUTNM of \bus2ip_addr_i[3]_i_1\ : label is "soft_lutpair6"; attribute SOFT_HLUTNM of bus2ip_rnw_i_i_1 : label is "soft_lutpair6"; begin bus2ip_rnw_i_reg_0 <= \^bus2ip_rnw_i_reg_0\; \ip2bus_data_i_D1_reg[31]\ <= \^ip2bus_data_i_d1_reg[31]\; s_axi_arready <= \^s_axi_arready\; s_axi_bvalid <= \^s_axi_bvalid\; s_axi_rvalid <= \^s_axi_rvalid\; s_axi_wready <= \^s_axi_wready\; \INCLUDE_DPHASE_TIMER.dpto_cnt[0]_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0\(0), O => plusOp(0) ); \INCLUDE_DPHASE_TIMER.dpto_cnt[1]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0\(0), I1 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0\(1), O => plusOp(1) ); \INCLUDE_DPHASE_TIMER.dpto_cnt[2]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"78" ) port map ( I0 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0\(1), I1 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0\(0), I2 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0\(2), O => plusOp(2) ); \INCLUDE_DPHASE_TIMER.dpto_cnt[3]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"9" ) port map ( I0 => state(1), I1 => state(0), O => clear ); \INCLUDE_DPHASE_TIMER.dpto_cnt[3]_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"7F80" ) port map ( I0 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0\(2), I1 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0\(0), I2 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0\(1), I3 => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0\(3), O => plusOp(3) ); \INCLUDE_DPHASE_TIMER.dpto_cnt_reg[0]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => plusOp(0), Q => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0\(0), R => clear ); \INCLUDE_DPHASE_TIMER.dpto_cnt_reg[1]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => plusOp(1), Q => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0\(1), R => clear ); \INCLUDE_DPHASE_TIMER.dpto_cnt_reg[2]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => plusOp(2), Q => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0\(2), R => clear ); \INCLUDE_DPHASE_TIMER.dpto_cnt_reg[3]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => plusOp(3), Q => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0\(3), R => clear ); I_DECODER: entity work.system_axi_gpio_led_0_address_decoder port map ( D(11 downto 0) => D(11 downto 0), \Dual.gpio2_Data_In_reg[0]\(11 downto 0) => \Dual.gpio2_Data_In_reg[0]\(11 downto 0), \Dual.gpio2_Data_Out_reg[0]\(0) => \Dual.gpio2_Data_Out_reg[0]\(0), \Dual.gpio2_OE_reg[0]\(0) => \Dual.gpio2_OE_reg[0]\(0), \Dual.gpio_Data_In_reg[0]\(3 downto 0) => Q(3 downto 0), \Dual.gpio_Data_Out_reg[0]\(0) => \Dual.gpio_Data_Out_reg[0]\(0), E(0) => E(0), GPIO2_DBus_i(11 downto 0) => GPIO2_DBus_i(11 downto 0), GPIO_DBus_i(3 downto 0) => GPIO_DBus_i(3 downto 0), GPIO_xferAck_i => GPIO_xferAck_i, \MEM_DECODE_GEN[0].cs_out_i_reg[0]_0\ => \MEM_DECODE_GEN[0].cs_out_i_reg[0]\, Q(3 downto 0) => \INCLUDE_DPHASE_TIMER.dpto_cnt_reg__0\(3 downto 0), Read_Reg2_In(0 to 11) => Read_Reg2_In(0 to 11), Read_Reg_In(0 to 3) => Read_Reg_In(0 to 3), Read_Reg_Rst => Read_Reg_Rst, \bus2ip_addr_i_reg[8]\(2) => bus2ip_addr(0), \bus2ip_addr_i_reg[8]\(1) => bus2ip_addr(5), \bus2ip_addr_i_reg[8]\(0) => bus2ip_addr(6), bus2ip_rnw_i_reg => \^ip2bus_data_i_d1_reg[31]\, gpio2_io_t(11 downto 0) => gpio2_io_t(11 downto 0), gpio_io_t(3 downto 0) => gpio_io_t(3 downto 0), gpio_xferAck_Reg => gpio_xferAck_Reg, \ip2bus_data_i_D1_reg[20]\(11 downto 0) => \ip2bus_data_i_D1_reg[20]\(11 downto 0), ip2bus_rdack_i_D1 => ip2bus_rdack_i_D1, ip2bus_wrack_i_D1 => ip2bus_wrack_i_D1, is_read => is_read, is_write_reg => is_write_reg_n_0, rst_reg => \^bus2ip_rnw_i_reg_0\, s_axi_aclk => s_axi_aclk, s_axi_aresetn => s_axi_aresetn, s_axi_arready => \^s_axi_arready\, s_axi_wdata(11 downto 0) => s_axi_wdata(11 downto 0), s_axi_wready => \^s_axi_wready\, start2 => start2 ); \bus2ip_addr_i[2]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"ABAAA8AA" ) port map ( I0 => s_axi_awaddr(0), I1 => state(1), I2 => state(0), I3 => s_axi_arvalid, I4 => s_axi_araddr(0), O => \bus2ip_addr_i[2]_i_1_n_0\ ); \bus2ip_addr_i[3]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"ABAAA8AA" ) port map ( I0 => s_axi_awaddr(1), I1 => state(1), I2 => state(0), I3 => s_axi_arvalid, I4 => s_axi_araddr(1), O => \bus2ip_addr_i[3]_i_1_n_0\ ); \bus2ip_addr_i[8]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"ABAAA8AA" ) port map ( I0 => s_axi_awaddr(2), I1 => state(1), I2 => state(0), I3 => s_axi_arvalid, I4 => s_axi_araddr(2), O => \bus2ip_addr_i[8]_i_1_n_0\ ); \bus2ip_addr_i_reg[2]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => start2_i_1_n_0, D => \bus2ip_addr_i[2]_i_1_n_0\, Q => bus2ip_addr(6), R => \^bus2ip_rnw_i_reg_0\ ); \bus2ip_addr_i_reg[3]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => start2_i_1_n_0, D => \bus2ip_addr_i[3]_i_1_n_0\, Q => bus2ip_addr(5), R => \^bus2ip_rnw_i_reg_0\ ); \bus2ip_addr_i_reg[8]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => start2_i_1_n_0, D => \bus2ip_addr_i[8]_i_1_n_0\, Q => bus2ip_addr(0), R => \^bus2ip_rnw_i_reg_0\ ); bus2ip_rnw_i_i_1: unisim.vcomponents.LUT3 generic map( INIT => X"02" ) port map ( I0 => s_axi_arvalid, I1 => state(0), I2 => state(1), O => bus2ip_rnw_i06_out ); bus2ip_rnw_i_reg: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => start2_i_1_n_0, D => bus2ip_rnw_i06_out, Q => \^ip2bus_data_i_d1_reg[31]\, R => \^bus2ip_rnw_i_reg_0\ ); is_read_i_1: unisim.vcomponents.LUT5 generic map( INIT => X"3FFA000A" ) port map ( I0 => s_axi_arvalid, I1 => \state[1]_i_2_n_0\, I2 => state(1), I3 => state(0), I4 => is_read, O => is_read_i_1_n_0 ); is_read_reg: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => is_read_i_1_n_0, Q => is_read, R => \^bus2ip_rnw_i_reg_0\ ); is_write_i_1: unisim.vcomponents.LUT6 generic map( INIT => X"1000FFFF10000000" ) port map ( I0 => state(1), I1 => s_axi_arvalid, I2 => s_axi_wvalid, I3 => s_axi_awvalid, I4 => is_write, I5 => is_write_reg_n_0, O => is_write_i_1_n_0 ); is_write_i_2: unisim.vcomponents.LUT6 generic map( INIT => X"F88800000000FFFF" ) port map ( I0 => s_axi_bready, I1 => \^s_axi_bvalid\, I2 => s_axi_rready, I3 => \^s_axi_rvalid\, I4 => state(1), I5 => state(0), O => is_write ); is_write_reg: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => is_write_i_1_n_0, Q => is_write_reg_n_0, R => \^bus2ip_rnw_i_reg_0\ ); rst_i_1: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => s_axi_aresetn, O => p_1_in ); rst_reg: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => p_1_in, Q => \^bus2ip_rnw_i_reg_0\, R => '0' ); s_axi_bvalid_i_i_1: unisim.vcomponents.LUT5 generic map( INIT => X"08FF0808" ) port map ( I0 => \^s_axi_wready\, I1 => state(1), I2 => state(0), I3 => s_axi_bready, I4 => \^s_axi_bvalid\, O => s_axi_bvalid_i_i_1_n_0 ); s_axi_bvalid_i_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => s_axi_bvalid_i_i_1_n_0, Q => \^s_axi_bvalid\, R => \^bus2ip_rnw_i_reg_0\ ); \s_axi_rdata_i[11]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => state(0), I1 => state(1), O => s_axi_rdata_i ); \s_axi_rdata_i_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => s_axi_rdata_i, D => \ip2bus_data_i_D1_reg[20]_0\(0), Q => s_axi_rdata(0), R => \^bus2ip_rnw_i_reg_0\ ); \s_axi_rdata_i_reg[10]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => s_axi_rdata_i, D => \ip2bus_data_i_D1_reg[20]_0\(10), Q => s_axi_rdata(10), R => \^bus2ip_rnw_i_reg_0\ ); \s_axi_rdata_i_reg[11]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => s_axi_rdata_i, D => \ip2bus_data_i_D1_reg[20]_0\(11), Q => s_axi_rdata(11), R => \^bus2ip_rnw_i_reg_0\ ); \s_axi_rdata_i_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => s_axi_rdata_i, D => \ip2bus_data_i_D1_reg[20]_0\(1), Q => s_axi_rdata(1), R => \^bus2ip_rnw_i_reg_0\ ); \s_axi_rdata_i_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => s_axi_rdata_i, D => \ip2bus_data_i_D1_reg[20]_0\(2), Q => s_axi_rdata(2), R => \^bus2ip_rnw_i_reg_0\ ); \s_axi_rdata_i_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => s_axi_rdata_i, D => \ip2bus_data_i_D1_reg[20]_0\(3), Q => s_axi_rdata(3), R => \^bus2ip_rnw_i_reg_0\ ); \s_axi_rdata_i_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => s_axi_rdata_i, D => \ip2bus_data_i_D1_reg[20]_0\(4), Q => s_axi_rdata(4), R => \^bus2ip_rnw_i_reg_0\ ); \s_axi_rdata_i_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => s_axi_rdata_i, D => \ip2bus_data_i_D1_reg[20]_0\(5), Q => s_axi_rdata(5), R => \^bus2ip_rnw_i_reg_0\ ); \s_axi_rdata_i_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => s_axi_rdata_i, D => \ip2bus_data_i_D1_reg[20]_0\(6), Q => s_axi_rdata(6), R => \^bus2ip_rnw_i_reg_0\ ); \s_axi_rdata_i_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => s_axi_rdata_i, D => \ip2bus_data_i_D1_reg[20]_0\(7), Q => s_axi_rdata(7), R => \^bus2ip_rnw_i_reg_0\ ); \s_axi_rdata_i_reg[8]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => s_axi_rdata_i, D => \ip2bus_data_i_D1_reg[20]_0\(8), Q => s_axi_rdata(8), R => \^bus2ip_rnw_i_reg_0\ ); \s_axi_rdata_i_reg[9]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => s_axi_rdata_i, D => \ip2bus_data_i_D1_reg[20]_0\(9), Q => s_axi_rdata(9), R => \^bus2ip_rnw_i_reg_0\ ); s_axi_rvalid_i_i_1: unisim.vcomponents.LUT5 generic map( INIT => X"08FF0808" ) port map ( I0 => \^s_axi_arready\, I1 => state(0), I2 => state(1), I3 => s_axi_rready, I4 => \^s_axi_rvalid\, O => s_axi_rvalid_i_i_1_n_0 ); s_axi_rvalid_i_reg: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_axi_aclk, CE => '1', D => s_axi_rvalid_i_i_1_n_0, Q => \^s_axi_rvalid\, R => \^bus2ip_rnw_i_reg_0\ ); start2_i_1: unisim.vcomponents.LUT5 generic map( INIT => X"000000F8" ) port map ( I0 => s_axi_awvalid, I1 => s_axi_wvalid, I2 => s_axi_arvalid, I3 => state(0), I4 => state(1), O => start2_i_1_n_0 ); start2_reg: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => start2_i_1_n_0, Q => start2, R => \^bus2ip_rnw_i_reg_0\ ); \state[0]_i_1\: unisim.vcomponents.LUT5 generic map( INIT => X"0FFFAACC" ) port map ( I0 => \^s_axi_wready\, I1 => s_axi_arvalid, I2 => \state[1]_i_2_n_0\, I3 => state(1), I4 => state(0), O => \state[0]_i_1_n_0\ ); \state[1]_i_1\: unisim.vcomponents.LUT6 generic map( INIT => X"2E2E2E2ECCCCFFCC" ) port map ( I0 => \^s_axi_arready\, I1 => state(1), I2 => \state[1]_i_2_n_0\, I3 => \state[1]_i_3_n_0\, I4 => s_axi_arvalid, I5 => state(0), O => \state[1]_i_1_n_0\ ); \state[1]_i_2\: unisim.vcomponents.LUT4 generic map( INIT => X"F888" ) port map ( I0 => s_axi_bready, I1 => \^s_axi_bvalid\, I2 => s_axi_rready, I3 => \^s_axi_rvalid\, O => \state[1]_i_2_n_0\ ); \state[1]_i_3\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => s_axi_awvalid, I1 => s_axi_wvalid, O => \state[1]_i_3_n_0\ ); \state_reg[0]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => \state[0]_i_1_n_0\, Q => state(0), R => \^bus2ip_rnw_i_reg_0\ ); \state_reg[1]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => \state[1]_i_1_n_0\, Q => state(1), R => \^bus2ip_rnw_i_reg_0\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_axi_gpio_led_0_axi_lite_ipif is port ( bus2ip_reset : out STD_LOGIC; bus2ip_rnw : out STD_LOGIC; bus2ip_cs : out STD_LOGIC; s_axi_rvalid : out STD_LOGIC; s_axi_bvalid : out STD_LOGIC; s_axi_arready : out STD_LOGIC; s_axi_wready : out STD_LOGIC; D : out STD_LOGIC_VECTOR ( 11 downto 0 ); \ip2bus_data_i_D1_reg[20]\ : out STD_LOGIC_VECTOR ( 11 downto 0 ); Read_Reg_In : out STD_LOGIC_VECTOR ( 0 to 3 ); E : out STD_LOGIC_VECTOR ( 0 to 0 ); \Dual.gpio_Data_Out_reg[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); Read_Reg2_In : out STD_LOGIC_VECTOR ( 0 to 11 ); \Dual.gpio2_OE_reg[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \Dual.gpio2_Data_Out_reg[0]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); Read_Reg_Rst : out STD_LOGIC; s_axi_rdata : out STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_aclk : in STD_LOGIC; s_axi_arvalid : in STD_LOGIC; ip2bus_rdack_i_D1 : in STD_LOGIC; ip2bus_wrack_i_D1 : in STD_LOGIC; s_axi_bready : in STD_LOGIC; s_axi_rready : in STD_LOGIC; s_axi_awaddr : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_araddr : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awvalid : in STD_LOGIC; s_axi_wvalid : in STD_LOGIC; s_axi_wdata : in STD_LOGIC_VECTOR ( 11 downto 0 ); GPIO2_DBus_i : in STD_LOGIC_VECTOR ( 11 downto 0 ); GPIO_DBus_i : in STD_LOGIC_VECTOR ( 3 downto 0 ); gpio_io_t : in STD_LOGIC_VECTOR ( 3 downto 0 ); Q : in STD_LOGIC_VECTOR ( 3 downto 0 ); gpio2_io_t : in STD_LOGIC_VECTOR ( 11 downto 0 ); \Dual.gpio2_Data_In_reg[0]\ : in STD_LOGIC_VECTOR ( 11 downto 0 ); s_axi_aresetn : in STD_LOGIC; gpio_xferAck_Reg : in STD_LOGIC; GPIO_xferAck_i : in STD_LOGIC; \ip2bus_data_i_D1_reg[20]_0\ : in STD_LOGIC_VECTOR ( 11 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_axi_gpio_led_0_axi_lite_ipif : entity is "axi_lite_ipif"; end system_axi_gpio_led_0_axi_lite_ipif; architecture STRUCTURE of system_axi_gpio_led_0_axi_lite_ipif is begin I_SLAVE_ATTACHMENT: entity work.system_axi_gpio_led_0_slave_attachment port map ( D(11 downto 0) => D(11 downto 0), \Dual.gpio2_Data_In_reg[0]\(11 downto 0) => \Dual.gpio2_Data_In_reg[0]\(11 downto 0), \Dual.gpio2_Data_Out_reg[0]\(0) => \Dual.gpio2_Data_Out_reg[0]\(0), \Dual.gpio2_OE_reg[0]\(0) => \Dual.gpio2_OE_reg[0]\(0), \Dual.gpio_Data_Out_reg[0]\(0) => \Dual.gpio_Data_Out_reg[0]\(0), E(0) => E(0), GPIO2_DBus_i(11 downto 0) => GPIO2_DBus_i(11 downto 0), GPIO_DBus_i(3 downto 0) => GPIO_DBus_i(3 downto 0), GPIO_xferAck_i => GPIO_xferAck_i, \MEM_DECODE_GEN[0].cs_out_i_reg[0]\ => bus2ip_cs, Q(3 downto 0) => Q(3 downto 0), Read_Reg2_In(0 to 11) => Read_Reg2_In(0 to 11), Read_Reg_In(0 to 3) => Read_Reg_In(0 to 3), Read_Reg_Rst => Read_Reg_Rst, bus2ip_rnw_i_reg_0 => bus2ip_reset, gpio2_io_t(11 downto 0) => gpio2_io_t(11 downto 0), gpio_io_t(3 downto 0) => gpio_io_t(3 downto 0), gpio_xferAck_Reg => gpio_xferAck_Reg, \ip2bus_data_i_D1_reg[20]\(11 downto 0) => \ip2bus_data_i_D1_reg[20]\(11 downto 0), \ip2bus_data_i_D1_reg[20]_0\(11 downto 0) => \ip2bus_data_i_D1_reg[20]_0\(11 downto 0), \ip2bus_data_i_D1_reg[31]\ => bus2ip_rnw, ip2bus_rdack_i_D1 => ip2bus_rdack_i_D1, ip2bus_wrack_i_D1 => ip2bus_wrack_i_D1, s_axi_aclk => s_axi_aclk, s_axi_araddr(2 downto 0) => s_axi_araddr(2 downto 0), s_axi_aresetn => s_axi_aresetn, s_axi_arready => s_axi_arready, s_axi_arvalid => s_axi_arvalid, s_axi_awaddr(2 downto 0) => s_axi_awaddr(2 downto 0), s_axi_awvalid => s_axi_awvalid, s_axi_bready => s_axi_bready, s_axi_bvalid => s_axi_bvalid, s_axi_rdata(11 downto 0) => s_axi_rdata(11 downto 0), s_axi_rready => s_axi_rready, s_axi_rvalid => s_axi_rvalid, s_axi_wdata(11 downto 0) => s_axi_wdata(11 downto 0), s_axi_wready => s_axi_wready, s_axi_wvalid => s_axi_wvalid ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_axi_gpio_led_0_axi_gpio is port ( s_axi_aclk : in STD_LOGIC; s_axi_aresetn : in STD_LOGIC; s_axi_awaddr : in STD_LOGIC_VECTOR ( 8 downto 0 ); s_axi_awvalid : in STD_LOGIC; s_axi_awready : out STD_LOGIC; s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_wvalid : in STD_LOGIC; s_axi_wready : out STD_LOGIC; s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_bvalid : out STD_LOGIC; s_axi_bready : in STD_LOGIC; s_axi_araddr : in STD_LOGIC_VECTOR ( 8 downto 0 ); s_axi_arvalid : in STD_LOGIC; s_axi_arready : out STD_LOGIC; s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_rvalid : out STD_LOGIC; s_axi_rready : in STD_LOGIC; ip2intc_irpt : out STD_LOGIC; gpio_io_i : in STD_LOGIC_VECTOR ( 3 downto 0 ); gpio_io_o : out STD_LOGIC_VECTOR ( 3 downto 0 ); gpio_io_t : out STD_LOGIC_VECTOR ( 3 downto 0 ); gpio2_io_i : in STD_LOGIC_VECTOR ( 11 downto 0 ); gpio2_io_o : out STD_LOGIC_VECTOR ( 11 downto 0 ); gpio2_io_t : out STD_LOGIC_VECTOR ( 11 downto 0 ) ); attribute C_ALL_INPUTS : integer; attribute C_ALL_INPUTS of system_axi_gpio_led_0_axi_gpio : entity is 0; attribute C_ALL_INPUTS_2 : integer; attribute C_ALL_INPUTS_2 of system_axi_gpio_led_0_axi_gpio : entity is 0; attribute C_ALL_OUTPUTS : integer; attribute C_ALL_OUTPUTS of system_axi_gpio_led_0_axi_gpio : entity is 0; attribute C_ALL_OUTPUTS_2 : integer; attribute C_ALL_OUTPUTS_2 of system_axi_gpio_led_0_axi_gpio : entity is 0; attribute C_DOUT_DEFAULT : integer; attribute C_DOUT_DEFAULT of system_axi_gpio_led_0_axi_gpio : entity is 0; attribute C_DOUT_DEFAULT_2 : integer; attribute C_DOUT_DEFAULT_2 of system_axi_gpio_led_0_axi_gpio : entity is 0; attribute C_FAMILY : string; attribute C_FAMILY of system_axi_gpio_led_0_axi_gpio : entity is "artix7"; attribute C_GPIO2_WIDTH : integer; attribute C_GPIO2_WIDTH of system_axi_gpio_led_0_axi_gpio : entity is 12; attribute C_GPIO_WIDTH : integer; attribute C_GPIO_WIDTH of system_axi_gpio_led_0_axi_gpio : entity is 4; attribute C_INTERRUPT_PRESENT : integer; attribute C_INTERRUPT_PRESENT of system_axi_gpio_led_0_axi_gpio : entity is 0; attribute C_IS_DUAL : integer; attribute C_IS_DUAL of system_axi_gpio_led_0_axi_gpio : entity is 1; attribute C_S_AXI_ADDR_WIDTH : integer; attribute C_S_AXI_ADDR_WIDTH of system_axi_gpio_led_0_axi_gpio : entity is 9; attribute C_S_AXI_DATA_WIDTH : integer; attribute C_S_AXI_DATA_WIDTH of system_axi_gpio_led_0_axi_gpio : entity is 32; attribute C_TRI_DEFAULT : integer; attribute C_TRI_DEFAULT of system_axi_gpio_led_0_axi_gpio : entity is -1; attribute C_TRI_DEFAULT_2 : integer; attribute C_TRI_DEFAULT_2 of system_axi_gpio_led_0_axi_gpio : entity is -1; attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of system_axi_gpio_led_0_axi_gpio : entity is "axi_gpio"; attribute downgradeipidentifiedwarnings : string; attribute downgradeipidentifiedwarnings of system_axi_gpio_led_0_axi_gpio : entity is "yes"; attribute ip_group : string; attribute ip_group of system_axi_gpio_led_0_axi_gpio : entity is "LOGICORE"; end system_axi_gpio_led_0_axi_gpio; architecture STRUCTURE of system_axi_gpio_led_0_axi_gpio is signal \<const0>\ : STD_LOGIC; signal AXI_LITE_IPIF_I_n_11 : STD_LOGIC; signal AXI_LITE_IPIF_I_n_12 : STD_LOGIC; signal AXI_LITE_IPIF_I_n_13 : STD_LOGIC; signal AXI_LITE_IPIF_I_n_14 : STD_LOGIC; signal AXI_LITE_IPIF_I_n_15 : STD_LOGIC; signal AXI_LITE_IPIF_I_n_16 : STD_LOGIC; signal AXI_LITE_IPIF_I_n_17 : STD_LOGIC; signal AXI_LITE_IPIF_I_n_18 : STD_LOGIC; signal AXI_LITE_IPIF_I_n_35 : STD_LOGIC; signal AXI_LITE_IPIF_I_n_36 : STD_LOGIC; signal AXI_LITE_IPIF_I_n_49 : STD_LOGIC; signal AXI_LITE_IPIF_I_n_50 : STD_LOGIC; signal GPIO2_DBus_i : STD_LOGIC_VECTOR ( 20 to 31 ); signal GPIO_DBus : STD_LOGIC_VECTOR ( 11 downto 0 ); signal GPIO_DBus_i : STD_LOGIC_VECTOR ( 28 to 31 ); signal GPIO_xferAck_i : STD_LOGIC; signal Read_Reg2_In : STD_LOGIC_VECTOR ( 0 to 11 ); signal Read_Reg_In : STD_LOGIC_VECTOR ( 0 to 3 ); signal Read_Reg_Rst : STD_LOGIC; signal bus2ip_cs : STD_LOGIC; signal bus2ip_reset : STD_LOGIC; signal bus2ip_rnw : STD_LOGIC; signal gpio2_Data_In : STD_LOGIC_VECTOR ( 0 to 11 ); signal \^gpio2_io_t\ : STD_LOGIC_VECTOR ( 11 downto 0 ); signal gpio_Data_In : STD_LOGIC_VECTOR ( 0 to 3 ); signal gpio_core_1_n_19 : STD_LOGIC; signal \^gpio_io_t\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal gpio_xferAck_Reg : STD_LOGIC; signal ip2bus_data_i_D1 : STD_LOGIC_VECTOR ( 11 downto 0 ); signal ip2bus_rdack_i : STD_LOGIC; signal ip2bus_rdack_i_D1 : STD_LOGIC; signal ip2bus_wrack_i_D1 : STD_LOGIC; signal p_0_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \^s_axi_rdata\ : STD_LOGIC_VECTOR ( 11 downto 0 ); signal \^s_axi_wready\ : STD_LOGIC; begin gpio2_io_t(11 downto 0) <= \^gpio2_io_t\(11 downto 0); gpio_io_t(3 downto 0) <= \^gpio_io_t\(3 downto 0); ip2intc_irpt <= \<const0>\; s_axi_awready <= \^s_axi_wready\; s_axi_bresp(1) <= \<const0>\; s_axi_bresp(0) <= \<const0>\; s_axi_rdata(31) <= \<const0>\; s_axi_rdata(30) <= \<const0>\; s_axi_rdata(29) <= \<const0>\; s_axi_rdata(28) <= \<const0>\; s_axi_rdata(27) <= \<const0>\; s_axi_rdata(26) <= \<const0>\; s_axi_rdata(25) <= \<const0>\; s_axi_rdata(24) <= \<const0>\; s_axi_rdata(23) <= \<const0>\; s_axi_rdata(22) <= \<const0>\; s_axi_rdata(21) <= \<const0>\; s_axi_rdata(20) <= \<const0>\; s_axi_rdata(19) <= \<const0>\; s_axi_rdata(18) <= \<const0>\; s_axi_rdata(17) <= \<const0>\; s_axi_rdata(16) <= \<const0>\; s_axi_rdata(15) <= \<const0>\; s_axi_rdata(14) <= \<const0>\; s_axi_rdata(13) <= \<const0>\; s_axi_rdata(12) <= \<const0>\; s_axi_rdata(11 downto 0) <= \^s_axi_rdata\(11 downto 0); s_axi_rresp(1) <= \<const0>\; s_axi_rresp(0) <= \<const0>\; s_axi_wready <= \^s_axi_wready\; AXI_LITE_IPIF_I: entity work.system_axi_gpio_led_0_axi_lite_ipif port map ( D(11 downto 8) => p_0_out(3 downto 0), D(7) => AXI_LITE_IPIF_I_n_11, D(6) => AXI_LITE_IPIF_I_n_12, D(5) => AXI_LITE_IPIF_I_n_13, D(4) => AXI_LITE_IPIF_I_n_14, D(3) => AXI_LITE_IPIF_I_n_15, D(2) => AXI_LITE_IPIF_I_n_16, D(1) => AXI_LITE_IPIF_I_n_17, D(0) => AXI_LITE_IPIF_I_n_18, \Dual.gpio2_Data_In_reg[0]\(11) => gpio2_Data_In(0), \Dual.gpio2_Data_In_reg[0]\(10) => gpio2_Data_In(1), \Dual.gpio2_Data_In_reg[0]\(9) => gpio2_Data_In(2), \Dual.gpio2_Data_In_reg[0]\(8) => gpio2_Data_In(3), \Dual.gpio2_Data_In_reg[0]\(7) => gpio2_Data_In(4), \Dual.gpio2_Data_In_reg[0]\(6) => gpio2_Data_In(5), \Dual.gpio2_Data_In_reg[0]\(5) => gpio2_Data_In(6), \Dual.gpio2_Data_In_reg[0]\(4) => gpio2_Data_In(7), \Dual.gpio2_Data_In_reg[0]\(3) => gpio2_Data_In(8), \Dual.gpio2_Data_In_reg[0]\(2) => gpio2_Data_In(9), \Dual.gpio2_Data_In_reg[0]\(1) => gpio2_Data_In(10), \Dual.gpio2_Data_In_reg[0]\(0) => gpio2_Data_In(11), \Dual.gpio2_Data_Out_reg[0]\(0) => AXI_LITE_IPIF_I_n_50, \Dual.gpio2_OE_reg[0]\(0) => AXI_LITE_IPIF_I_n_49, \Dual.gpio_Data_Out_reg[0]\(0) => AXI_LITE_IPIF_I_n_36, E(0) => AXI_LITE_IPIF_I_n_35, GPIO2_DBus_i(11) => GPIO2_DBus_i(20), GPIO2_DBus_i(10) => GPIO2_DBus_i(21), GPIO2_DBus_i(9) => GPIO2_DBus_i(22), GPIO2_DBus_i(8) => GPIO2_DBus_i(23), GPIO2_DBus_i(7) => GPIO2_DBus_i(24), GPIO2_DBus_i(6) => GPIO2_DBus_i(25), GPIO2_DBus_i(5) => GPIO2_DBus_i(26), GPIO2_DBus_i(4) => GPIO2_DBus_i(27), GPIO2_DBus_i(3) => GPIO2_DBus_i(28), GPIO2_DBus_i(2) => GPIO2_DBus_i(29), GPIO2_DBus_i(1) => GPIO2_DBus_i(30), GPIO2_DBus_i(0) => GPIO2_DBus_i(31), GPIO_DBus_i(3) => GPIO_DBus_i(28), GPIO_DBus_i(2) => GPIO_DBus_i(29), GPIO_DBus_i(1) => GPIO_DBus_i(30), GPIO_DBus_i(0) => GPIO_DBus_i(31), GPIO_xferAck_i => GPIO_xferAck_i, Q(3) => gpio_Data_In(0), Q(2) => gpio_Data_In(1), Q(1) => gpio_Data_In(2), Q(0) => gpio_Data_In(3), Read_Reg2_In(0 to 11) => Read_Reg2_In(0 to 11), Read_Reg_In(0 to 3) => Read_Reg_In(0 to 3), Read_Reg_Rst => Read_Reg_Rst, bus2ip_cs => bus2ip_cs, bus2ip_reset => bus2ip_reset, bus2ip_rnw => bus2ip_rnw, gpio2_io_t(11 downto 0) => \^gpio2_io_t\(11 downto 0), gpio_io_t(3 downto 0) => \^gpio_io_t\(3 downto 0), gpio_xferAck_Reg => gpio_xferAck_Reg, \ip2bus_data_i_D1_reg[20]\(11 downto 0) => GPIO_DBus(11 downto 0), \ip2bus_data_i_D1_reg[20]_0\(11 downto 0) => ip2bus_data_i_D1(11 downto 0), ip2bus_rdack_i_D1 => ip2bus_rdack_i_D1, ip2bus_wrack_i_D1 => ip2bus_wrack_i_D1, s_axi_aclk => s_axi_aclk, s_axi_araddr(2) => s_axi_araddr(8), s_axi_araddr(1 downto 0) => s_axi_araddr(3 downto 2), s_axi_aresetn => s_axi_aresetn, s_axi_arready => s_axi_arready, s_axi_arvalid => s_axi_arvalid, s_axi_awaddr(2) => s_axi_awaddr(8), s_axi_awaddr(1 downto 0) => s_axi_awaddr(3 downto 2), s_axi_awvalid => s_axi_awvalid, s_axi_bready => s_axi_bready, s_axi_bvalid => s_axi_bvalid, s_axi_rdata(11 downto 0) => \^s_axi_rdata\(11 downto 0), s_axi_rready => s_axi_rready, s_axi_rvalid => s_axi_rvalid, s_axi_wdata(11 downto 0) => s_axi_wdata(11 downto 0), s_axi_wready => \^s_axi_wready\, s_axi_wvalid => s_axi_wvalid ); GND: unisim.vcomponents.GND port map ( G => \<const0>\ ); gpio_core_1: entity work.system_axi_gpio_led_0_GPIO_Core port map ( D(11 downto 8) => p_0_out(3 downto 0), D(7) => AXI_LITE_IPIF_I_n_11, D(6) => AXI_LITE_IPIF_I_n_12, D(5) => AXI_LITE_IPIF_I_n_13, D(4) => AXI_LITE_IPIF_I_n_14, D(3) => AXI_LITE_IPIF_I_n_15, D(2) => AXI_LITE_IPIF_I_n_16, D(1) => AXI_LITE_IPIF_I_n_17, D(0) => AXI_LITE_IPIF_I_n_18, \Dual.READ_REG_GEN[0].GPIO_DBus_i_reg[28]_0\(3) => gpio_Data_In(0), \Dual.READ_REG_GEN[0].GPIO_DBus_i_reg[28]_0\(2) => gpio_Data_In(1), \Dual.READ_REG_GEN[0].GPIO_DBus_i_reg[28]_0\(1) => gpio_Data_In(2), \Dual.READ_REG_GEN[0].GPIO_DBus_i_reg[28]_0\(0) => gpio_Data_In(3), E(0) => AXI_LITE_IPIF_I_n_36, GPIO2_DBus_i(11) => GPIO2_DBus_i(20), GPIO2_DBus_i(10) => GPIO2_DBus_i(21), GPIO2_DBus_i(9) => GPIO2_DBus_i(22), GPIO2_DBus_i(8) => GPIO2_DBus_i(23), GPIO2_DBus_i(7) => GPIO2_DBus_i(24), GPIO2_DBus_i(6) => GPIO2_DBus_i(25), GPIO2_DBus_i(5) => GPIO2_DBus_i(26), GPIO2_DBus_i(4) => GPIO2_DBus_i(27), GPIO2_DBus_i(3) => GPIO2_DBus_i(28), GPIO2_DBus_i(2) => GPIO2_DBus_i(29), GPIO2_DBus_i(1) => GPIO2_DBus_i(30), GPIO2_DBus_i(0) => GPIO2_DBus_i(31), GPIO_DBus_i(3) => GPIO_DBus_i(28), GPIO_DBus_i(2) => GPIO_DBus_i(29), GPIO_DBus_i(1) => GPIO_DBus_i(30), GPIO_DBus_i(0) => GPIO_DBus_i(31), GPIO_xferAck_i => GPIO_xferAck_i, Q(11) => gpio2_Data_In(0), Q(10) => gpio2_Data_In(1), Q(9) => gpio2_Data_In(2), Q(8) => gpio2_Data_In(3), Q(7) => gpio2_Data_In(4), Q(6) => gpio2_Data_In(5), Q(5) => gpio2_Data_In(6), Q(4) => gpio2_Data_In(7), Q(3) => gpio2_Data_In(8), Q(2) => gpio2_Data_In(9), Q(1) => gpio2_Data_In(10), Q(0) => gpio2_Data_In(11), Read_Reg2_In(0 to 11) => Read_Reg2_In(0 to 11), Read_Reg_In(0 to 3) => Read_Reg_In(0 to 3), Read_Reg_Rst => Read_Reg_Rst, SS(0) => bus2ip_reset, bus2ip_cs => bus2ip_cs, bus2ip_rnw => bus2ip_rnw, bus2ip_rnw_i_reg(0) => AXI_LITE_IPIF_I_n_35, bus2ip_rnw_i_reg_0(0) => AXI_LITE_IPIF_I_n_50, bus2ip_rnw_i_reg_1(0) => AXI_LITE_IPIF_I_n_49, gpio2_io_i(11 downto 0) => gpio2_io_i(11 downto 0), gpio2_io_o(11 downto 0) => gpio2_io_o(11 downto 0), gpio2_io_t(11 downto 0) => \^gpio2_io_t\(11 downto 0), gpio_io_i(3 downto 0) => gpio_io_i(3 downto 0), gpio_io_o(3 downto 0) => gpio_io_o(3 downto 0), gpio_io_t(3 downto 0) => \^gpio_io_t\(3 downto 0), gpio_xferAck_Reg => gpio_xferAck_Reg, ip2bus_rdack_i => ip2bus_rdack_i, ip2bus_wrack_i_D1_reg => gpio_core_1_n_19, s_axi_aclk => s_axi_aclk ); \ip2bus_data_i_D1_reg[20]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => GPIO_DBus(11), Q => ip2bus_data_i_D1(11), R => bus2ip_reset ); \ip2bus_data_i_D1_reg[21]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => GPIO_DBus(10), Q => ip2bus_data_i_D1(10), R => bus2ip_reset ); \ip2bus_data_i_D1_reg[22]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => GPIO_DBus(9), Q => ip2bus_data_i_D1(9), R => bus2ip_reset ); \ip2bus_data_i_D1_reg[23]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => GPIO_DBus(8), Q => ip2bus_data_i_D1(8), R => bus2ip_reset ); \ip2bus_data_i_D1_reg[24]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => GPIO_DBus(7), Q => ip2bus_data_i_D1(7), R => bus2ip_reset ); \ip2bus_data_i_D1_reg[25]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => GPIO_DBus(6), Q => ip2bus_data_i_D1(6), R => bus2ip_reset ); \ip2bus_data_i_D1_reg[26]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => GPIO_DBus(5), Q => ip2bus_data_i_D1(5), R => bus2ip_reset ); \ip2bus_data_i_D1_reg[27]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => GPIO_DBus(4), Q => ip2bus_data_i_D1(4), R => bus2ip_reset ); \ip2bus_data_i_D1_reg[28]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => GPIO_DBus(3), Q => ip2bus_data_i_D1(3), R => bus2ip_reset ); \ip2bus_data_i_D1_reg[29]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => GPIO_DBus(2), Q => ip2bus_data_i_D1(2), R => bus2ip_reset ); \ip2bus_data_i_D1_reg[30]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => GPIO_DBus(1), Q => ip2bus_data_i_D1(1), R => bus2ip_reset ); \ip2bus_data_i_D1_reg[31]\: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => GPIO_DBus(0), Q => ip2bus_data_i_D1(0), R => bus2ip_reset ); ip2bus_rdack_i_D1_reg: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => ip2bus_rdack_i, Q => ip2bus_rdack_i_D1, R => bus2ip_reset ); ip2bus_wrack_i_D1_reg: unisim.vcomponents.FDRE port map ( C => s_axi_aclk, CE => '1', D => gpio_core_1_n_19, Q => ip2bus_wrack_i_D1, R => bus2ip_reset ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity system_axi_gpio_led_0 is port ( s_axi_aclk : in STD_LOGIC; s_axi_aresetn : in STD_LOGIC; s_axi_awaddr : in STD_LOGIC_VECTOR ( 8 downto 0 ); s_axi_awvalid : in STD_LOGIC; s_axi_awready : out STD_LOGIC; s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_wvalid : in STD_LOGIC; s_axi_wready : out STD_LOGIC; s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_bvalid : out STD_LOGIC; s_axi_bready : in STD_LOGIC; s_axi_araddr : in STD_LOGIC_VECTOR ( 8 downto 0 ); s_axi_arvalid : in STD_LOGIC; s_axi_arready : out STD_LOGIC; s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_rvalid : out STD_LOGIC; s_axi_rready : in STD_LOGIC; gpio_io_i : in STD_LOGIC_VECTOR ( 3 downto 0 ); gpio_io_o : out STD_LOGIC_VECTOR ( 3 downto 0 ); gpio_io_t : out STD_LOGIC_VECTOR ( 3 downto 0 ); gpio2_io_i : in STD_LOGIC_VECTOR ( 11 downto 0 ); gpio2_io_o : out STD_LOGIC_VECTOR ( 11 downto 0 ); gpio2_io_t : out STD_LOGIC_VECTOR ( 11 downto 0 ) ); attribute NotValidForBitStream : boolean; attribute NotValidForBitStream of system_axi_gpio_led_0 : entity is true; attribute CHECK_LICENSE_TYPE : string; attribute CHECK_LICENSE_TYPE of system_axi_gpio_led_0 : entity is "system_axi_gpio_led_0,axi_gpio,{}"; attribute downgradeipidentifiedwarnings : string; attribute downgradeipidentifiedwarnings of system_axi_gpio_led_0 : entity is "yes"; attribute x_core_info : string; attribute x_core_info of system_axi_gpio_led_0 : entity is "axi_gpio,Vivado 2016.4"; end system_axi_gpio_led_0; architecture STRUCTURE of system_axi_gpio_led_0 is signal NLW_U0_ip2intc_irpt_UNCONNECTED : STD_LOGIC; attribute C_ALL_INPUTS : integer; attribute C_ALL_INPUTS of U0 : label is 0; attribute C_ALL_INPUTS_2 : integer; attribute C_ALL_INPUTS_2 of U0 : label is 0; attribute C_ALL_OUTPUTS : integer; attribute C_ALL_OUTPUTS of U0 : label is 0; attribute C_ALL_OUTPUTS_2 : integer; attribute C_ALL_OUTPUTS_2 of U0 : label is 0; attribute C_DOUT_DEFAULT : integer; attribute C_DOUT_DEFAULT of U0 : label is 0; attribute C_DOUT_DEFAULT_2 : integer; attribute C_DOUT_DEFAULT_2 of U0 : label is 0; attribute C_FAMILY : string; attribute C_FAMILY of U0 : label is "artix7"; attribute C_GPIO2_WIDTH : integer; attribute C_GPIO2_WIDTH of U0 : label is 12; attribute C_GPIO_WIDTH : integer; attribute C_GPIO_WIDTH of U0 : label is 4; attribute C_INTERRUPT_PRESENT : integer; attribute C_INTERRUPT_PRESENT of U0 : label is 0; attribute C_IS_DUAL : integer; attribute C_IS_DUAL of U0 : label is 1; attribute C_S_AXI_ADDR_WIDTH : integer; attribute C_S_AXI_ADDR_WIDTH of U0 : label is 9; attribute C_S_AXI_DATA_WIDTH : integer; attribute C_S_AXI_DATA_WIDTH of U0 : label is 32; attribute C_TRI_DEFAULT : integer; attribute C_TRI_DEFAULT of U0 : label is -1; attribute C_TRI_DEFAULT_2 : integer; attribute C_TRI_DEFAULT_2 of U0 : label is -1; attribute downgradeipidentifiedwarnings of U0 : label is "yes"; attribute ip_group : string; attribute ip_group of U0 : label is "LOGICORE"; begin U0: entity work.system_axi_gpio_led_0_axi_gpio port map ( gpio2_io_i(11 downto 0) => gpio2_io_i(11 downto 0), gpio2_io_o(11 downto 0) => gpio2_io_o(11 downto 0), gpio2_io_t(11 downto 0) => gpio2_io_t(11 downto 0), gpio_io_i(3 downto 0) => gpio_io_i(3 downto 0), gpio_io_o(3 downto 0) => gpio_io_o(3 downto 0), gpio_io_t(3 downto 0) => gpio_io_t(3 downto 0), ip2intc_irpt => NLW_U0_ip2intc_irpt_UNCONNECTED, s_axi_aclk => s_axi_aclk, s_axi_araddr(8 downto 0) => s_axi_araddr(8 downto 0), s_axi_aresetn => s_axi_aresetn, s_axi_arready => s_axi_arready, s_axi_arvalid => s_axi_arvalid, s_axi_awaddr(8 downto 0) => s_axi_awaddr(8 downto 0), s_axi_awready => s_axi_awready, s_axi_awvalid => s_axi_awvalid, s_axi_bready => s_axi_bready, s_axi_bresp(1 downto 0) => s_axi_bresp(1 downto 0), s_axi_bvalid => s_axi_bvalid, s_axi_rdata(31 downto 0) => s_axi_rdata(31 downto 0), s_axi_rready => s_axi_rready, s_axi_rresp(1 downto 0) => s_axi_rresp(1 downto 0), s_axi_rvalid => s_axi_rvalid, s_axi_wdata(31 downto 0) => s_axi_wdata(31 downto 0), s_axi_wready => s_axi_wready, s_axi_wstrb(3 downto 0) => s_axi_wstrb(3 downto 0), s_axi_wvalid => s_axi_wvalid ); end STRUCTURE;
apache-2.0
alainmarcel/Surelog
third_party/tests/ariane/fpga/src/apb_uart/src/uart_baudgen.vhd
5
2234
-- -- UART Baudrate generator -- -- Author: Sebastian Witt -- Date: 27.01.2008 -- Version: 1.1 -- -- This code is free software; you can redistribute it and/or -- modify it under the terms of the GNU Lesser General Public -- License as published by the Free Software Foundation; either -- version 2.1 of the License, or (at your option) any later version. -- -- This code is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU -- Lesser General Public License for more details. -- -- You should have received a copy of the GNU Lesser General Public -- License along with this library; if not, write to the -- Free Software Foundation, Inc., 59 Temple Place, Suite 330, -- Boston, MA 02111-1307 USA -- LIBRARY IEEE; USE IEEE.std_logic_1164.all; USE IEEE.numeric_std.all; -- Serial UART baudrate generator entity uart_baudgen is port ( CLK : in std_logic; -- Clock RST : in std_logic; -- Reset CE : in std_logic; -- Clock enable CLEAR : in std_logic; -- Reset generator (synchronization) DIVIDER : in std_logic_vector(15 downto 0); -- Clock divider BAUDTICK : out std_logic -- 16xBaudrate tick ); end uart_baudgen; architecture rtl of uart_baudgen is -- Signals signal iCounter : unsigned(15 downto 0); begin -- Baudrate counter BG_COUNT: process (CLK, RST) begin if (RST = '1') then iCounter <= (others => '0'); BAUDTICK <= '0'; elsif (CLK'event and CLK = '1') then if (CLEAR = '1') then iCounter <= (others => '0'); elsif (CE = '1') then iCounter <= iCounter + 1; end if; BAUDTICK <= '0'; if (iCounter = unsigned(DIVIDER)) then iCounter <= (others => '0'); BAUDTICK <= '1'; end if; end if; end process; end rtl;
apache-2.0
alainmarcel/Surelog
third_party/tests/YosysTestSuite/sva/basic05.vhd
11
473
library ieee; use ieee.std_logic_1164.all; entity demo is port ( clock : in std_logic; ctrl : in std_logic; x : out std_logic ); end entity; architecture rtl of demo is signal read : std_logic := '0'; signal write : std_logic := '0'; signal ready : std_logic := '0'; begin process (clock) begin if (rising_edge(clock)) then read <= not ctrl; write <= ctrl; ready <= write; end if; end process; x <= read xor write xor ready; end architecture;
apache-2.0
Paebbels/PicoBlaze-Examples
vhdl/ExampleDesign/IICBus.vhdl
1
12491
-- EMACS settings: -*- tab-width: 2; indent-tabs-mode: t -*- -- vim: tabstop=2:shiftwidth=2:noexpandtab -- kate: tab-width 2; replace-tabs off; indent-width 2; -- -- ============================================================================ -- Module: I2C Bus -- -- Authors: Patrick Lehmann -- -- Description: -- ------------------------------------ -- TODO -- -- -- License: -- ============================================================================ -- Copyright 2007-2014 Technische Universitaet Dresden - Germany, -- Chair for VLSI-Design, Diagnostics and Architecture -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. -- ============================================================================ LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.NUMERIC_STD.ALL; LIBRARY PoC; USE PoC.config.ALL; USE PoC.utils.ALL; USE PoC.vectors.ALL; USE PoC.physical.ALL; USE PoC.io.ALL; ENTITY IICBus IS GENERIC ( DEBUG : BOOLEAN := FALSE; CLOCK_FREQ : FREQ := 100.0 MHz ); PORT ( Clock : IN STD_LOGIC; Reset : IN STD_LOGIC; PUC_IICMaster_Request : IN STD_LOGIC; PUC_IICMaster_Grant : OUT STD_LOGIC; PUC_IICMaster_Command : IN T_IO_IIC_COMMAND; PUC_IICMaster_Status : OUT T_IO_IIC_STATUS; PUC_IICMaster_Error : OUT T_IO_IIC_ERROR; PUC_IICMaster_Address : IN STD_LOGIC_VECTOR(6 DOWNTO 0); PUC_IICMaster_WP_Valid : IN STD_LOGIC; PUC_IICMaster_WP_Data : IN T_SLV_8; PUC_IICMaster_WP_Last : IN STD_LOGIC; PUC_IICMaster_WP_Ack : OUT STD_LOGIC; PUC_IICMaster_RP_Valid : OUT STD_LOGIC; PUC_IICMaster_RP_Data : OUT T_SLV_8; PUC_IICMaster_RP_Last : OUT STD_LOGIC; PUC_IICMaster_RP_Ack : IN STD_LOGIC; SFP_IICMaster_Request : IN STD_LOGIC; SFP_IICMaster_Grant : OUT STD_LOGIC; SFP_IICMaster_Command : IN T_IO_IIC_COMMAND; SFP_IICMaster_Status : OUT T_IO_IIC_STATUS; SFP_IICMaster_Error : OUT T_IO_IIC_ERROR; SFP_IICMaster_Address : IN STD_LOGIC_VECTOR(6 DOWNTO 0); SFP_IICMaster_WP_Valid : IN STD_LOGIC; SFP_IICMaster_WP_Data : IN T_SLV_8; SFP_IICMaster_WP_Last : IN STD_LOGIC; SFP_IICMaster_WP_Ack : OUT STD_LOGIC; SFP_IICMaster_RP_Valid : OUT STD_LOGIC; SFP_IICMaster_RP_Data : OUT T_SLV_8; SFP_IICMaster_RP_Last : OUT STD_LOGIC; SFP_IICMaster_RP_Ack : IN STD_LOGIC; PB_IICMaster_Request : IN STD_LOGIC; PB_IICMaster_Grant : OUT STD_LOGIC; PB_IICMaster_Command : IN T_IO_IIC_COMMAND; PB_IICMaster_Status : OUT T_IO_IIC_STATUS; PB_IICMaster_Error : OUT T_IO_IIC_ERROR; PB_IICMaster_Address : IN STD_LOGIC_VECTOR(6 DOWNTO 0); PB_IICMaster_WP_Valid : IN STD_LOGIC; PB_IICMaster_WP_Data : IN T_SLV_8; PB_IICMaster_WP_Last : IN STD_LOGIC; PB_IICMaster_WP_Ack : OUT STD_LOGIC; PB_IICMaster_RP_Valid : OUT STD_LOGIC; PB_IICMaster_RP_Data : OUT T_SLV_8; PB_IICMaster_RP_Last : OUT STD_LOGIC; PB_IICMaster_RP_Ack : IN STD_LOGIC; IIC_SerialClock_i : IN STD_LOGIC; IIC_SerialClock_o : OUT STD_LOGIC; IIC_SerialClock_t : OUT STD_LOGIC; IIC_SerialData_i : IN STD_LOGIC; IIC_SerialData_o : OUT STD_LOGIC; IIC_SerialData_t : OUT STD_LOGIC; IICSwitch_Reset : OUT STD_LOGIC ); END; ARCHITECTURE rtl OF IICBus IS ATTRIBUTE KEEP : BOOLEAN; CONSTANT IIC_BUSMODE : T_IO_IIC_BUSMODE := IO_IIC_BUSMODE_STANDARDMODE; -- 100 kHz CONSTANT IICBUS_ADDRESS_BITS : POSITIVE := 7; CONSTANT IICBUS_DATA_BITS : POSITIVE := 8; CONSTANT IICSWITCH_ADDRESS : T_SLV_8 := x"00"; CONSTANT IICSWITCH_UNUSED_LIST : T_NATVEC := (1, 2, 3, 5, 6, 7); -- 0 => 1, 1 => 2, 2 => 3, -- 3 => 5, 4 => 6, 5 => 7 -- ); CONSTANT ADD_BYPASS_PORT : BOOLEAN := TRUE; CONSTANT PORTS : POSITIVE := 9; SIGNAL IICMasters_Request : STD_LOGIC_VECTOR(PORTS - 1 DOWNTO 0); SIGNAL IICSwitch_Grant : STD_LOGIC_VECTOR(PORTS - 1 DOWNTO 0); SIGNAL IICMasters_Command : T_IO_IIC_COMMAND_VECTOR(PORTS - 1 DOWNTO 0); SIGNAL IICSwitch_Status : T_IO_IIC_STATUS_VECTOR(PORTS - 1 DOWNTO 0); SIGNAL IICMasters_Error : T_IO_IIC_ERROR_VECTOR(PORTS - 1 DOWNTO 0); SIGNAL IICMasters_Address : T_SLM(PORTS - 1 DOWNTO 0, IICBUS_ADDRESS_BITS - 1 DOWNTO 0) := (OTHERS => (OTHERS => 'Z')); SIGNAL IICMasters_WP_Valid : STD_LOGIC_VECTOR(PORTS - 1 DOWNTO 0); SIGNAL IICMasters_WP_Data : T_SLM(PORTS - 1 DOWNTO 0, IICBUS_DATA_BITS - 1 DOWNTO 0) := (OTHERS => (OTHERS => 'Z')); SIGNAL IICMasters_WP_Last : STD_LOGIC_VECTOR(PORTS - 1 DOWNTO 0); SIGNAL IICSwitch_WP_Ack : STD_LOGIC_VECTOR(PORTS - 1 DOWNTO 0); SIGNAL IICSwitch_RP_Valid : STD_LOGIC_VECTOR(PORTS - 1 DOWNTO 0); SIGNAL IICSwitch_RP_Data : T_SLM(PORTS - 1 DOWNTO 0, IICBUS_DATA_BITS - 1 DOWNTO 0);-- := (OTHERS => (OTHERS => 'Z')); SIGNAL IICSwitch_RP_Last : STD_LOGIC_VECTOR(PORTS - 1 DOWNTO 0); SIGNAL IICMasters_RP_Ack : STD_LOGIC_VECTOR(PORTS - 1 DOWNTO 0); SIGNAL IICSwitch_IICC_Request : STD_LOGIC; SIGNAL IICC_IICSwitch_Grant : STD_LOGIC; SIGNAL IICSwitch_IICC_Command : T_IO_IIC_COMMAND; SIGNAL IICC_IICSwitch_Status : T_IO_IIC_STATUS; SIGNAL IICC_IICSwitch_Error : T_IO_IIC_ERROR; SIGNAL IICSWITCH_IICC_Address : STD_LOGIC_VECTOR(IICBUS_ADDRESS_BITS - 1 DOWNTO 0); SIGNAL IICSwitch_IICC_Valid : STD_LOGIC; SIGNAL IICSwitch_IICC_Data : STD_LOGIC_VECTOR(IICBUS_DATA_BITS - 1 DOWNTO 0); SIGNAL IICSwitch_IICC_Last : STD_LOGIC; SIGNAL IICC_IICSwitch_Ack : STD_LOGIC; SIGNAL IICC_IICSwitch_Valid : STD_LOGIC; SIGNAL IICC_IICSwitch_Data : STD_LOGIC_VECTOR(IICBUS_DATA_BITS - 1 DOWNTO 0); SIGNAL IICC_IICSwitch_Last : STD_LOGIC; SIGNAL IICSwitch_IICC_Ack : STD_LOGIC; BEGIN -- I2C 8-Channel Switch Ports -- ========================================================================== -- Port 0 - programmable user clock IICMasters_Request(0) <= PUC_IICMaster_Request; PUC_IICMaster_Grant <= IICSwitch_Grant(0); IICMasters_Command(0) <= PUC_IICMaster_Command; PUC_IICMaster_Status <= IICSwitch_Status(0); PUC_IICMaster_Error <= IICMasters_Error(0); assign_row(IICMasters_Address, PUC_IICMaster_Address, 0); IICMasters_WP_Valid(0) <= PUC_IICMaster_WP_Valid; assign_row(IICMasters_WP_Data, PUC_IICMaster_WP_Data, 0); IICMasters_WP_Last(0) <= PUC_IICMaster_WP_Last; PUC_IICMaster_WP_Ack <= IICSwitch_WP_Ack(0); PUC_IICMaster_RP_Valid <= IICSwitch_RP_Valid(0); PUC_IICMaster_RP_Data <= get_row(IICSwitch_RP_Data, 0); PUC_IICMaster_RP_Last <= IICSwitch_RP_Last(0); IICMasters_RP_Ack(0) <= PUC_IICMaster_RP_Ack; -- Port 4 - SFP+ cage IICMasters_Request(4) <= SFP_IICMaster_Request; SFP_IICMaster_Grant <= IICSwitch_Grant(4); IICMasters_Command(4) <= SFP_IICMaster_Command; SFP_IICMaster_Status <= IICSwitch_Status(4); SFP_IICMaster_Error <= IICMasters_Error(4); assign_row(IICMasters_Address, SFP_IICMaster_Address, 4); IICMasters_WP_Valid(4) <= SFP_IICMaster_WP_Valid; assign_row(IICMasters_WP_Data, SFp_IICMaster_WP_Data, 4); IICMasters_WP_Last(4) <= SFP_IICMaster_WP_Last; SFP_IICMaster_WP_Ack <= IICSwitch_WP_Ack(4); SFP_IICMaster_RP_Valid <= IICSwitch_RP_Valid(4); SFP_IICMaster_RP_Data <= get_row(IICSwitch_RP_Data, 4); SFP_IICMaster_RP_Last <= IICSwitch_RP_Last(4); IICMasters_RP_Ack(4) <= SFP_IICMaster_RP_Ack; -- Port 8 (bypass) - MicroControllerAdapter IICMasters_Request(8) <= PB_IICMaster_Request; PB_IICMaster_Grant <= IICSwitch_Grant(8); IICMasters_Command(8) <= PB_IICMaster_Command; PB_IICMaster_Status <= IICSwitch_Status(8); PB_IICMaster_Error <= IICMasters_Error(8); assign_row(IICMasters_Address, PB_IICMaster_Address, 8); IICMasters_WP_Valid(8) <= PB_IICMaster_WP_Valid; assign_row(IICMasters_WP_Data, PB_IICMaster_WP_Data, 8); IICMasters_WP_Last(8) <= PB_IICMaster_WP_Last; PB_IICMaster_WP_Ack <= IICSwitch_WP_Ack(8); PB_IICMaster_RP_Valid <= IICSwitch_RP_Valid(8); PB_IICMaster_RP_Data <= get_row(IICSwitch_RP_Data, 8); PB_IICMaster_RP_Last <= IICSwitch_RP_Last(8); IICMasters_RP_Ack(8) <= PB_IICMaster_RP_Ack; -- unused ports genUnused : FOR I IN IICSWITCH_UNUSED_LIST'range GENERATE IICMasters_Request( IICSWITCH_UNUSED_LIST(I)) <= '0'; IICMasters_Command( IICSWITCH_UNUSED_LIST(I)) <= IO_IIC_CMD_NONE; IICMasters_WP_Valid(IICSWITCH_UNUSED_LIST(I)) <= '0'; IICMasters_WP_Last( IICSWITCH_UNUSED_LIST(I)) <= '0'; IICMasters_RP_Ack( IICSWITCH_UNUSED_LIST(I)) <= '0'; assign_row(IICMasters_Address, (0 TO IICBUS_ADDRESS_BITS - 1 => '0'), IICSWITCH_UNUSED_LIST(I)); assign_row(IICMasters_WP_Data, (0 TO IICBUS_DATA_BITS - 1 => '0'), IICSWITCH_UNUSED_LIST(I)); END GENERATE; IICSwitch : ENTITY PoC.iic_IICSwitch_PCA9548A GENERIC MAP ( DEBUG => DEBUG, ALLOW_MEALY_TRANSITION => FALSE, SWITCH_ADDRESS => IICSWITCH_ADDRESS, ADD_BYPASS_PORT => ADD_BYPASS_PORT, ADDRESS_BITS => IICBUS_ADDRESS_BITS, DATA_BITS => IICBUS_DATA_BITS ) PORT MAP ( Clock => Clock, Reset => Reset, -- IICSwitch interface ports Request => IICMasters_Request, Grant => IICSwitch_Grant, Command => IICMasters_Command, Status => IICSwitch_Status, Error => IICMasters_Error, Address => IICMasters_Address, WP_Valid => IICMasters_WP_Valid, WP_Data => IICMasters_WP_Data, WP_Last => IICMasters_WP_Last, WP_Ack => IICSwitch_WP_Ack, RP_Valid => IICSwitch_RP_Valid, RP_Data => IICSwitch_RP_Data, RP_Last => IICSwitch_RP_Last, RP_Ack => IICMasters_RP_Ack, -- IICController master interface IICC_Request => IICSwitch_IICC_Request, IICC_Grant => IICC_IICSwitch_Grant, IICC_Command => IICSwitch_IICC_Command, IICC_Status => IICC_IICSwitch_Status, IICC_Error => IICC_IICSwitch_Error, IICC_Address => IICSWITCH_IICC_Address, IICC_WP_Valid => IICSwitch_IICC_Valid, IICC_WP_Data => IICSwitch_IICC_Data, IICC_WP_Last => IICSwitch_IICC_Last, IICC_WP_Ack => IICC_IICSwitch_Ack, IICC_RP_Valid => IICC_IICSwitch_Valid, IICC_RP_Data => IICC_IICSwitch_Data, IICC_RP_Last => IICC_IICSwitch_Last, IICC_RP_Ack => IICSwitch_IICC_Ack, IICSwitch_Reset => IICSwitch_Reset ); IICC : ENTITY PoC.iic_IICController GENERIC MAP ( DEBUG => DEBUG, ALLOW_MEALY_TRANSITION => FALSE, CLOCK_FREQ => CLOCK_FREQ, IIC_BUSMODE => IIC_BUSMODE, IIC_ADDRESS => x"01", ADDRESS_BITS => IICBUS_ADDRESS_BITS, DATA_BITS => IICBUS_DATA_BITS ) PORT MAP ( Clock => Clock, Reset => Reset, -- IICController master interface Master_Request => IICSwitch_IICC_Request, Master_Grant => IICC_IICSwitch_Grant, Master_Command => IICSwitch_IICC_Command, Master_Status => IICC_IICSwitch_Status, Master_Error => IICC_IICSwitch_Error, Master_Address => IICSWITCH_IICC_Address, Master_WP_Valid => IICSwitch_IICC_Valid, Master_WP_Data => IICSwitch_IICC_Data, Master_WP_Last => IICSwitch_IICC_Last, Master_WP_Ack => IICC_IICSwitch_Ack, Master_RP_Valid => IICC_IICSwitch_Valid, Master_RP_Data => IICC_IICSwitch_Data, Master_RP_Last => IICC_IICSwitch_Last, Master_RP_Ack => IICSwitch_IICC_Ack, -- tristate interface SerialClock_i => IIC_SerialClock_i, SerialClock_o => IIC_SerialClock_o, SerialClock_t => IIC_SerialClock_t, SerialData_i => IIC_SerialData_i, SerialData_o => IIC_SerialData_o, SerialData_t => IIC_SerialData_t ); END;
apache-2.0
Paebbels/PicoBlaze-Examples
netlist/XC6SLX45-3CSG324/CSP_ControlVIO.vhdl
1
1086
------------------------------------------------------------------------------- -- Copyright (c) 2015 Xilinx, Inc. -- All Rights Reserved ------------------------------------------------------------------------------- -- ____ ____ -- / /\/ / -- /___/ \ / Vendor : Xilinx -- \ \ \/ Version : 14.7 -- \ \ Application: XILINX CORE Generator -- / / Filename : CSP_ControlVIO.vhd -- /___/ /\ Timestamp : Fri Jun 26 19:02:38 Mitteleuropäische Sommerzeit 2015 -- \ \ / \ -- \___\/\___\ -- -- Design Name: VHDL Synthesis Wrapper ------------------------------------------------------------------------------- -- This wrapper is used to integrate with Project Navigator and PlanAhead LIBRARY ieee; USE ieee.std_logic_1164.ALL; ENTITY CSP_ControlVIO IS port ( CONTROL: inout std_logic_vector(35 downto 0); CLK: in std_logic; SYNC_IN: in std_logic_vector(7 downto 0); SYNC_OUT: out std_logic_vector(7 downto 0)); END CSP_ControlVIO; ARCHITECTURE CSP_ControlVIO_a OF CSP_ControlVIO IS BEGIN END CSP_ControlVIO_a;
apache-2.0
flink-project/flinkvhdl
axiComponents/subDevices/fqdDevice/hdl/fqdDevice.vhd
1
5895
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity fqdDevice is generic ( -- Users to add parameters here unique_id : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); number_of_fqds: INTEGER RANGE 0 TO 64 := 1;--number of fqds which will be generated -- User parameters ends -- Do not modify the parameters beyond this line -- Parameters of Axi Slave Bus Interface S00_AXI C_S00_AXI_ID_WIDTH : integer := 1; C_S00_AXI_DATA_WIDTH : integer := 32; C_S00_AXI_ADDR_WIDTH : integer := 12 ); port ( -- Users to add ports here islv_enc_A : IN STD_LOGIC_VECTOR(number_of_fqds-1 DOWNTO 0); islv_enc_B : IN STD_LOGIC_VECTOR(number_of_fqds-1 DOWNTO 0); -- User ports ends -- Do not modify the ports beyond this line -- Ports of Axi Slave Bus Interface S00_AXI s00_axi_aclk : in std_logic; s00_axi_aresetn : in std_logic; s00_axi_awid : in std_logic_vector(C_S00_AXI_ID_WIDTH-1 downto 0); s00_axi_awaddr : in std_logic_vector(C_S00_AXI_ADDR_WIDTH-1 downto 0); s00_axi_awlen : in std_logic_vector(7 downto 0); s00_axi_awsize : in std_logic_vector(2 downto 0); s00_axi_awburst : in std_logic_vector(1 downto 0); s00_axi_awvalid : in std_logic; s00_axi_awready : out std_logic; s00_axi_wdata : in std_logic_vector(C_S00_AXI_DATA_WIDTH-1 downto 0); s00_axi_wstrb : in std_logic_vector((C_S00_AXI_DATA_WIDTH/8)-1 downto 0); s00_axi_wlast : in std_logic; s00_axi_wvalid : in std_logic; s00_axi_wready : out std_logic; s00_axi_bid : out std_logic_vector(C_S00_AXI_ID_WIDTH-1 downto 0); s00_axi_bresp : out std_logic_vector(1 downto 0); s00_axi_bvalid : out std_logic; s00_axi_bready : in std_logic; s00_axi_arid : in std_logic_vector(C_S00_AXI_ID_WIDTH-1 downto 0); s00_axi_araddr : in std_logic_vector(C_S00_AXI_ADDR_WIDTH-1 downto 0); s00_axi_arlen : in std_logic_vector(7 downto 0); s00_axi_arsize : in std_logic_vector(2 downto 0); s00_axi_arburst : in std_logic_vector(1 downto 0); s00_axi_arvalid : in std_logic; s00_axi_arready : out std_logic; s00_axi_rid : out std_logic_vector(C_S00_AXI_ID_WIDTH-1 downto 0); s00_axi_rdata : out std_logic_vector(C_S00_AXI_DATA_WIDTH-1 downto 0); s00_axi_rresp : out std_logic_vector(1 downto 0); s00_axi_rlast : out std_logic; s00_axi_rvalid : out std_logic; s00_axi_rready : in std_logic ); end fqdDevice; architecture arch_imp of fqdDevice is -- component declaration component fqdDevice_S00_AXI is generic ( unique_id : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); number_of_fqds: INTEGER RANGE 0 TO 16 := 1;--number of fqds which will be generated C_S_AXI_ID_WIDTH : integer := 1; C_S_AXI_DATA_WIDTH : integer := 32; C_S_AXI_ADDR_WIDTH : integer := 12 ); port ( S_AXI_ACLK : in std_logic; S_AXI_ARESETN : in std_logic; S_AXI_AWID : in std_logic_vector(C_S_AXI_ID_WIDTH-1 downto 0); S_AXI_AWADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0); S_AXI_AWLEN : in std_logic_vector(7 downto 0); S_AXI_AWSIZE : in std_logic_vector(2 downto 0); S_AXI_AWBURST : in std_logic_vector(1 downto 0); S_AXI_AWVALID : in std_logic; S_AXI_AWREADY : out std_logic; S_AXI_WDATA : in std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); S_AXI_WSTRB : in std_logic_vector((C_S_AXI_DATA_WIDTH/8)-1 downto 0); S_AXI_WLAST : in std_logic; S_AXI_WVALID : in std_logic; S_AXI_WREADY : out std_logic; S_AXI_BID : out std_logic_vector(C_S_AXI_ID_WIDTH-1 downto 0); S_AXI_BRESP : out std_logic_vector(1 downto 0); S_AXI_BVALID : out std_logic; S_AXI_BREADY : in std_logic; S_AXI_ARID : in std_logic_vector(C_S_AXI_ID_WIDTH-1 downto 0); S_AXI_ARADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0); S_AXI_ARLEN : in std_logic_vector(7 downto 0); S_AXI_ARSIZE : in std_logic_vector(2 downto 0); S_AXI_ARBURST : in std_logic_vector(1 downto 0); S_AXI_ARVALID : in std_logic; S_AXI_ARREADY : out std_logic; S_AXI_RID : out std_logic_vector(C_S_AXI_ID_WIDTH-1 downto 0); S_AXI_RDATA : out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); S_AXI_RRESP : out std_logic_vector(1 downto 0); S_AXI_RLAST : out std_logic; S_AXI_RVALID : out std_logic; S_AXI_RREADY : in std_logic; islv_enc_A : IN STD_LOGIC_VECTOR(number_of_fqds-1 DOWNTO 0); islv_enc_B : IN STD_LOGIC_VECTOR(number_of_fqds-1 DOWNTO 0) ); end component fqdDevice_S00_AXI; begin -- Instantiation of Axi Bus Interface S00_AXI fqdDevice_S00_AXI_inst : fqdDevice_S00_AXI generic map ( unique_id => unique_id, number_of_fqds => number_of_fqds, C_S_AXI_ID_WIDTH => C_S00_AXI_ID_WIDTH, C_S_AXI_DATA_WIDTH => C_S00_AXI_DATA_WIDTH, C_S_AXI_ADDR_WIDTH => C_S00_AXI_ADDR_WIDTH ) port map ( S_AXI_ACLK => s00_axi_aclk, S_AXI_ARESETN => s00_axi_aresetn, S_AXI_AWID => s00_axi_awid, S_AXI_AWADDR => s00_axi_awaddr, S_AXI_AWLEN => s00_axi_awlen, S_AXI_AWSIZE => s00_axi_awsize, S_AXI_AWBURST => s00_axi_awburst, S_AXI_AWVALID => s00_axi_awvalid, S_AXI_AWREADY => s00_axi_awready, S_AXI_WDATA => s00_axi_wdata, S_AXI_WSTRB => s00_axi_wstrb, S_AXI_WLAST => s00_axi_wlast, S_AXI_WVALID => s00_axi_wvalid, S_AXI_WREADY => s00_axi_wready, S_AXI_BID => s00_axi_bid, S_AXI_BRESP => s00_axi_bresp, S_AXI_BVALID => s00_axi_bvalid, S_AXI_BREADY => s00_axi_bready, S_AXI_ARID => s00_axi_arid, S_AXI_ARADDR => s00_axi_araddr, S_AXI_ARLEN => s00_axi_arlen, S_AXI_ARSIZE => s00_axi_arsize, S_AXI_ARBURST => s00_axi_arburst, S_AXI_ARVALID => s00_axi_arvalid, S_AXI_ARREADY => s00_axi_arready, S_AXI_RID => s00_axi_rid, S_AXI_RDATA => s00_axi_rdata, S_AXI_RRESP => s00_axi_rresp, S_AXI_RLAST => s00_axi_rlast, S_AXI_RVALID => s00_axi_rvalid, S_AXI_RREADY => s00_axi_rready, islv_enc_A => islv_enc_A, islv_enc_B => islv_enc_B ); -- Add user logic here -- User logic ends end arch_imp;
apache-2.0
flink-project/flinkvhdl
avalonComponents/busInterfaces/eim_slave_to_avalon_master/src/eim_slave_to_avalon_master.m.vhd
4
7097
------------------------------------------------------------------------------- -- ____ _____ __ __ ________ _______ -- | | \ \ | \ | | |__ __| | __ \ -- |____| \____\ | \| | | | | |__> ) -- ____ ____ | |\ \ | | | | __ < -- | | | | | | \ | | | | |__> ) -- |____| |____| |__| \__| |__| |_______/ -- -- NTB University of Applied Sciences in Technology -- -- Campus Buchs - Werdenbergstrasse 4 - 9471 Buchs - Switzerland -- Campus Waldau - Schoenauweg 4 - 9013 St. Gallen - Switzerland -- -- Web http://www.ntb.ch Tel. +41 81 755 33 11 -- ------------------------------------------------------------------------------- -- Copyright 2013 NTB University of Applied Sciences in Technology ------------------------------------------------------------------------------- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. ------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.numeric_std.ALL; ------------------------------------------------------------------------------- -- PACKAGE DEFINITION ------------------------------------------------------------------------------- PACKAGE eim_slave_to_avalon_master_pkg IS COMPONENT eim_slave_to_avalon_master IS GENERIC( TRANSFER_WIDTH : INTEGER := 16 ); PORT( isl_clk : IN STD_LOGIC; isl_reset_n : IN STD_LOGIC; --eim_interface islv_address : IN STD_LOGIC_VECTOR(TRANSFER_WIDTH-1 DOWNTO 0); ioslv_data : INOUT STD_LOGIC_VECTOR(TRANSFER_WIDTH-1 DOWNTO 0); isl_cs_n : IN STD_LOGIC; isl_we_n : IN STD_LOGIC; isl_oe_n : IN STD_LOGIC; osl_data_ack : OUT STD_LOGIC; --avalon master oslv_address : OUT STD_LOGIC_VECTOR (TRANSFER_WIDTH-1 DOWNTO 0); oslv_read : OUT STD_LOGIC; islv_readdata : IN STD_LOGIC_VECTOR (TRANSFER_WIDTH-1 DOWNTO 0); oslv_write : OUT STD_LOGIC; oslv_writedata : OUT STD_LOGIC_VECTOR (TRANSFER_WIDTH-1 DOWNTO 0); islv_waitrequest: IN STD_LOGIC ); END COMPONENT eim_slave_to_avalon_master; END PACKAGE eim_slave_to_avalon_master_pkg; ------------------------------------------------------------------------------- -- ENTITIY ------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.numeric_std.ALL; USE work.eim_slave_to_avalon_master_pkg.ALL; USE work.eim_slave_pkg.ALL; ENTITY eim_slave_to_avalon_master IS GENERIC( TRANSFER_WIDTH : INTEGER := 16 ); PORT( isl_clk : IN STD_LOGIC; isl_reset_n : IN STD_LOGIC; --eim_interface islv_address : IN STD_LOGIC_VECTOR(TRANSFER_WIDTH-1 DOWNTO 0); ioslv_data : INOUT STD_LOGIC_VECTOR(TRANSFER_WIDTH-1 DOWNTO 0); isl_cs_n : IN STD_LOGIC; isl_we_n : IN STD_LOGIC; isl_oe_n : IN STD_LOGIC; osl_data_ack : OUT STD_LOGIC; --avalon master oslv_address : OUT STD_LOGIC_VECTOR (TRANSFER_WIDTH-1 DOWNTO 0); oslv_read : OUT STD_LOGIC; islv_readdata : IN STD_LOGIC_VECTOR (TRANSFER_WIDTH-1 DOWNTO 0); oslv_write : OUT STD_LOGIC; oslv_writedata : OUT STD_LOGIC_VECTOR (TRANSFER_WIDTH-1 DOWNTO 0); islv_waitrequest: IN STD_LOGIC ); END ENTITY eim_slave_to_avalon_master; ------------------------------------------------------------------------------- -- ARCHITECTURE ------------------------------------------------------------------------------- ARCHITECTURE rtl OF eim_slave_to_avalon_master IS TYPE t_states IS ( idle,wait_for_read_data,wait_for_write_done); TYPE t_internal_register IS RECORD state : t_states; slv_read_data : STD_LOGIC_VECTOR(TRANSFER_WIDTH-1 DOWNTO 0); sl_read_data_valid : STD_LOGIC; avalon_address : STD_LOGIC_VECTOR(TRANSFER_WIDTH-1 DOWNTO 0); avalon_writedata : STD_LOGIC_VECTOR(TRANSFER_WIDTH-1 DOWNTO 0); read : STD_LOGIC; write : STD_LOGIC; END RECORD; SIGNAL ri, ri_next : t_internal_register; SIGNAL slv_address_out : STD_LOGIC_VECTOR(TRANSFER_WIDTH-1 DOWNTO 0); SIGNAL sl_read_not_write : STD_LOGIC; SIGNAL sl_got_address : STD_LOGIC; SIGNAL slv_write_data : STD_LOGIC_VECTOR(TRANSFER_WIDTH-1 DOWNTO 0); SIGNAL sl_got_write_data : STD_LOGIC; BEGIN my_eim : eim_slave GENERIC MAP(TRANSFER_WIDTH) PORT MAP(isl_clk,isl_reset_n,islv_address,isl_cs_n,isl_we_n,isl_oe_n,osl_data_ack,ioslv_data,slv_address_out,sl_read_not_write,sl_got_address,ri.slv_read_data,ri.sl_read_data_valid,slv_write_data,sl_got_write_data); -------------------------------------------- -- combinatorial process -------------------------------------------- comb_process: PROCESS(ri, isl_reset_n,sl_got_address,sl_read_not_write,slv_address_out,islv_waitrequest,islv_readdata) VARIABLE vi: t_internal_register; BEGIN -- keep variables stable vi:=ri; vi.sl_read_data_valid := '0'; CASE vi.state IS WHEN idle => IF sl_got_address = '1' THEN vi.avalon_address := slv_address_out; IF sl_read_not_write = '1' THEN --read transfer; vi.read := '1'; vi.state := wait_for_read_data; END IF; END IF; IF sl_got_write_data = '1' THEN vi.avalon_writedata := slv_write_data; vi.write := '1'; vi.state := wait_for_write_done; END IF; WHEN wait_for_read_data => IF islv_waitrequest = '0' THEN vi.slv_read_data := islv_readdata; vi.sl_read_data_valid := '1'; vi.read := '0'; vi.state := idle; END IF; WHEN wait_for_write_done => IF islv_waitrequest = '0' THEN vi.write := '0'; vi.state := idle; END IF; WHEN OTHERS => vi.state := idle; END CASE; --reset signal IF isl_reset_n = '0' THEN vi.state := idle; vi.slv_read_data := (OTHERS => '0'); vi.sl_read_data_valid := '0'; vi.avalon_address := (OTHERS => '0'); vi.avalon_writedata := (OTHERS => '0'); vi.read := '0'; vi.write:= '0'; END IF; -- setting outputs ri_next <= vi; END PROCESS comb_process; -------------------------------------------- -- registered process -------------------------------------------- reg_process: PROCESS (isl_clk) BEGIN IF rising_edge(isl_clk) THEN ri <= ri_next; END IF; END PROCESS reg_process; oslv_address <= ri.avalon_address; oslv_read <= ri.read; oslv_write <= ri.write; oslv_writedata <= ri.avalon_writedata; END ARCHITECTURE rtl;
apache-2.0
todaychi/hue
tools/ace-editor/demo/kitchen-sink/docs/vhdl.vhd
472
830
library IEEE user IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity COUNT16 is port ( cOut :out std_logic_vector(15 downto 0); -- counter output clkEn :in std_logic; -- count enable clk :in std_logic; -- clock input rst :in std_logic -- reset input ); end entity; architecture count_rtl of COUNT16 is signal count :std_logic_vector (15 downto 0); begin process (clk, rst) begin if(rst = '1') then count <= (others=>'0'); elsif(rising_edge(clk)) then if(clkEn = '1') then count <= count + 1; end if; end if; end process; cOut <= count; end architecture;
apache-2.0
flink-project/flinkvhdl
avalonComponents/busInterfaces/spi_slave_to_avalon_master/sim/spi_slave_to_avalon_master_tb_16.vhd
1
4301
------------------------------------------------------------------------------- -- ____ _____ __ __ ________ _______ -- | | \ \ | \ | | |__ __| | __ \ -- |____| \____\ | \| | | | | |__> ) -- ____ ____ | |\ \ | | | | __ < -- | | | | | | \ | | | | |__> ) -- |____| |____| |__| \__| |__| |_______/ -- -- NTB University of Applied Sciences in Technology -- -- Campus Buchs - Werdenbergstrasse 4 - 9471 Buchs - Switzerland -- Campus Waldau - Schoenauweg 4 - 9013 St. Gallen - Switzerland -- -- Web http://www.ntb.ch Tel. +41 81 755 33 11 -- ------------------------------------------------------------------------------- -- Copyright 2013 NTB University of Applied Sciences in Technology ------------------------------------------------------------------------------- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. ------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.std_logic_1164.ALL; USE IEEE.numeric_std.ALL; USE IEEE.math_real.ALL; USE work.fLink_definitions.ALL; USE work.spi_slave_to_avalon_master_pkg.ALL; ENTITY spi_slave_to_avalon_master_tb IS END ENTITY spi_slave_to_avalon_master_tb; ARCHITECTURE sim OF spi_slave_to_avalon_master_tb IS CONSTANT main_period : TIME := 8 ns; -- 125MHz CONSTANT spi_period : TIME := 40 ns; -- 25MHz SIGNAL sl_clk : STD_LOGIC := '0'; SIGNAL sl_reset_n : STD_LOGIC := '1'; SIGNAL sl_sclk : STD_LOGIC := '0'; SIGNAL sl_ss : STD_LOGIC := '1'; SIGNAL sl_mosi : STD_LOGIC := '0'; SIGNAL sl_miso : STD_LOGIC := '0'; SIGNAL slv_address : STD_LOGIC_VECTOR (31 DOWNTO 0):= (OTHERS =>'0'); SIGNAL slv_read : STD_LOGIC:= '0'; SIGNAL slv_write : STD_LOGIC:= '0'; SIGNAL slv_readdata : STD_LOGIC_VECTOR(31 DOWNTO 0):= (OTHERS =>'1'); SIGNAL slv_writedata : STD_LOGIC_VECTOR(31 DOWNTO 0):= (OTHERS =>'0'); SIGNAL slv_waitrequest : STD_LOGIC:= '0'; BEGIN --create component my_unit_under_test : spi_slave_to_avalon_master GENERIC MAP( TRANSFER_WIDTH => 16, CPOL => '0', CPHA => '0', SSPOL => '0' ) PORT MAP( isl_clk => sl_clk, isl_reset_n => sl_reset_n, isl_sclk => sl_sclk, isl_ss => sl_ss, isl_mosi => sl_mosi, osl_miso => sl_miso, oslv_address => slv_address, oslv_read => slv_read, islv_readdata => slv_readdata, oslv_write => slv_write, oslv_writedata => slv_writedata, islv_waitrequest => slv_waitrequest ); sl_clk <= NOT sl_clk after main_period/2; tb_main_proc : PROCESS BEGIN sl_reset_n <= '1'; WAIT FOR 100*main_period; sl_reset_n <= '0'; WAIT FOR 100*main_period; sl_reset_n <= '1'; WAIT FOR 100*main_period; --write transfer whit only 1's sl_mosi <='1'; FOR u IN 0 TO 3 LOOP sl_ss <= '0'; WAIT FOR spi_period; FOR i IN 0 TO 31 LOOP sl_sclk <= NOT sl_sclk; WAIT FOR spi_period; END LOOP; WAIT FOR 10*main_period; sl_sclk <= '0'; sl_ss <= '1'; WAIT FOR 100*main_period; END LOOP; sl_mosi <= '0'; WAIT FOR 1000*main_period; --read transfer on address 0x0FFFFFF sl_mosi <='0'; FOR u IN 0 TO 3 LOOP sl_ss <= '0'; IF(u /= 0) THEN sl_mosi <='1'; END IF; WAIT FOR spi_period; FOR i IN 0 TO 31 LOOP sl_sclk <= NOT sl_sclk; WAIT FOR spi_period; END LOOP; WAIT FOR 10*main_period; sl_sclk <= '0'; sl_ss <= '1'; WAIT FOR 100*main_period; END LOOP; sl_mosi <= '0'; WAIT FOR 1000*main_period; WAIT FOR 1000*main_period; ASSERT false REPORT "End of simulation" SEVERITY FAILURE; END PROCESS tb_main_proc; END ARCHITECTURE sim;
apache-2.0
flink-project/flinkvhdl
functionalBlocks/dacad5668/src/dacad5668.m.vhd
4
8301
------------------------------------------------------------------------------- -- ____ _____ __ __ ________ _______ -- | | \ \ | \ | | |__ __| | __ \ -- |____| \____\ | \| | | | | |__> ) -- ____ ____ | |\ \ | | | | __ < -- | | | | | | \ | | | | |__> ) -- |____| |____| |__| \__| |__| |_______/ -- -- NTB University of Applied Sciences in Technology -- -- Campus Buchs - Werdenbergstrasse 4 - 9471 Buchs - Switzerland -- Campus Waldau - Schoenauweg 4 - 9013 St. Gallen - Switzerland -- -- Web http://www.ntb.ch Tel. +41 81 755 33 11 -- ------------------------------------------------------------------------------- -- Copyright 2013 NTB University of Applied Sciences in Technology ------------------------------------------------------------------------------- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. ------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.numeric_std.ALL; ------------------------------------------------------------------------------- -- PACKAGE DEFINITION ------------------------------------------------------------------------------- PACKAGE dacad5668_pkg IS CONSTANT NUMBER_OF_CHANNELS : INTEGER := 8; CONSTANT RESOLUTION : INTEGER := 16; TYPE t_value_regs IS ARRAY(NUMBER_OF_CHANNELS -1 DOWNTO 0) OF STD_LOGIC_VECTOR(RESOLUTION-1 DOWNTO 0); COMPONENT dacad5668 IS GENERIC( BASE_CLK : INTEGER := 33000000; SCLK_FREQUENCY : INTEGER := 8000000; --Max 50MHz INTERNAL_REFERENCE : STD_LOGIC := '0' -- '0' = external reference, '1' internal reference ); PORT( isl_clk : IN STD_LOGIC; isl_reset_n : IN STD_LOGIC; it_set_values : IN t_value_regs; osl_LDAC_n : OUT STD_LOGIC; osl_CLR_n : OUT STD_LOGIC; osl_sclk : OUT STD_LOGIC; oslv_Ss : OUT STD_LOGIC; osl_mosi : OUT STD_LOGIC ); END COMPONENT dacad5668; END PACKAGE dacad5668_pkg; LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.numeric_std.ALL; USE IEEE.math_real.ALL; USE work.dacad5668_pkg.ALL; USE work.spi_master_pkg.ALL; ------------------------------------------------------------------------------- -- ENTITIY ------------------------------------------------------------------------------- ENTITY dacad5668 IS GENERIC( BASE_CLK : INTEGER := 33000000; SCLK_FREQUENCY : INTEGER := 10000000; --Max 50MHz INTERNAL_REFERENCE : STD_LOGIC := '0' -- '0' = external reference, '1' internal reference ); PORT( isl_clk : IN STD_LOGIC; isl_reset_n : IN STD_LOGIC; it_set_values : IN t_value_regs; osl_LDAC_n : OUT STD_LOGIC; osl_CLR_n : OUT STD_LOGIC; osl_sclk : OUT STD_LOGIC; oslv_Ss : OUT STD_LOGIC; osl_mosi : OUT STD_LOGIC ); END ENTITY dacad5668; ------------------------------------------------------------------------------- -- ARCHITECTURE ------------------------------------------------------------------------------- ARCHITECTURE rtl OF dacad5668 IS CONSTANT SS_HOLD_CYCLES : INTEGER := 40; -- minimum 15ns see datasheet CONSTANT CHANNEL_COUNT_WIDTH : INTEGER := 4; CONSTANT TRANSFER_WIDTH : INTEGER := 32; --COMMAND CODES CONSTANT WRITE_AND_UPDATE_CHANNEL_N : STD_LOGIC_VECTOR(3 DOWNTO 0) := "0011"; CONSTANT SETUP_INTERNAL_REF : STD_LOGIC_VECTOR(3 DOWNTO 0) := "1000"; TYPE t_states IS (idle,wait_for_transfer_to_finish,wait_for_next_transfer,set_internal_reference,keep_clear_low,wait_after_reset); TYPE t_internal_register IS RECORD state :t_states; tx_data : STD_LOGIC_VECTOR(TRANSFER_WIDTH -1 DOWNTO 0); tx_start : STD_LOGIC; channel_count : UNSIGNED(CHANNEL_COUNT_WIDTH-1 DOWNTO 0); cycle_count : UNSIGNED(6 DOWNTO 0); LDAC_n : STD_LOGIC; CLR_n : STD_LOGIC; END RECORD; SIGNAL slv_rx_data : STD_LOGIC_VECTOR(TRANSFER_WIDTH -1 DOWNTO 0); SIGNAL sl_rx_done : STD_LOGIC; SIGNAL ri, ri_next : t_internal_register; BEGIN my_spi_master : spi_master GENERIC MAP( BASE_CLK => BASE_CLK, SCLK_FREQUENCY => SCLK_FREQUENCY, CS_SETUP_CYLES => SS_HOLD_CYCLES, TRANSFER_WIDTH => TRANSFER_WIDTH, -- 32 bit per transfer see data sheet NR_OF_SS => 1, -- only one ss is needed CPOL => '0', -- sckl inactive high CPHA => '1', -- data is captured on the tailing edge see data sheet MSBFIRST => '1', -- MSB first SSPOL => '0' -- zero active see data sheet ) PORT MAP( isl_clk => isl_clk, isl_reset_n => isl_reset_n, islv_tx_data => ri.tx_data, isl_tx_start => ri.tx_start, oslv_rx_data => slv_rx_data, osl_rx_done => sl_rx_done, islv_ss_activ(0) => '1', osl_sclk => osl_sclk, oslv_Ss(0) => oslv_Ss, osl_mosi => osl_mosi, isl_miso => '0' ); -------------------------------------------- -- combinatorial process -------------------------------------------- comb_process: PROCESS(ri, isl_reset_n,sl_rx_done,slv_rx_data,it_set_values) VARIABLE vi: t_internal_register; BEGIN -- keep variables stable vi:=ri; --standard values vi.tx_start := '0'; vi.LDAC_n := '0'; vi.CLR_n := '1'; CASE vi.state IS WHEN keep_clear_low => vi.CLR_n := '0'; IF vi.cycle_count = 10 THEN vi.state := wait_after_reset; vi.cycle_count := (OTHERS => '0'); ELSE vi.cycle_count := vi.cycle_count + 1; END IF; WHEN wait_after_reset => IF vi.cycle_count = 100 THEN vi.state := set_internal_reference; vi.cycle_count := (OTHERS => '0'); ELSE vi.cycle_count := vi.cycle_count + 1; END IF; WHEN set_internal_reference => vi.tx_data := (OTHERS => '0'); vi.tx_data(TRANSFER_WIDTH -5 DOWNTO TRANSFER_WIDTH-8) := SETUP_INTERNAL_REF; vi.tx_data(0) := INTERNAL_REFERENCE; vi.tx_start := '1'; vi.state := wait_for_transfer_to_finish; WHEN idle => vi.tx_data := (OTHERS => '0'); vi.tx_data(TRANSFER_WIDTH -5 DOWNTO TRANSFER_WIDTH-8) := WRITE_AND_UPDATE_CHANNEL_N; vi.tx_data(TRANSFER_WIDTH -9 DOWNTO TRANSFER_WIDTH-12) := STD_LOGIC_VECTOR(vi.channel_count); vi.tx_data(TRANSFER_WIDTH -13 DOWNTO TRANSFER_WIDTH-28) := it_set_values(to_integer(vi.channel_count)); vi.tx_start := '1'; vi.state := wait_for_transfer_to_finish; WHEN wait_for_transfer_to_finish => IF sl_rx_done = '1' THEN vi.state := wait_for_next_transfer; vi.cycle_count := (OTHERS => '0'); END IF; WHEN wait_for_next_transfer => IF vi.cycle_count = 100 THEN vi.cycle_count := to_unsigned(0,7); IF vi.channel_count = NUMBER_OF_CHANNELS -1 THEN vi.channel_count := to_unsigned(0,CHANNEL_COUNT_WIDTH); ELSE vi.channel_count := vi.channel_count + 1; END IF; vi.state := idle; ELSE vi.cycle_count := vi.cycle_count + 1; END IF; WHEN OTHERS => vi.state := idle; END CASE; --reset IF isl_reset_n = '0' THEN vi.state := keep_clear_low; vi.tx_data := (OTHERS => '0'); vi.tx_start := '0'; vi.channel_count := to_unsigned(0,CHANNEL_COUNT_WIDTH); vi.cycle_count := (OTHERS => '0'); vi.CLR_n := '0'; END IF; -- setting outputs ri_next <= vi; END PROCESS comb_process; -------------------------------------------- -- registered process -------------------------------------------- reg_process: PROCESS (isl_clk) BEGIN IF rising_edge(isl_clk) THEN ri <= ri_next; END IF; END PROCESS reg_process; osl_LDAC_n <= ri.LDAC_n; osl_CLR_N <= ri.CLR_n; END ARCHITECTURE rtl;
apache-2.0
flink-project/flinkvhdl
functionalBlocks/fqd/sim/fqd_rtl_tb.vhd
1
3369
------------------------------------------------------------------------------- -- ____ _____ __ __ ________ _______ -- | | \ \ | \ | | |__ __| | __ \ -- |____| \____\ | \| | | | | |__> ) -- ____ ____ | |\ \ | | | | __ < -- | | | | | | \ | | | | |__> ) -- |____| |____| |__| \__| |__| |_______/ -- -- NTB University of Applied Sciences in Technology -- -- Campus Buchs - Werdenbergstrasse 4 - 9471 Buchs - Switzerland -- Campus Waldau - Schoenauweg 4 - 9013 St. Gallen - Switzerland -- -- Web http://www.ntb.ch Tel. +41 81 755 33 11 -- ------------------------------------------------------------------------------- -- Copyright 2013 NTB University of Applied Sciences in Technology ------------------------------------------------------------------------------- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. ------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.std_logic_1164.ALL; USE IEEE.numeric_std.ALL; USE work.fqd_pkg.ALL; ENTITY fqd_rtl_tb IS END ENTITY fqd_rtl_tb; ARCHITECTURE sim OF fqd_rtl_tb IS --Sumulation Parameter: CONSTANT main_period : TIME := 8 ns; -- 125MHz CONSTANT velocity : REAL := 20000.0;--500.0; --1/s CONSTANT direction : INTEGER := 1; -- forwards:1 backwards: -1 CONSTANT enc_tick_per_turn : REAL := 512.0; CONSTANT wait_time : TIME := (1.0/velocity/enc_tick_per_turn/4.0)*1sec; SIGNAL sl_clk : STD_LOGIC := '0'; SIGNAL sl_reset_n : STD_LOGIC := '0'; SIGNAL sl_enc_A : STD_LOGIC := '0'; SIGNAL sl_enc_B : STD_LOGIC := '0'; SIGNAL usig_pos : UNSIGNED(15 DOWNTO 0) := (OTHERS => '0'); BEGIN --create component my_unit_under_test : fqd PORT MAP( isl_clk => sl_clk, isl_reset_n => sl_reset_n, isl_enc_A => sl_enc_A, isl_enc_B => sl_enc_B, ousig_pos => usig_pos ); sl_clk <= NOT sl_clk after main_period/2; tb_main_proc : PROCESS BEGIN sl_reset_n <= '0'; WAIT FOR 2*main_period; sl_reset_n <= '1'; WAIT FOR 1000*main_period; ASSERT false REPORT "End of simulation" SEVERITY FAILURE; END PROCESS tb_main_proc; enc_sim : PROCESS BEGIN WHILE TRUE LOOP IF direction >= 0 THEN sl_enc_A <= '1'; WAIT FOR wait_time; sl_enc_B <= '1'; WAIT FOR wait_time; sl_enc_A <= '0'; WAIT FOR wait_time; sl_enc_B <= '0'; WAIT FOR wait_time; ELSE sl_enc_B <= '1'; WAIT FOR wait_time; sl_enc_A <= '1'; WAIT FOR wait_time; sl_enc_B <= '0'; WAIT FOR wait_time; sl_enc_A <= '0'; WAIT FOR wait_time; END IF; END LOOP; END PROCESS enc_sim; END ARCHITECTURE sim;
apache-2.0
flink-project/flinkvhdl
functionalBlocks/adc128S102/src/adc128S102.m.vhd
1
7312
------------------------------------------------------------------------------- -- ____ _____ __ __ ________ _______ -- | | \ \ | \ | | |__ __| | __ \ -- |____| \____\ | \| | | | | |__> ) -- ____ ____ | |\ \ | | | | __ < -- | | | | | | \ | | | | |__> ) -- |____| |____| |__| \__| |__| |_______/ -- -- NTB University of Applied Sciences in Technology -- -- Campus Buchs - Werdenbergstrasse 4 - 9471 Buchs - Switzerland -- Campus Waldau - Schoenauweg 4 - 9013 St. Gallen - Switzerland -- -- Web http://www.ntb.ch Tel. +41 81 755 33 11 -- ------------------------------------------------------------------------------- -- Copyright 2013 NTB University of Applied Sciences in Technology ------------------------------------------------------------------------------- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. ------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.numeric_std.ALL; ------------------------------------------------------------------------------- -- PACKAGE DEFINITION ------------------------------------------------------------------------------- PACKAGE adc128S102_pkg IS CONSTANT NUMBER_OF_CHANNELS : INTEGER := 8; CONSTANT RESOLUTION : INTEGER := 12; TYPE t_value_regs IS ARRAY(NUMBER_OF_CHANNELS -1 DOWNTO 0) OF STD_LOGIC_VECTOR(RESOLUTION-1 DOWNTO 0); COMPONENT adc128S102 IS GENERIC( BASE_CLK : INTEGER := 33000000; SCLK_FREQUENCY : INTEGER := 8000000 --Min 0.8 Mhz, max 16Mhz ); PORT( isl_clk : IN STD_LOGIC; isl_reset_n : IN STD_LOGIC; ot_values : OUT t_value_regs; osl_sclk : OUT STD_LOGIC; osl_ss : OUT STD_LOGIC; osl_mosi : OUT STD_LOGIC; isl_miso : IN STD_LOGIC ); END COMPONENT adc128S102; END PACKAGE adc128S102_pkg; LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.numeric_std.ALL; USE IEEE.math_real.ALL; USE work.adc128S102_pkg.ALL; USE work.spi_master_pkg.ALL; ------------------------------------------------------------------------------- -- ENTITIY ------------------------------------------------------------------------------- ENTITY adc128S102 IS GENERIC( BASE_CLK : INTEGER := 33000000; SCLK_FREQUENCY : INTEGER := 8000000 --Min 0.8 Mhz, max 16Mhz ); PORT( isl_clk : IN STD_LOGIC; isl_reset_n : IN STD_LOGIC; ot_values : OUT t_value_regs; osl_sclk : OUT STD_LOGIC; osl_ss : OUT STD_LOGIC; osl_mosi : OUT STD_LOGIC; isl_miso : IN STD_LOGIC ); END ENTITY adc128S102; ------------------------------------------------------------------------------- -- ARCHITECTURE ------------------------------------------------------------------------------- ARCHITECTURE rtl OF adc128S102 IS CONSTANT SS_HOLD_FREQUENCY : INTEGER := 100000000; -- (10ns)^-1 see data sheet for this value CONSTANT SS_HOLD_CYCLES : INTEGER := BASE_CLK/SS_HOLD_FREQUENCY + 2; -- add 2 to be sure and have a minimum number of cycles CONSTANT TRANSFER_WIDTH : INTEGER := 16; CONSTANT CHANNEL_COUNT_WIDTH : INTEGER := integer(ceil(log2(real(NUMBER_OF_CHANNELS)))); TYPE t_states IS (idle,wait_for_data,store_data,wait_for_next_transfer); TYPE t_internal_register IS RECORD state :t_states; tx_data : STD_LOGIC_VECTOR(TRANSFER_WIDTH -1 DOWNTO 0); tx_start : STD_LOGIC; channel_count : UNSIGNED(CHANNEL_COUNT_WIDTH-1 DOWNTO 0); values : t_value_regs; cycle_count : UNSIGNED(6 DOWNTO 0); END RECORD; SIGNAL slv_rx_data : STD_LOGIC_VECTOR(TRANSFER_WIDTH -1 DOWNTO 0); SIGNAL sl_rx_done : STD_LOGIC; SIGNAL ri, ri_next : t_internal_register; BEGIN my_spi_master : spi_master GENERIC MAP( BASE_CLK => BASE_CLK, SCLK_FREQUENCY => SCLK_FREQUENCY, CS_SETUP_CYLES => SS_HOLD_CYCLES, TRANSFER_WIDTH => 16, -- 16 bit per transfer see data sheet NR_OF_SS => 1, -- only one ss is needed CPOL => '1', -- sckl inactive high see data sheet CPHA => '1', -- data is captured on the leading edge see data sheet MSBFIRST => '1', -- MSB first SSPOL => '0' -- zero active see data sheet ) PORT MAP( isl_clk => isl_clk, isl_reset_n => isl_reset_n, islv_tx_data => ri.tx_data, isl_tx_start => ri.tx_start, oslv_rx_data => slv_rx_data, osl_rx_done => sl_rx_done, islv_ss_activ(0) => '1', osl_sclk => osl_sclk, oslv_ss(0) => osl_ss, osl_mosi => osl_mosi, isl_miso => isl_miso ); -------------------------------------------- -- combinatorial process -------------------------------------------- comb_process: PROCESS(ri, isl_reset_n,sl_rx_done,slv_rx_data) VARIABLE vi: t_internal_register; BEGIN -- keep variables stable vi:=ri; --standard values vi.tx_start := '0'; CASE vi.state IS WHEN idle => vi.tx_data := (OTHERS => '0'); vi.tx_data(TRANSFER_WIDTH -3 DOWNTO TRANSFER_WIDTH-5) := STD_LOGIC_VECTOR(vi.channel_count); vi.tx_start := '1'; vi.state := wait_for_data; WHEN wait_for_data => IF sl_rx_done = '1' THEN vi.state := store_data; END IF; WHEN store_data => IF vi.channel_count = to_unsigned(0,CHANNEL_COUNT_WIDTH) THEN vi.values(NUMBER_OF_CHANNELS-1) := slv_rx_data(RESOLUTION-1 DOWNTO 0); ELSE vi.values(to_integer(vi.channel_count)-1) := slv_rx_data(RESOLUTION-1 DOWNTO 0); END IF; IF vi.channel_count >= NUMBER_OF_CHANNELS-1 THEN vi.channel_count := to_unsigned(0,CHANNEL_COUNT_WIDTH); ELSE vi.channel_count := vi.channel_count + 1; END IF; vi.state := wait_for_next_transfer; WHEN wait_for_next_transfer => IF vi.cycle_count = 50 THEN vi.cycle_count := to_unsigned(0,7); vi.state := idle; ELSE vi.cycle_count := vi.cycle_count + 1; END IF; WHEN OTHERS => vi.state := idle; END CASE; --reset IF isl_reset_n = '0' THEN vi.state := idle; vi.tx_data := (OTHERS => '0'); vi.tx_start := '0'; vi.channel_count := to_unsigned(0,CHANNEL_COUNT_WIDTH); FOR i IN 0 TO NUMBER_OF_CHANNELS-1 LOOP vi.values(i) := (OTHERS => '0'); END LOOP; vi.cycle_count := (OTHERS => '0'); END IF; -- setting outputs ri_next <= vi; END PROCESS comb_process; -------------------------------------------- -- registered process -------------------------------------------- reg_process: PROCESS (isl_clk) BEGIN IF rising_edge(isl_clk) THEN ri <= ri_next; END IF; END PROCESS reg_process; ot_values <= ri.values; END ARCHITECTURE rtl;
apache-2.0
flink-project/flinkvhdl
avalonComponents/busInterfaces/mpc5200_local_plus_bus_to_avalon/src/mpc5200b_lpb_to_avalon.vhd
1
7780
LIBRARY ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; --############################################################################ -- -- Änderung : Tristate Buffer Generation auf dieser Ebene, nicht im Top Design -- --############################################################################ ENTITY lpb_mpc5200b_to_avalon IS GENERIC ( LPBADDRWIDTH : INTEGER := 32; LPBDATAWIDTH : INTEGER := 32; LPBTSIZEWIDTH : INTEGER := 3; LPBCSWIDTH : INTEGER := 2; LPBBANKWIDTH : INTEGER := 2 ); PORT ( -- Avalon Fundametal Signals clk : IN STD_LOGIC; reset_n : IN STD_LOGIC; waitrequest : IN STD_LOGIC; -- Avalon Address/Data Interface address : OUT STD_LOGIC_VECTOR (31 DOWNTO 0); read : OUT STD_LOGIC; readdata : IN STD_LOGIC_VECTOR (31 DOWNTO 0); write : OUT STD_LOGIC; writedata : OUT STD_LOGIC_VECTOR (31 DOWNTO 0); -- Avalon SIGNAL (OTHERS) byteenable : OUT STD_LOGIC_VECTOR (3 DOWNTO 0); -- MPC5200 address/data interface lpb_ad : INOUT STD_LOGIC_VECTOR ((LPBDATAWIDTH-1) DOWNTO 0); -- LocalPlus Bus Chip Selects AND other signals lpb_cs_n : IN STD_LOGIC_VECTOR ((LPBCSWIDTH-1) DOWNTO 0); lpb_oe_n : IN STD_LOGIC; lpb_ack_n : OUT STD_LOGIC; lpb_ale_n : IN STD_LOGIC; lpb_rdwr_n : IN STD_LOGIC; lpb_ts_n : IN STD_LOGIC ); END lpb_mpc5200b_to_avalon; --------------------------------------------------------- -- reset SIGNAL einbauen --------------------------------------------------------- ARCHITECTURE avalon_master OF lpb_mpc5200b_to_avalon IS SIGNAL lpb_adr_q : STD_LOGIC_VECTOR((LPBADDRWIDTH-1) DOWNTO 0); SIGNAL lpb_data_q : STD_LOGIC_VECTOR((LPBDATAWIDTH-1) DOWNTO 0); SIGNAL lpb_tsize_q : STD_LOGIC_VECTOR ((LPBTSIZEWIDTH-1) DOWNTO 0); SIGNAL lpb_data_en : STD_LOGIC; SIGNAL lpb_start : STD_LOGIC; SIGNAL lpb_rd : STD_LOGIC; SIGNAL lpb_wr : STD_LOGIC; SIGNAL lpb_ack_i : STD_LOGIC; SIGNAL lpb_start_en : STD_LOGIC; SIGNAL lpb_ad_o : STD_LOGIC_VECTOR(31 DOWNTO 0); SIGNAL lpb_ad_en : STD_LOGIC; type state IS (init, act, rst); SIGNAL avalonstate : state; BEGIN --activation of FPGA with only one chip select SIGNAL lpb_rd <= (NOT lpb_cs_n(0) AND NOT lpb_oe_n); lpb_wr <= (NOT lpb_cs_n(0) AND NOT lpb_rdwr_n); -- external ack SIGNAL gets internal value lpb_ack_n <= NOT lpb_ack_i; -- ############################# MPC interface functions ############################## -- tristate buffer generation lpb_data_switching : PROCESS(lpb_ad_o, lpb_ad_en, reset_n) BEGIN IF reset_n = '0' THEN lpb_ad <= (OTHERS => 'Z'); ELSIF lpb_ad_en = '1' THEN lpb_ad <= lpb_ad_o; ELSE lpb_ad <= (OTHERS => 'Z'); END IF; END PROCESS; -- mpc_address_latching : necessary because of multiplexed bus system -- latching of addresses at falling edge of clk lpb_address_latching : PROCESS (clk, reset_n) BEGIN IF reset_n = '0' THEN lpb_adr_q <= (OTHERS => '0'); lpb_tsize_q <= (OTHERS => '0'); ELSIF rising_edge(clk) THEN IF lpb_ale_n = '0' THEN lpb_adr_q <= lpb_ad((LPBADDRWIDTH-1) DOWNTO 0); lpb_tsize_q <= lpb_ad((LPBDATAWIDTH-2) DOWNTO (LPBDATAWIDTH-4)); END IF; END IF; END PROCESS lpb_address_latching; -- lpb_write_data_latching -- latching of data of the lpb bus at write cycle lpb_write_data_latching : PROCESS (clk, reset_n) BEGIN IF reset_n = '0' THEN lpb_data_q <= (OTHERS => '0'); lpb_data_en <= '0'; lpb_start <= '0'; ELSE IF rising_edge (clk) THEN IF lpb_ts_n = '0' AND lpb_start = '0' THEN --lpb_start <= '1'; -- for 66MHz we can start here lpb_start_en <= '1'; ELSE --lpb_start <= '0'; lpb_start_en <= '0'; END IF; -- needable for 33MHz support, for 66MHz we can start erlier IF lpb_start_en = '1' THEN lpb_start <= '1'; ELSE lpb_start <= '0'; END IF; IF lpb_ts_n = '0' AND lpb_rdwr_n = '0' THEN lpb_data_en <= '1'; -- wait 1 clock cycle for data ready END IF; IF lpb_data_en = '1' THEN lpb_data_q <= lpb_ad; lpb_data_en <= '0'; END IF; END IF; END IF; END PROCESS lpb_write_data_latching; -- lpb_read_data_switching -- reading of data of avalon register AND applying at the LPB bus lpb_read_data_switching : PROCESS (clk, reset_n) BEGIN IF reset_n = '0' THEN lpb_ad_o <= (OTHERS => '0'); lpb_ad_en <= '0'; ELSIF rising_edge(clk) THEN IF lpb_rd = '1' AND lpb_ack_i = '0' THEN CASE lpb_tsize_q IS WHEN "001" => lpb_ad_o <= (readdata(7 DOWNTO 0) & readdata(15 DOWNTO 8) & readdata(23 DOWNTO 16) & readdata(31 DOWNTO 24)); WHEN "010" => lpb_ad_o <= (readdata(15 DOWNTO 0) & readdata(31 DOWNTO 16)); WHEN OTHERS => lpb_ad_o <= readdata; END CASE; lpb_ad_en <= '1'; ELSE lpb_ad_en <= '0'; END IF; END IF; END PROCESS lpb_read_data_switching; -- mpc_ack_generation : necessary to shorten the controller cycle of the mpc -- genaration of ack SIGNAL at falling edge of local plus bus clock lpb_ack_generation : PROCESS (clk, reset_n) BEGIN IF reset_n = '0' THEN lpb_ack_i <= '0'; ELSIF rising_edge(clk) THEN IF avalonstate = act THEN lpb_ack_i <= (NOT waitrequest AND NOT lpb_ack_i); ELSE lpb_ack_i <= '0'; END IF; END IF; END PROCESS lpb_ack_generation; -- ############################ wishbone read/write state machine ###################### -- state machine for reading/writing avalon bus lpb_to_avalon : PROCESS (reset_n, clk) BEGIN IF reset_n = '0' THEN avalonstate <= init; ELSIF rising_edge(clk)THEN CASE avalonstate IS WHEN init => IF lpb_start = '1' THEN -- start avalon master statemachine avalonstate <= act; END IF; WHEN act => IF waitrequest = '0' THEN -- wait for no waitrequest avalonstate <= rst; END IF; WHEN rst => avalonstate <= init; WHEN OTHERS => avalonstate <= init; END CASE; END IF; END PROCESS lpb_to_avalon; avalon_bus : PROCESS (reset_n, clk) BEGIN IF reset_n = '0' THEN ELSIF rising_edge(clk) THEN IF avalonstate = init AND lpb_start = '1' THEN address <= lpb_adr_q; write <= lpb_wr; -- avalon SIGNAL generation we read <= lpb_rd; CASE lpb_tsize_q IS -- swap bytes for little endian access WHEN "100" => byteenable <= "1111"; writedata <= lpb_data_q; WHEN "010" => CASE lpb_adr_q(1 DOWNTO 0) IS WHEN "00" => byteenable <= "0011"; writedata(15 DOWNTO 0) <= lpb_data_q(31 DOWNTO 16); WHEN "10" => byteenable <= "1100"; writedata <= lpb_data_q; WHEN OTHERS => byteenable <= "1111"; writedata <= lpb_data_q; END CASE; WHEN "001" => CASE lpb_adr_q(1 DOWNTO 0) IS WHEN "00" => byteenable <= "0001"; writedata(7 DOWNTO 0) <= lpb_data_q(31 DOWNTO 24); WHEN "01" => byteenable <= "0010"; writedata(15 DOWNTO 8) <= lpb_data_q(31 DOWNTO 24); WHEN "10" => byteenable <= "0100"; writedata(23 DOWNTO 16) <= lpb_data_q(31 DOWNTO 24); WHEN "11" => byteenable <= "1000"; writedata <= lpb_data_q; END CASE; WHEN OTHERS =>byteenable <= "1111"; writedata <= lpb_data_q; END CASE; END IF; IF avalonstate = act THEN --readdata_q <= readdata; IF waitrequest = '0' THEN read <= '0'; write <= '0'; address <= (OTHERS => '0'); writedata <= (OTHERS => '0'); END IF; END IF; END IF; END PROCESS avalon_bus; END avalon_master;
apache-2.0
flink-project/flinkvhdl
avalonComponents/subDevices/mpu9250_interface/sim/mpu9250_interface_tb.vhd
1
5929
------------------------------------------------------------------------------- -- ____ _____ __ __ ________ _______ -- | | \ \ | \ | | |__ __| | __ \ -- |____| \____\ | \| | | | | |__> ) -- ____ ____ | |\ \ | | | | __ < -- | | | | | | \ | | | | |__> ) -- |____| |____| |__| \__| |__| |_______/ -- -- NTB University of Applied Sciences in Technology -- -- Campus Buchs - Werdenbergstrasse 4 - 9471 Buchs - Switzerland -- Campus Waldau - Schoenauweg 4 - 9013 St. Gallen - Switzerland -- -- Web http://www.ntb.ch Tel. +41 81 755 33 11 -- ------------------------------------------------------------------------------- -- Copyright 2013 NTB University of Applied Sciences in Technology ------------------------------------------------------------------------------- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. ------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.std_logic_1164.ALL; USE IEEE.numeric_std.ALL; USE IEEE.math_real.ALL; USE work.fLink_definitions.ALL; USE work.mpu9250_interface_pkg.ALL; USE work.mpu9250_pkg.ALL; ENTITY mpu9250_interface_tb IS END ENTITY mpu9250_interface_tb; ARCHITECTURE sim OF mpu9250_interface_tb IS CONSTANT main_period : TIME := 4 ns; -- 250MHz CONSTANT unique_id: STD_LOGIC_VECTOR (c_fLink_avs_data_width-1 DOWNTO 0) := x"00AFFE00"; SIGNAL sl_clk : STD_LOGIC := '0'; SIGNAL sl_reset_n : STD_LOGIC := '1'; SIGNAL slv_avs_address : STD_LOGIC_VECTOR (c_mpu9250_interface_address_width-1 DOWNTO 0):= (OTHERS =>'0'); SIGNAL sl_avs_read : STD_LOGIC:= '0'; SIGNAL sl_avs_write : STD_LOGIC:= '0'; SIGNAL slv_avs_write_data : STD_LOGIC_VECTOR(c_fLink_avs_data_width-1 DOWNTO 0):= (OTHERS =>'0'); SIGNAL slv_avs_read_data : STD_LOGIC_VECTOR(c_fLink_avs_data_width-1 DOWNTO 0):= (OTHERS =>'0'); SIGNAL slv_avs_byteenable : STD_LOGIC_VECTOR(c_fLink_avs_data_width_in_byte-1 DOWNTO 0):= (OTHERS =>'1'); SIGNAL sl_sclk : STD_LOGIC:= '0'; SIGNAL slv_cs_n : STD_LOGIC:= '0'; SIGNAL isl_sdo : STD_LOGIC:= '1'; SIGNAL osl_sdi : STD_LOGIC:= '0'; BEGIN --create component my_unit_under_test : mpu9250_interface GENERIC MAP( BASE_CLK => 33000000, SCLK_FREQUENCY => 100000, UNIQUE_ID => unique_id ) PORT MAP( isl_clk => sl_clk, isl_reset_n => sl_reset_n, islv_avs_address => slv_avs_address, isl_avs_read => sl_avs_read, isl_avs_write => sl_avs_write, islv_avs_write_data => slv_avs_write_data, islv_avs_byteenable => slv_avs_byteenable, oslv_avs_read_data => slv_avs_read_data, osl_sclk => sl_sclk, oslv_cs_n => slv_cs_n, isl_sdo => isl_sdo, osl_sdi => osl_sdi ); sl_clk <= NOT sl_clk after main_period/2; tb_main_proc : PROCESS BEGIN sl_reset_n <= '0'; WAIT FOR 100*main_period; sl_reset_n <= '1'; WAIT FOR main_period/2; --test id register: WAIT FOR 10*main_period; sl_avs_read <= '1'; slv_avs_address <= STD_LOGIC_VECTOR(to_unsigned(c_fLink_typdef_address,c_mpu9250_interface_address_width)); WAIT FOR main_period; sl_avs_read <= '0'; slv_avs_address <= (OTHERS =>'0'); ASSERT slv_avs_read_data(c_fLink_interface_version_length-1 DOWNTO 0) = c_mpu9250_interface_version REPORT "Interface Version Missmatch" SEVERITY FAILURE; ASSERT slv_avs_read_data(c_fLink_interface_version_length+c_fLink_subtype_length-1 DOWNTO c_fLink_interface_version_length) = c_mpu9250_subtype_id REPORT "Subtype ID Missmatch" SEVERITY FAILURE; ASSERT slv_avs_read_data(c_fLink_avs_data_width-1 DOWNTO c_fLink_interface_version_length+c_fLink_interface_version_length) = STD_LOGIC_VECTOR(to_unsigned(c_fLink_sensor_id,c_fLink_id_length)) REPORT "Type ID Missmatch" SEVERITY FAILURE; --test mem size register register: WAIT FOR 10*main_period; sl_avs_read <= '1'; slv_avs_address <= STD_LOGIC_VECTOR(to_unsigned(c_fLink_mem_size_address,c_mpu9250_interface_address_width)); WAIT FOR main_period; sl_avs_read <= '0'; slv_avs_address <= (OTHERS =>'0'); ASSERT to_integer(UNSIGNED(slv_avs_read_data)) = 4*INTEGER(2**c_mpu9250_interface_address_width) REPORT "Memory Size Error: "&INTEGER'IMAGE(4*INTEGER(2**1))&"/"&INTEGER'IMAGE(to_integer(UNSIGNED(slv_avs_read_data))) SEVERITY FAILURE; --test uniqu id register: WAIT FOR 10*main_period; sl_avs_read <= '1'; slv_avs_address <= STD_LOGIC_VECTOR(to_unsigned(c_fLink_unique_id_address,c_mpu9250_interface_address_width)); WAIT FOR main_period; sl_avs_read <= '0'; slv_avs_address <= (OTHERS =>'0'); ASSERT slv_avs_read_data = unique_id REPORT "Unique Id Error" SEVERITY FAILURE; --test number of channels register: WAIT FOR 10*main_period; sl_avs_read <= '1'; slv_avs_address <= STD_LOGIC_VECTOR(to_unsigned(c_fLink_number_of_channels_address,c_mpu9250_interface_address_width)); WAIT FOR main_period; sl_avs_read <= '0'; slv_avs_address <= (OTHERS =>'0'); ASSERT slv_avs_read_data(c_fLink_interface_version_length-1 DOWNTO 0) = STD_LOGIC_VECTOR(to_unsigned(1,c_fLink_interface_version_length)) REPORT "Number of Channels Error" SEVERITY FAILURE; WAIT FOR 100000*main_period; ASSERT false REPORT "End of simulation" SEVERITY FAILURE; END PROCESS tb_main_proc; END ARCHITECTURE sim;
apache-2.0
OgacNS94/C-3PU
vhdl_files/Mux_2_to_1_4bit.vhd
1
1138
-- -- Copyright 2016 Ognjen Glamocanin -- -- Licensed under the Apache License, Version 2.0 (the "License"); -- you may not use this file except in compliance with the License. -- You may obtain a copy of the License at -- -- http://www.apache.org/licenses/LICENSE-2.0 -- -- Unless required by applicable law or agreed to in writing, software -- distributed under the License is distributed on an "AS IS" BASIS, -- WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. -- See the License for the specific language governing permissions and -- limitations under the License. -- library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity mux_2_to_1_4bit is port( x1: in std_logic_vector (3 downto 0); x2: in std_logic_vector (3 downto 0); sel: in std_logic; y: out std_logic_vector (3 downto 0) ); end entity mux_2_to_1_4bit; architecture behavioral of mux_2_to_1_4bit is begin mux: process (x1, x2, sel) is begin case sel is when '0' => y <= x1; when others => y <= x2; end case; end process; end architecture behavioral;
apache-2.0
SKravitsky/ECEC412
Mux32.vhd
1
284
library ieee; use ieee.std_logic_1164.all; entity Mux32 is port( x, y: in std_logic_vector (31 downto 0); sel: in std_logic; z: out std_logic_vector(31 downto 0) ); end Mux32; architecture Structural of Mux32 is begin z <= y when sel = '1' else x; end Structural;
apache-2.0
SKravitsky/ECEC412
IR.vhd
1
463
library ieee; use ieee.std_logic_1164.ALL; entity IR is port ( x: in std_logic_vector(31 downto 0); clk, IRWrite: in std_logic; y: out std_logic_vector(31 downto 0) ); end IR; architecture Structural of IR is signal temp: std_logic_vector(31 downto 0) := X"00000000"; begin y <= temp; process(clk) begin if rising_edge(clk) then if IRWRite = '1' then temp <= x; end if; end if; end process; end Structural;
apache-2.0
SKravitsky/ECEC412
IFIDRegister.vhd
1
593
library ieee; use ieee.std_logic_1164.all; entity IFIDRegister is port( clk: in std_logic; AddressIn, InstructionIn: in std_logic_vector(31 downto 0); AddressOut, InstructionOut: out std_logic_vector(31 downto 0) ); end IFIDRegister; architecture Structural of IFIDRegister is signal Address, Instruction: std_logic_vector(31 downto 0) := X"00000000"; begin AddressOut <= Address; InstructionOut <= Instruction; process(clk) begin if rising_edge(clk) then Address <= AddressIn; Instruction <= InstructionIn; end if; end process; end Structural;
apache-2.0
SKravitsky/ECEC412
PC.vhd
1
482
library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity PC is port( clk: in std_logic; AddressIn: in std_logic_vector(31 downto 0); AddressOut: out std_logic_vector(31 downto 0) ); end PC; architecture Behavioral of PC is begin process(clk) variable temp: std_logic_vector(31 downto 0) := X"00000000"; begin AddressOut <= temp; if falling_edge(clk) then temp := AddressIn; else end if; end process; end Behavioral;
apache-2.0
jcowgill/cs-dacs-robot
Common/DataChangeDetectorTest.vhd
1
1530
LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; ENTITY DataChangeDetectorTest IS END DataChangeDetectorTest; ARCHITECTURE behavioral OF DataChangeDetectorTest IS COMPONENT DataChangeDetector PORT ( SEND : OUT STD_LOGIC; CLR : IN STD_LOGIC; CLK : IN STD_LOGIC; DATA : IN STD_LOGIC_VECTOR (5 DOWNTO 0)); END COMPONENT; SIGNAL SEND : STD_LOGIC; SIGNAL CLR : STD_LOGIC; SIGNAL CLK : STD_LOGIC; SIGNAL DATA : STD_LOGIC_VECTOR (5 DOWNTO 0); BEGIN UUT: DataChangeDetector PORT MAP( SEND => SEND, CLR => CLR, CLK => CLK, DATA => DATA ); clk_process : PROCESS BEGIN -- Clock signal (4 MHz) CLK <= '0'; WAIT FOR 125ns; CLK <= '1'; WAIT FOR 125ns; END PROCESS; tb_process : PROCESS BEGIN -- Reset CLR <= '1'; WAIT FOR 1000ns; CLR <= '0'; -- Send some data down DATA <= "000000"; WAIT FOR 1000ns; DATA <= "000001"; WAIT FOR 1000ns; DATA <= "000010"; WAIT FOR 1000ns; DATA <= "000011"; WAIT FOR 1000ns; DATA <= "000100"; WAIT FOR 1000ns; DATA <= "000101"; WAIT FOR 1000ns; DATA <= "000110"; WAIT FOR 1000ns; DATA <= "000111"; WAIT FOR 1000ns; DATA <= "000000"; WAIT FOR 500ns; DATA <= "000001"; WAIT FOR 1000ns; DATA <= "000000"; WAIT FOR 1000ns; WAIT; END PROCESS; END;
apache-2.0
jcowgill/cs-dacs-robot
Common/AsyncRxTest.vhd
1
1367
LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; ENTITY AsyncRxTest IS END AsyncRxTest; ARCHITECTURE behavioral OF AsyncRxTest IS COMPONENT AsyncRx PORT ( Q : OUT STD_LOGIC_VECTOR (5 DOWNTO 0); UPDATE : OUT STD_LOGIC; RX : IN STD_LOGIC; CLK : IN STD_LOGIC; CLR : IN STD_LOGIC); END COMPONENT; SIGNAL Q : STD_LOGIC_VECTOR (5 DOWNTO 0); SIGNAL UPDATE : STD_LOGIC; SIGNAL RX : STD_LOGIC; SIGNAL CLK : STD_LOGIC; SIGNAL CLR : STD_LOGIC; BEGIN UUT: AsyncRx PORT MAP( Q => Q, UPDATE => UPDATE, RX => RX, CLK => CLK, CLR => CLR ); clk_process : PROCESS BEGIN -- Clock signal (4 MHz) CLK <= '0'; WAIT FOR 125ns; CLK <= '1'; WAIT FOR 125ns; END PROCESS; tb_process : PROCESS BEGIN -- Reset CLR <= '1'; WAIT FOR 1000ns; CLR <= '0'; -- Send some crap over WAIT FOR 2000ns; RX <= '1'; WAIT FOR 1us; RX <= '0'; WAIT FOR 4us; RX <= '1'; WAIT FOR 4us; RX <= '0'; WAIT FOR 4us; RX <= '0'; WAIT FOR 4us; RX <= '0'; WAIT FOR 4us; RX <= '1'; WAIT FOR 4us; RX <= '1'; WAIT; END PROCESS; END;
apache-2.0
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_3/7b6e2d75/hdl/vhdl/zero_detect.vhd
1
13395
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block EUyOSXGLM4aG/Apa3pFTAVmOryMy3pqQDuF5POBNTN2hoaQJpxICx3xD5IzkBUUayHj8fGb+B67x bj+dYQH5jw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block aXk2xr6QrfdSaa2rUHBpNpo6Y+KvSwhBQlMVnOg8+kXLvALiFwc9+dAelHmmna4j/MQDs91WRsKT PSDCuyR/iTrc+OYBfsHNPUamyx/51zUNfMEHEDyWYRWM025xu5l02YD3FHKEhNChOYhl5zECy7V/ 0ZlrlWFvkC4FsCcxBfA= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block dv67HHpByRu4ZDlkw+LnVJxfkCAzPS9/0vYkqfDNd1nOmGh1L4BLGyPqCtjzgH+t9lZftYnPDZpO pQJUh19tYeDPwUsFtgBmzOhYYODbQBZFr1P68cL2MuDbgUmcOuXiMgJvWhMu+swC6KaMfMV214ss StrdrgmjjsuPmVoKPylyBeqaH6grumLXGohXs++fiYTD++SEvaLOPpjkw0OR21ZOT5wN0AQFlvL/ y+y8ZVtfoeTHS+7cEZYvlaP/5qInvu2f1//lwKj1Sy4Hm76VbjLh6tcSAFD6tYIzpLh6rbRwp6Q9 cGXPM3qgTuWlt9JsjkhaoMgBUc3cruKHDGnGHw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block EsTp3f6fOKQbVmg5LWb4PlDdy7edlaLOs9zNfvkf2m6UEr+wZnKIMZynecbzYg8HQ5dfalzxVSX4 I3ntb9wdDMH1VXB23hc1WryBZYfnEONicVSuhnXWcTu66ao79RJtCqJlJzlYZkkL/VYvnBv2LGjN CoZ4v86pTPk9jHDJGDs= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block PFXny+AZmsizSeERSPS1jLxeVd5Of7nQbHkfaiE5hVb1kyEbaZwqBDZSrwHYBRIWeqtNjDejof2u 3jOgVxf5yjJMoLl/1KVeePMdIIRePKiHB/kcNtjHvPVvm1F2/Mv0HE6fF9Y5LKBY6pIaaV/QWBMR 6xq3zraSZIPeG9uwWZCmh2B9PbPbwrNMkX9wQ41sn0Yhi9TwSL2+zRm0MVeCy+LypY7zzppvtiaL pBLyNuFyGhz7gmyK5ACh+wRH5tah40BVGoqY0WYsYfCtUYi5CjfSdib+HRqxpEKaZI5duNzPqinA bSdw+IeIxWQFw5WE/4z5+MHPDPYfcBzUCSb+1Q== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8176) `protect data_block pU8QP9hYTxworzfdi9iPHUN7poB3qDajiTGjugd7kBWVB9BQKb2RGaN82iiEza+Jcsi9EmPE+UUy t/nGNYvN9kSTz4tPew8Fb1+ISvHHePT+lsLjrokHy25go56/TM2ABItySGJ40eQIAJciHvXcgYLi XT08vde2Tv1FIOjn2B2+mDgWQsbTMtd+1zuSO7oVsvUxivIfcN1wYPph/rB5kc5QF0vZuO5+zTQh 8BAZmOWBJC+6E63HyJsoyb7jpkYCRFgmgivnpTcm18U6fya1l9fPBQH3ac2BHK9N+HRg/UkjtGmM nmys1cZMms55AwqprP4SjRLHwd4h6l8CJOEZAu+ogtOC40YMsI3dRzsDld+DpSp1NI9iHL46b+/t CGE5XqD48k1wywEyUFePunw+Ni4eTCB8ZOzJsbmv5rjSPogN8Ex4PY5LCkaqNHkdUtYqH6cFFrwe 6YO8qI2/JPrUPDV3G+ExIOS+tm9CKqGHBMcguCdy9U/8OsSP80gTTETxBuuey2x4c2+8WnXH6yxO 3MuC3/9yatgrqs2LHp/shaDFw393N3yAaz3EHblMrdQHToUo5ILuL5KkvagYgHnFLWE6V8W2cdH2 934CqqshWOs9JkGZG2K9pYHkM3KYxrOZMvUegqGS3vgl6MhFtwlQsQnfuOz+OUTtMYDhjwWLdvmO 2/wiJoILC5czk2WzwHpD8KSuDKHf/2SFF2YDXwnRH6ElP/ufcQl45J+vSrNcnRCIz0dAAqzK7vCb 0pNrO5Cn1kSgWTuySsLV5p7z0htS09eWuvoHZj3TEw+p+fBMaQ0fNSDyXcaoO0F3q1qAFDv/XzfN nNdCEu2w0CVKJKVvUTjDhX8XToWNBD+g8Fw9Kf89970loImepYBTpc0h3NuQeTCncXcCy4eO4l7F zpj/BEGnXISDajml4cs73QY8sGfldyIC9JlJduJzBU+uCqPJRmDDohTNqJww/w2kqVuIQsDArX6s LjB/ZsMY8Nz81TVUlt6XlTQhMq9cbZJR8RoKb3/fP55KScWAGEJCa7RAsI+uXZc+2ff89deV7mc6 GDGRIj3S0kjZ7o9gpyFn0+1ef+FT2ZRGiQW+n24IqqhRYqZDLnFrOqvUqaq3+iks4Lj3G7IsVIqT PE68bFH50Jc0m3sbq2uD827SLrgP4Vx54/9lUXEpQw1nywymXFZVPCYOlqPV+OY9cOI6Agg3Qh1A ZcZhfK9sY/3ptduqGR5EWANJWXaXgvNb/++Fjm596OvF7j2DNwVjAq3EdXmDWDPWohRUuDYwU1o8 105BE66edI5TiwWKauheNIQWtTlHuLN9VuZE4pyzWHZrE5Gwu35TNF30etD9NoH9EMJ+fnJVdG31 l2dBRSRhNbetip6crqc0+qQI3GDav0+cyseJBDnSu1cqAVKrHKBlW3hW1k4TCMm0UEO8BICmU2j/ UrQK3RzuQw5jMSfGk5dTXNBCYxLqhB0vy5zu4RfyaKih9mIEcaWbIMKf+bs6I+g5IYvkcKLGpRum 1ELGhBwLnJ1idbGp5zCErUkzCccRhuLoYruTDNrd25xlKPx3lEbcYNq+D2EHSZsolu48XJ7sE2bp nuzVyfd+xRoec1wJk7eCDVE17vRpYIsT4Twmj/C2+cuyp8qBY0OoBRbUvsHJLH3FIqgvKgTLawu5 1XaXLGZclO/4pOO+L3+KojMDsTk4q7jffMHA+TG7++5+QQMJvNc0M2m1f38ECeGcWcNEnZzwv4sS TH+xKg6s9zlCyr0ffRmAynDA0Kvr/EmFrpJBJ12Zs8yGBkQ9CudPZg9iTfJt1RMlrLLnNoI8/M8I jXla0E/fw1nAXT1e9R6yhSpOGEJH2QOGKONlcwW783tvSQPbf7duYIeaHruzpshpW0CLtdc2k+x/ 96rbVJphj6ZufZ80vQAhNZTFrZwBhs9na065MIrtxcxjgb5TZ5r7Z3lkqQ33Nm8QuuWzcvWJ1zrA rN/ZfHChz3itFa+QqtB7Pizho+TqY2bTXuPzESxYkDr14w4taL4p+EbIFtYR413xADgPf/IbnROM 9oGzrTVf+jam3+u32Zj5+CP+AOLJV/bETyTkDwV675hm+eOvmo00nrnY4HghyWt1Iac8Iw2j+Ew+ fgisgqUSt9P7mrgKDoGwGqvQOWj6LOtWYZfQyKU42s+TiBdGcyqf6fNTtJV0aHXZYuFJbOQ9EpJb iFWjpCU/YOcXGpNwt7VzeylfQcfa+wvS/fPHjcqLFEfE2UYT2+N06HJwatE0kjUgdsUGa1fJdSBH sb3QVYdtW8D4rLLKagKJwiTx0HMQ0dgEFjKmtsFvnEWHnh7NA42CeItTxh4b0vl6usHEzlUU4V31 UJcM4FVpzsG4M8une4kM8eN4MV0x7uwB7Q+vNH5+og3/2hdApyblUp69N7nd/ATDxc5MaRC/Da9R NMGCs4oEgA7AKKNvwpbaQx+F7CocPw4Y00vOeGNJtBfbTMCRjNWUVD9vKQBXSTvaxYwJvquZ0kFc ACpdYFrVTXpS4HFFmbhlP+B2u7DbYvLncqU3i0B6CFsYfVBvq16s8rRVzZ8e85jLru2EV3iPET44 3zAuo09AYThLxmMSZUUfFowl70AIrXOuwNi/A9oxF9XAq9BrGXR/zpUuvonkZMQ3Z0U4pD9UH9f8 CKrY5zY7Py3rtqyqc/TeNsT2odEkaEOcuYKT718uS4LFgVo41tbHei0pmZksRv8BxE1xLIAfKm3b 5N+OZbJadNvWrkEmKKsSTXpYs+AsH+g83445N3QSOHc9BVtBbgCs5k6LASJ8HSo5d2KQlrHV2ecL K/S9w9aE50kFRmo2fW3ADnLmWOtGi8KiweL/wf3/WVsia6pvMLZW3G90190dnY2bGLo+CcUK4vnq Y35itGi2kZPyYqGCwIotwvR1xmG5CJKOdhP+60ZmajZl2gGSJJapQEBfpiwdzw4l1TQSENdP642l yT2w1B/ngqYuKx0dQ1sYvghGOq6A2IBdfIae+gbEKkmNaLo0UKcPcIzu48ZWRSstzDjowXZvCsA2 Xwt5a1VAi6BcGgo4VYm3u+/XujIqugtBiKKzlFHSILKctHFw/hcEhZGB00BtNFj1z2kUA6hW+hFJ eIvu06x8KXqJ7HiUxBVRWacuh4MIUY9drDrpFQFHya+NoVYW+8qRmShb3j0jl+/iSewbwS13yy+o dWm5sdV3Rc6ALWkcd99g9gTjlHSGIDNDw5gxaDXZG9+fz7CdTayLbe1aKCWZr8PTS3t8rWq6JeXj 4xJAYaThYP6X9/yqWVDs5MBBFMksC/s6xlqYkfnx6qc5wNzCYaU7uQeKdjltyE6pJnwK9ErQnf4u ACypC44hrpc+g/ZiIL41w/M6FS/qT006mSffmQacK5o1KZZF5TB0FWyLdy8QuWKUSZFHcawx4Ywj 4WDrfTZCG4ZkGXYqo9W2nf5SJv2qSQHXItk41I1arh49AVfyJz1u9uuvjDvx6YoXt7YlLHDGuoAG FxTsZ4y5JcUpQxYKP9lja/IaxN4ZKaAqR/75ayQSJ6ggTz9AtLGWj1qKXlA4RaTcn685IXgUv00v TWaADg47IahseMQ7f8MTULPeDJZc90Ho9Tg5x2y+RmtouOxComMMKK2DnIrN7Ef9JmIPYXBnpccY o2a+B1KNTomI0bK23UY2nfemWGlEHtFSDX8gJPp8Qii1oOhBijNi2wXyvu7Jj2yAdzAevj3nRD9b iPKMLJvMbxNxTLXJ1NMSzjPjs/wyk39SNXUbY536KLLVfnt/asw6rbaU8hnDM2gk8Vv/FdmXVeGh 3F6rE49y0fHdGXzDvUKhyIscA1aOXDiMZPmEPnbU9cCj+RopbusJ0kcLvnHUWkY65eIOuo0LVNyi ZL6EWGvefw4LSXWHjVRI8yBi37DsM8Y9Ll16hMFmVTKdXHBZ1hnIoXfOjYmcLJ3o4yr7xWUrXNJS oZLT2flr08qLcw+xjVQyJsvAyI4zSRXh1VeXZ2ntaIGrQG1ApCGHZlV6GQLjnuulFt2rGdjNztyN sgV3/LjZDAfUtk5mLJfMHwDhkYH3g4qDdP9hfQbK9QBIazml23Q/wM2NNw6bxrccq6nH6ezMa/uq Iq1zqqkwn5JJNYqBsgzWT0xcicBl9YbOlgajyL37v9CUfaTE1KcCvkTmVjFLabLWkHS6pte4gnG9 2STzMaGhCzv0NRJKYJSNUbmN7ZQd+PDyGlInzq/+Vk/mnL6A+A/kGRW4mErkvifE8iX7OfYj0OW9 eHYDSqPggEk/cyuICbBhh03dPWFQk6sb8ZxTVgnmrHDRWWB24vcNqzGAjwLnE95JtIYAhAqyuWcA +IdqnSVp3ZstVWW8V21ngn/J6rGqr3h9PqpGADo95YvQyHmnQ3jm405arg5dL1tyxNlOpL+Tf/at bx8Y8jP+DMMVPTaTgEPqs4FKrZd2fxZWZ0LzigSwQxEXaGZBPFi5mS1rRWujhnjVK88nT+mmwwE4 Km1hnLhMNFqNONIOPcYOj0TuKoGFsQMaLxbYOOtByykYLcBMpWrQ6WaYTO8ipA0xRiKTAFu1WlUb VTZ5tBDlUpX7kP/S4WUUN3fbb6QB6pKjxMags8cahUFeJ20ZnujonhzxxDV84L2g5dTkeD6LDxau I8yJNCxQxjNlXofUcYUPTQuhlV/x0FeAhD+UVt7IpX9iJjgrHHT6iD2QZghsUiEejLkBPIjlEecS ToDDwr+A5SW7wdtALqpMADWUh8i3zK2qo8uh0gq3j74I2ZEv5gzFMn3i7ePof1yt+/rdXLMxNUP7 YZQxsq/ctWLc4Um1thD6seTQM0iRwNtKttgLWxSb34zDl99aqMulSv3KjaxR4zBKyJ53+4FktW8K tIbEhwTQL3K7IUIrYt8tDRv7pDnoLu4kXQj5rhgGBOI/bQcC46j7ot7yTZPmaeR+QgBGzHlhEM2a 0f9VBAKt75DOaN9JlVpLX9viwBo/L21eKb7mwO1kPDLSnUHq3eZQdf9wRLq1Z3Bwwh8a13xQXS+g fNURt2OFhj2eDlOFivKDqgOLZoNfCtmo2pxmDSbbWHDrhgmf8IXcpZoQ0urvX/hnpvkXyWFgLKX+ 0iRSR2DpQZsCyKdpU9suLWWt1tXihHXrK35BHTBu1PoAxQeiQQfjgZVK8BTr4Wx7/rLeD2upZYjr HLbvT7AJetUKcTtlZSoVWltHlF9ZZoveeg69KEINzugElD85cEiSN58kT8lsPZuPC7NMBtZ1+Zrz ZzeB8wioaIaWmibcEnICdvL++BDBMyDEd7tNz0hB4P9sMS+bvxZkSaU8Q+6OGhVNMzYmlKzDJmJZ 01Apz+mjSiTi2q/hu9Nk7S6B41Hd2Ie5OVu3xpb69Qp1dSN4Ax4sdjWbpzseWZTBblum0/fE7BGA XWOa6fyfrH4ttgarh6WWxuXSz9PGcZ7x6tjee0id369L5w9j7ykFHArkKjStcaaw2M3aMTRtpRKr QOXaK87KnvaRlWaqlU4BFNjuLEdL7qr39HJxm0GbUNshW57LJTsiO2lshGKK4bqyPoON1Qb8Py7C FRJnhyKu1H1PO3gqpGb2PRogPbrKcY4Qkd4aVQxsWt1XvAnH8GppH7fYm40x7ZVQCBIi8Iauq7uA 09qEZ/RPtfQUN5Ip609RAWzR0/ZDCbZgYXcDiN41yNFmftk2TMgeFnc8wIZvSJMxDorFIdzhBpRg mKKU8DXq59ALQY/nwP+uOwEJeNlYiBJIqwN9earYksHRAR2VgwTMZ1p1Bv5F4RvzzfGnrYItWM9y Z7Kvz1e8m9oSGz7SAGyoUTbsdFui58JaaQFCpuZgydWb9oqvTVLnhI+dZpcX7Yq24gObaYUQ9F48 a+79Ol2IhSoJgEGmhog8r8uZlaN/JH3PNQUwNRGWaVXBhuxbq/AwvU4hVQEB6NbqvWh0GfOZZRvR v+LuLiSKPloW8Mu50QSJiY/fIdace0cWK+QZi0Ayz3WmK7ZVduwW6HQLr005be90xJqBB4ElbIcE 0UcYGu2ioz3UmeyjJZq/dZk7HCdrkebynpyGLArAYL2ikSOB3Yd8TOmxCNW5Q4KaXBrZwKVnXRBz GRgfVPt4DSZOXYzR01Hw6itLCUmJEg+DUSE3ZuLM1xgapQB+UA1JsrIsgBv7Pv1SQLLs9mbVc2Sg PbkNDo+dFNL7/JrZ8ofANOV9GDI9qhq4fsJBDz1rTHxM6NlwqsYcHDZN0jRDgQI2bpuRiCig3Ry/ oFAhos8MpdypxAPe8ezvIuxb4UbzSKhXwZ2sCx6Jw+QIEcQMWonK3fYPhqNdjcHN6k3oDUicbp6D c1jLDk4XCZZbUYeVkL6IaE9hfh4oaAT09BpamwWMO00ILZL3M36cQw9g3r4Vv0mjGG7QZFz2ltvc F8gATNjZUewyRLK+BqYFAYRveHW9nIpca48xosZkLNUQTMyyCzMXZMrSqkqvMGil1USLKio33Uzo p28zLa9TmHKl243pxeTZRA3QXaYxcBalCwIQFc84u6zA327njo/SlWD27JWJJrE0dD4o1WoDmi1t 9W0t7/MhP78O55PQwudu0vYXPPk31iHW0RaeneccpiDWvS2Htt/bv3hl/qDtL12ORDDBqe93krjI HyLswshxkCIY3/G2eTInOUbhs7k88pYdjHloj0voEB9JXS3BeYjnK6kjwTMe4CTB6M7KWXdvuHj0 nU1nHI0WTR6MghvlgRydCO3WnjSbYEfoLrEFWwAp85kQ/aAgT9HECghXV+I/UzKMRQbKS/V2D5Sz zTGv2PQdL8Q5UpUBIwEYqHdgEUcT1Uy1yTzpyIFnUoC9tRQzdqHsC21bmHtUIrH13Q/NRHp08bRK 1nb/e6ohzlNl5y2MkrhTtOUr6hlv75wwNapBPxiRTLiaLx3lFj6eSqmqyEFsGg9VgU05O8KEIdTg blqpcBLpVKB7wIz8EQeh3kOsyvhdNNleuzzenBHtyTNswlqNrwtQzZikKu/qcp3zXxm+tYv7xB9w 2op89vuFLY2h48eXyv0SSvSbImjMBBq2ssylNjAlZ8q+KsrmkwT+5YbncOJRnd6qel5zAAYd1iwk JS5/b0BVYnhwXZgdlByz49jBuc8TPPKzVrhkdpgcZW4CzcvE9tkJNGs/LfAwLwkJ/PbxWNrqqORd hyK6m/qYXy2wnQt1N0xOavLt8zXPflK7VLVJdRCroVS7dJTK59uwveMgDN2JSf6sPhMJKfUFIlQo QjB7eTCVm/nPG3b5h2VyUMVrS0IAMpijcG5mXqpk1lZrZ3/iIJvruNEy7d9s/hXrybuR/X9WYVTM tS/5t9pxIeJ9Mkrx33QRuvV+5l5YCMSF6vW5fUgdZzi28vZsiVi3FhTED5+WpwSoOLY9Yw29q8I5 6FXzzRWCrEKh0dhAZ6DxHQHnrHi3Ze6OzbaeQCk2IZws802G/Zc3Iaao5gkxtr9OFuEWeSw2ogH/ sM2OxYDlg/HW/GRNHYRzjcChPstNDFxep3+PLIsxGPcLA6ml/6hwc+cPtGmQRHFrxK5lkD+X9Y53 DLaQ5xbdCr/D+UQagakNARtwaPspOX8BRJngtJAKOgLY3q/xoZ2GtY3FTINzDYMNPrQkCsKYuLXg moV6nHgC+WHp7rxdQjRNEfyDUutTFQ8rWfmTl930upKGfX4XLWb+hHbzrOoNYEe7ZCaBG3NBah2a icTIjYjCLukyT3iFij9hb7o7UGELGgsx/nm03RrssyyZJ1+lk0szphqj3foYcoVC+TqN3ISsHzHl XspxbCYoiKVXRa+4JrasEeDIErDMCEQ9zdTDXFSYUi2t1ZV8SKmr8iGVaLPxxR4ZMa38U3lTwD3p j+u/zoOl0EJpd9Jg+Y3jbyn+4S5G6zRut68e9473+Q5p0gm4L6xLi1OP2TUJMEZk35rCOyMMnPyQ zU285OCE5PjAgxpRk2Q82WuWQmxXJ/87ZOgFGQKL6S2KIT3PvCAiK2nVfEErzbmoY2c3NQUzG0mG 4E1Ytak1u/E8aqVdSPZebuigwAn9Kq/VSHQteLirnUgWAV73VhoPK/cL0McYkuYmcZnS+4/dOwfm zfUYQ9+R98ohQz/b8PouwQiwFqXZuMI0LH0Ee0cueIFH10RzXxUqFO+F4XViyGKESr4F/lgIi/TV TzbIb2FqbiOdQkODPag02MnFA8vxEn10xq9sOlf/nIAqFB835Au9RxcC9cu2E4zLklxvtI4FCBCo 9sB5/YzvRL6sPtHYpQU6HTkKXwEf4kcK+iPkWEcIWRWYb7fuY35vTILW2FQy7EVFLMiIldMdzAO1 cha3N+sFnyzN3S6M+FJ+NyZ9X+vFgiTzEZoWL0zbI/We0PUWVFsETHJdYLidUgRNaiH/lKLXKHgn l8glj/F/kX5/2gPM4J8HtNIudJ67wJKErg2cP0OJcN5z/ErQR7AM/JP2NB64ljKuJ+zKAct1mwq3 jcQ4vR66gwX1G5CHoAlC4Sk34+/Ne51mS4uB5PBAWi1M72JIintSkiLINrpe3I1pd3NesaknywjI 2mXam+AUsi5LMmcx5ZLQ6gEOyjPP2xv9/xLZFe99H+NOQ1XeQax/S7pm8h4QQpcvH/T9I9Z/5nck BqLw5Z9Mpjf+KBMznAhOSx94N3hQcCEH5I5EPz3ABQXde0t3gqby5kykDDutqCXioIz7QqyhTJC8 l9FqkNwh7mBKG/2zuh4dotioePP51TmjCPA+QtWcunJwTrq1AkxeYbZXSlogCVP+FMWYX4cqIrMf U96pvUfINiu4mDp1K5wowZI+2u6T0haOuwW3zOxg5onf5Q5qj2to3TlKs9vf/jVkQ1E2QAWd5ygj uP2vHHlJc8R4rzmp9Ky++2WEm619i5nKtQySfzwJQcGe3POWNfK5ffMuQsSVdtH6rhPyTZkCUAhb tpXV+9BK2tU+DSBQjCOTfWZ9ZVWWKW44AtJWD4b/JomrI4EoLwMLC3aYLmWH2QxiyfI50MpbAkVA ocqLbZouuX370WV9GFs2ECp2kJQwojxM5W3iuwbNDmBd16FF5Mkuz9R+bqirYLmRlVaKI7/zNVVq 0uHqujqe+JbenmUycyoLXajcJeqYO6Jc4GkKimBYNMoEWRP/IUv/7h0nkdQ7Avc+GUSY7/Rzgxcd A4yZXVz/m9W64rIC5jItAvWFKu1ZOJu+WKpyn9yYxqV+84FlhrYRmU8ryzXR+UpV0/x7Qc+d15HD rEHfbCyDgtTDyfW3bTtFAZmQRT51Zf852XmqL8z1BZ0BIwVusNS1r+tYVNwaVIt4SFk5IBPX/BOv jfo16jmHtzYm+8SNisXtHfHXAZYxnlq8Wffp61bGPrrndIeHK/RreHW5KbJK4kwkN4pMAjIb36nl c/BEucCNnPFCA9JMLNa4HpcKl8sWiLSD2fsiOfF+RyBOqmNURGLoq6EF1OA6849DtW5ggV09/70H akNykZU5XCg+5zLOYTHRmXBMP0IACsoKaCONv6HUtY5De+Br3Dh/F+6Rla1wFmdWN23C2TjbDSrK cF+RPZdNlMiiDTbUTBcriSP8Y4xbUxJt/Jbmfn7tqp0DYG0UqpAfJsfRyZUvDMTLrONHuni1dYYD CgswPleONLUTj4TQxLOe4ILP2Fylcuz3du0L6IXS79h2UrSUBdVWCoqgRMvCfzFL74c70sLMg5JR dD3wDm7fKsrSiF2vajUTb8WQ0QIFMC8S40IW2LNB3z+2g8vqCJPkim2xf+KgbqsHfaT4RrwmttuT D2I86iZxPhcrH/211lhr3A4tpwwof541dGHXAeSx10Tw5y4rE2iCSPh2M8PBhJM921gPQ361tdGw guaHkelHmaPtBSNwvmXd0SsXYkirDRZsmdEX4cVAcD6fiWNc9HlsgN8Kkha+PA/TqW1KFVJOVDtE u/lzcbgd0Juxi/0h2J/k8MvpueTfmaxEN2JzxCAJycPkzYTsrmoJNjK5c8NxVwmde3uOzJkgwX/J kDVwW7od/nvAiBPiypHDkhDs94WSxC5GtM9zSbkmBO68whoFXJM9bZRYHn1lVL+1kfemH32lQBUT qwMB5GrEKSqr11xXQVz465WPNHjCkzBadtCCirKSKS0Q6uvNGoRDU25TAHB6FjDKbgzQx0XsY8PP t2KhOEGQamVd2KpyozKHaOpo1T7Y0OZvynu3QIvMNHIOf9OSfZ/5ae/RTKhol2Q7+30ZQsnHdiqV gbvB/H7cQEzB9QBnJmNjQ3c+xFlR66WnuHlirD1aFKZUK70jlXh69jeA8j5IPEwRyazsnNc9V1zj 6eCVnx5bqV1OYkSok23P5jKTnHs3efAykUpzNT6Zts8Odtn5oj8sUUh23xaU7LjDjXWHz9IDwIB9 JzOSeMkqIgD1aYEkVlavDbwBSL3l7vcVB7cDcdCoFWISFwOa+0OUrQzYKJ+wYHrmtsi10HtgQoT6 snfyEZzcxcxdlkMe4OKki2I4K+LzSQUAi6zgg6r9aDXHdFzeW/b4PjAk4pbdcHOrURg46eIsMBlF Sd5jo2xbRkJhHJ97ObZawp1BGZ/JpS9N5VOv+tCvUoDwg25cS0w8FfdZNaJkOIiR1VNwNGWbb8Oo OUPl/0cf6m+Wkq7u8waoko3PHfDKzmJe4dHrV5nNMxMoAoyxSo3rYgWluqDQxDpBJ9P2j9wnJdLY 0P8/TrFfYaRFLoERgvEmVayQ69xDTHt4L7qqbOlIW9Y+NJxB6DgMz0YGs1BxMq3jfx3Mr+6hqmhc nQenrz6No7nm7ZaEq/tTDXflL951E/VNihxikS8A5QycMRXf6oPvkXO9oWIkVRX8nNhpEcv/+L3H iU+P+rms9rL1wue1307q+t7W8LxAQaGwV3RRap+To00a60JaG2oRb5aQR7QQr9zpmun3r8AN/Rd7 2/IbGQ8PWJtRH/yPPK5wdn/ifIUWfqZM/g== `protect end_protected
apache-2.0
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/axi_lite_ipif_v2_0/82c7a66d/hdl/src/vhdl/axi_lite_ipif.vhd
7
14662
------------------------------------------------------------------- -- (c) Copyright 1984 - 2012 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. ------------------------------------------------------------------- -- ************************************************************************ -- ------------------------------------------------------------------------------- -- Filename: axi_lite_ipif.vhd -- Version: v2.0 -- Description: This is the top level design file for the axi_lite_ipif -- function. It provides a standardized slave interface -- between the IP and the AXI. This version supports -- single read/write transfers only. It does not provide -- address pipelining or simultaneous read and write -- operations. ------------------------------------------------------------------------------- -- Structure: This section shows the hierarchical structure of axi_lite_ipif. -- -- --axi_lite_ipif.vhd -- --slave_attachment.vhd -- --address_decoder.vhd ------------------------------------------------------------------------------- -- Author: BSB -- -- History: -- -- BSB 05/20/10 -- First version -- ~~~~~~ -- - Created the first version v1.00.a -- ^^^^^^ -- ~~~~~~ -- SK 06/09/10 -- v1.01.a -- 1. updated to reduce the utilization -- Closed CR #574507 -- 2. Optimized the state machine code -- 3. Optimized the address decoder logic to generate the CE's with common logic -- 4. Address GAP decoding logic is removed and timeout counter is made active -- for all transactions. -- ^^^^^^ -- ~~~~~~ -- SK 12/16/12 -- v2.0 -- 1. up reved to major version for 2013.1 Vivado release. No logic updates. -- 2. Updated the version of AXI LITE IPIF to v2.0 in X.Y format -- 3. updated the proc common version to proc_common_v4_0 -- 4. No Logic Updates -- ^^^^^^ ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_cmb" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library proc_common_v4_0; use proc_common_v4_0.proc_common_pkg.all; use proc_common_v4_0.proc_common_pkg.clog2; use proc_common_v4_0.proc_common_pkg.max2; use proc_common_v4_0.family_support.all; use proc_common_v4_0.ipif_pkg.all; library axi_lite_ipif_v2_0; use axi_lite_ipif_v2_0.all; ------------------------------------------------------------------------------- -- Definition of Generics ------------------------------------------------------------------------------- -- C_S_AXI_DATA_WIDTH -- AXI data bus width -- C_S_AXI_ADDR_WIDTH -- AXI address bus width -- C_S_AXI_MIN_SIZE -- Minimum address range of the IP -- C_USE_WSTRB -- Use write strobs or not -- C_DPHASE_TIMEOUT -- Data phase time out counter -- C_ARD_ADDR_RANGE_ARRAY-- Base /High Address Pair for each Address Range -- C_ARD_NUM_CE_ARRAY -- Desired number of chip enables for an address range -- C_FAMILY -- Target FPGA family ------------------------------------------------------------------------------- -- Definition of Ports ------------------------------------------------------------------------------- -- S_AXI_ACLK -- AXI Clock -- S_AXI_ARESETN -- AXI Reset -- S_AXI_AWADDR -- AXI Write address -- S_AXI_AWVALID -- Write address valid -- S_AXI_AWREADY -- Write address ready -- S_AXI_WDATA -- Write data -- S_AXI_WSTRB -- Write strobes -- S_AXI_WVALID -- Write valid -- S_AXI_WREADY -- Write ready -- S_AXI_BRESP -- Write response -- S_AXI_BVALID -- Write response valid -- S_AXI_BREADY -- Response ready -- S_AXI_ARADDR -- Read address -- S_AXI_ARVALID -- Read address valid -- S_AXI_ARREADY -- Read address ready -- S_AXI_RDATA -- Read data -- S_AXI_RRESP -- Read response -- S_AXI_RVALID -- Read valid -- S_AXI_RREADY -- Read ready -- Bus2IP_Clk -- Synchronization clock provided to User IP -- Bus2IP_Reset -- Active high reset for use by the User IP -- Bus2IP_Addr -- Desired address of read or write operation -- Bus2IP_RNW -- Read or write indicator for the transaction -- Bus2IP_BE -- Byte enables for the data bus -- Bus2IP_CS -- Chip select for the transcations -- Bus2IP_RdCE -- Chip enables for the read -- Bus2IP_WrCE -- Chip enables for the write -- Bus2IP_Data -- Write data bus to the User IP -- IP2Bus_Data -- Input Read Data bus from the User IP -- IP2Bus_WrAck -- Active high Write Data qualifier from the IP -- IP2Bus_RdAck -- Active high Read Data qualifier from the IP -- IP2Bus_Error -- Error signal from the IP ------------------------------------------------------------------------------- entity axi_lite_ipif is generic ( C_S_AXI_DATA_WIDTH : integer range 32 to 32 := 32; C_S_AXI_ADDR_WIDTH : integer := 32; C_S_AXI_MIN_SIZE : std_logic_vector(31 downto 0):= X"000001FF"; C_USE_WSTRB : integer := 0; C_DPHASE_TIMEOUT : integer range 0 to 512 := 8; C_ARD_ADDR_RANGE_ARRAY: SLV64_ARRAY_TYPE := -- not used ( X"0000_0000_7000_0000", -- IP user0 base address X"0000_0000_7000_00FF", -- IP user0 high address X"0000_0000_7000_0100", -- IP user1 base address X"0000_0000_7000_01FF" -- IP user1 high address ); C_ARD_NUM_CE_ARRAY : INTEGER_ARRAY_TYPE := -- not used ( 4, -- User0 CE Number 12 -- User1 CE Number ); C_FAMILY : string := "virtex6" ); port ( --System signals S_AXI_ACLK : in std_logic; S_AXI_ARESETN : in std_logic; S_AXI_AWADDR : in std_logic_vector (C_S_AXI_ADDR_WIDTH-1 downto 0); S_AXI_AWVALID : in std_logic; S_AXI_AWREADY : out std_logic; S_AXI_WDATA : in std_logic_vector (C_S_AXI_DATA_WIDTH-1 downto 0); S_AXI_WSTRB : in std_logic_vector ((C_S_AXI_DATA_WIDTH/8)-1 downto 0); S_AXI_WVALID : in std_logic; S_AXI_WREADY : out std_logic; S_AXI_BRESP : out std_logic_vector(1 downto 0); S_AXI_BVALID : out std_logic; S_AXI_BREADY : in std_logic; S_AXI_ARADDR : in std_logic_vector (C_S_AXI_ADDR_WIDTH-1 downto 0); S_AXI_ARVALID : in std_logic; S_AXI_ARREADY : out std_logic; S_AXI_RDATA : out std_logic_vector (C_S_AXI_DATA_WIDTH-1 downto 0); S_AXI_RRESP : out std_logic_vector(1 downto 0); S_AXI_RVALID : out std_logic; S_AXI_RREADY : in std_logic; -- Controls to the IP/IPIF modules Bus2IP_Clk : out std_logic; Bus2IP_Resetn : out std_logic; Bus2IP_Addr : out std_logic_vector ((C_S_AXI_ADDR_WIDTH-1) downto 0); Bus2IP_RNW : out std_logic; Bus2IP_BE : out std_logic_vector (((C_S_AXI_DATA_WIDTH/8)-1) downto 0); Bus2IP_CS : out std_logic_vector (((C_ARD_ADDR_RANGE_ARRAY'LENGTH)/2-1) downto 0); Bus2IP_RdCE : out std_logic_vector ((calc_num_ce(C_ARD_NUM_CE_ARRAY)-1) downto 0); Bus2IP_WrCE : out std_logic_vector ((calc_num_ce(C_ARD_NUM_CE_ARRAY)-1) downto 0); Bus2IP_Data : out std_logic_vector ((C_S_AXI_DATA_WIDTH-1) downto 0); IP2Bus_Data : in std_logic_vector ((C_S_AXI_DATA_WIDTH-1) downto 0); IP2Bus_WrAck : in std_logic; IP2Bus_RdAck : in std_logic; IP2Bus_Error : in std_logic ); end axi_lite_ipif; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture imp of axi_lite_ipif is ---------------------------------------------------------------------------------- -- below attributes are added to reduce the synth warnings in Vivado tool attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of imp : architecture is "yes"; ---------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin ------------------------------------------------------------------------------- -- Slave Attachment ------------------------------------------------------------------------------- I_SLAVE_ATTACHMENT: entity axi_lite_ipif_v2_0.slave_attachment generic map( C_ARD_ADDR_RANGE_ARRAY => C_ARD_ADDR_RANGE_ARRAY, C_ARD_NUM_CE_ARRAY => C_ARD_NUM_CE_ARRAY, C_IPIF_ABUS_WIDTH => C_S_AXI_ADDR_WIDTH, C_IPIF_DBUS_WIDTH => C_S_AXI_DATA_WIDTH, C_USE_WSTRB => C_USE_WSTRB, C_DPHASE_TIMEOUT => C_DPHASE_TIMEOUT, C_S_AXI_MIN_SIZE => C_S_AXI_MIN_SIZE, C_FAMILY => C_FAMILY ) port map( -- AXI signals S_AXI_ACLK => S_AXI_ACLK, S_AXI_ARESETN => S_AXI_ARESETN, S_AXI_AWADDR => S_AXI_AWADDR, S_AXI_AWVALID => S_AXI_AWVALID, S_AXI_AWREADY => S_AXI_AWREADY, S_AXI_WDATA => S_AXI_WDATA, S_AXI_WSTRB => S_AXI_WSTRB, S_AXI_WVALID => S_AXI_WVALID, S_AXI_WREADY => S_AXI_WREADY, S_AXI_BRESP => S_AXI_BRESP, S_AXI_BVALID => S_AXI_BVALID, S_AXI_BREADY => S_AXI_BREADY, S_AXI_ARADDR => S_AXI_ARADDR, S_AXI_ARVALID => S_AXI_ARVALID, S_AXI_ARREADY => S_AXI_ARREADY, S_AXI_RDATA => S_AXI_RDATA, S_AXI_RRESP => S_AXI_RRESP, S_AXI_RVALID => S_AXI_RVALID, S_AXI_RREADY => S_AXI_RREADY, -- IPIC signals Bus2IP_Clk => Bus2IP_Clk, Bus2IP_Resetn => Bus2IP_Resetn, Bus2IP_Addr => Bus2IP_Addr, Bus2IP_RNW => Bus2IP_RNW, Bus2IP_BE => Bus2IP_BE, Bus2IP_CS => Bus2IP_CS, Bus2IP_RdCE => Bus2IP_RdCE, Bus2IP_WrCE => Bus2IP_WrCE, Bus2IP_Data => Bus2IP_Data, IP2Bus_Data => IP2Bus_Data, IP2Bus_WrAck => IP2Bus_WrAck, IP2Bus_RdAck => IP2Bus_RdAck, IP2Bus_Error => IP2Bus_Error ); end imp;
apache-2.0
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/blk_mem_gen_v8_2/f2a44852/hdl/blk_mem_gen_v8_2_pkg.vhd
11
123927
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block Ccre/mo4iYR6ZSgOg1gk/7yavHm/Tab3ZkZcYFm6mHsK2rs8opjY2zm8CLFAxyKzM+XWqIQXr/Fc dQ62SDu8pQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block WyYd7hG/1lw77JWK+H7uaCTBuAtJ0TBNBmyeEHZzKg+QBt3Cr/4H8z2MUPj6pZRjBIIMcBdDyWAg kFxba6x1wM6D0583UJ6utRg76JBTYn3hze0vwLk8TflbT8BIsLMY/07o7U9RQLj+Czrd4nu/GcB9 pJ+rlEp3a0iAZrf+WXM= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block RfuO8rrKLN6nyJNR3MR3coxhKut1qVIAuEdjKuEt9/BtiGJZN+vho3sGPhnXGPXiGhQsomdebq5G ubnDKN4NlrU/K/1OyNtvkXiCQ0yq0PS2JdWUylqpjwB9ynBw5A57ADeaCo/udDuX1y5wHWGkhROQ fsJZ53VGKb1Op1Lb3r9BDB8N5YilEmUvvtSyFbdB+7psIBAUYyMVMn5URNhxA4cyzgpgQhfcULcK sD4UNIk4VWttF0vTTR6gUts3jmAIHyHf3d6WxdEAShshX6o4OKR2UxT4uLzQata959gMnHWV1u8z szCVxPR8xQQ0v799z81NPg3yNd9QbIa33NfW3w== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block vxmmKikWOvh/MtjVuTOdXUEizG5j31xAKxSiM9Xx8aixITyV/shFEvsvoImS9EU54TgPNBdxM8IR npEUXOOcVxO9WfGzwhZNQ/ZK0jBxGyrb28doc6RBBBRFSLq6zp6eRXW4db+xriK9oYHqwZlnFh+p +PrqAo/I9KP6sZv1oHU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block kSh8dathlvrCSfMnDfy+H9hBRQczNUVPEe4uv9JEwCAa3/2S1CyBPGf5gqqXuNXvqHZolzJIX/7w pSnd9F19rFWLAuVfzyaIPlTZrAsax9Nea4XwtEczdmi61CkouLWxlFuVwoM1bzkNI5RFMcI3c+mY VVE9udu6in+oPKf4Zn+ElbHY3V+cc76JILBdVqpMZqtx0VT2JvmWZLAz3e78avyNNr3Xow0ywIGy OdX3dLU09soUoUFPZH3IK98LoelBpKnR1+HxTI22lPYimCTRIAx2buuEryXwBu5wfWWSCn3EPtF5 HiIi9rQ4DoAlkBvN4LqTfIdUNmzaJr1QCruccg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 90000) `protect data_block lvJgp9F4NgHfQyd0s9WfMj2NKmMmK+cVw75XRIANBEFXjAglUPymuokPQnOMfyJFPf8qKWlwo3Qe XrJHuaeX6LKfO/NZ4vjdMRky3qPb7KS1ZDXX1CP1z1s51WQ9aTQO6BiBoNW6ouNJWTZmvWcHc2Ln YVf6M5x28gNptT5WNP+UIgu92VricFgiOBR1gPIL7FV+IOzcJznZbjyvYSvlGJdvulnhubW2D3JW KH6ybsV2LnM8zlsz7L/FyejM4DfPUIqfzrVM08P0bR3I/cxLPH/mVg3BsSIMreRHxSyPg5oJgT7D cAJ41+YIDsrJkB5vf/CYBXpOcT06iQorhXtVQLq4DPxY3lzIXuYlbAqYKxPLcRzPrr0e2IB0CoQJ MDZdgW2WWv7T5W+8mJ8+hwnyhFhP9sv9oMmGA/gM9vaCyFFxsyh0ybR63XJXfPaR35uhG3lSunFE oaxRIYv6LaEaduqlH3ZgH2OHX9IkqqTK3tweKgRuAfXLoGkE/6+tYPmB5LuBmSif1yu6bzDGIATx rauJ16iPQRnnBIMEReZK8gv/30XfdrH9NmTDag9LOnv9SCeZv8slp6k1WjfEgPI4gQU6AiCI2TxT AcwN8eSlKJ6i98Rw2jb8N16ORwBUpupPRejyRA21l6dL14kBHeZODL0LuAcIalmoMdd2bw2YRzMP R+kO91ZduRRzsBM0mnr11LFV/OezvYwU3prAH0gWn95fyGniKpD68fYmccEtwa751Tce455rDm+l kexsscg5DWc4PRhHqYCHk4j61IISlAqaYbn+WU5gaGNFp7O8UcY+n4AUAQbemP8fT7swYLfGxjQ3 z1+SUbUzhrq2vGFr9yRKAIbW2YblXIshzH5T5ezD8kQu8/qP5FKtwRQ9PkYTv1DKVC4amA8/9NB2 gq8180MPsmlVTYaIvCso+6Ohy39QEZhX5f3WXf9pqnMDxipiq0DVnoWHET1wDzF0Rucv9T2wnf8U 2/ubcR/Cv8DE6gw38amZ4XlnaUux5M3T2ljijEPBWN1TFf1ofwQkRafL797wlcpsKVB/6twM1021 Tvi9zxbYU0jnqqeIjZnYCZB2+MpPO+CSkSSW7IzbF0Mx7mXmO8ytmUe36dFEMa3c1ehkk/V0a0OA BCp7bxRJoRiyzLi4z4cSvXPPjxp5aYGl/RG4Tf8XDj8R7BiwBl6TYf3uOHUrKUns2QTV+Tx2aRtn okV0HBSuheoJNBt4aKG7PUfmJ799ESS/o48TBZ7rcxWGj1Ln2oII3pBl0AQ5+zD4lzbM0kktTg/f c6Fhus0fkV0cdE2B+6awb8jenB2oo9At0esjmrCvn9ixhYQOItm2Mb9/t7MsDqThbhUx/E+9yXoz Iy3BuZyzVCITVuN+4k3d8BzMqIbvAp72Hqbbxcq/Oe86UYGbaALGa9UH2pMawdeidGIeeK6csm3y +KAMEhxzwHP2PBNr4aXiTId8+tIljBF/B2AOfDtV80SHz7lpSuj4kdbcSyfDdwZPsvXKoWnlRxLi AkOJfGgUVLnV/bRY2BnJ4uQ0ssX/gd33ANwScw4x+hHdeQjh+OuxYpfzwvLPUpeY1jqCROIGODl+ IaS1GWG73dhWFKgF41chiVZAHh1e8jJx/u8SNs7DjMot/I+CBJPylr620/R61C0c9BNMJv8DEYOo XM3gTNlCf/KXySjYIwqu5eax9GuRKHU4knBwJnqEgW+EA8gZQNRuryAyu8t7aze6YGgXv4fYn86U +/PFVHMO9HEjHWeAKBwwXiezGABUXEaHuwoTbzuIW9n7u7sfobAgnjX8GFqz/aKaoV3MEVI7Zv8+ kLREvGPymwmhxP3VTUcjjbnFhnrZG/pBECqS5eFgWKMR7nEYRNZBnzOqZSyxk9ovt9MVi5w2DTGL XyBTLyDfkljIPB20BerlXHMI6uuB71eWALT+IHAP/uPBZMvQFH1mktW5JQqQrqwNT+flWPDgedNN Mhn/bIyEVZww7yGFdOj1+qBEUC/Hx4vE82P1fjzQb2q18Vqg5+fhn5HVQjoR5na5YSc3NG3rmK8e ZYvGkBiuWXH9HlCUNtSSpru8h4idk7ZGLb73Ff1QzQ9WOqULWs1b326ghEp2pfrNAZbJmhzj5yxS YCWLlQZDYqkGQ9DXZfQnU8sDWp5eIQLieRWHpKVASY0ckHpv2lbWp+X8UvoURRhec8UFL+lXpvfy NV0Ht5J0ge93Y1Aq+h9+zMLaUIlwaGCex3HSyKfUpNOc7sgsBYY5bAGp5cp9hWQtz1IFdSIYPOFy UCyN3tsgQ4LnjgKsVMJasDyCwk7NTlpVRAId8nAdlDEJ5deRVpwm2BKbyURzbAzPtt32gZ8fhaNi 7RHtR1scsrYFDFdg5m0UaJaowk9y8khkPN8tHbbF9ClnVXe3r7Xa7VoJ8qqrfGLfbAWaG8Lp++fR wucf8SrgnSdZmbsCsCoVtN+Bf1z7I/I1NH25bIKlWfkulO0FkAgr24q0RMIgh/L95wrqKkYQ9mEk 9T3mnz59LUEoNBgxFai/PM7IK16By1hAUw1ufscArQjNEk5ndbzHqCQz2jzLOOsIGuIpqtDsJ8P9 S+eByrwbFio4v3GOvNtoG2T2aJpDUR5frWV9Wz48i32Y+dHb3Jl3DIfrGPFnPzKwi3vch7A+U9Dy Jx8RxBl3kAz53wsTI6ZwLRZ7nPPIMObnvo47rCCnnEkMLuy3CXUiZe26c+C/hgYNBG4waSnk2SiF 3lQ3+imjn4bO1njhwI5o6v4wJrsKwTkyCZyjY3AjzjJqxD1BvYbuO4Cak85fXwsdhDSUypcId37D kJYMgvtCMsMC801Uo2kET6q/zFFUjxHdR7XESXnNIp+KvfRA1kBF6CUXjDExyBTO+J5VLf8t/gWh tihxBHNNDD4Cel/IqM707uhZguir5/6/HeGNcOULBKU64JaUO8C74AMbsfHiNat/DVS9CAhXqYUl mxrphLZF480SScZ6o8qWXgAXl5k8Ogv2gDQxnn7/phON01yzwh9eedJSk9RWG+bxdNcO/01whxaL 1Hvp7+tzu+NHHjW1l3haurDqDVMmp/LLLPUE19eJUER8YdY4DqIJGgQvQjxPmqg/+tbbFbeFjTaD Wk9AvRzP+J5a1AoDGhRLpcBHpwfj+51UJUiTM67VwRC/BSYqF5nJGtDGAXRJ8v+bfcXhNbifszi3 yvdW4B8eNFe4H/lfgO8h+qXSEAOob4zre4UBdodfqaUzq1S+qtqZdGmnHio9rN/z/RUMl2YDT+ul nEIILSBI1xSVExKpHRkmLwGdyfNQtxwJJkRvnaoDa6yYejpEtRHJ4bfqL8caAbl7IEJgEtwUBTh8 MVjay/FT5USvbIGfU/KQX4ptft54iaF+5hIJ+eJE2ZT72SWFgy/tqGzKFT0sfSqcAl4uKiH/DSe7 9YoR7Wgga347T4L/swBR+5iguE8Cd257P90h4H7pG9S8wkuQJGzAPucvMaRLuKr7Jm7Cyms7rnxp kxh6G1pA88HHmIcmt4/MWaSkKyMYr0aMS99lg7JcQ7RbqE7LtKh0pKVthiUTzciWiXExcbnNPv5M 7QfSUtQtJSJiTrIu3P4mmnhxgPgcrl9BQZp3iETjJOk7eDfJCInOhMEwETT2P8pvT8RPUUSyRbzP WPssQ0OI1zqmP9jsOpHzyST9bN4xlF8OxmaLFFEddHelzKwXHLRgPqvH1+GDkTYzTZPIZYdELWW1 0d+CEtgda1eVep2JKkZpHjqmD2z5AZpQwKVkZ00T/1p73FoqvfHtbxBgIO7XKMAQWONuRktcTW5I 7lS4rkerJze9mrOnsIqX0mT9dRuY2ZBhALupbTvIg+4FfAXk303/KvnexK5GPJTVFo7ZuIC66LfX i1CxAOmvs9sj7iJaJy4B2MuztvDHL+Rdm+ga44q0QVqkBheTT+wLAcavq4uS4aqk8dcAayabtYkZ wRQf5uizuL2K90zpudVZMj9puR2DSOqW78ttFegLgOvQGhfHA/e2Vw/t/qlQrhRLGd6KuvIo6tVt q6BYNMI+xNyfV3l3n2EC1qMpzlnTUcutOyPkywXhUE1vsYoegO3OR77Icz5CP03FIb9bbKfjouoE bTCstTfet5CjURS5Jf4pBHH6bOvPKKjdeUyAJurr5ZHn0K+WgpQ0oPN+sMINyvTt2W45TxOcEWXh krsYMNYe+epYAAbOMWhRCKGVFfudZpOOD2WcqNvDsVOmDBzLtgaM30ljpOTA5a7+3qsvdzxe3pVC XvH+O5Hfr4le+Zy/+eu2PYwTysrmTKrnq7OcVNGMQPvPmuQdcY6+X8YVshGjkXnNtK5qzwMFeTZc 1j2JdpDoKsONbLJtiGaONZFLQunFo4aWXX1YO28V1TxyFgxGGgnGYzNuISiFE7av92Bmt+X8ex5s r4gTpwBQnVXlp7tK/0Hmqu3wJR7cjsbEVFOqKe8IwYqzL57/KsKb1f6hLaJ+aT+KRgauATiSKbF3 G5Zpbj+LwukERrhoXKrGeMFjFlaTfD5NV7RDQhW3brZu+4drh0hQe8jUhxPysVPH2G2hSrnpTr8i cwLKVay7ZX+IXFR38K+HHOTYAH4hMOrBLxu2Cpb3Yz+DIDxTDEkSyEiOkBt7X0aYSuxj3JD/J82K bjktME5B+v7eSmOucz+9Vtsec/uPCgj+BcUPQ2IqkIryNpZ5wRPUuRjiVGDu4zHncX8D4J4L/oEc LY94rzemfNaV/XMie8Nki/rls77rtdOXSgEsQrs+n5k6O6iEfLEc+SJl2OcvlRIbPjGGLmSv8Qbx Qu/9llVSFow0S0Q8cqOtNrUrRhROPd9tfGIbKlqdpdG9Zb00oPXXHtC5KeCjokIUYjC0VrdEj0nK pSRTdoi9EZ9JFVEcdnYvAo2AOZkSoXytlMFq89T5Qv5qLfybNZ8NDNGf6zb2YLAjuQ6QY7PKOCgQ egzmwLkYZlU4KcODH6HT63tpLfz97OPXiRnX9lwAFso1VSUyUCpGy4xxjs+kVIivqg/Ho9SbHnx2 jRPYOPopVcXQr9QUxnHYiEVeZt4h74VpLGTEFICsOOsLIK9eujhu6kdZyClRpsJHcmv6Gg0ZnmGr CLFBboFURI38IZAbpsc5p9T2fo+TXwcwGAqc8A0SkmPDoAziBBmEmmUg96em1zE9eGNzy/cJyb4S 6S80zn+i43Zr5qiE/ueCHjrusoJfCKGON0JBfQSCTAK75f+OXeauiTKyQX/PxvLnUU/Li5j3PqGN z0zsRW+k3kaw5PCK/QUDXYOTcFGAH7/s+XsGubgaRos7bOP6RyQemjtlKjWhfvWOz3xjvfAdby6V 5/fFxAOMFwjNfUkDHt/wLwVeHs/M0LdiTtIutNLphfIWq/d/lC08FcM1afEgnTuhnjIRQoULorIl tQUhekskQUVM3bHah8prXmR/N4qrhjlQJYcs7yPx3U15pG9U8IM3NDQiwKYjrNjxWiMIH5A2fmuT RGkU5/92rRXzBcC3COQQv5sqjV5QMv4HG0JWei++MuW1hCykWABG3oaJgUkAx7LT8EURA+ycsKh7 4vD4JGo1vLBJx2w1XBX9Ddkg6cYPlAHFAaUfGmP4fppXo674p0VjN/C0Uqn+HiWvcKjNmE6s7mvB MpB8clwkmImH+TpU9doOUKQxkvqjjZ+bE0kbhiYzXj+5N/bH6K1VPQFFvFgb6Izl3AbuM8OJgPAs wNbdBXDHOXo9V3GMu7GxxBA4jn/Y43/6HRPLPOrrgao/2VFs2i6V1KWzfFSsLXbGEF444GshAxuv 8Lbqd7rM09SyMcrYIfli2SBsbETLRUTeg7MdLMfV4SKPipLSZX4paPphh46oiJJtTFfShrM8h8eB 0cqvd1pwpKOwPPqX/nxBAPtZzTnztEhI4oX93whVR9+S7Se4ebLFOYhjVBFANHEr34UaYU7aFj4m /vrCLCp/E0CdMjwQ+kF1EDR5sMVQ4jEQgFzRn2DNFeE56OtoY3TobtA1LExfbvzBYBZwSiiMaZYe mIk6G8PDBLBL/YbXW/5IMPA7xK/hN2zjqDJSPoS9qYQrud+8BzlxFmV2O+fKLJY/PKwxX/MoJ5e4 35qAR+1jODCBlpuzT2Be+mJWJFt2/u60t/yYehLUZy2GNC/BpQ8TAslP1wqgrD0AZ/VftWRWB9wW h9yBQAzPdldRv+EgSTCXZxDXKKmbTHNseJRctPEN0GMWW3jL4FGXyR+MRBKq5kB8CYFPghT/FK4i Vaxv6FSv1roGWhXbh8vGvqr/K0b2/3TtLdIyTx+a1L7JFTC5l4fGVp8nBd/uFy93WIXQGwmhB7Gp zpEDN/hRi/9Loc6VDJc+HzncKQmgARUf3f9vvYjjzQY3u8KU7NYgWJ7cjCKM4DbVhmNvmEscfDOj TfEZu5HhB9q62Q1+qAOcIRwUr3PrniVoXa1klAxS6StyGvNzEDFkIfZNgYJSCLw+QKC4V4jrw7Df JG9DkAzqqYpyBWIoOugs7Bp9qgPY1XlV3ioRKMh/hYHO37W9ZiC4oxkGkAC8CqfCLr3dr1M1H2Ac yYyOFCJHCkhsrizfak3lGyuCrX333tcftcCQKacOqn8msrQka1bN/iCbAB4seqMaQBnuB3206/rW RCQKJMxoK3dqD4niSi7DirPcmkgHVkxoGpwD4a0leUc7VrDVSQ0Lmg+34XW5iCN+prjX75GpgVef l0lPkD/D83mbtJcqFWpzcqiIPzWSFBPtvDFNsFhMQ2gV35HrwR0DKR5Dw32jN/UA3aJfbeAL20Yz ijsLY8wRMUqHBZrKDZyEJkYoO6519tyzQu7aR9lvkWjs2vjusxsUBRmjMmGSZLYddGuvy2Im1mOS PwNbAadcSqpKSHpb3gFSw+hXtr8KX6kikUv3GggEe9vj1B+rXH9CGpZwFP6TOs042GoTvOddGCNl TGHM2ExiqnGsAaCAm4VF3KukzqbpFCaW1lCaV/s6UjO/Mfhk7SdmouttxUZArxC47lxewGB/+eDt eWWJnWNAM9RTdtCe6+2LgA22pcTpi2uZF5LAgW40SeoCJZYBTqE5BpKde4Rrg8BKE5RIu0A6W+j3 YZoXh/8kJ3Ugu/RfovALpbR3HGBvwL3bwZREJKmyYGaTTl1XscwUGyz/tMGn8FCBJsLdAyVA2+lB UxWJdUlSlt7lcLluSuyjLexiQsIxhCZ2vjYW1pEWVZomQggeOYu3W7tmdOXWXbpL3b3QOOsiv6Kr aVfI0g1MkkWF31fr3lklvg4Q02fK/+BWmoaRUysR0YnM7jsUmKOyfFFKhd3eCQ+ohqA4EqMVKRpE t+AIq9mCVv7tASWlEOExgBOkiR3UW9XMBq7M5MX7ddOH43niuKM+/zUxjvnRRpIDol5prLMZ9fBr UqnAAZmczr7CR6XfyPdeQn5vRgXFPkMeY27H0mLB2xBHpRnG4W3Dcu/CfGbbVEMgG9kTNYp38HCO 4t0V8IILAS8yJ5scVmeAsj9I1laZ+nXv6sKmhmHnhqhVrp1bszFbPLv8BYSUalHeWhCClTnSer93 zNYOd8JA9wOiNxIXidgzs2uuwYKPQTqS08pbnVBiyZYrkBUsHebT9pW6+me3hebo80grm1INYaK9 tWzdaA59YbhbRcoYja/K+KCT+YaMlZvrK0JVHdVQxnsR9X8QkWh/CTeGuPRiM0D2divRb3LxDfpV m5hf2Tji3hwH6plPKphfEn0WT57tBCES9uTEyJN1ET94gaCm6YY/w24aGxgffb9awF9+FFrRGLMe d99JbQ19WCI6nJwBMFJlxD/1VKRXF4TDI77LCTcNb8i6+L8LPVEb1uOnu1I18cGDX0lzvrD2kdF+ HLOjeCQphucECQRzTFt+BzscLKFzZE6B1JtGx6EVT8jaoXyz9hLsnfFsAmUnssw+CwdGxe6jUTMI NDcK8P+G+aSweCHlyN64K9oDlkdiIVzM9xLK+Ahfb45WGNkaTPdyskoKWThkAjc2ncupXz4y6/XS s/U/LKA3226yDpTsPjL/3oZ5hu4NWMDVHNxKiu8sercAxdYQd86UM3+it0/q7hEmN6CB5/Qwnc9W xIQfnaryCKvhFLu3Hf3+XvBTmtvImRxqfHj3brlsFacMUXPeUNZu2TCHxLWUp+nmLRm7t57pko8g HWLKbIesFqMp9HEsvGsl/Eo18R3+QQ3ROO5Dzp+/aRXmk+jJka8n9CVCWSVJfToZotS9Uyln3ZbW OAhEVpTVbXzUbo6rOgIMgohCBYOD9d3rzBHjHnI3tEzgnZzZnMh6Q2ZdEJMNr+lduN2SLwAENdBz n2dPsFMPfv+qC3kab/MGtYuah4utJAQfiINioDtuS8aek6akpE2BkpUOqjwhq5GTEL9TvLoun2zq Usw26kPN999H/3LvlKr3CPkN+Ztvs34IkREEONJEUqJCsnukHAMCmJXOCv+JkPhVHhIIXtMAieaN kys9LMf/5LdVLtRMBNXrnjOmHA4iT5bm5l4ylcNj+eOAHPLmq1xO2oCBAa041KsPR0A/X/4f1ogS fYPvxzDEHkeTmMzu6679hmRF0e8AFzs7kkmF1ooBVC1gCG9m+5+5OsRXTRKgEGrpfdYfrMGMhlWo JleGrs2+1VQFNinj5BJA8zc1FJVh2uePXh8+2xiqRJQkxu8eXkt1xOc49t0nP2Hyiw+Ez4F4umsy L8yYEWEsayXgMCvpufM0dtaKMgKgDLs9Njnmeh5KfaZSVsjcpTRYaCBMpKDTQJZ1N+vERWhs4dom dkLsrSacPwfvchMRDK3YzCURHvf40VngRgKjRi6UgVJoWFP385PrjBEtLarbCIHkch3sW/W1e5KD jp3FVXzcghgBYWPZYHSbcY1/SImnhw/bEmwFXTcAlmg9TbcWbLmxjKZDDQ1Mjih7pH1Sg8cOrY98 amGAw1yeRtQt4H+twmdbXuMwhfGCMtTK6Hylb4C1nB4V6MokBYhT9KAmAYdB0RihX7jY54g01v91 S47xpDPygGBt8lC8qODz8h3qMDjJHq6bqkqtQSIJ6HtBuF2aHRJcWD/AEGdtwc34Y1eQ4VuF2ir1 /4AYJZ1AI2ojRVel0AvgMyw7fN3ZXVoyGZ0Kzz2w0qINhPvpG1ibI4ulElnSngzK4S2irsiOGzK4 DscbatywJUfgsP7gj89FkOIEKp+stC742uXDJczZs6njfSCxLsq98evZIjfJadi1lfxf6PcmRz45 07MsvxnpkXNQztliaoaurcsyjhUeLeKmpCEyMCnOyh9mVwJ0Ym6XKOK6jQp7Gwv43GyAIqx+T3f3 x1vyFYtx0Ra29uWaltpeLLkigv4ol5ikuxIdzvcchM6eufLFy3IgdN9FOrCkUvC68rzFc597FzXb ODUwroV5cAEQyuxtdmjqo1GJwqTJ9R0f6yjP/mUAI52GAcnOHFu9TiOyKtKuhNjByuEIwHKnlE2B E/quFr5SSaxPURWtmHEW/3ePkow0cTGiRcpUBvGAqIlVRVdwqWn3HWGjDcL+1U7tb7Ly91WobxNN 8lcQfLBy3Sy2uMPzZ1X9s/bbVFA/ZAFlX9KjUSmxOgUHL5MPNnQ+jMFUecCUDtbcpiGHSuOcyxjq GqKpSyoac7jFV5gx0G7y4YTyGfhxIbZUht/0ooDAxHX0dUP8ba2XrI7YdE7b9u+HPNjP3hV3kY3m cYGkJCMEnqCwv+bzNKd1R1P3T3RTu41fYimwAtuGU/PR2TOkLJpgvXNOaohdDe4nuiprMk85s+8x cnx20fiCdlJz7qp2mLHH2BbvslY+Z+GBtHoZrJI/3lI2kdIsGEnPFd6cziV1EoyIFy5R3Cj65yxu Vb39GulT612eJKlb8Egx2Jcs9GaE46B3CujxeQNna3I10fSSzzF83jY8lVcYsXmfUnwMkwrA72al ZAL8feURABA3E8SnLBmTV6JPhI1lxpwlRzAXJtAMkeDgalkSpQb/utfxJCSTFfP6ZL+J4ZE4Y+SA eIdqlCpoN872rrWj1xpGA0TjR2FiteLRzoJ6iAoqJZU/9CVCqWOUrkXq1Y6oJkOSd/GjXLlb1Vee cKjhOxPNIE1Rv/Tsu0t7VWUOtWFEz3tLWhk71Uw0MnYS2coYADNkFFo6oBFZN02YczAMeP37NkgK EBujWtc25djZ2+6UheOX/dtl4y4/T8bIOYD1WQXTQ4SPBNKrYu00qizF6rkHlKK6TiiVGCUx+JpI tvhHxMqUzr5dp42iP4Nu/plUTJmSqjjzCwrvNU2vkfkA3qSZvHjzh4eC9Ye7ZMdvZBx4Klqybut0 14gukAFh5vdtg2uN9gP0dmiu9oh4PVB5BVI1EyW3JQ2mZrn4y49e3No2R7CDlggeM+UbSQalFpqA ZkcBDocyJvUi54qCUrXt3FrCW1sohJtxujAereE51MjGa2u/aXG5gEuKsZ0ZmqTl3y3yV6kGDNDJ 7yaz9mDiOrKG3er7hcMnGPFV4RPEljVZRJHZmpWvGan8Chx8l7vlFL26l8g91pA4d14B7Ts81fXT fgUS+PkebqqR+IxmDNXWdqniSFNJC/WK4McpBMJeshohk7EB1YfmfSMmnhzqPvz3L8RDgRJzKC7U Uatntfx5xXf83ba/dzZFZOVnvRzEVN42J9oCDVYR1xpUrGoR/PUJgz01Q5H4Cel9AEmT06VzTt4H jNbU+yQ0YZo70n3bNk9WNC7u9FarbFKUV3/EuOHB/1JxeCM8x1FXp/AZK2yHvs8hlQZPuR346Ujd dFX2y1FsH7FkIo1ursbnMeCgGWADXj0heaa1P++0OGSG0QypzR4+sByA23c3attfDeEKdzYWajPl WW/vc5KJei4GTiMFd5wY72ALGBJV9bX8GDQ8BEV2cTyW+vEt817D80Ehbr+GDfWOHpqgBvZGRgj/ Eo7Dn/+gy65Yd0frADJStWi8ZRWTYFMtot6xzwV+QiYcj9e1/X1LeQ1vHBDQTTgbn1CSDIhV2SHi 1k0MXJZWaThdO1tLLJNPQcKgRaSWgHaWo6G8Z5GhtgsJu9NIlGTffzTEL6j9UG5qK6umMcH1m7ir mG409fT/fiHlHDtf45gz1LjXu20SZZ7Jw69xIphzjo5L8bhi9X9HZkmZ4JoQELWayT4oJmgT0zdN HHDDSLJR9o5AF1EdE1RbPKj+FDUOoSa3hs+ljTtqQHdjPD2M+AlzyCmIGy9shKQ+SMCy4ASjIxBN BGvmnP3iWjy2kttgl52IgQs/9149HS8dzPgtyb4r+zXpGzVLOLYJTWzRrmt2F0loshZc/rTSLVpz LWcp+60mAwjDF6rqB64j4Kpf0VQOfSVsvxK23dRFsC2SaBJ8bbr5l0uUhLadw7GAM6Rz1LXgmJtD EVEiYeq2aqgN944SdaZ6kpG2VL8/REnGg7F8biP5BxhfCH47nmsnqPmMIPyrHmtpHNTrJ79J7op+ HFIqo50Igpjj8Oens6b8DSkmv+5zrAdMvbogA/eFXEyloQuMjh7B7pvH+boC6puEtGWtipfPAoeK f4pMK53rmWbln15vxBJJFpH8UbOyTGMpD9DpCGjjKNJm6G4ix7kDfTCCcS2yp/1fi9X7QrmudEFb R2sRAcyrLtGUrnP6nOlBtVGvut8biyZ+8AMZlEQI+TaEh2ZpyJ72Q+LrPuGG3c9SQzIaZT0ZIXSr EHX10/0UpoiQixDoudtUyVxhj5AHYBRuO12vHq6OE0R83KrzkvyZ5TV97CEyhKXljh2fTZ9Bs9ZY 7I8kZu60r85fhzZcKoNZHOcPPw30uv2EurU6ilxEd1FY6cEA3qtQJgjFd9X34vgsXMvXVF6iXNb4 Qywm4NdcSalsWZ0owrIQh+0/gVu5/AhTEM0rk+/rmXop+N+5ZNRFda+XGODFlUx7j3tXl5RKS00M yA3nio128kvLV3rhoVdSKcNs8+DjSuNvttTIwSbDlMkFBLLtgoNJzDWczw/yeD3pAnWR4bDOPhHT BZDdR5BGmavuQm8FYR70OzX0LsTiNbPgVlOSH7ijvKAnRd2O5RRWYDnUw5H97EV+JIqQ70iZA55m H/+k1dg939k7sN8t4ammWXFyo4cX+8DjjaCMC8BkUWttL5ExeDjpSzXAYMfIr32mfMtcrLB2UfJX WFM+3CPKRZxHqfVGV0X+Jmfyydc/T/9GCJuDV56QzDI5hmGlDclLbnjrkeIuoIQGVq0WhNUgerfq d5xFxNA2dJjhLkbA3SEFKo7R3ROg5QuZegpskTiAVYs6RA54unkQhrbJFZ+grsA0DI0eqpF18jL+ DOXQMnwUx8lHMl68T+fePgTMM9+voJjCXKv2BSRHu9lgiDSGa9AnwX7alVrxU62SB9ekpTQGrp1e niK1IaE16fNp7Z1J90PhF/WUR6+ZcSNB8i0gLce+oCBvt1rvaK26a2ZpESJaoO0QZ60kzoLNRvzz 1bNN6kUOFDMnJX8XT0IaEOolXyivopvOE8TdiTMOSI7b6Y64+5dd8Cnl5ecYMgjReBIIWRqvfxjm jGP7iwGYibm4iZ7YsPiKCvhBpusYbVwBrd9VW/mDUyUCwpPUTkM77ImYqRBEflKq1gYKBcgNpWvt UutSP/WyJz9tWIyYkMdvk+8uP91JCg0S8B2DKzPi2KnBtiVOVhMH1ovcl/qQHm0OiL+9jw1K51qc ikcxrRRQSlnAhQPckOZ1YsVPTXT5axylr3PcqDFjzydk1x3et5bNcveVT/eqhf1nVvnLKbjBfW82 LC9xBt/h1w0wkfrcERBUWUGR3CVR7FGZOZeB12jiRsbzsXsSmNcfdxGd3G4DrYiUYLm3y43Ycx1g k9i0l0NwwCR1a+te/xVGqh9soBeK4w6/kDPQf31TqUT9LvJOAnVDOBQ/ESuS09qshelzC8oDSUXm BnthkFpNcmEvAssr0H0kudLy1MgkvlhcloHacrPBXi7hXARlsodpP8LfphN0Kl7eUP00WYLcAyf7 RnNJv2h0EeFtnuUcafxsPyCGwbBzZmDd9CCtzIkRUckr6+i0vlIzNLO2sjxGDOhlQSCCQL0nsTA0 95UxC1M9Vhos8B/4/PJyIQNQDTDvTgOn7vigaSB7LLkjVMNiTFr1rGM6504hdHlMk0FrO5yNtkB4 fuKLQ1aeXnw0lEiCsRHkxKcxCJDFKSOQZtVbGrt3DRWqOr0AIgCpfDKn3PlJcR7UDmgCY8V4t3JM 9aajrLm9opy9qXM7pwXCDxAZnDxHX9NPhpojyU8iRbxUxXSjr5KEwadAUssNiwEt3/Acf7XH0eq3 qUgNVjwu6llQbLarHuUlVXRZrPZAQbL5jmJFPhDAUcvtonSf6frvm1Adeq/v9u3Jql78Qld16tX4 /vgfmPS/1qkdcKbCpWbc5PzrcdjN/L1aLKIynB7CsRvtIvUFbzRQ+wxMxTzjljwxrew5vBzkvXvF v0Bt/jxrsAuz9XEj0KciDaDr70M4S9b2sC5f7HVd/82bQ1HGX15n3dMPdMk2z97Ryjd3EC0ZkI8X 6mqlV3PV1MGt/k17LW/l+sn4pWe1C8+Dj2um57XNjElp4fjCumHKTqDKE+uPsGWR38OiyjqTdLge SzS1/JRQIlWq7S4dSdCDVMT7hTdwLQRSvim3z/y9Qt9Trn3Sh4DG3ZmgyPkZUoQkH4OJbySiTzBW Su9aJveU184sVYPAhmmYofmbNWt2dvmRq/y7tbbtyEDbdVVzeagAfUZMUWszxXrMKED6lY+OFSyc bxTvdOXYrO+EmhApTYd4CNy3UxPpbVcvqtZgnXJOccfjwVUi8H4MMDVUlv2x1SW8pFGohwusZENi Ke4ALQg0m27AhXCLVlh79Ge8VBsMQLAK3u/2a1jOybW6cXMQ/6bv76pCOsFOTfZKB1RMTzhzfv0a vgdxiLRK4MWIBjYqIFoX68ESxavhR8OZ0ETHoIDpPeOQmx9ww5I3or+KRQBVlRs2MSEyNHIEVX8z mqJfcbn6q6Vc1AqYyaBmmLYuOnxaC4fcBuOwLlZ/1iDq7wl7+OtNh49qPani4c7juV11Ojqd4IbG bfY47S8WmYlgtS4xwzXZ1oseIDHiFUahxGxoMDV3+0NMlM8O7WRy3w+wCwtkG+8O0lusXuOOnNII d2cHx7PJeBcRKd8XBi6xNGBvnos4sEQ91zZDVH78vFlFHBmXP54zMgA6yPFvd/K5bywma0BQt3Rx QkKMseQvUHnuI+FNcViAepoLtnDtnhOpcPAaM8X2y9OYZo/1M+FR4C7ohLpdQoHFJHkKKRFTmMeP Tzkw30NHbdpLTz+8XHwB3xOnB2mkPO1aVT86anNGt6csJ+EDaAZZ+dGtVvZeQ2ChTi1qm6izjibb 9m42q0Bn4pAPP6HiBjzVeTkUIjhZpmMhWyBPTzn/XeUr+A7DnVOCJzZY9UhRsuk+i/JKvhFL5FyV Bckrr5cXIPM8i6l+720T0v+ByogmSCkjkJIbVzICx7MCpwt/VsMypOqw+qv4TRUGjRH3D14BYI4f b+XQx+F358cA+a57r28Re9USKSAh8YweBV9zpY6a1RuXAWP6M3+YpjNKoXVMWvu7K7mSqzJ4cV02 J7GqFrX3637GsVv5lfNQ5OUv7TPQJtGVgWP69Qiq4RBoTZh/jUfZIIIFwNFXMIqrMPFtLTpYfymE /2Ok5qpw/NorvgS4bGm21sF1hqQ4wU1Nnw2qjeepgbGssRz9IQb92a53vyjvuRmV/jPvHSA4549+ gezYVVAbncKDOHsR2HoZBZepd9bUhZhIsNDFT/AFJH/GMc3ZlduG4KDXW4JEOk5wYvVB3Lku8WIS Qh7UsGqLKvmTDhDynDQHjANRSXPL43E/NiBtRsPjkG4GvVorsqQq+Wg6UZjWr6EPkPTDiJ5LhyLY 2V1y5aj4WepUbYV3dKRm5lh//wCQNsh3mXSp3nX0unfmWnnoZxmVS2dCLwf90awds1DbeP45JXJc /wF9mFe768H4kNkH1iVY/U6q8Z5y5F+r/C5xPp5+l5clhqI4b9ukfg+CKkuOdyBWNG00j8A5fl6K eyX8VY0e+8dZhCA97p/7BCqxHh43VRu4emn32ifFhkt99gDO4EY2CGc/xMP+IHQEoYB7acCiaiP3 JhHxqDNX3RBqYporY/+FHn1yj7mp+tTf/ROdRAADsnTZUhd4ducQhUnoRQtDM6C5SVN/NxEEa82c iAlgDZy3HhiqbsBTVaWxVFUVn3R0mdlkMYc+jQEJxI2QQUYKKPawYDEmUvrcpYNC7RMUjUVF0g6n HNQmoOO+WVftnZfy8pHPYHLQAerQMOFMRJB9p2+BGWtmPyBgrRVotIAKfTgzty4VFtfR3DoVP9gs 3ACIlLwIA1ae3ntQpEKYjeMpR7uMMwsaT5mMEwQ4IXj9Bj79/8va/l8HjiuoWZoot52gEWY2sQWy ZJEyaR1s1T1mb0zZqKh5PaXu39J5h3+ZZBXYpezjEMJoV07sFmOJgK2SSVVKcmrJal8jw60Bcpoj SaOmHWHo3E7gptzP4zyAdebLx3p0SXlgfnw7gvwUjuMO3SxUHKRvynXcMp9aTfJYKVqZByf6ioX/ n89m6uWIDpiecTME5rZomNHIGeoChTPxnwUYQsgKuzU2rohucmnQMdurjTxtdC/I3iKNsKMVamWG j7buNCvi7LlmxsWo+p/BkQADh1+AK2YpL9W0F8+6/EjS3rQSIi9ml2AkbacIx4HLR/VgRvSefm+0 DRrtcLNu6x1zz32Tyt73uj6XfyuV4zKQYo2SUhncAfqlGZCuj3wUMiySBNsK5BVIttY4FeigIg2y jk04B+cmhg2GMwMePnxHhDsYGXmlroyFWvQs351Qa6QoErf5uMMc+JZ2fMGOWO/lukhw7oIE0sVB TssXfkI7v3WohE0jft0WnxcsBtL/s7VhU0Oc6yE5772e1p2zEF93UHFI+zeoKfTMnIMw4haisPBI tODuBC9cj6Aiaxv0bmp7T/Q39S9eoDnlqPGOHeKEJfCoy2yEpcZ6x0F+jjQYV5/vav9x6M51oXLB Pzm5ppEUsWvVxasLTstqE0Zx7QNsz4vT+j3i+AQdyvEfiS5HOvHgGTmkJv4AsZL6jdrb8kooMFWT 1MOGEO6hAt3lCUHXo3SgreICtUtKdiAgfsuJ6ZisgenD2MC4gpJyTUWFauGIjFGVVoNjT/vPz6l+ ZovGiSMFZ4OMBSVjz7b2WWgR02ndKNPWCUEOWddZMr43o/yyv181qhBFb0K9q4vbi0XVJGNngAZ2 5kL4ais/DdBY4EY86SzeQvhVy70HiHgaUmRIbLEhjBmWrwxhBtrjmIcDYlKZMfngKMzQeymarmeI 8f6EgDbMiBR/1V86cmmXK3tambtMDcllp/ckJUr5lC9bRn9eDKnLpL1KPRZNGDFp/PtzTmvOWax5 lfj+sClOVv384EtFYtnSOEBwg2eGpFgZFOcYC47KqAFH8wsPgIPmX283NeooSHkwj8f+kSf9hXKF jJcE4c0Zh8dAK2ixTf8cwmIyEpA66YcQgmcO5zbn8bxVsi0vn0r+wNS+DQ+nvEGLWWyeP38zyyHu oTXZuYb01ViXtyMMAWV8uHKIApJHdPK5JdEROL9ogfnqv+IVcoywAOxPw79jIRX9rir806R7wocG JHjyeG3OjDe3NagmWBWEAelmtXp+witAAlBTtYUa3kt58LbY2CdEwFTs9V/ZBXl+sr980WyI45Z4 4YIBlaJSVZaART1E6/J9CeUGOKPTMXfHaXvIOADORfJnmLFrKS35p6FrlfNWkPTE7NHu9S3sd696 Viw/f7y38qQp70vQmaYSfKFIqehSLLuJnZACcL9wtzB4BNj2vnEenum5sDtViE9mWTmN/B/c5utn 9EKbkwgnEhYANKBiG7LdvzHOLkcAdvCcEQy6WcZpma1R/T/0gkjHYjjUl0MdzVzOlNGYFH/16S+V +l4cFPC4aznB5HmQAWHay5l5dISw5P6KqvGtvXdRwBuN/0f6f0AwwPBbIjWGF9jKh9nZau6ZrxBx zmW3FEgl8sBAB2HrUWPGizxssba1ugHm3+UaH4rfO22s1gjAW11sPFhyluyIgF17BgHh+fSHmjtb 5JUyPa6N2nZhcAdHuYm3Mz2tDphOQPacuQj/TsLcCBwS1/jpblt+VJaXzPS0497rF7ErwYjJXe70 +Iqf0Z9I/tRg1Ep2/qq7C8nIjwN1lCm8mZI2cwHLbB+WdqILn19utqQHxgOLwj9HnYzf24fpWs+a Ublja8W6z0u3UQ6PCtSexi5X40rSON1p2ukMJ3+6nptqjDmX7ip0SIv3a0vZHx49RFKdw6amlyQ1 WHKDRcPN+h6CJbDYrhRo344fTu4Btc++OzDZFrD8GzULtciQfAK7Phr2PpgILmsWU1JSr4tUsWJN xZoz79J7zv+ommpZoIBfUi/GkRT9rJJ5Y6SslgGS8Zc8rAJUs0J38yNHwiOvHWeSXhf7jAqPz3Nw +5XEKfFKXwgtRGWrgXBpzad2LoqJdhLDXKwqDQSXMF1uwcpkAEe0BRd0GI9XCoyoIBgxN/OoFZGv IYuc2MHLs37CMJjDGsVEgy8HbaV3oT5IH+cZrbqHh9KLosurX2FuwGEjUyZD+5jWYDIrCi/uI8/O SbTMYYWorfmrFnFAd5UUo5dobbvuZT5xRFW7TUnMcO8zKPlRdT0ZDGSut6nPBjpzRgz4prc/e1g7 Qk36acSHaTmnzF72huOAsqYcFLklD6Q5ebofz+1navGAsOpfSOyvDamx1uRWediZ132xs0sD4rnh STzgdXpUZtL1tcNJ7vYwEGr385k2h8snG4RZOh3UrI8jAGxnLe0BrTVZFM6hXONaLGapeFST7aLk lQ0jSJ0VjSW5zIe6HwVRw9wZ2o6ETaStq/gzKhxVFa6/DJFFwN/LmU3aleWqFb8y2kVzZkBaSTyX 4GmoesKOfPRbaMVZm42irJoeKnA/4p5G45Bxo88w2TswgEIGmaJ0J0YWujdzUrKzZd+1QPgjXeUr KGdRMCl2AskNTiNEWNY81Zl8wZiL7Oyc9Q/B8PFI8m0wIxIXcFUzufQUo1ArWxgQTLvgGC2krtA+ 8a6jBTH0jr7XDzQAejuDffhMMuLhCQNkrDN3TrseDpxcovyM7dtKLXtPqjMc1xlGNkSQ/SIRcNmD hgAebhITxmfWfbdEk9JcxIR+BJx6RQHYUTTrNfVqv1FjquULS/+nTSvHwjf3MACVbAwfd+mV6dgF sEDjOwwHlCepOsmeWsG7bcrZHtmPnOY9ddJkpz/ZlQKqNEPlZmrQg0gnpPk2LcB7GITKG4omtFPT 4FTuktjdfP4lCYLdkDcMAX1gdqyrqxbMLnKZRTN9RiWzIMsQiECKRp84wO4UyaFtDp66ItOi1fTq TVypuDQhLMLTQo8iBAJcQJ6iYTFY4+IlVilKWPqlfUfRnU26SjbBohwuXMkzm7nC6MvCrddZ+Vhr I8esKh6skT16vqUvh6L9DOmorHzIiZ3g8eoo151G8aA2X6B8vTu/cp2f54y7s+JDD8mnc+b5JT7G gRQqwA1wHRrjiz8Re2bQLNsOyonMjvc5My8ZLImTrmun1l1i+wq21qJmJsYQstaLZDkBA97mfcm3 nLaeER29u74yzSy1MIlaCb6P00A+9ryFnS+MSuNwd1lHbyVGu70FjCeNbmJvUHfE+qFrauBriduy uHPetJrSy5yyzSxE8yzF3B5NTsn5vvd1JozlcB2zD5tVcmzzZ0xu12jwJDMEKIp108HwuJmA3ZHg IrZTpZOKVF6ON1JA1tLUWu2H+NqYiAKJfOzIvCFCZ8+CY0tVTAJrAtc9WQAg0uLt+C5RxgTTJZ8Y KMwbmhKprOn71xsRvVS9lpB9e+6CZne/J6wfQqNquLKqS4R3NA4c66xT0yQD9Hf8qQVO2mepnmhC UdYpfB+yB7VYikC61kAs7SlhWY27KQSqJEZPtbXrVIXzXAuJl69hnPsJ6bOhbKaF09ETurLhHbQN hf0QsG8rrOOkrTBQZa+2HVSOJ2pfLYYrtjaob++xuM3rFtqK0QUZ8D5/8/2WhueDSbVtmV4c2ZZP PrWNwoaKy8NiVectIHikGMEzeOY6J8gqO/lsIHqpsSRy1b8OkWaJhrWlDAtd6DiatPbqs0KTfwjw ylGjuLQlM6f71AdV8wtFHcJr6Oh4zKBiCkPT+y9fJJfDWOxmEcel9pKkpTE+zRprkeSpuzVhUFyE o0+XdiE/1+SnhwOaFLbU9RFeK6a4TLbTiXQjA6Bs8tydZyc5gEE90FxYm/39dmYZXFm6A2xgJdHv rSg0x0Nu3Dra+YaokKeTnzVTrYJHdEEW0VZnbKaoKSKBBWgrzHGQCqpllpqVsjWwMduln/o7kt4r l6eDAo/t59BgQGtkX2Er3l+tXawVdSFKPKs2C9D9/lQrHPa9qwRGV88LpvPg157YKLJWmAwKch9B Ir/Vi5td/28mACftDIlERjPcXJWzkphBSjw1t9kU2gO8scHaUoJ1FmfnnWxdjXsilfvRzRt/pWaW dAgue+/F7RVFRn115SOgBVF854qeBVqXJwUCx5rh5RvT6qY73AYsL5kDKmWH3idDem97mNT7wKXr RU+bTmT+N0J5UzdqCaen7elFVuLuK0cYgfkjiDrjttG482gweDe9poRVFYhwhzbd/Z8KbigNUXny E70CzG7gZAIjDmEUeHXFcr8tCyLh9FdIUf5mxpu3FbLrGuHPwr55U+tHeMBwBdjgtHLZVA6p5Lg2 wFYJ0n3lmjmVGTjV1lwRXjPO6WvpiESBRuFiKl1k5fIUM6KjsPoeNAL+RRaQNudl1uFDdt5ycgii 7/iafbU7c1gf3lU76gbKO9bribpP2NM8wqKYEne+0TRoTcvKIrGbNBf5dorx9S6BtJSpJklzQD/K WdLZ8NawpTiKTQ4C5rzKKV9HN4XKontaHv8I+McTRBSUG+57dbakTkjgYgjjWEq+Z8ZtL3UIxwCd SVYU7qcWKl1lIG9o/hHo6toWauxJUbUYKG5oCOIlqKVgktl+F89U8c1EMOILPnQte9aNe57GCck9 P9q3wrS6gT0oDZKzxpRxeHO2FrKHsX1RxzbQ1eSkKDZBQxoioEhWBcX9jCRi+B5/SFSC5h64PHs+ rtI4ICrXvuAFkV4rKbJ5Y5pKdCgiIOCEU6IejSKr3Y/R5azsUX7vApfgGBgER8qTKAuJMF6bmL/S 4RXEjmf2bvEq8FHb/4rMau45GCWz+K8mPOPX7wamynGV+GxJIt9JAkeP8b42Pm82IOTsnXXqstw8 iWCkcqtbWi/YRdfC7skPMLsYvvORfwrAuhmzxIA+fwr1menRedpzdI1jCa+mngfvGnHU0qwqLm7M I1GfvwHPjsFoVNV7kSUhSLPwQFmIZ5bk2rNnQ4nxWx5Vaqu5zNyrSIdsLtfgcqfbEXiTiwfJbsmY 63/mqFRW/nzcyRoh8M0FB8wO1oV9uy5VgUz4eKRYdPa32e9puUQJkenPTYPAZLRAOnV4rvQ+c4E3 hAOdGSY3qhRALtvPBNGYp42cwEiA5S0DHRP5pvJ7P2iXnm98FkDtdAWmV725tUybn7GZ4kxslONR he590ErT2jcVvmPrGWYRFAZwiCe/0dHwT5S0Zwd8a5J1KE9e22vKsYqeuM5+Y9BtWv4tZ4TnqMls 0joOV9NBMYzmL7lkZ3YX//g3e8Dv0NCwKwn9TEY1b3sOMj1CoGS2V5+jAJuQwtbAf3AoGK+EnC0Q LaPc2zA2G4G4qCgpE7glH/pCjn47PM/dw/IO80D3siE6K9LQjauc0R5UOV754fwT0o4Sbl9gWwUJ u3NsDkf7CF/nQWhPJNn84sA4Mfq2mmkZVJBRNHdm2rYha1WOUTUI6lnl1d4S/xBCyD64wLMe2Uvc JspAxIItBcykgTVKSPB4uMJbNxixfNHaiU0Q7po3l0Poy5igBNMk7If4Egyi2C7Mr6lG8Zwhol7T wedAJL/uWAJ8+ibN28uv0a4+juXUp9Kl7dX8K3jAn2qSARbZfBYaB/SKylEgjB7B/DpngoJ3JEKw Gjpkw+qDGgluuiH4h5IwKUD3GB2W7lwd3KvQUX6Y0Ep+9b8wJ+17kBD4RFyMRoABCfGRL0EzOrZu ZCpCmFweG4/HP8LaWQd4EXkeSwq/2lJty0T5OTy0JQJa3K0cZ8JthKUDdbmyJn2B345XGg23XoM/ vKjxIEQIDI0Wgs1Nhs2YH6AayHwH1MqsE/DkKkm5yZFoDE+HUQdF++u/4euC+nKa0W4JeiuaN8RO R9rZkkIK430m2OGkHsT5LOm1iQQJTbL8hqogDXnsP+3l0ETAIPH+u/5BKVBQeNU7nX50ZOmKl3se 0vvjXl4iq2/UkZIvt+CPB6F4NupkhaA8XoUdkAB1PuK9gJHT+DYlo1F4QtR/eSjturwvF8W2fVam 7zPv5J3zN0E7+nkgfxF6lp7/syzJBsSYghrrYcab9t/cpdarygz8Z/4+m/nDCW68lsLhUxhLTRY3 QDWjOLbnqtylmWy4ZwdLK6aCrybGpbkF142Qa9YmG6LQeAxX080KiVdiDUoIzedveSfjuNyU3jwu QVUZ+1RBGgjgBljj0zSweZE1sPlnfthscXihbcQkCiIgHQi73EruBdE9oGSNUFW6p+6qwYGgygv+ 55s4HaL+d3vkXOJZGbYLNM/FJcid0qzY2jr6MtnlgXy1zZ11//AD5lR/3XMW9iRBKLKmwP91jiKu ngfqUxks5+14clO6PORDspu1FKay4Op00n3Mba0gr8QUmpG1LXIuMgnPIiWRr3Wp/bnGgfYc1moH 7vYRNHYZfIKOM6UZThjoyVGct19H3JXBzKAoh5iVBFlXgPOsRpXPP+Zh53j+T5H7jKY2Xo6rGQYc dai5svb3GV90EIGT03i0efGgTfHEMvxJFZZMlXeNiIEFhP8zGT8nnissjggaQVSFwf6Um/ehhRiI yeXVLj3L7i2HlWpG3SOWNB4F32SQTfj1aoczJEQxah51FW92/aI0sU3A+6QadwIj4uFuaq///TnK pDy3PLVNuviQvSex9rv3ZO0Xe62v9ce+VUnHgZyCraoIc9EJyT8vV52YrehcSp6Ae83UgeKDukOr Z6WQR97GJ66zvehqigJSuaGQHvvMMiN4L3uM2N0k73wJwdWwBzJfL6AKFoyhqD56lErURMkNCxIw rAh9TzKdzJ7+zlgDF5M0FFJuhhP1dXwDHubFUPchq8/AO4i6R14Lf14SERWwRVTsozAfj0AWNxiT X/ByZbNs2RcIQpq3GIXD6LNowq9Ccllm+S1tR9E23EyUTZXfTwd6nUmsjsp3MHD3eE8I+WZpW868 pwU2WfPwWcAw2wrnBHQPkKN6gkfzZ7MlDJjb0iRJMqdd2aAhi58uSmVvbv0vMxtEcpceqfmofR/Z 5/Ugrs8w39LIILUndOCLB5zLd7qaqi0Teg9UZH1ZF7L2gXl1F8L/N9Llf3rNdwlPtjIcA4oAJ4Tw RANUQU3snwcfjEmEaLpJkIi0Wy/48jvZmV6EgVT0aOTvv616ueR2RHjmSxnrdakzz0pLpKhYcEWr VVWHlliGsA+v/6MAjLpLHtxsF3S+JBztWaGP/1dE1ZrKcvBzy31iIzZLc6aZ+dibygOfyd70VEKB We0q/gXCn/+jegFkU5OGZiAczI9lv1fxGchw8UabHgPHuGlqeEvflq/I/xkth2vqGAPzyxsUfHrP 1txSBPalibisHYfiN/8dJ/uTysFp1UA5wQphxCfEIddDrz3gFM+cyvbGu2rzz9a+DcntZL9uY3Od dH3HSUbbk3mfHxQK176tZs1iL/s7G7rrv3WvLSyX1muqmAT+aAwMgw4to+nnrgc0mJFQVF8nnjff 0Sa8FjZciTaqga6n5VBlzERbG+o4YaqtaIrwZGvd5S42ZPkPVb9QK27Nl4m3UHcDxU6Gh7tzCHI3 V0S/CSQ8ecBgIfyN8gu2JgNd1rjKq5yLiUFf1G1Sc+hDDQj2AyMuQHR8FB2rdnwMreo5576ckgSU fXR+L8+fhqX7WIO2e2BF3BpthIlmSbLOI88ajhTX7dVgJL3upNU6vYk3wm+3qM+CC5A4CuQ55ylY DN1FwK/AiyuwgArpujF57/3YprWws6vAgnAxe1VQu59Unq7nApIj9rqYauN7yVrY325SBDnlUki+ 6E1jcHfy8kBylNI30h1o51Vpa+q3PdEfOPuH9Zn1LY049JXAVXsY/YZcBBh5/Ofi4lFGhDogcaWN kNLHcpXo7puwbLXEnnuJZbJaLlP6znXq2vZ2nB+QUaUSHMRtmSSHboH7udIudkIVX1ZTa4S+zN5l FIWDdwoHDmNXcWJHOljta8lLQIJOQ5lP9LV/+9+D6ET4bz6pHs7u0o7862bJ8CP5U3Te4uZXQfWg S4i+d713rMvVeZ0sg4pLfI1CT6raGKfFlTkhhcoSq5Rc46vx8V0Es4xwokP0lPIWZAc4Nj3cqf0n AArsGjP154WkijhHn/Eo2xGIRE6CrL1v0EYe0t0Laq4o1KvAlswIl0AIsdN2MTOmWHX/Gws/ibO8 NC5O2uewlvVCZmFTcJTiGi9BkLQYeQ+zfnqTxx/A17mfg2iDjQVlyWJcKF3RP3woxlM+v5ny0kxW Usbu35vgr9tL/cpRJGtdn5qlWS4f800szS9EV6HZA7bZ2n+s6lfUqXyJ21D0m8ZWp9AQEuU702UM zBcqcBh8wVOJwi6kFJW92G7Q9DKJAcv2lobApgLV7SISHr/NQJ8iMxHh0g0rm4tY56QbHgP5HBiy DcvSXJS1M34RzIJ8CMLlFLJh/tEbbK5mvBMY2a37GZs90vbmvuq2mfIQTD2O3htj9phqsWLomKD8 D+ZH/QpQ4lmglturKbuU2iiJaVIgn8AdFXK91/PZwjoqV/05lJrgmtnGZZV6cpGVsek73EfeosTF maR8BFzOz2JQ/V26oEOML/DMa+hUt0poA0Jjy2gtzmoX/WY7Tgpin1vO81nX9KXw+HAulRzWVInx +C3hghW/4et05wJFlz0WIzSP45OnRLwsJ0Jg03kgLpte2s3dJkgrfzDfehNhCzBD/ehpOJTe6Iae 3af1/wu5EhZNdks9fEppzZBxbEFA41fSLCp6cAjeNtpspoOzflzJVDehM/QY0zS2dJPNX3ikiN8W wTcryqVl7A2oxFIbmyJLngeyiQ3xEkRc8or8xykgYq4T0BntX68smm113P56FyouR/XQAhTfS5cm 2luDRcWOUO1Hcs0UEvTT6P3WG/mZ8sA6eoYYaAjs4SndJnX2yarjzKbXf46EAqxJL1PK3YJI4Rc+ 7O503HppVgSQBHgZwhDMXJ+4R1l9Z2eWhpkuQCkd2xf0RMH9PP3uScCfenqFCeFKaZtgp+1zPIcb 4vDOFpOlMX2XhsO7Lyj6N7NotM6WQyB547i0pIlAYPHNAhtPsApLOcU7a2KWkLSdjk+SpyoOrUqD /xDI4hOk8Hqd0YsQuA1beqXQk7Yt+WPeSOkuWJCjSM3z9Tv/Q3lVQKi2D/I6aWF7pghl1/o9dRFd XVwtAU2s5Rf/gkwMfyKUN+deYyfsTPtfZWWhgkb8Qus4E5Lr0TPKqh8tSzNbqM2KQfnuYsQNdG7s 7xR/+YykXVVoynwBepRoiFqdjqPeWVjxRwh98mXXmsDycJKhZbMe/obxVcoVwFjKvVVAqDrIXXBi uplJNdLDpYNbwfNg8ZOpa583Mfv86tElrVPVvni1egA+aW1rnXzf3lm9LMB4FtM0Mhp0BfEshndo 8miHUSKyr2qVgWMLPkEQQrOObI5kH1t1qac4YtndBrOgSZah6pqnHDLQhfdI29Q/AqS9q4BBZDhW nPcDfUmnqdyP/rzgkA+HKPIxeGMzsLFuFUJQW3rRWKuXz3SZd4hZMsHgZoWPIV1ZwVZAcEQyjEOt ZdBovJTTuqGnz2zyaW3BpgvDb9HpJ6Q1LqVf8OYCxex3OL+bwEX8RcuyNRA9mpObCez0kOOrYouW 38eDQjLk6cMj9ps4SKJezn29kXr4jK19E/YF5t+LtD/W4P1zyRQygyv/exd9pCGKsFH5fUIGZ35W 8BWWgyMVXOsoAyLPAq68mioDKk5fAMTtUxQjjAmWbbABYc6czQ3u5nbEsX/FRsPKXItQolfODWev BfDxZ92xhFGKWJIhlesAqvy4Jycj0pBUWNgYuBjiw26U3NXbsl4mijbciyjM3GghJp9qUiOcUiXX iZtH10gMECJ9cM1WCLmUCzaiJJfLfSqRFT5k05MGlL8B5dhqybTxpf7ZMh2ybDXu4ohS4Gh39WrT kpjC8e10o3TClOhyKv9qqX5r2VO8634wvKfDjCyFKDNOxckqJG3Bzd3WxKESinhuI+l6kIQ2/ovq vA3e2R5GIDeTu0pE/vNV6xoHuuw8A3zt1rFetxI74JEWFEeDqV4+0csjD/fKkHV7rskUH7UXLAFg 5UKQVZYtrsLpnZ2QSl7QdVCqZQLCieFKtfF/ZXHyDU4GhDJlzaXEHgNCTsYQ6+ZnzT/Fw91YarZC sfpaie9hAgKugyoormbxuluE7IAN9MzFlhu+IqKPHMhE9B50MF5ozxVim6TCdVDKfxmBjVrE61qs 1vIhH15PgeMPi5OlU3ogFHItot1CJFiZEO3lUHx04tLLRoCPbQkC2tjXxWXmexojLe19DzNG16RT rI++UlD2TjcAtiA8j1Fcg/d7nUXjo+N/epvkWPuwmSS3J2tJm6ZqWB8eRj7Hl1NexZGe4JsLXSrA 29zlDsV0NsDEPRDsmcN2PUde3uReKYUMEUUB8Otq+zkyh+p92so2OkSAX1FIWHk/UnuwL+yxzXcE BpVqAEkmVYNG4jFzgEA6EVr0c5BICStrG7Fl/ME7iqE1RM6Qj4IvM5etyXQRBu2ZbAlixpR5EkNM 26keDSiIcgGaHttIhY6wB3SqjGZly+i0RzQyeTRRAPjFW2+JPCdneYH+WutsUKPpxn9PAxcPaIRz mZNUcu8cJ7B5dH4KAdjkV6r5a43hmhoHaOxDpKSH99sdsBBSOwCMcZkGLRlcg5PDNKb5W4o+kHXP jaZvc0w+leX4cfJEUkAIkob6STgVinFGYVDQrcGLlLOrWiacKrkl5qlFffEmNKdaTvrj4CWxC7nv cr9k+4mPc0Vg2adfoVH1Byk0f0CPIjMKgzqVs6zkw0I4mVD7fz6Fgo/z+hd/88iXTmxWvw+u1NrQ KWhu6KtSxMHEC9fdR+qo9e0yeeBW7pUhbbxhllWBL3aRbHOgTs6Ynji+ubCYqavHtti1YKqJGOA5 OiGEJ6+EuZ9btI1102d7hkpr1fXcEwiE57Mco7i3FaeXG3gc3vmSw8TFCNvewfuvqLMmEzMgDt5q R9o+A4OCwYuU3loXziey6V/f7Jc6xwCcfiK4ujXlGjkPtOCxBuouFlm+sWpUfwEPuWhiSpFPXfZk 0yATseOs34kMkui4wJqBhroBpMYglPx/vBRr4sRik7VPCWNqZLMYeju9KGNO2h8hrJGxOjjar0hR SglAJrw8CDCGwaL09n0hvjPkdOTR9qPR6t9F00CcepFzQGPNGULv9q0HE1cYCo9TS+HDo+r7DMW/ sJrgXFAwTayYxpRDsrz09dGGZbA7vgYj7wV1WfQdAO5ZJHcyxduI1EXBWiMYu5lY0ZCuJla8CIh6 d/1SZFfuArlP5dbWkMetQFPzsjBjSBdxC9do415t5R9OR8/kIzf3I/Xxl/MqWba6C8LRqlKpb/Aj eo1pePKuksh9Q+VnASLroRa4Vp4CCuhNWHbtyyEet9HZpPksPjepc/JjIVvPeQQas1SHmts6ZeAK EccZHVHxHy5FZ2C7AqOIc/oEQbWut8dGxpwH6kyTgFdYKKNakekDqYqFFrsKxlsCHWGSFw9HSIzl MFeMdO9/bRI/f/CCH8IdXh6ZFrjyb7OCuH+S+f5uMxSTV6QOwsRf9iBWowmJUj4KJBuLXgMa2rgM HNLkiTsLBvynRcwb1rLvJNWWA/JcvV/wKdEHwwiDORas0Ux8RxO+8+2y3k32XM58+vwJl7e8dB9Y hrCNMI9O0cHeLrJl7zP2RLCZkXO1s3pShur6XRpxl39e7j6cYvikKFK/hXsDSGBf2qkZbW8FEf89 aJfoSPYWtbjGx8EKiPl6mCgp2F4m8Po9rPnsvswMloPNshQUGzlC7Jv0ou0cnpUzgcTp2Gaa8nRM YiPOlMFtnZuLF3zoasodRi8FN+3RI6L1RlSaO11PTPMVxQhVVy4crkfYK7JxdwrfgflB4jzcOQKg jQSNQyaZYaveuNcYwTajiYGAjVPEmXJ+iT+wLIwcAl0mICyM5opiQtYEMV7upjHmS6G0/9pHDo3p OY4ypGZN607EAz5Pi83VveQjbJ+GvHTle6ju2yKs096tn57GyiPnu5BzzmO5ZjE3L9mW83RGBhSK V7KUVChRM5nPyMAhc8jpNagfSpRtbQ/PdnuUTE0q7HxNrTv0MYAiJzt73ppU3dUWjK5SlZCInL8L BskEjonN+s8KTCWbZgH96VGevfb0xDq/thxeBEHaBSfQIqh76x5BQPRblErWVn5kBEPvDuUSKGr7 Vd/oVRsrBl5zLm/yj5OwHD9r2DYKv/MzUQA2gMcRUV5Us5+nGlOVJs/5GtRIkvTr13ReiDDxy9du jSQ5EKQ6pCi96khxc+LU5NpHzRBVwOnSVjX0uPuNjvP/aqM9jWZ3nufifDM/36uj6WNeEEmYFtZm gfPhX2JA/zIpGqU1COSTfgikB/xGffZfBy6Qmyu0xi9ayC2kBsAOe6ZNQKUD1zoUtYGTyIvEdDiQ aNPcgI0wNRPfWGKBOdj4YU7R1DT7uNTVesNYghn5Q32rPKWIaKq7eLRG6Aku9Qtl/Ped2xfzJbHY uY8U5W4+xt7/3NrLFacWbH1+hecthjwvvTR5bRPMZGGPRCMtmLFD4KhF3yxRcpbJLo0ehum0H/Ar Ipnd2YdCjeUFgR19qRCkIQ7DefntxWZb3KtNwum6HKYT8e66heaRqm3zk7TgMl7zBgrRaCXT4AFp eWt/bt3MVlMYXRqLXPSCRzW/wvbRtB9IcW6GnNBKYiHrL/FyNzinULrLvtT6h//R6wShJznPLSLR pVnAxJ002dWD2/Lilb+cIlzot29CQkj/5vHuBrGIzD6w7YM7WeFP73d6Bwbv5wNctPF4CUv4u+7f Q/gLTI/LhBEUqtjL496Vczvm4nnS1awwkWPlI+xG5B+5Szw2zx8LbvZJUvv5AKb+JS3qjwY+kDNT 9kCL1EyXrI72jXQq7fvq1lPz5tnm7ITglpv2TJBjRx9l169f0/N5Ufc2Kccs96SJx251sM60eJ+x l1lqSQc5oOODny9LfrHZgGnSMlVda7/Ww4wURzvV98CzImQOXUJMbPm5gnDsHfTxLHsg726Bz84Y UlojlUMhblB7DBl55vryDG1jW8rN+kYr8Z6cd8MtVnKKKAYRV6VNaUOU61jKMDBQtN5NOA6iXWYC 85O3pGR+wwY8RJGZR9jpFOGzrnqp48S+Enbva06xEN+fgJM/V8tAsYzb/G+nocMhwD74Z13BNN+A 7uZdCUaMug6hKyo985jXpaygOPKlZAo4kFLkjAEC4FDmtq9jv5Nuo7yJQQIsd3+pfNaxsUyZTOQ/ QbNw7v94jqbnd/ObSJuVtIX5x4fdvD/gFWijSL8QA4SZu86bCgkX+p8zGPsVmnkJdwnU0BSn3/m/ AjsHy+8Gouu8ER7qfGZjOcIjt/8INwfKkmjWCKAMn01OoP6W/lau8v1yw3czjLBiFbYpFU/GhJAa W2AJn05b+UljsO8lYuhwSFGr+/zg7WdLW2j1EO4PB535Wqel9jFS/nXuUH2sHPc9UNdRLpTPK69Y yWSH9Tvv3XyQBWPo6NFmaRpNPqqVfhfQs0I/JJP2oD9g0BTmYa+4C+WWl2zo92PVD2ijqpE7gBMq z+au1ZVVkcw3Dbg8hr5U7d3zvhSY8wWW3TE7+Qx56uKr03UP309IcrrCPphTGr9TN35Jq9WLszGn HHD37hO0CRbiBSIAywbDKg80V7cuS6dvN+udrKt3L1LY0Ld1ANHi6sSqRvC6Np/yxMdZ9iKdvFRn uPYvS4tmbKVdJCzr/9DhCPPy7xy4LFYpJuvrC+uj7ggHhQhWECadGSGT59s3kruLrEVOVa4DKbrI b0pzKAazUViunPt5HwhDP5DRyPdILILLHXXWleHib0zRwHWOZTqnRfZwkL4b7IuiP6lBSEKIG3Xa 63B3WJfHdEaeU9+ynYr30vcZja5IggSqlB9oTcPdQPwr4V7wv4M/S5Z+JTvyaSUn7/0K6Ig02Dii lEhXGszyJ+WrTcwgPMAXO8PIodNp1kOiSbY0cUADdbcmHl4u1Th20t4Um7jdKGVEv7mZYqPdfr+p PYVKu0Up+cWTlXfFKOKONlvce9Rc/HfPJQwfhcGCaxKv9qe/J0AMfD2YalsT4eY6YRVbq7UQruwp hRQ1VgJVsxXbZqVoeZHb+DL/BJ7hvMVHqKbNfFH217zTCNsJy3seE6C05WSjgKltWgihhTWqMp1/ PYdfesm4ZzTVDYmI/AoTua+ikrpXTwaM+Wi7VtcpS56lEsbJ5uexZuJgO8HFUSbdq3hPoVrMu+bM AcaSoSDC1WCFI95ugHET5XQYd3llsnUmDwrvMaHVJ7tY0h8bYVO0QKv9D1e8YJz5WUiYoRHJJZq5 5Y8EdQIT+YpX7uEyylCbGfiWNwvxHz01Y8c8YUSuvMeq26XfH18qMHJMD9sSvSNNUrHDx0tbpEDI brMaqxScIDL5RNc+uzvFnnrr6yelTiSPLt3N6V0XkZKDXrGulrjUPmMAIp/IACJlO1fqUZ7dOPSp iEfKF3jRfBCFudXHYl8MJmJxmJa/lPi2+3KirS/GAHyIxm5yrUQidd0F7kjaM2qdbhcyFidf8GwJ 5LD1VsW8caZtyC/uAA/ReARWS6j9AMEMgNVw6GCpB095qDcigTdpxbLAu6Yy21Jpc7oTGgJmz6Bh wlXrCrP1rU3aQxyzZZJvQJEkoIIa9MyosUIUHowyOl6C+LWLWv0ELxNSwLaE+lmlIgnijvLnyR5E LRYW6a0ewSFjQ3IyZuQlMsNn5S9MfclS8d6eYom9995TSNCRnKe8QEA/LS62lduFb2+aWB3RC7xy XUqXBTCa/swpZZ3LVwBL97xASdH81KGdhx4PeC6WjvvnDDIAFnt2ydQ9GpPFw03YWMkqIDrOIaF1 SF2bQXrxYHgoPVY62Xom6R+8AHgBCyXcGDA4ElEhL4irEJH1P+iIv/ZW4IQk9EbglgrqcqIwaemm 0DJM30FAPnNVdG29H+FlWEOEVToNCIa7P6w0vfWWk0Wr8upGRTwwxIjq4b6zWIQYOrIsBkLbtC/+ kaWORDU84fcTAzz94QhOdZSlwfDDImanLKqs0ZsSmYQrD4r6pfFdG8BKTax0yuci9g9tMJ8jxAdW e4eRYoeNb0Ghp7ZdWtFRX46SJeDmpzUA7rMPS4EbCigizNUKJ5Np0ekupFKxCDU6EY3TG4dC+4pj W12XDuNfXeyyUMqFRCxAQpv9+FpeLygZZlRHY5L0PQOh5bDGlpcFlCgnNClQtgznu2vym4HuIm/I j9a2SOV2d5QdsTBReCbF6VOblN9SBiJk+T4nA0iMXiGkCgD9Y0KrOYiJSRH/7FFjtFZRYH3HRwAK 877Zy9Q7OytcKP24KL4ZvyEFRtQbnogkuJdGHPz4sSPW/vU5wM8bfya9yMJpyAYGMmUg5tIvdXX6 gfQyHKRUmoL3R+2D4o+rJcu4qPWJkXMcf56mCEuv6ALp+d+E3U9a2BY/zjcf2zYQkD23qjjBCbs0 nWvdEB4txrjeaV+XYYHI9IgwOvEwY7KCLDNXLeYZB28RacBzLHWP+u33BBfeTksQP5dIbr3sjql+ Pw4X/EBlMrCF4TIhwKokKZfRLCrrNSe9SeOsCHVa20FmNv7rJore0Z9w8J+3cJKa97AZ2xzNSxv7 3qoWQNi9njtjUkeYqYR5BzVT7I6HeHwvqzhYE/6peQodRI9Q1kG+BSPm5Mk4pOAm9BtdjhGxCcOY mlbVTkbcnY58vCi0IU+nhP2i3fANSSMkAnBYdydFqYV/O16GBBy0Uc8eFO/IcZledfRdkEBLEfFY Nf0HFk5G3VgV96Aox1ED4y1d8Z87Kb+R7hU6NkTe4NwE72t3/fFFoUBOxBB7VRXA/8ka3KpL7ALD QsQ9x1wkst7Bbsiwd1G1DKvAIhLDOUyW6zny/+TEarcZT3byIo8uShw4nFz1KJS3czhTMWWWaj9L i/YxiSZRtJz2DWJ7Pj9qq3/jRVoZG7IvqNjWNE0FRcSJaWAngQba24g8jflZLW+sp3hEMpex8oxL zDwi+gDFt9eExcjZ3mN1O0i+TmKwwtaCTojJeq5bPyjO3K9144cbQ76FmcQYGl/BdCr4XBLLhzON nUsHrK3YhHLEnsoDY0fibHVf6IjCh3IT+xJTe8osZD4Lay+/3KjvtJe58DYCLYHxBLFKZ5YVxEku 7mkRJS6ZzyRq1NXBGH35LF5PD7C3zgQVdWsBvorLDWDPKFZw8wwQTc8aUutVCrP5mQjqvdLqGmbl ts5WeCBzmBGSXl8C/MHNHlJuE+T7qIUYt6+xN7WfeMfhpX5R8aBXr2qrmYrDDs2ShtEpsk0y/ZeI xNt1PFNvBiCtSKxvwD10sqLCouunugIF4+80m2yKbJlMmpPxICffXHwmt6Rho1s/bQOBZa0nUs6w FfR88Et67MQqRA0C8jioQ0lOt9+LzzmsnI5AUeDikRWiQv8ohf6PP0p/WK3twms321PAMBlJaWuU UsT2rPjZWfIen2wg6vdL6ZwXKlI+EZxxAm9kI+5HOQ31M0a9Eom1Q8APS/yM5qZfIS9LP3ArVOBY eKa7SIOcJ8sfa1mehIFCsnl/sVBVNcCPHoaj/M8263g++r6KalGh/tPr6YoNhXS4rAJ0lHHbqDnB rAbye0hCB1zqfUzVcFzx6MI9BNPcsmju40k38fO6JoiQn5WlVOzHvpeNb+iKAqAe+teLYAQNQH5W hyCgIV+Yh1kugtfQgxzDSDx6rz0ov53OZ/xR+sMV8PKDko2WSNRn6QeyfYO/UrZ9+k/1N8T3iDJj /FDeWxuwI4zuEQ4DG9xbkM0x2xo0YZoFzXKcoCF+v/g9hSi5f6tvzcUhAM2wL+ydwG8nsBdZgy7a bnTzhy9rzq0AslumRDYwty0Kyps8gjSlcyXwWnqhDyqfi2ngROn4hKdhWijbJhAuV/L4JVK2rue7 N53m6gMJzugjgftr9yZSa+IHwUtImH5C2M6NZWY2YRUlVbP7W7w+avhpLdxDbS/vIveSmPkzuPr+ BmfPIe3z6jNziCxKeU7bd8VPWT4I8UDRpBZa2lFdeFrAPAZsXBihVQ3yZ240vPEWFdzkWytir2E+ fmN0mYF03+S5G7+FKOZSEGMpSClF4EYYJaJE82OHOcz1s0MwjZeCCtBlQwK9YvZxgKcJbXeATp/O OEf3U0VafhNUZsv3b+p8tf4r0srZ/LGhvgOhiO5ynEbeIHxCC/YFQuVgWP0IM76jlEo+Dij1xQDl 8QmpV8TLlbkg+mhxa1GvbbXpPmew7iZJpNGZ3Yzw1x0iRK3n96106yJUwdGNMj1DFo1oneE/qsLI MF3fQvmtbctzNjc5JRobcftPyg/HxK94lC7Vf9L6hYBljmJ41ad/zHktB65JmU1TzR/Z+U2/L83k IPX3H0gi541PZh1lfOFjNGsou4b6PubTXOJUPg7Vht+VBMk8zAetfVPETac+B+CAKqL05AZW5Ik6 i4JvL7nJ7hmD6J/Gg+Z2RJx31FjW8xYK6U31t/7u4hKMRBoKxLDAxrLPvpxlxf1FH2GbR2FaRIJc EYuQ7Ga+El1aQvqd3N9owdomAzgCypvRyCvofZuHW8cbZE/GUr4sG7E0BZR+eXmb8bn7dYgxd6BV GmTPdnTUQdPGbgmN9YBs4O/BAb4uXnXUXtHm/+DdDaoST3aoUkl2Wb8mjlvDBu8IbgaYWk9MibkX hXE5/oq+NpbtL4UQD1bqr0iHFt/mGQ20exerjQ4wpStw++L28wWdhahmLxm6u0l3CR6jDLUOffhM Be1nXvypoH/6FYX2cd9wzOcLejzNVXroj9KVwDxjCZ8oY28gK+zCYzHzTa3jYNT+0b1reipIvFG0 QawzYhrqpAdJr1EsLxbXhHH9HwVjbO8jeNI8rpWsqBRwO+Usunur+rHBeAyYBk1Sup5rfL+hWeDS LRhVB8uHzATQ+BAFwPEOdlyW16XV98hkjoXMSEJN3J+SfMfCQYEIX6uHbE3MSO/lCfF1nJaaK9wh +PmAP5dY2BOALUjsJtiO+0jBL+ss8Ho004O/GE0tXmHEVScdvJ2fjoLRpCuZIMbl7kZoCO6hnNEO WsApZZCm9sFSj9y1UrbPyUX87lPvANnFSxBMAm9pDAiN85934Z/78nxd38cBF5hnsSbtz0KPAa6S +c+5DS2mzHlLxLqNWFlj33br1xD0eqXKg/fU5/NoDTF3WDB6hlUmRcVLLNSCH5Iy+lmLon0cfjTw PQRK1MBRnvxBFYMXX7Cnt8VLEK0mgcqgZZzNJYwo0NcsIyIKb4ZD4mpybf7m7CaFPPUEwKUmMkDH 8VUwR37PdOUtYxxYXDaLkiRTe8tesJhnIYvVNwUzXXNcIs0ruw5xtjEEZdfEaZG7AmcHQ0Jsbvor RGkhObSTev71OcDUSUsuVw48mSykIpd4XXlUGbeQvMrP/BqHDcjbioEXaIOZxf2ar1LDS1zjIGfP MyqENIa54DaE7tdtyZV70b/50PMkfbMRvCOZRDMAmMS3A3B/0VQRhIN7UdeLhIzezHX9Nc7daLpB R80aGyvdBlWXQNIBkGYH3gOp7Jfm7/QxGgQcC/sbUamj6B6Xt9i+gS4DTwAyzY9tjFwSwnBZW89z PFUH7n5QotPDNWMmCdxS6KkiPsOGVfmELJGkKF7nrXfRWHes7WneKhQRtiwj4md2vQVTgSLDc0eD mA5Nh/WDzzehS73WrjbXYLsVZQVWZi7FsenoUOiYTx3qSNoqXbBSQI6DmRpjHVdTztsPuFcisEgo J7HxQVEG1t0kd6oA9W0hrZ0rxliYb8N+0A9b33m7CANUJxuUaSbUdbiyYKcPBcGVmRzL1r+JMaj2 vYeQ/y/eaBDZwILtuGTWNPuuCD1xlD4VwroXECXO4OzJ7lRPegfH4HCuMKlzzqYAkD7gMy0nMAXD 9pUUFQNURg2S+gfQJJ+2WFte/B9xPIbHTPP+ZHU1G5HRP1MmZdDF/spfvxium8wz2HQsV7hPN6XD TH++jgtZl0oHAyfqu94+sBxYYGEggydp5FaOkM3gSOuK2fzYLNf+t2HhKt1f1Um1bi5093fue7fQ GO53yXj3+90Cv44tTWSJ9MED6rtkyJpa0i9nLFOs6i1TkbIdZFDuJb1u0VMyJliMK25c0nPjxupq P03jNcn/c7HIFWDbx+E3pQabpi3X04f3qmpb3f9UHj4+uzM8iZFmPI5PVH9ICRjLebXqYCVO5uSE V0F4d66n0xDB8d59E5LwYrj+sjoqZ4/GMYnXWGXyu4DrNElzR9ibABO3t6+TKAGeu7RsZ1ByyXHc OiQj+4rG0bQn/+FGjmWZjthhz65+GV4jBxe58RbR4lT/1FmuXiNrCHjy1q1yVgJDTzYzHFftS4Gg +E1CJVbAYeSZMbf6eg0zCbznjGzTkZk7Q22hcEimfPwAXrf5hADoSuvGijJPLIEwDais8cX9uS1+ bOQIxef+Eb1tyd88t1jCTvqI2fHetjfqtIC1cAwPWNNamdvRtNtvG20G3bupme4/dFXEdXRTpE7b aShSx5Bv1FNxjYMnzsQ7C2OpdGQG39wifyR80mgi5JD4rpE82m8EVAKhP4l+n/YnCZ4wdLg3I+Qb ipuFwzDofbGOCstKIBeXtZz8EusE4JBLV8gf2781tFXJQNUEzD8eOq5CK+fAHF+l/LkgfEgVLpWw Vfn13ZNblZtD7wEJtja5rrv4/Nx9/Hf2kYSL++dxNfqBB53Yuef6LbBnMb83PFUh+qYEliOy+5tv 66TZYp2I0WAVKlx1CqunW7VxClptFW/S1gZ5jFSCcBDwpmB1a4omlblZa0yUbx951mtPtpTRorMp iSFtB2DjWO+XVUtxqKv7Rv061qIyF3P5+wA/B3BphKe7b8ZI184P96yIuoFLbZR6xDUko4ifJqCw r9UjBWAIC0Id5dRBbTA0HkRnWphfbr8WNyP6HiNUtVztJzPw4vIr25CU4dmghVDoeXx//l0ZCfRv j10jlfJL1gZMhO7ext9bSX7CN+qOpEhyXEpmns4qIwGME1chN5utw1vR03eLiYKSwNFeHehDdIxc WehCG3jUKiAAgmgUHShEIbKJLOPd6NYOfBjU9No9Ma2XSD7yRs9iCwV+7d/OE84nUea7rRe9kNJm bFodJMq9zVsVnAxe2h1DLouTKqhii8HkpQ9uZUpmONR5RsmarTs47FqZm3aXspM3vVyivPX+UlCT ii+cYuxEKwQOduWhu6h/2s63xeJc2sBGyQxKqfS3G/gbrOCjfBXArG07G4BG/W1t5SYY+PDy0WXo EGohr0Z13xFEcgEoL2FCoYQ7EzGPn2siRsWV46KwEVqiwcMHq11RbvjZwcukxi34bxEkP+IJYJzd XpsPIHuORK3dfdN9Pz9JozUGW2hakT5NOa7TvTGu3wwzSuEzUVdp5vNXXjmn+WEigUNdfTlnjVq5 1zHWIANT1BiD810Jzs2sJKbkiXrugnjpOaKTtU+SziHD88H62fCMDmNXcJP0ZUC3KOmyn4uMPz1H p/TmOvxv8XnNLQym0zwBgTDDzi2LIhzK15erh623i4VfPgrKM+I98IX+X0/YGlfFwFuz5UbJK5kS kVH17tIpZ1OAmxY5oN2jVUFB0IYwuRZ2fItxnUb9ktmrU+9cUAkS1DzL+b/ABgveA6ze2prLh818 eZzcP15mvBwKOSfUgimTp3VvKwEXbX1bhoGg31S5JepJUL/2QQpkh78B4SAMPtusGuJTpW89jpF8 xei4tMUM3qfayjNgSOfWb7Qypt9Xp9zAaHa6BYZbXrxclM1G5SROFbCB7ZWeh8roZ5DOXulym+Ra fYkG3g1EHftPtYM09+MnN2dSUeNXDnIbIvRqrDUCFdKQIUkl+35gugCIgnZviuH6yolcO6o+HcL2 M0Xr0FfREPB1gvpyBbqL/UQYfBphzO9ZCennWrrZPlgtSVJvOYAlSfe7lr46EksGWylOFbvnKBOh E7BBqcc6rAAdyoijSESaWkdTcqvxZ2YedZetqzL7AT/z8wZQoJ/TRObtqHohWXLH0x4jn8yYN4zD LkSdgFsSZcDWHSk6FR93TlNCcAY0mjn2XIhplIKZPyo1hgR/V7y898sf+bSysqs0JILBEq0sp8sb azjVRfGyxUBHNwf1j9mzRofVQ4S3TTtP3UO6N5PNjZxgQEpJcR1Iqgfb0x6GIHqIE0Ss9P6wgCvy hr6w9ufM9KYhVc/6H1gyF15Kz04ULGfXjJmxkucrF0OAegdAAaJcukHq1cBeLccY5souVGiTpRV6 vyW1yJjXDJSExVL3P47IYNE3ihmpG6x3YE3XxaTvNi7Ubcirpa5UeiMl8LA+29NWMDNOOZjo/DvZ zUccSskz8XJmkA7z9prCZIWfcLqc8/HXvMifdvGwULMNalcQyqVQBEYKJrMF8uB3N/36TUFK3HHx PS8BH96x7qMDl+eGcEtq6JkXg6luY8fE31h3m2YfoA1pdHhfh9Qai42UVK0Ui8zqTqz8asVRwISW 0LY1ogGFqjKSLpaSYh9Xl1XrNPe1euzFVjHrW3bEdkInrigPZ0RoIej2mN7xuLdomj/EpB/h/T+L +vI69wt3aNvBp+CwF4C/afgTao0EqQXME1+B+iS8zqJTTYLwI/QP10J0cOaLLWIHwMuR5dS4NepU BjxcxEKfx5Q6s8xuj9vlKL8kdHIAklGWM0/c9XPbfTFpDmfENmM17acbPs6sy1sFCFs1RHNzAwOP xBOYqNhI7B+Wc5eSB2GwPFjreKD0fdTJe1e0g9pq/Qa44ENOB2vO8JfhlAcCZ8jbdpCOtYvz4vrO qHQOBx+7rBSHhXrdJfrLXiYMzaIvRax+BYgC0SH0LH4PKBUJt4MTWbE+owg1Q4fsLDFivxxq7ZzZ f4nTKCUsX2x76Otbh9seznheaejr8oXGB8Lybntt9VqIYTF/N20kd30Q4IqrHQ8o80EY6bRShRao t1WY2nCrqO9TomvBecCsAl4SFonYRCf/o19UBiVfYec1k/sNKRGLxoytZUbkNc8z3yZvXH63GS9Y 8yEkIHq6u/kr4HiAs7p4KUwwRVI6pwDxne1zHMNi59CAUzEkQa9sa7G+Fmem1aWLCqAj6lg7K14/ 6z5nF3+KOziTvzYdp8fabg9tso+x6Hj7/qI/U/7kFC//fOEyHEPYmxyRrluDJKsxCtvWoa4y0X1P PUDsPNLRzx2wrVvmNaUXcUUh6/Xtp8BdG6XwVuizNqKrd2B//dThGvXplgPIASxHWgohXkKzfBqw dchrjr6jR9F8mdoXgj881ozsNuauNSsyk7Ba38hEMu8LFUTvktwRsIrJUDD6NmBTa+8CTNubrKiS bxKAwFQYa5woI7VRbbDn3fwQOUBrG/X7+Jioz+HvgE4sDICHHOyOc860lyofKEd/XO16SfJkgiuv dzwROv01FcC1LSEyQma2K6VVBXHcgsLU9h37AwQoFKpXjia/xD3ofTo7/3OUnAVGDf8ppjcqvTrJ i9s8jgEX8wl1vA8gBAGX6EATaa9bafvLPA4AUCwj3L4RzdxnOkK2DQBmd0KbX3keqeUYDO6muwQc PH6AO0ufb/YvhulFd3j5lQ1yxSTx/pS5SKeg0lQ1aCd+Uj8Eb0Pc9Be1fUCvuKxeZbyr3c6o87K5 4CwFj5hrVMMWDvEm68K2kRkl/Igv6MbfvCHtzPdz9LL+ZIlo+ZJn3VKYaX8X0/2xsAIMJVRMvZeI +QvIpXSXitd8Y1EjwVvsL1w0HrX8BdppUJBONDtHGdH8LaXSGo9bseTTJnESjSliDwhTpiF1QLZc pETz6aCqF8fHuwOhVUrU+8vL8tq4UnOfVLDBk47K0uYmZgVbZE7ebdlrwikuk3QZj6M0wakkc+oH tUQg7ROxX9tRbMmk3qIYFqzCpmhlvPeV0NvoVfE8CnXyDxjDHoFZhxpfSk34I0nc0zPrIszgotN4 tB6yKHVejy/B7jlNe0GVIR3Vo9VWG1ubvq/z6uqZcVc0C2N6LBGCmBdBDmjooN2AMn5vIoYxYa5G B0/oBUDAVKAQ8UvLBIFuGT33eq2QZxhkEviOArlnTE+eIqStv2jQrwoXJIu43evKnrd4MS5GDovU MMLdxj1ORxxorT1n+IWXkDo5fCHtiyuY2ZlbxFJJnVuIQw43diDlGWqI0vHHNxDGcizy21YQUlaD dDZKHDz2thGE11FehkUiEoh8kXEIblW20SLSOIMMpXE1yT5ydtzVa1dQClo95/IIrwARwoHjiDks ViawZqh98Q5LR1gLlxorhBC46QdsJf67gkx4dubGHftdTCSmpRB1uM0On4Ihvho/D8jL9UJEuuIG p/AfPCNXM8gUmQJNWmaaLmRWwW4S4prfTrnUcuqCmr6S7kUnaRkzLOhAXG4+0ov+4zvH7Y0gMeUI Z1CpOXfHliXI3ng0CK4gQLwrtNblVan4klRCuYGNrH8sl0NcM6/VH/qzFiCSM9Vd1C5sRrXioKaq M97TjeE9CchXaaLaI2S3yB4R7DjBLSwsnZwmJ915SyG9LatCaaxBn0QUzce6dCBkLlYgf2CXIprH JFtW7rUznMgmZ2tbbnT+jSqCdXdyzjS9uICGhk4gPECDMnwGQ6+a1kxZoN6zV3zkS/hqJNBJChWD MsnxbVMMFLh1g47JIP4AsECBG99fFhr523LzdVhFEI5jwXRcsytF+nGdaeocgZimV5jGeTA+DFtG HjJucWq+P8JXcaPHmHuGY4yuVDkpXARXWJQ54rINEebP3zXvoq/KxKpmR2f81aP9VSvQjR+2MvrU h+Tr8Y+rL0sM2qGWqVoBwlYwjV4SHfBvv+t3ogk6uP5XGrIfnHADjq8vocPowaux1d+e7HLFvtOv pIo88Sv7xAEVLt7Tdf1I8VJSdLQMsYAJ8lxI4V0UUdMd9hFCyxItmXpY65q99kJmR6VjXACJMFjt QfsGLT7Cq8vRyZNXGN0tTmJzqcBGJu82zx3BxKuxjO+8+BUWZnxOY/bVvvL0SW/pu9DulOXgbj45 VTKeTm0nXu/jFmQrnarXeI5KoZyZTBkFh17KA3dU6dgoiIz1FFI5FkRKJMKEZvkO9BwVTe7tnG8G 6UA00t3NvCuRJI5nRDASBeLbAbXjpbAbEw96HAmFYD8kilKUS7dkpMzRyr0de+fUB1jgmKao0I3j MFVXj5KxicJC9dIP9vmbCAHwiMbyt3MmzxMfdd8r3gm/SgV8wipx5kD6VOfstKb1n/g1Mcts0EIp ZiEzhoLFpLDTxbcFwOzIsHkhW3hTCtwWjHJ7xMNda1MenrGSBQe5ChWDmELoH7HZbWjwVE8PcSg0 VVYDCei1gzCvQw/2UGo3Cs2DVpXS3WVcJf1kzKhP55FCSXHLvBUK7/Tje+CXkz1r0rUjsgEEJysl V8O4Qbfp4xySjx/X9U52P/pJX15bmu9AI/gFNFeE56dXMYOJWxEMMUVMrNzKdWVQ5vEHwj4+sT1X 1Kne/OS85w9l587OFPQ3bFexVZswi4qWfqasjeVFbfQCaF77pFVCCGJACNxUFJuAxTPsqEM3JCI3 /uSo0A4wod9Vu+GL1X8gBt8+jlp3hO0nRKp5KkNflQAvTuWJKaZqFkFjmdNzCs5hoeZU3fSYodYt ghc5Wvu9jNxopyhLbwhRjCkTruIpKe4v9rIVDLQM2Lz4ds6FKFR8XC5lvul4X7jNVCyppQuY1CCU WAA3LEkIzhA8fAp3Z4iGX9uHT8+QLw5fRw+yrC6frfmalGP0kpJM7LmMKN/YHz1qLaXYdPEQ6UaK bKcXLVAD5qOJrSbl8R3eQ2MfH1Zf6UU8a4FsAAftU3WmMMLpQeLoDztmd/1ODa/qP/E92t2LMc58 DKQh681w3AR7Z3B53pcl0wV4/je51gOxtA4J8RWTdQaRHvGnNzLMn9Spe1CDQPeQQ6ES0hmrCEmc B5OwPest8gMRYFnXLj1zTmFqqz4w6zclDHEgywyEPReURYLuDlVK40xWCWqQP4y0VJFiT2/gHbP1 WXABv6BE9KbWGtyOq9fFipVccpp2Kb/BliSmTneKTS898cIRLAPn/xUVjABjhakoHMH34rDz1YNE NYjink33xhtuMDaGVq3Gx6QSgbWmP8FnYkwzEA9ih8GvH8Q4YhYG9V02tdT7EgSkzXLPgZlLwrOy i74Od5P54H469m0Q6UvQhwtTin/lmA+X74BomjAQOtlNM8CgtIUY4JmU2Z4yjZ8qS293FUS1RFaR hfe4uQqT9qrTGo7pxMm0rr1hTQGpUuERvL00Sl33YPnR9hqmIrvrmJwBNwnnQfaV6PBUYyLcOjRz /KL5+sEpbI+la0GR0ooXwmfpslF8Hp8cAa3nY+o+rMYouk5N7a33lA3h6anjb75JxvP9TxpzFR3D hDUGun1p4jM0BYWGbYvw8jbvDYIHkrAi2V0lqpA+x2QqolkyhxVi3CnHMOWB8Vau/LtVf5lH4JA9 QvX2pked0itbZeYQ+OlVDNMlvp3tfhWXa8XfOrobdaiLsUHmBipPp1LzcuqtU2zAmG1n59Nr/+XO aue4KlIsDbopte2I4iIi87zCT8oRXKMvhLVr4l64Fd9fX8jnuZ4KCJz01RD1iqJmPcKh7stUy5Ho IlhULiRmB7ONduOd988EtUqvvmwYvjgmG+w8TaeHFT+UqCgyP/Eed4g6zlabvTKhCxvCBK1ymu1g xlPyCKgJuQBKBUx/eS4NBt5BNB9joYTgkRwfGFp0PoLSoV8dOtSpFH1rOzn363Jo5kI8n+DNok3C RXUERNI0wyuHuuEi36jQeryBwQu+WDsmctx7uqAYxelNJSoj4CcIAgKsLZjzqKsGftIGqkSaxQ33 VONetDZyfMBB2MgeNmcE2ffUn0V7tovWCSFh34scxMOzjxpLOvoRuzGgA597t00unzV9yA7wgv6P NJUtWeA7LQtdT9anMVHLTqEhLZMkG+/F9i73/gyxe1lvYDohu/rvLcAgdjhXavD82ml9IM6AXw8r KPosTdyVuUH6YPxszvwbOiecDiFyjouGURPGyiogSrIYXPUZBeIzFiu09Fh6o2q8GCl2kxOHmoSd c6MrkPeOr1rJbg616E0EQsTYNES/XYNeon3Frv9qo7n6B6iGcVn01Y/q4DKKJ1oq9Ufh4y5eTf8U OgTEwIrOZ4WfBuYKfU6I//a82sM0ACXtClLWmhOxEO61QlQnzY2D2hrQ38fJ8N6WHi9g0njY7+Po ReOZgMoPkHgy9+Nk+mHgatIAqS1snPW90kzZyyiV5ARff2uCBUsVMVPA5oWAuaxp19uQLGWxB88f IA4DTeT5z0FAeTnqq2ol3E50i47TgZAURM6T6oyHA7QtCF5CjDuwxAiw5wjDETovgF8W19r0Mtf7 mPk5tECJDjgOCtV27Pnic3TxHSgQzofLgGCDMCqUgdJxSz1JlEQvprmvAfzNXvN6cMHzp4KzjzBG vPLjKtQoPFz+pfr1HsZY7UJQFMIyY0HKovP+NmT4dBwW9uY2Vz7JZPbIFxcydWqVIE3YuniQrRNh L1t6ltiiHkQXLj8UpHLA7FfSWxReIxqC4kP8HlCFIvNA2weUYwCq/Qhlt8yLPTXIMsI/InFcS2gv p5Bvu5MgoT04uz9m9d8aDC4CIgM5Xs1r+/7UC7/tSE/zO5T+grevkr4ISdNL/9Wz5z4KeNUxIIHd /Dgqz4xdZz+xR5U8bdH07jPwRPYFIEK25EMFbuBcnW2kcUWQdfExPiL2UKvIBNWwGlxZdzpsylgo jSRFRLD3AvdN2nrGYxssSJzY0NDMbRyBFLRVkDaDvzku5s8MoIU+ZrHWO+4+ANavk/Agp/nYyh9e AeHPaRhDscpRruPiEEQlsaTho26VZwlvFETU82siArGc5T3RmSDDGIzDWAxwLs1JjRAWNHwVXfv/ 510g+RlF50LDq/MKehgxLu91q1fk4HWe0FTkBi9t7YRzMeKHNp26okY4OeA2TDZwMCOXsJz529h1 hnWH/n6VlzeS7CVjWgddV/ECerEfZS+8FKP6l+LAPQV4urhmh4Cx3/8XjO072qIw5nWuio9jahDT 7YKLNvV3UZdSFUQle/MAX8U84un04+JGlu3WcifBQSg6zLnKaxTAwGeZLP2+lM0PBrSknHhKGpEE D0aTUgVfBn9Cj7PyiVddTF8vv4xuJb+23/Tm4UZQA3npdS3Fh2wrg368MQCea55LW4866QVkBJ44 B61EPD5cAXcPq/ciIyu+thGR/kHXcq2nnGEV7HOZ7f3taUfRYHeYMDOaoWh5mvP8wJK7tN59uBio qvwq3+/1yt5AiC7utCKXHg9wT1FhWK08RD34lrSt/UIHZnP+6OyXU2NSxX8PDBBkoc31Ar2E2s8r j5Y/JoE8RzTdmw0mlRjnOyRsUYGCjs4x36aVwd7sx9A3OBcqNHqFLoj2XMttYOE80Cop/LEL0Yaj /EB0QChzJMkGYVsRxv5Ri1uopEdG/HzdbpXq1D5605fc5EMLXqiXTORzL1IZHJ1KDwPcqBZv+5fO sfQ7YD+lRE/m89GTHH7QfCDnF7E4v2hrfCb93KaBIRSwoQit63ovV8fg1o4/6A7tNBwHsPI1QIXU WSe2wxxgRqzsJmDMfhZXaRGLiHNlaDf4rfTvXXxJijfpFm3q4zcJUqGG4RwkKvPCwu3Q8rXFGDQT qfOAyic+4w0QMLPTNiW3yl+OLn28P58nGUh8iarmzewl61PW7gKtTb9lC2C9s+EeIKx4A3twSt5k uKaVz6f06xfX0qMrrkU9ocF3OCk2YXqJzNyCkUBtLlJEM26RJsT8Q2+Apab4G3x3DT7FrZeWGTUw WFRMWdk80tlEMgoZPmjP7uR2Eq7R5p1q8LJ0rKhNnWs8EtdyxFvb/VnyqofLUPGjLrRNurrWLrnU H1cnh+CvgIJms0ykepfiSwj4GEN6Bpj9kDLN7h/8de8OhUgIbXmGjS3p2PMt97/SSBLqO5CnlAaL 2AfzOlmu03ubdAsqQAwPFCYRXTXdtyLewsvsbJu69E4MZWn8H6w008SxTVdL4PfxYPhW105ZXM0+ mY1XMEAw1mD1nrEIvPcUaqrKGw/j9FuzUju3X3hEUOoTFyQ84GLSTQ6wyY7EaPIRoDNsu68MoDej CHhC9wOkCnxK7eICuV9akH/Yxgvl1PiV3jqOBw2mbGW5E47bW226aJAjCvIajjvILCgTaAXyGAP9 F1zUXjfrM/RMHbLAzoGSq9cwxhNGYpdxlpxkRTpbqEI+H9aGfqP0NxHiL0eX0DZiDNT8rYRV6/e0 5lgerBGRzIylKAvJEiuQvB9hmY+W3e7ABwueRKHhbqD+RbMqUc6Fv1E3C1M9k1gKzkXcqGo9XOCa CAuEh36vVQFQT4N7LNxV8831H8dZsm9/XceHYJorEOo+h0Q1NgjQV9rzoKN4c7UViZghWK2EklBi f14XKsI8NGpCVmQUdXyDkCOJmBtxCabS+/hTmbX0ORVD/HdFovHUm+dQVRv5yiNB00kixMCxZfku JlHY7iVWXgQ3WgqrDJ31xVTsqIrK86uKnYUSvNgc0baTB4HEIVmupFluCbr1K7jM3BgpspBWC2Lj qmSo7fp15qsgk06ECCZByl62pbnVOKAFcRaOHw3LRDU/aGpizXrKlAjn/s5Jfx6yHhMkT3esMr4I 5zGRRHquCpfO/rGkX89Zcyt0ooEi0tFeHsQPLH5fSfN6Bu2nU14399RCXopPqj48uYk5No5J34mf RpphuwM6suYhugnPWNS3edDnLpGeH/BtoHv2A50/jTpc63AfydrT/jHznVA5Svxjf2dPcYMn6phG fFfDQFn012VYC8U8FbvramgJ+TRczuslyFG5WYYEIei15f0dgY+xCURieS/trFcYR10HJxk47rD4 q9QTuks25MnF4jXckx/9cLiSUU0vakam0DX7u6AMX02RJpWt+FS+JDxHaqX/kHAec6GczXmDAyAs Lm5232YKxlQ8ghEkRI1PCaO2YdVuF2mkpz11cp6y+D++lvRgV0mDWYitHRUcJF44QeWJaFqDcCOi 1OT6TWpXhbYS7AfzIY/8RdtbjfZO4G9YMMVXAKAUZnVTue30QjajAo4J7RtA5DBo7WueYv2e60QH 6Gx2BTiSaDQ1t7Ry96Yrc17xWGEE+YKkGf2304PHrKlKw88DhVZXuEz6CfnZoO2iZy2UoOxVYpCj 7+Hfb9MzbBBCm0ID/aYAa0UK8UT7R9dvILiVhah4TdOKSrPHaC949SiHehMmHh2KjaWjRmkcCe6U 5PYI3zCKKzKcEzDssXjkk4JMweu1EQG1kvDdUN8cw7tlPtrVbCXPyB9hnAUdePrK2hBbk4uayeo5 UB1jj/2nB4b5qNJQN8lOKzurpieKJwro79dY19PB06ZV5Xg5ZizL/TphoImWj/b2kHi+QGSsQoJ6 lW8+oSB9OGRP1gufoHHXOfg78zHjtAJ3nUub92tDZucmoYTf/iJR5OGADr94nsqOQirLvE1UlEd1 K2U4kjMQipXFBmC5g2rKMmfNUy5IX6dc+OqaskXUZtdCbstZvC/8vmJBXBtyBT+c+Bwg/tam3sOe wgx38YQgwRCeXh7cs10H6h7MleVBda8ajm+QW5faJAs3fSS6YjGjL8DFG7iCq509Z6kwZeVbIPig UWxm+021EjsO1aIhf0LauC656RmW52Leq8EECA5icLhSh+iC2ecfxu+HbtiRrKub5CPRanWXSM7W SEv0bfuEPTkFi91SxjZIxc7ybx0LOvMNg5SJRCJEDvHotZ+tnd0OkWVs39lJ75PIlgOsQsKMPE7T Ko+heNJrlLd10drkj+X1CzdZ3eZ/ygT/7MrXLRxLZr8466rzNYMAnRLptIrJObbyedjBDjtNd+Yr D04VAsDRtNCB67A+en3ToFjUlxfeo+C0tz9NMJe63b9oWtD91sxYGVDW4FRD7vmLtLYzBvhnoKZt iI0ZHRk+CSGsmugdBUn0jeTP5B5eAeejks0slgScbs602WyzCFdngWFvuH/CfD7ZWrT5VZcWCLKX 8o8OuIq7Rzrd51Jur4/C7C1tcXt/dA19v0Z/4K341YJnTtToBirF9opkQG1N4qgiR2DGMwurRijn NVDzKiMTO2eLOu8VW6FG3iVGB29aXNYR4caZAyiwBYj56pbshpJ4CTMtuXra6UrNESn7GbYwLqay Hzh88TN7eus9eJDiQ+/4Xp1ZZEz33ziwBID2DFCv4Ry5A+KjRDCPlFP/HgjNY2w+bww9JUYBr/ap Z0VoHyPzMom6MlLyi/u2cmfQ8iqFijMHPfS63yV2gdwbTy/Be4rYusxjHLe9oat/UgIaFcCIDpfQ qlhdFFQ8+6fO7KeLOXhmAdpkN84eQMAkBBHSbsdVpxAbk1IYFEfcHnHE1pj9GRH8jlRT5KVQtCjX OLoVD1Iwf7YwP0iMlRHp4URuz5z1XXNQOVN21V7+hcgfqz3c4pc3RGuNiLQZB6SnkJYbcjdiCYHJ bQGzr3kig0yqb5jPo01cVBPpnr4/5Wd4bl8glktxzWiYMpt3LYO+ZD2syeHFjn2PbknVaYqnl3ye yzFhdhTNnpi/pOX2RxC2CUa1fAuj3GKjRLS2tl8DCh2pXVxNZerz8jfII78CtWrqf1d7//sYsMAK p+8NXS1WFvHkV5InGQR5aWVricKSpPZavKUqC2VUyOY1kJqUFY1PQeOWhBYxYMUELVf/QZnUo4D+ 3o23ou2Jm9Zd9uvBq79Zn48du4BNhmEqeAhlC+C0e5ALWhzaHNqtCBGJZ2F+dyHbzRBI+aNKIQv5 SHRYttitRD/XkxlicSkbyoxEhArfBWn080XqPH0D9bHG1CdZeBn7SMcDPdZs7J3Ps8EGkf/hOIZ6 9yLV1DOjUuXdGQ0B1Zshux+LZmfdCh9ouw8xiYjhtx+AU6OMDDtvRL5hKPHYF0dPYoZRjOABMLB2 S7FtkZFegoQU7GJCNOD9eMz0E2xppZq6cWvLjfkLsHm/FT6zpWhqO7hxlEXSEfN0R7JOOYNP7VL8 s2WSquwbK4Ne4Wc4WAwnxh6KjdtQOS9+IV13xC2k/FoQZ/7aEvqNAqwC43s81UUmzXRrPS/AnJPW fuAHkIoSpxXiBy/7PqIou/zBZJgl2lnGNew/hUr6KXiRRlKTuq5+8Pv8GlNaeHzNJYdVQe9c67lL amfzb9LuGFBQVKw8AI24k2Yxtsg6V99kzDbMhSzCOIDcUVBMMZatnqXIwtpJK9ZnpurW9YomtPGO BhHx4S1DLkp1Vd2i8u4ZZoTkyO0yZbB5G3MhuYRhlK9Z+gcxfxNQpLxOc9ECNg/vcepauSV4fxaf i8YaPM72ZxbhiPhJwFNQrVMOFRju005YJpYv9kSCDM0qM6Z5bm68G19bkj7ObYzpojVZ6SWPQNps nJ/tmcfioffCjx2Lo6cu/9T2Pa32sHGXoDFF+S9kk6uTksOuPXcfEbcGL5UVR/Z4EG29PiS3n2hw hpm83GkWATA5+q5ZxYSKfQZV9ykZ460MHviFoQNXFHhYhVnrgxqhwxzFDVsqZx8vtp4A0ecK7Y8T kGi7o3OKT6SkBqxG0tv2qAsoL1HlkGFaRqvTYhGQvJtDuRKwt/1VgtaClhjA/3CuN57T/Lrxpd40 lIcZcmLu0oRolF+dd3M3SYPYQOa9HWAmwS4IA32oFkzsMejjxr575qAucA139aedXlA+PRl3ZiDg XPgkcik/9WbgyABGLt6xnBRUprrjHn+eMOYJwNulz9kBaxLw7UfAPuYGW6pbmnP6wZTzoLd+WaWm Dcg4SIvOrDsBZlrmkRw4rGY6oEQe8PlGPAg6BbmZ9BQIDEVH1DtQYQFx3lcIVAFG4iREw92cJi29 sbVWGRpKQ6yhYsWipJPGYnKegooyKH8a4KagE8LhewZ5yzNHf6g+rIllua6EupAtLns9z47qNhDw NmAWX82Hmg2nS6vXpa01R/U5j3jDQC/goI2TVwB1I0h+6zEfCeQGsmk+9MYV5MGQHEEkEVLKJROu bVqMPpKrfZQ5C8Dbji+PXLp76Uwo4HtKseER01EmpIAwiPBMshUzwyQuTeoaEuQ+4LGJzVqqjsS/ ObRrRjL78pMpAMT6crvFQFd6cpLTTF9iqfivuT1F4wBiHyEVNPnquQff9PEPZFmiWoFVjhAYf/Pu tU41DbJfbPlLrNAzdu2lzkn65pZlh6Kw5OQuyc4E3EpwZp+KjbQouBq410dbAjpaPOj3AFl9ZqWd qjbE3TkzA/0A8QPXdZ/VIxhbD9lyy2tim4SMA1KkjwmW8+ZlEvnlBw5NFV3r7tLoSIZyx63xXovr cbKI9IcUBInuWrrHZgDvOnIUM9q407zpA19EHjOrdCgrNFKFltfJZtb4V5ltiSsDF8AwzBcCVeht 2kGBnqP5Qp8rqv0Pk6b9PNRrTh8whNliPyHoeggiLdCReNqYR/zHX7gnS/ivqS/uqPz1ai9nn/lN aiSXN1TDDTctDCXAIgVXBBTO3iNRx7B1djYpm+z/5xG4b5WrEhwssFzHPC4LbiuTE8YGf85L29+d KVuFV6WT8tDNJtedqJhQ8u1VJZd0PPfSQLgcUUgN3RNcAOHSFqZTgxugvtP4n35NUjQpVQehWB2R tmye4heN4N0KBbrfT9r9850u57o/dpGFKSYcg3pzVIMxtRbem74newKPYcgEk6Xb5O8GCu81KXRw RK94yWaPNApHk/vsgEjypkXH8InfNKp3YcQ3N7WobzirpK3qqtqzh9R6TjKxo/KMp4lLeHiKAU5q IzaJx2nG+fczDmBuFcj1n83WyDmlr75oZKgJuDlTbX8Az7qe16CrAu+QUPwNEWO/1Ehcx3W9Ayts pvVM40BypwLg+6TeW5AB6rg8wizeVvBFuRKMRXpMRXxHMtYYKzKENdl0UJgvoD8JBpA/tUnae38+ ouisimSMe7tFqfM0QG577cIr/8EueNXNWLhBLxAQiUvnLmxVXuRJMwNSI8lxnhBfpC9DrnkC1i8J ZBk9CcjR6ucdOUZUIlqlqmmySJFDmu8kuXWtqZUb6fd7HOmXmr6gRkjvSOtMTmCtXXRDIkO+Uawh v7THskySx8lt6yUAjYUVv0IGH4KxkzMOz0Z1zrHyS/Tc8rjBRtYRfl0fRMg9JKWf8zsTvMpROWjG ZvxRgG6KTiRYZAqBvvspvGntBTcL0xAwhTZiCD2nqUw86GOwjstRCfP3wc0YkRzhBM2FkCedzBgL J0QRn/VtqRvKVtD1rOO9I0d7wgYez4ReWpozgmSNP2FvLNzqHNQ3tfGFSGWxFd+ClHttk9x3Ee3s 0zZxnCJzsAmQv0buHO9zmBBJ9mNLcgzlSjucKaFwzbSxgv/Ej3d/JwAjlINpNRHnvQjHd3NfaG7z 7RQDZEV3CEPOkYoIBqPiWHzOrFF46uMz3uqvKuO/ndMC2f0gWaRJOEQmqRbbDhtYXopk+raPAw3s Lg0dPMowrnyiKhloqzpHmMvQasAzUEQDjyQFKzQjotlULhjiz8fC2Q2sbe7DwgIxfqSm/KgYC9Al SF5/mYDnvcs/SdXf7S9asCtd59A4fvb4ykq5RX6m4pScyX2X6Z8grG7Um6eYrAzX/HlLz1zqRV7r WBLV2lq1VGFh+POTvZU7/32vDC4OwVNGSGIha5O+DeKQCSh+u9Z/bQo2TpLMLv9R+nI7lz6Xou0r 2Xno2aS6ccFviFBkGgFL7znIG1ggO7NIFV8F7w423Q9QHLgEarxQ+FyGIHrlZZtfN9rXrViwsV74 rooF7YjLbIHZO7EyZqOFcIGci1LR+fr66mx0m44LlBJ5KwoGohvE5WVRv3+weMVX4zvdJa7CeuPb 1l7IBd4LDxN7rT7lXJCqPXK8XoCiZlEtXQ8V9p+eKr/OzHO945+7JshuR01fp2eK7/goIaEmTe8B 2oLQnQtzJuP/rSaZtA470bNq7l2Y3KhUZ8oCe1tDPBExtm2eW+wBPuaG8j0IfofrvtHRFXxoyvPn CPbT+Rc9LIFTCxFczvZLaEdJ3kTRVyvepbl7tOSKByvuRt+NreEgmZoEQJMeV+YNJ4CJbd7g4LNs Mq+GK9WD0hUFwr+lEg1ogbQkrlr9MpMj3n9ZkEae9h/BkyNtX4NFD09VY6Wb2eIODMonNkslYFo5 BtcozrRq/EGlwCJsf+DkrsuP9a079zormgTtr0nDI0QnsU2BivHDOAhJoaQBI4IJZD8TpBCZ0iRo 0kqGRjuFTrq56RJMxFFE4W0c47wHlIgOtjeTZiWvipoYNqOi7/enYJHtLsWYPjU27ORbhg5fbYnl PLB3vym2FPkomcnCBdIbx2JJMecm/oXDrf7UG4jf/lMKqRb0wu6xW5vAwfXhCCNtPqM9lVnZEpAZ zf8BCjt8y8F04TWOjxYxIWTl1u1x4NtJYI+vekJ+KAzAW1s4dWd/iUjXXPBjsmbUoioKjnaWBhBu lHjd2vPfNha797Bxcrc7qZf7rCKBKMW5BTIUTO48Gc1Oe8FQvQ5uA4Sx88YBi83QqQkN0HaGlLPm E0ZqPz1EvwvdGS+Bs7ZHCuiIM2fJz2dhA7tjXzEvArznI2U5AFGYUsgoNc9qG4OD+XRB8mn7u3AO luDlyeBtmvNnyaeIaMo6gcGxcfAFZnN3weOyruaLgJbNbKcZ96f8zKz6HTJw8F7Upt2VpF899ULL DJINfp5XF3PJ9dEivYBWioXsR6Iq/DvnzIibNdrCFaekINwVQwCtlKGYzdhV6HDMOl49U/vWPT/u BeLhMODHXmVotdhdW0dGehO2iwmURKhRWiKPVu3QC51bKB4KP9t1NFIsNLYqCaV7wjbMloSm/LOa la9jTx5AnPHevF8seek66ggwc2WBNxrf01Kta7/Rev5P9APEMiAi4GhW6fXpgq7goxIONsUEOCYd SCETRkRm5KNYec1x+DVEcZJ5ZF/h1IlzXjrs23BU6iiV0gqCV3oVFDtJj0c9ZmXGQ3JrsOKLkvNi vLkdVn4hYF1F4PM4ZVsLcxK04GZ8KCx7eJKm57D0YEAFz6Ml2SM4DYWKGXcBixIlMHf2A3oCH5Wl W3UxSbXZnkV8bnpb9NUM5GVfx/RGoBeD7CtorWKX3s5fheBwC1dvVZBJk1lpsOrVtEtByAQLMA1r +muDqwqs31eNvfVROgnMjUkp3Jjo94JkGn6xmqZc6GsVGAGPH/WCynM0kxUl84VxdtxUxEG3JczN 4PikXfDvlaswhP56tB/fMzVARDGRJbiMBhygQCw5E7MkEiDiSJcOkTyNebVr1NfrwZ/1Ac27rgLe ajwLTIXFfGIySNHYAGX7XezE2tRpjSOtFpxRPqQTXJKQP5So3pE1jPSV6B5PRsDkonN2UJwr6cMj woL+9wgCAwUXnsybfkOO3MAkhhppAI6wOpXKKhG7HePgA2TNRq5lrdMFpRLC66jNLC5QU8rshCVO OFQr30Pg29xTn08lJY6rXmlaSmr4lM2btIMqWRyh6vjJqfw3qN/W1L/qltBfEYnaWi20qwjyfTy5 kU8NK6AqatHg7uRZPs0g8ulqQ7xKMa/RKUoStEwOFOj4lz52kiRSzcUFHIaLcP3jYq0QaTT2deOk fXEx7nXRKfSsdnwPXJNw42tt8Du/B372Sby7wmM1Nez/D4vIzcbMk1jlM3VeI9RY80NN+q8iuKeH CHArRrLVpBtHZG5lrRElEd8ZQoRZ00xpGTrWcrdyXG5G7F4LCgN6F875DPI5USZGVnpVg1S5DM/v +s3h8kjDVCOq2Wb+tdarIdohPMcLmOe3h+FGig8SSzc/0kwi8o23H4QYYUzYCFWdICtPz44vA7Di 8DOmYTJ8HK1I5d9GDRzFCeJKIgRd/6xVp329t8Kqql18UnWQKWXHrkidkBeURDzZkCFr6v6bINPr XNroX23msDzd2jRd8qq/TidjIraulkb1cslBCIHmxGC5u0UByzSt5X97li1ayzYnexbGdUYLtgd0 Z13ksC7kllUW2bITLWvfPKunRYCAyCy014LM2LR6MVJO8MTxraKplyJBC7/kjQnevz+3hP4AUgbw XR+GUdm68GvxAYEi6+R90aIiGVa19ijhtIfmzb5C6kg9xOzjE99u5qhkvxvfdwJLGBqea33I0ij9 /8KNRwnqIvTS/ONGFP3Cdd0aBM5ixPnPVkbzPhUazKEJjd2KSgvBmqrbDCEmp4LPQ8EchEGLmyVH Fe6GfqyoiDhpm/xpt7aPKq6kV6QQH76ToUrhw45WqO7I++rNOHoTXtyo7yivWDt39dcJA8U2WvDu D3e+C2COQcGVTLkb+VI4/CgQWO3AuH7uK0myTc8EkpUuDDRHTFtitBkv3CcZp13D5+5spmpRV8bk b6hnNbppSsX5+cd/8n0EOltgJeOdFQ8NEC7B8Q/L6uNXrnrSpd0J+BAG+cIF9aIOTu0S5BYKMWl6 eLfb0KCTFsLVUKaxMspWxn938NE92ynKz6AbQcKbCQOaN0+7wrbIS7Z7ggvbH1aW7oB6j8muHIE4 reYW+kJ1JVX5Dq82BZTKvyqSvGbi3tX1LisQbxdfnKAs1kQhC2N4d99zLl13agrQQ/w4sYG3nAai +6YYccYTmhMO0HR9KNgpZPsS1KrSXNz7JGDrACz3z6fDfEbCozLRmDOgb3M47cX69xT6hSjocf4V Qp5LFRGef7gQddN/0SM0u9N5clxgX/KkVC7wetLQekPy/WiONzJtrE4yn8EIHso8jWKFj4REVcD6 Q58pFHQbmHIwBKxGKbN7O/Y4CKKfIQIuT8PeAq/noFsUAaNN+4NsvoHqGx4wAcdN93XhAN88LmIR 5zwkzsEoXSZmNPRxg83LzLWQzlpP3xOMz67u2QMJKSSaNtpgcnuh2jPfdUzbiu/QTcMYNsIU4Y58 T3pKosQZx8RSpFozelAFSsStRzpNzY5r2YYcaEurkc0cOIXDd2gzJD7391kH1vuBLg+mJCT2bWm9 zKylEU9yIlDJZ9x80RJk5i8+o+Q1YeyisC54xf+b8GKwJOJ/s/PGVAP15nO5A538CadyH1KH9EHO d+Pjqpgh7ThjfjQg3s8C3enIBeNIEn5gEJqcywVVW4JRpCFfk34A1K9IaOIAp7bbNjX0WE96sn7R mC1vXqckofZoGPNkbB15t14u53LfFBdr7l5mpGBtIwztPw+RNi7Y9m0wRpT3hsT45x/LlvvmX16l ive49HDW4OuQ0/gsafBLldDkltzFRUGrYLB/RSYAQN3sCXX+OZPbI1vFcTx+OnNevLTWtxWipBxf 32iQEN8SzoFghyYgtR7+gunLR3tuRoQaNPTsH7cTdxwfyfRIu9Wv0WTsCjnN+Ymjk+eNsbsoLrvG 5JrF8Zacv/bFoN0JYpoAdJhMVlPPHOGk8xh88OKxvJi0SLp0uhfSInX5tcM20UwK1nKiddFKgOg4 q3XqXxiWDyt/WYSoEB5f3norTULjkOi08InyMYXkrjpzeUVGpvb1z6uxMmhvr65uAIyz7jihYjJA mHolv4UvwNlrGNkDrmYk5nuYHKv0CMNRLd67h09JHLtquYr/2sNUnU8suI/yb/htOqnBtAGyPlkm BpzOxaom7Hq65gfFbV3kkubYG8lXTkxvnYr4E62QRc7lEp/owfWd1ZiTFqBQ01+87ROSzPQtdOOp YAREJCKwRyLpXGrthz+oYW2QjYU0K5+CWbV/UMX/Zrb6v2lpgAeEx0OhtJp0E9ryVxUF3fpRL1R4 sMJj607FSRWi9fwM6mg7+kSYKnTIsc5YK+8tYfYdUyAXeSvBuGvkYvAw2OOTqrnt999P7KzPzD+D XqJTbXRwnVJ49vTZxb2d0hwfGevdFGGCLeAJC935PAKkO/5ala7b2I8qDs71xaVAP3EXk4DntMnh gqsVtLkZmelIush0yfRGyx7l7MGUFhQ4UJBgH2vIvNow9U3RYHWgdeBCzY4NXrzDU/8oPTKW5iaE e6tzlXlSzt0P5o9Pv1dzM41W5D4eXDPavbHr7wyYRDPfcllRG1NoL4KGCySaswEvq/AUWj/if+/H pYxJzTMV8PrDdsOwo2NQCw0+9TodOnOxXBDARqyXIekNjs8SeMb0+IibX7zB7y3qoCOQEUpSO9aM OVFpogTV0R5izrmgqQ5XuP43yEjjL4jSEkINT59ZOlBwfaiOsluFuqV70fmoc1SstIzn4xYO/ITd Df5LOvF9/RddqiDbOcLyahW2TrzeF6OfcfxPZIf/xbWM0Y7pxZyMjJ0TRpQDdTjor29HOIGzQu3E 8cL+8guqZWhfrt4w7D355EGB1jVf/SJGFRKIhZGtOSkrUjm8Xt1VvM8qyEu2GABnU6qjy+TV6gQc 4NlhP1HAcE6b5TqwHCnYYPxmxbuyATM2ztAoselh3hz34Jcz7LqQUeanOs+7Sx1G5ahUFHmuIRI3 OZDcPQI8N8LfFqg9JzSBMu50V27pUfIyC659MpTuulfwiw/N5myOYmpvRBHnaJMwhD7AGVquGOAd daR6PPrvLDdgMWakldNHZ+c5f79Tim434iq2ihq52xhnhUeoEoQkJF59/0BfVN6Jpj32xS+qTNxV o9dJn6ckzamKYHQNBDzYKfP7/JY0Jdk1CmZ0JHrJzJiVcP88FxnJm5BXL1I2IohVCcyYMRSQRYZv 2nIZkhmhYfudpZvp4ZKdmyXbReHR++wV0TbeXg8TOfztpp0sPXHRsRFhtFbiXznHi/PbYuKnpNjj vE25NjW8z8c4VuuEk9/tuAlfyQwtYmTweS/99cuaagz7nj6zZRLBGI0uoLjRdw83UeugCk3Q1Fmv 8z0i1v4lfKVVUQdHIv1ocH42/fC0HY4m2FAMYNBqtKJcA7D/HP+qmkGnnOP2Q87KVwPXSnbHYUnL S4isgMcZqm66LIi3hqwV7hrvvk2N7QRe0DJSwxCkF0yHbLgfu9DynUfeQ5SzGcSrwswwXubzbtZQ DRj/X28POsbaVRJ1x7xNSVDPxkSGoLtvJS7ZsNxuHzwgBBh0aUmVMLUJBA6Q6vrh1gpFYfDYNTGM 7vuFByAEXGAsvlAmuKNhjEZIrKDdib564lJ2E8/h5JJXIAfosYHXzisHg2iBTWhG4bBfuFwGpfnS aXdlFXblH2a4NpeVfWdxin5CK8f2Pbt/ZTJwY6qgd2yHfKhkG73KURd9fGmttaFHfFNfKrAGmivS q5m7K1DoiMA/COvqnY/I75F5JqZIao+S8g+PIG8nEFhZ8UIx3l1Qpcpg74VPUu0glUJrI6tmn29L YDzXXP3tC8oQMEfTNTHhiJPSJTppXQ2PU7Sn+bcORS5VPVOsx2GGAtSDqtvxEB0r/f11gZvnX4HA F2uE25KSp9zSzYytzJCJbTFBq6eIeQ/a1vBZt8sB0nBsuaW4ENFHUiCmwU0PClWFVf+VoEj3BD8u VTI3ZfCtjwRq6uDYR3Hr7ptCfNBcCCOa/grncQVyZfykZREHn0ymb0YuGThn8401ZHLRe14TDNIY hngZlFlzZgN5jlUHk3x8KqI0ye1G6Je4wEAyytK1+o1MUz6RQb8Fcpc4+SiCjeF525cXoRlNdecB MOKG5Wa3jMA1i2w48EcoEEuHwHLUuUePpg6A3BOkourp7qYaczxhSEwS8kuM36FeQD1QFDHKcJ95 RUm1AO6I08lt7STJiBotr4Z2sym579PSoKxIlZA6wkz3hef0ejfrQLCrtgoYjnChywc7hPUtRXD8 QFFIpGdmFDf8240WJZ2QjskcwkM/AwDucziGYLiJ/vnRHzE4AItc+9qV/WOKg54LANa2tP9MD9nm x6XYsmUGR27BKwDR23zA5wLpgq0WdpaQ0G/O5TZJwWwshoJq641SXtmirbPmovjYn8NTN7kqaChW OEu2t+Fog8A9gsBqteV03pX7mJPIcWacQFsr4Uir2ioMaf4DjOp9CLtR1xZ7qw9/PI2yd41I+IIW /FXyZnPEGg03JRLyKvFDek+IlN4JEAgkypdycHVUBbQuDDKDHJd5nNVyUw9UCCQ2kJ49X6vxbwWF KcbaotfSJDUL0mz7av9wmWVEppDrVLrrcZtwsqD8MHUHhgDE9Zt5VxoO0suL8jum3zh/1gz1J3pl 673oizi6xHY1ImIEs3I58A0JSr57baRXPJgEkajwD15Kk5Zd2D6+bv+2xev1ETQ+VKfITaq8Bzs/ Qw/5j45AG31rLkzPVh9nP7u9smKFso1IpJTbSmKcm4XY2ouI+34ZjQ/Y7onXQVuO6DzyXvypLDzw c6GoafBnar1lRBKBZZnJBJnz/FnAKUQ0eiwu8JNMnYfC7SVjCPux+KYEeKPxL3XCVUXOAcMMcoMa 6uYv+vG1XPhITWVVXvyRunp6n4sZPXiUUM/Pab2Vz7oXwCxxb1K4xVCTGuLtvxBqMoSpgM0CZq39 ETOsRfq/53Jhbc4dQ+jJaNFxBFxdrJ1qQzjdyRNpn2DpxXpQsGm60d/ivU51DQRo/R1vN7g1yQE5 rGhBg/Je9DaoDlTyJbTESvo4OjqqWwEYjpMrs2MPPPNtJ3z/1+dF7vuZ22f28oEnIYmMdd+Nlx0/ zzjO2BYWqPdOcfkuWnxlHbc2t72B5Co7SSSfr5fIxxW43yzXxNQhQ9Ies9z6F7TPpY0+/Uet1cmk RGIG6bD1/nKhl7V/V2uYM9yrsWAElQIOGrqph0sNCBuxecsRPxfrbepSyEWlv1XaNAc01d5+3xwP FiHfltRzqzVCWfw/u6NRYorP4j8FF0AiOY0iBQVRzCzgiMtYo/5ikAdtkw4Fy/mcTAWg9cvb6WIc TKwKJ23rVTxFyurWqbA5sji7/Yx8+b1Na2Jx0LNGNjn15wiowwBHtw2PYJGGQrq1g7vPCQBtL0/a LHwiUk0vd6H/GgFeDxMhgJQafV2oxip2y/uR44yYfG6V7TCiIRfCDOr3R0mPNLhSRRPnXOiyfwJQ 0qmvhUiehtSCDpiBWq6rUYgRmr6FP+UlyxA+WG3ApD4esYnsIZrs3mi4hqKg7HEIr8pUrIyRfs4x xZ8jxUrrf6prdRGXM5HWJrr23DeAQdriRGX0e7j1qWpR/5+n5/dilg04NqOaGCYYzFotS/Zbatc7 AxyntAOkoweO+yMaPsQLUuAG426bMwG5JHRAkDVBb2tfoEaZbsoeGW21+flszSvCEc91trnYz9DF Cfxb/6u59No+dov4483JzcA/o3VqJo1i1MAeXPpcPr5c6CjhK9RBga1YzKcl0jgieFvpsXrCRQF9 UsZ0MdehMAtiQgYT9OMFNR53dHp7/rhS0NF+00F8crGM7MWAqCdkZuwX5WKu2bbkQtKFzdWS8iS0 kmLYO3UlIrJzQQI9+wKis+vQzngrRYclbBhE6wm61llXfTDC1aVJXP71tEdW4bjQ+8ttGZjOwP0S LrwQwBJBPl0KmYQPkuqLAkiEwOCqjT7rAj1rLKHEr2aaUsOXnlcMwmM/rfXMIXIiTYeESk2vYOJe 5d0jXkyIhzyMvQ4fUSCkl9J5YNADFK1kXXkcpEJTEUWf8r2TEQ2KWIwzDBLxrdMstuR/OBv/gnbW qrIxzvGj+VUoCttuX3txP4v/3bKucUixCbv3wVRC80INJBOIQVgeXDRk4FMbUDjX1vNeBEknuZ5z 6S9eR3CTb8z313ZRfa+jtWdVdE76qbNs3shO8LBbwi5rxCimOFqVn9BUiRhYCL4lSAMaXrEEShS0 my4Rp4QdNn1Aak/ZYCiSyc+U5JNQj/Ou+W8iqAQnma271s6w5W0iY+0mPYP1UEq3WlF/WvsV7FG+ MKaqMqThgIRwt2Y56Vm4ajYFZCBR7X5bVr846i+be4iECdcONXsqP7kaDSFQO9Sfytld9Z8LbqJe DanH/kvCuEEC/4M2vm9WKiv7ReWXz5A0MAhfEDt/yREtUheQZbej8dWdW5Z96FAyrQK0znY+VhPD Txfn9hriYpMF/AQI3YP0HcPWzPmyGq+rR+ANr6up0QcQ9oDXscfGf2DPZmFwUugV9EBx4Bm8Bxk+ p1idC+fc6VjjHrUi8n7VYwt8PutJP323BUA+v8EAhYLfU2RChQ7Vaw1S0Fuazn/nS6VbW6LBro1I ODL7iwIxmR5KhfqL+FdEOnnkNCcEF5KiOneEu+b2a9oexboVCwTYuOQr2qK/qXJ++T3dQSsu8+fm mtGk3gIFME1cUzp4T2Q6gj7cZRzH543MsDGxRKw/Zmgg7rqBnuDucDc+WXtgPS786o+gmA8WFKjv lrxFm3nrOuB5ihM+5+vTT6qwLnfvuxdcrzRP2GGB85jcg3kihzwDNjI9ABwG/Ddef1S8khP5BwaY nTjxfxISIUQ4VYQajMbcygbht1rCrTF1N48cH7j94KZ6/mZrdvg1mosj06LM1K0HynRv509peCfr DMpSqUtlAndyuGHaNVW811OB8FYSKw2iDnhT25YuCzzlpJ+zslJq4RgbK7FX/QFEJUyh+g+MSjnW FpnyzC981DLQdgrcXtn1Sh1Mq4mQDR0+bgUVpYDEep7S44Rcl+E6w+s2WqIUqh3RRU4+QHr6lyHe lq/P9p5SNz/4pE5EuS+Zy/wqwCMIUX53dy5Mnpr/ZM/2QeiJl5vyTrcBwq1eDLNyiY3zGepCwP+d vFxI0eBLTIPCxkAj4pzNJ2QJaEjaFXAtzodLloNFA3FaVrxFZ3Ov2fxgFsEezxl3FFqTsKipmrYb N5kA8gGoBOHx2bpN76Qq2woBEiQgx1dAhiNiWnhAup4/DceMJgmOo76peshL7A0FfrUJKNHsdpg7 x3GQUG5h6HqKHOkQU1axAX3YzQEQoLM1coW6wRwVUfP3uNLfBpXntfVC3S/FgmIbFHawuBJ0mDfF gJjZn6/+bmOfjHusmNieN3X4JAkJLDwR0hdhWweqMwCduwDK7fikwTd3jxDZDMrHd93XXpWrPgcM nNYAXf6BCbGVujLivjOGtwK84lMu25VHbph8o/GCy73UhEtwU7HiBDrf9KlsmQapPjfOXIRQG/bC wm8kZOTRzOlxqyVzzL/3GjMX/8XrtrvGa6DLpcsQWIJ5+hJams8qYrhOhJozO54LdleoCLN1GFE5 9KLUjwzKTzFF3RGr7sFopSn2izMcWrcsnBlnQ6K48ZNwW0Xhj5sBs3IpvrPCqVB0jGhTg14rA36T SGjPJc6RmcKjPhSJh8v1odScp+LbHyw1WiBGXowh8V4m6VYgQg0h/QDTT/SCnEzuVeqM3mpWNSQw iFmNa1qdYqpTR6LUZh0gBUs1kntPk8jt7hwkUFhQZ9AXezeazoZXAXCRxIGdaeFk8a0XGUzOoqLg xUhOlYO1Ogj4h65NeYgCbUK4kMt1iP7HY0JghCtJNltIbY8lKMoADIPVzkgH1psPkvaK6SoJarbw mfKAWtMUpD4nDWA2RiRpBEQjTgTpXzz8pk0siNVDvNFX+qDiudIGPNr10R0FYOrHIPrT0Yfkdzuq 0RwrXRQF0kHYX04PeXekFuMRWA6ENTrqQtCJMkpb7z8Ek2ZnMuC3aOumLEgnw5c9iWJmLID1/3fT SPYhIisAkKznEaPKuoX/gfjr+JBirs2r56T+AoFoaFh24dprRJdyr+EupE+XjGN0HtENPU+KtWPt JXBH1/wEA8PQnUXRDeA/5FsiSurglewRba3zJR7HPDULLVz6ZcKLS/KIuDpsDZQA8GvKJuPE9pEm p1iHvnWPOr86rbPn2Yf/DkAsNR4RKr4Kz0UGNa6Pzl/QzZ6flBH4WmojwczIgocVZ4HENVJrINWy gXhQzKDZNcCcGcoax38DVHguFxfMOfyw7qIqvBq+7yBp/jo+w49r4+x2ULWCLE7Te+EVdtHJBB5m ym9pmfA+dw6qSGG+5zstw5hs9MYzDRHfJFeqkTADIEwk4AJG5Rl7WrVEpSfpfsWirjKAxXzuiOO9 hkZ1iLXBzjdhW1jEGnKI6JvyzU05dXoK+sDrYEcZT7mYRGvxeDmAAEMnJD8gj3Fk4h8iYo7sUvN7 9VndI5TqDjbm+su9WaUWf6eRlmB4Yv0XFjdTC3jffOroobRTgtPx3lLbVpQdoZeAlSMhRBzHqr8R Me+n1OQAIfuWGrSxIa2CgIDRGC6sUEPVMZqkp5o3FLUtaX0MdsVhcZDj5cdDcZIj3KogUxRoGnaL GATUAloF5K+8a/RdR51gw6nKEo+7peRBTgXXba9B9L3kMOBDb+sjbDA/5VgumPY2mrdZEWnoTcub idmjm3M3bV41NlZZ8EbTH2UkXNK1HnXfBQsDE5vfFkmhERGaj/wO5yVliR4AvoamYm5FTjUfNh4x hVkciYaRllFpsQtmXI6vgYCF254M6YtDNY9zNgf1mQI4HYkI0YcOLvnYMQJIw1rzs+0QKUc9Ex91 VUbDLhRc3ClrvofZFdIiY09sAcZLW4LAWgJpslsa/+0A5Mqu56dYYXTvbsITlE//VxvbokWLdwpO r6pFFS62/JEchLk8TiQB3I9W6a3GMgCCtyrl2bsB0XuZvjNU00zngcMm6dU3VxAQiy+dGXEzx+xO YG4tl7WAP3JYwV1s2l55pPXBk5QOOafxNGPlTPO0+89/eZOrpFkenOlpixLJ9D2SNIO2KPtsG+g1 YUGDTZ/FLpYpXCpxwBQhvuVvCVLtjiqhYiHG8SbZD48YBF9G2GF8HUhaYlJHXCGgRa3T/M4FLUZa ZnxMXR19p4G/XArSg3ZvVN3siTK+jdkGqfuo4pthurq40KDkiU1S2mrJ8EtNIuUfSaxxaHnvUxZq +zBGy+kTBO8LJWMnlpwit8mBDskDRKUiuMh1PV2K9Ea9c3KZTHkcS+IK+RHiBK2/gt/NSc9wGHWB JfoptUneYxqWQcPaLbDsK9GgVi6t7EwgMxPd8xQwDMeLtNivTbBCyi88AjaY/vegLZ7iJGpDqNXk yfTht+SMO+/onQ0eOKsGHU0QhaMbLPnj4fWHGHKZCY5cWnDD/GN9bh0ZerXz1YbFUxSk2siMwXXZ uP+xLFKat/q3VL/86vV0bk5fLBoKxU7T4/+ZX6zSbUkW1veCBMV+36czuoBUAIYEQdNnaBLdGmL3 pzbQ7UD0j1EJF6KFmxeAmHCbxSYM1rbWMvvGHCH6e+tUqf+giQmA3coaB3Ho6F0bbRsib84UqJL5 IFoY8JOLr1m/f8aEV6EuCL/2u+TgJE/KbyjRgLIouBpfK8o/0C3hcmooBMrPpCYF4pE6DLxJM5qq N8rjMNPoXE6Da3nPnklfSd7ihyophPqWHaLvgLcaN9PsMo2tT8308uoVZ6weaX8MnHkjY4YswtG1 LeVUAj38zYsNSe2DRsGWiWTcwDn4mbYkb8MudDQ2dTaufGzt60yn6qjsr7AoXJR7rcDtQEP2omLh IDBrQbc/AG7TpVu0B5TSs7MeZ6+Zp+w3G//j9TB7+e1qGWybr152h3L0oirta2HUHNIX4W61SIdE 3jgdStN4zIEXAx1kfXUf03MaIkK5kZbbXuwiWcv8l/bzIwl7EHG/qKRcNWf1vqmj6l9f6UpjMjdj qV9YT8jmla1VaNxdMJewLg3g9TZZHowCqJYz1Z/QPBdwYzi6El4UBhHjCsqGm7OF4H7CgEWKqHB2 TqOjeNCz76H1MsNX+ZyCRxj8xPYD5J4tA4z/Eac9ToFczzdex4iNQo0ET9PlHyLY1Lv6V5Fq0uLh ZhYjNoZ9zrYoqgJs4zmIvXHF+5pUYCDzCKkb0qYastX3ououQ3R4Jbhb1ZZ1MK9a38Ii88Iqr/ra SP9qeo0nCyiOG6iObpJVUEEi+qAFREgPBEnhARHyZpmphaDsC0T54InLmWDLDQUJcS8Zg9NxZPWr qS1Z6dr69GOz1ZKqmgNUXt7FnUVx/MWqjjhyxbdimv+trhPC+QdCRDbp2JzAsJUs+a9PBDpTa5lo xKP0KQOGd5CjXVRWYHXm6L5Cis62/3OqOVrrekMbxvqH54p4q4ba1UoAif4NUy+B4xA6tIjyFTZM 168C1soVdknTgIDQc/M24KDfosP8in+BshXp8Ee/0PUFknvM9TRrVIdFQKJBE2Zg+PT7UHGVFaqt 78g41qOwf/v6H9lebGi8VCqaRj0JV2t6a7FsJJBgDcFctg3CxPETygus5SrpY1hUG4ZiH13WTwOI eTfslOALnhz7cBR9M23hy5br123gZ06vkx544ZH2MJ1DKygDVy/BM1KUQVB8dUzlJoieYXK3Qsxd qvfrJKfnTcwf7myPEGoNaLWUCbRSqRZFKUTnqJ0IJ/rf1DSrJiihzgV1MIF6kYZgi8SXZ5mDkEUq 8BCY0aMXUnDEkSTrGNttuFSOmPoiD2uM/PvArM3ezn4SZ75s30TWXjrVbIj+GmYmulXY3utZwxJZ 911zB020uVtrOnQHmOb1HBfz9Tfja4jckXOS2vbD8+Gb6AF7GPVl6HUp99m1rMzelmZFH7jjga9u SxNWHS3MQ2ZeB4brWH9bvAd1QimFVqW1dTiiDB/bFOT1XuDWTGIzhSkXM1pDd0qpq2I0oABPjZOy Kf2iEdnVM48jf6nVsGCV0JuCrjfTSgGD3FxQ3vGUBRlVjhyC5lKfXR9tvyNQq+FVdG3VzsXKq0JN 138+dxEdB8yFAq2HbJ30dp4DO5XFhEfsZjexd9d6pUbgg3T8gmsikqIOLD+z8ZO4IyKoxbbBnhm5 ScGMoneTxvVUJ07MTeXrjaPeHoE+c++/Fdn0XKlV/oVPXMj3rFJbUxOhQDUjh9DuLxTp7Y88WPCV Ywui6KuKWz2u0r/aLsSVXPUtlADAgqwZSYqnSrfSnXutOOeZCMJo6p8hTIYe+k19K2cmHnnBqlA3 tRa4T1PXQcmHOGdRzlFN4JSeko7VT0I8IltLYro/0tm2ZFSq8HcVVP4GsaQi2nur+sedqFXjNDsB TwEybHgf160u2J8kTkmLWloECeUzFny4938GMMm1pso1GsrNEBI5OlGfqvRJNHwOsYYMwm5tujCw piz63hSaTcxyXLI6uJVyPMHyE06n/q9Yi3BoLV7kIeyDTLBhfz4siY5lD9P+tyiAbsyYkvrx9/Mw kykpSFp0sQv5LOkc57cPN6K6rKz5kTYyjyCEq1ncDMgQ6upkKmIDtp0CCCSSMMNpZV1qI5llTSbW 3882xlYp08/TxMdvZLYBFbUNPcrpSMUWOd00USkEbYkIz7EIgsi7JrDZx+udu6I2r2e0uQX8+ddb jAyVdfEuyqizTaoyPAvYkWGQleKL1mDKvTlQ45JQYRdZi3uslO6s32+bu9kyzhrGT4WVzgIKfSTF DQ+sfcA1mkW9ufBwfZWkxBQmYBZMTbgpa4wm4+i5Zh2AtYSzd7FCsPVy+hoFbLrmkoZK73Hc5O6b hwQh9jA224W3DDne7xQ9ha58DsnHNcEPBaICJVdDXN2UvDpOH26QrwqiKIVj4NVffKIUVTRqi8Ci wsT0dAR46h0MtS1YsMBqWqOWem5aw0xZM6icGSXvHXyLvyTNd1HoUJm2RM+6VMVXnpACn0qjYE0Q QiOyarcnCeXU5wH6ktLGj0bQV8vrZvLetOD6jMgVmnOMXtsziyMiut0KbQ7l5HpxrozYW6INXRif SXEvwg3MtuhQt3GwmDJDBOEZLHsK+bK18TOyzpAvpvupuMAm24DM2LaO/koTWy3W7L7NoPYxV8FZ EVFyy2zf+AUcNJQudnXplP1ezLEebVo8hHa9Gl1xjRgznmqxBe/TtEhDdNuVl0hVTB25i+3g4Ka7 bALkrmKnQGXwOkAqOvUMwEjPMXLzJ2P64mDKXGTogt2sgP1OzGy3pB/xIrBkHRtsxyoX82+rCrtl GrMvXpmBHJ16E46ay/TZA3M2EF/o++W11BNaJDOG/qDzni+QCzRxQypWo7d1kmok2cmax+ER7DNN lcuF+/io5k+7qawlCQQkb8gEk48O2KRsJ5srEMKkJ4dJOsrj8yLMJsVitTciUmdeaIlEAX5Q3Y3h XuTdI518+2oKFC+CYOxviHIONfA6j2ie9aWbCXGMr+dSZXUQldoDXHmLbppjBI2Yp5Gu/hrgvF8A d8+WJ2RtxZQrSCvUAElrFY6CtHQdmNZd5iamg8r+GDDwWEZQ2w9srZ2Dq+zG4I8HG0TUyFC6khjf oDxs5mrMlO6XYC8fydN+hbu7QJI4shNgXZVe36dr5uiU/QuddmtnnTt1VSdEKlBp6GXPtZpHp9es XGPR7S7JXuhfbkwERnNoi0IEWUl7G+bzHDESFqjYK96N9/xXBSh9P+A4HLfoPG0tO2DyUeJY5AMf 58fv8zcqj0ztKDiweKyvrEuFR9cPfuleULwuGNcQyo2sD20ptRYKHIAi4m1CluRlQCaMvq9W0V1j hD43Jq8ZWuewgNpS8nt19FDj7qa/4f1VcHJIX9f32WLIo4OoMEb62G3TtZEXMWlRgxCO8QDZ3jUl I3iPq9+nY9o77DQLuEFSxTVcZPe0aPMmIghg4OoOt8wNIjpSh/mvm0uhj5HCbY0WThqrY9himNE4 2gZV/PHLBF+ut1IIqzquMmNWUSTDpTfQxz5QqElWNVLBt1opvWJJb/YahQN0K4qRudMXs7b0lLxX /au+H3AyUdTpKsofeBGpwSKWn8JWHciGUoNr5rb2wEIlEYwpDHArmq9r1Omz6XA8mB4sd+BYdSHB u02nZ5fU6JQBBLmeSaPTGSEyBTVpKdFagf7uG75/SwiY6ibLnF2UeFHtlJepAX3Tf7N6kddy7ALS 6ttbUFby2nOYr0dg/8l1ZV51hx89qtC4qLk+LJwmV2vqiUyaNi93iorRy3zD2TSf3V1+qTtX+J1o 0RTqa32wUT+LzAasAPUxz/LeF+cK63ZfLepkcpTQydMtv807XX44jQrqJazQFIHnr05kXU85Jdim Ns5e7jpuPf4VWRUHMjDyBxePIhIKHCt3RZtaenRBtfskM8GjbK5nXoWFhgNR4wM5NVYzih8aiMTN +NN3W5qNGiHMcqsnLaNZf9QHQVY8kYEsdx5ne/PSlhAtUUPcGQTJkH8NlzdN97oWzZxY7vB+CKLc 5exlDE+nhjjrkSCakN4Xw4dSfBcFysHjQZg7kHWn2N9hqTs0pMBEC2zmpXTEtY/KTc5qztAF5fGq P7HvupkNC8MaAYNK0+jCrDbzGOpE0geq4xw4E+VO0ggwakMBqkr11GZ15yjwzBeCFG91/nRhAoHh WCyiSlwnSa0JRAoWVb6BnBD4xjBt9j0tgAINRraiNkWjCrSa3C+FkiHNahZDfNWIZN05B/TVArzQ bxfuH5e9EbgZz3yixPtidtcPrEvgubWCMzcXeh3kB0iPNox1kc19H08UyNE6AqW0bJoTg0X6v9v7 ZtlIFsEXdW8RJo0qWMS77O3RhongFxI3psfUxr3iCAz/ZgSQ9pHvhSyXpsfnVjTVFQ0PNYoLxJ0S 5PCWUKT0pLQGYpvEhFNLSBjsu4BtXhQ42QnrghbIl1ue4XPFaMgvrnp137b+d64eHU+0sR3SR9YK SMoDA0oNTguRkbnePgA+2otDMRJqz/0SKUUhJfmVvInuD5x11EIeFHsSurN5hj8Jmg/DY0aMl5J8 uK8E4JkyslcSvFcowY+eAvS2VMpWLEw/9aH2zRmuo7bpAYwgH/XNCJe8Q92dLm/PFBG69JfwC/3e 8TUkEg1bT6xIakF0iIlOrdnvL/HrITkLVNB4bK6PU9tFrJ6D88ugQfq0OcNp3yEpdFTwUwx7Ziq1 4PErhgTVMQfah6Fran7cCSgdasldVeFYzxm66m8c0G3p45Ke80wOgNphBPZ7+60PFNMnxHw7TD6j U0fPS50bkXOG9s3T+nqQe4gGjGqe967rrDasmaQ3tDrt8C1ep5/6/pUaimj/EiFdO9jacVHM3J0r xOzFkbBEOLQ3NHTydWfiauy8i30rbHYQJxTNUX9RC6FYdYv5ejXXOvAyUHyEEkMNArQMv8g3mnji J1oFW2D8oqeqseS9+ODFCOUvU4remVl+UoGFaboR7UKm6CfCcTLsQOWGNb1ezTJAV990INhGmiaF ejzyf2b1CzM5FSK8q2HCbe53eT+5wQ87F7ZFKlB8LzwM5SS8JE4fQgghQ2wfxSf6so/BMENQ9W+y BOulNj7hr1LBuBZDNhElICluu/I/5VjeP9JWmHPDbRZFt6qBrb/xgg4Dxdnxut7bhsGI94VS2nOI nmDyM9TuXM4Az21cymLLtKgVoBVW6wfQ+BX07/Cyo0eb2XweeOeUNkwBpi9T+/ezxzOPTx1ljXIr WtCrb+ExWqCVHTl1toACYy7IV7stCLpLwo8gCUytAm9JX1NwEDMSGipW5ljdtLe6rotHZaX5aq21 RF6IDkXqm0x4qN5jnnayebzCZPHTPUSBopKF77/nKPr8HGnLeJkGIiRQ3rN7va+TXWqh0puZrPj6 RyrHSKit/CX9HprPVZ6+fA3zmnv+vxZyptafPDogYCTzllmKm/zh9dAnv/dzDdPygE22pTmYu4R+ 12JQWFlaSy+NBQtA6MwaAJIC5ZV2/nal2g/qlvs5konSwwHqu5NcXE4vvXhf8ZXwp8ht/zCQ3/iI 7NRp47g/IxVFPXrRCNJEkR5yfsjkWSpXlR22s0ZgRF7h8gF6uYkOyWLo8K4FO4fvTtpTWOdi7jrY cTKiKfR+sRGGUsoh++C0tvOd/VtYv///DrLtrFYXk6J1gKXEe6l6TDHQSt11zgon2IDeCN2haCY/ 5GxD0hEkaQVF5mv4SQWj4D4GOex1GiTI7q2aA1DjSRYlUp7iDyjs03ZuCA+5dkEZ/K1Gdi44qfAI XWRl1U4XoFDZ6rq/lJU53h64WHsOTNFvMvzIFv1/nOKRMy+3UPKisk5pj1LVDICrVPyEsc3zNGUC cswuldipgvFIL4mD0Omt8z7Ro3VDq6baCArh8PZLec5yn3Gkwl0NePOFz1/A2QCxbWCo9xc27Nts BVhkwuaJ/9yezz/BnK2XSXOTqVjnYc7JCrVA4rpY5yFaqnKgKR2PIXmVjdCKY1vYHdFWakeAD2B8 8IVBWIOkv0RD1VbcgTAi40DxghZlrJzKLKmxe+OWiRQJn41HBQ5XNoUASPI2Ibny//U4fG2cWtau jXJ7hYcCbwnCldNhG4xgyC+JTHrHNGkSNNt5hogyOix11lETZKr4s+DQgFpzyqIKtGedIw3pFKIe F39I93TJyTz2xKYwt1xtqfzXtTwb9qyXX+W4wZeKewtbLbG2Wap3nFTQNubmXn7D4wZnkd8TTvj8 0kIXC/2OwAC2MUakB6AZONS7CM068yNCzgW4TclTobMA+sDL8XoObUUDndWW4bVR6G90JSryW6NK FXmxhQwp6Z8GwmQ1M0e6otoDjMtCQNpZsGSoWXkAxjrv7jgi7P53YJO5jFU5dDJTYFUpiyva/dQw jkZRxXn9JU8yt/AP8PDndjAHCfeivNEor47dfDcZBeiIYy57Uk1qnHx3vYCq+qQa3B9wb/f+Ojjl IDtjWbwYuHFUH2FQWcP6Cz1zMnTPO9yH02fr6I/6RL6enIjnH8bc1jSqy+k8G3O8qzazOsFb9P31 KeAZGqU4uLsP+nGas7bMjm+au4qZ2wFI9dhFF3eFDztnbsxH8rG59Q5Tm49dzZEUhk4zH06phk7k /p9pgFdXQ9kTDRbeXgBABgiGm4Par0edFyBwnY29F1+0S7oy9gorja9jhHLuBiWkCu7/s6i2wkIa F9hgQlhSx9m0zPEChwG1WBtQ3Dt7l+5iaVS5R6MzwWj00BaIJ2oTnKrCNozH/SFnIVw67x+hJcv9 qHVn4ls1u6TsR7e/Wkq504sOXB+1L82XkNDWWObxRUpvWEd/618k5dryT5lqtXYEeKZIvyLgoy39 0AXJfR6h/DbLELkSPu5Yo0mfNIPoiy7raYNCkKPNHFkEMASNyF5QPTdjX4mj37PgcsZSzP4dTM0h STW29ovkIXsCu237UHBztIUWS7gC3v8OMVYHkhnL1k7NKfjsrZ90nr/OcKY1U8yuSxG0iBT5OH6S m8wYTaFgah6af2VmF7KzhE8Fh8GbJa5Y1fnGU0jq35R4qGHzVHioM+O4oVFGG8qxP8JJbiR/rTCr C7CG4RMCs4GwNRjfkkEUfVWSBc6G5T+kz8Xrh9gnB6xylwT+Swx5nceRHaBwQYkHI/ZzpOYoNbhn JXoEgoAHa4BEWK3kj0v6e9NBFcJ9pXypfnLhONyj9bw190Fb2IfhWrSRkg0Z5jpt4/CNMFxUhymp 9LOoh29qnCuyk+K6EMx8nhnWrjkdZrNJBzBgSW53lfwRun5cBxsetfPEKd8VnQIPM/r56TtWNjQ3 IbD4TzjTdlxvAJck1IpmL1pVTZaujrhMXqwJazQNJBUWEXUKdBlyLaEeooT2qE4HygHAYZyvV1Jz fCCy5+0L51ynsvfBGy1NkRcvyuqKcliT7s0nwqOM43YSRti5xwjQfcmob2yRCALj4nh7zNHW4ojM OShYSDZB+C0EpzuMxRmhg1JszZXM0HW2G8urX8tyiFtS3i7JUGI2nSVLU9Nd2vMgTcOAE1xKKdzy 6E16hLcHmWzJ3UGVvyMtj7nCwz3VTjVCJKTp93nePJx+EDt0QUj4hgUz2mv0hX2gPf4pDAHintHR aduanv7VHwS1+pTnLg1IVmU0+l6hTUfaXi+KR6r9eI+bGV2Bn0vSNRRk0pii4Nd9aOsc0cqQ8Jz+ X443dQTyS54D4IjTI3Sd21mo1dtqXVhJo1H69Bzrl9rsWsx5zujRSQhWjU/R2T+GSipNCDwe2Im/ bTAeJ/5YDGzKlDPDC8XTVTcIwRHDDFsIBih0HCV8kAiickbMUeO2+5GsyUY1WWvKHhHyFfV6zA9v sO/kXXrH/WrRS/OAc+uQbF7JqEfWe1chC60/bwwomqQ2JhUB43Cw0zlU9Cc6MyaBkpSv3lGrC0fU TcuZsALa9urPZK4ouHxTkrOwNZAl/OchCTdWsv/QfMYUUOty0dAUAA67Zom+7h17iMXb4VXx3meu Y1JihxTTJ6darXK26+memPWcDAL77whnwWav7SQTdrUzcede8VA1TJ7PLrzwjuIHhBI+m+PSJPUG BA1L+9OOEOIONqAE2S6MrAAEMNyGoQJpG7G5kdB5pfjrTFKQroHaBaxHfWcK2AhDcZxE92QV84TR KMHJymSRuT1yyk/ciTSMQDSvAomvU2YRzo21Hge1pQcP5eimoQR4wslYWKm1vPmrQbbLe8Rhm21q IuV/c9zQ4JnjKGz1i68AuxKu3/nixs2z0FQPqMg91H3Hc+hLPzWlVL45jUrAX+LyXUqjfLWNFto1 4zVOfGGCR27XXn/qYeEUNN7kLshu/2t6Z91OILTJkLidNPZe57mXry/AEoORAhjL1/3tdyAtwGmj DbISNO+csOEQoy9piASoj95ul5N+NzOBSSMg4L+LY2KKfKULP6grdNQkjVqHW2bUJ6uvOSS4s9nz ZFesK2TrLVu5JBuGTUNgxey65NNOseFn1Ne+R+eFmkhYmSEjhiDrOtQPeAihoGiNxToWNoJoi0Q9 UzJMwjFRvI1vVcPelWA0CTv72Qx40nQpOH0YYnqLxshHB4ggjgKTn1oNgF92Prq96KE2jqROCH0/ jyGelFFDHTNoGG121plnL9lTz1dN/bpeAY0jPsGeQIvYMe27LQdNyhSUsHogpq/o+LLtCkOBKp4v mn103cHZ4Pyp6T8ryfq5N+JcxHw7NqMiz+8Pkng7SoMCjlyRB2/IOEKHXHNzMGoca3REE1TuaQrM gjaAGPrG3jfZNK9z4wXnJK0Tki68kFQXmzWgpA3bnopx7WEHXEMoezUC0OyWd2IHkarrvdtnZqQT 8Y0Xjf3C5FTajx7ahzl6ZzlBBV0pUBLwNtnsZl79E6b8EFE2DnUdSGaqDAY5pH6Uw2Ktx5jwF+4R jrdSrqiygkOWP1Wcs/Y5NOvwlPhpfAsu/nCFwQE0KOwis8HCucm17h5u41KMKRqdXIYVLPVaZUnW dFQb+qzkrrlKHDfhSlwBPPwRqZQRE6Gk1MZ9rpaBXMXdMy3wt+y7JID34u8+OrKkolLzYRhUt40A AYaPbdn+3xNI/Idj7Nu81CfxQf00LFHFlzkIHhyyrsNP2K5gejTlDF6gq2Vmi2WHrDons/Kq+/i8 VTJp7CJUsk1a9sVfYTjQr5SIvbLJbwNCwpI/sfdRl3+0z3GAm3l5D47WNeQwk8a8mdSA5mBR9+eh c5XWX2Ut2sD3er5btOI1H5O4oVWtOxIUpMl3/zunr1MUAfNG3NBNZPCd6ik90wUXGbljFAlg9NuI AlLTo6fieAeKoCJ6CX4SC1Noa7HBQAsm+z9cb58m5njlYCD5kYIr9mx2STYtEGeo29BXkxvOIMNJ eY1qLq60o/8Tm27gVwBpa+qq7n7h4cbyEMEoxyu+U5KrcCaB+ZG+iTFtAO9Xodhtvi4DrNvzNvee MfRO+b5RyN3ZMFvHm2hmsFeXBDdQribx+SScOTZoYp0gH80P2iZpSkTyhj/lBBhV2afEpaP5eXSu bW/Vpa9sPEbpaVOLICY4xRRXplqnzNJ6Yo/YRYs4xGiCLwb3hOI54/OThubGlnmZPRBER6Osrf+U lE5SIEpCf8gjWq7n+esZTXBlxgECJ0CiuUzhT/l+EmqNDJbQa9wby4HLf6zY5jNKoxycVirICeXD +UGxXyWK7tyJsgX9pWOClqoYLn+08osZ5Uuoifo0agWszzQhxEEo4i/gztZJfi/Q+5T9YcdDhrZQ /Ywd5UJ02W3bFaL3mfjw8nDJzVH0SQmAyYcHIvlL3EKv0vUUx9POOe3kTn4JXxXboRU38HxOkOLe gD6E4Q/0FYZjXBSJpOG8yGx3K6n3OkbhoEEnz1FtJRCfOgBcab1BWDVqzTaLrcItCuBuddRxLkP1 HEiZilYT+Fmo01kn57rto8FyXyzR5Lt4A7Z/RSH97zN5bGqnlTDoG4mFB5yx82nM+7V3QBJF6mGm VXto3l5wj1bydZ2dmjbiA1VCtmBrPUn0MZGxY+ZlmeHMelD75Ol/MCGhNjz8taq71fxTu2YanCMT fgsQlrKJUmNtxMR7jS0R2QYSeTOk1IeCf+JMuEgb2MFmQHr7xAuE9ZQH3hjgsFbbgvgcQcSbeqGg fsHtZU0SGxQdkjaNez+2ZttzmCViSDGexQE7CGFsMs0/2FD9XCcXeOTWjk6vPxe6UWShaoOJ6p7o FoySuGx/nl5pwfUYFZx7cZk028yXeU/7ItaXpYvlP8zpuZ74qB9OrRlpP4Lc3RGu8DQzxbBRwdqg 5fbfmOfhsvMnKpqhbVd1FgwGx3nVzNd0tGPv9dPmeZQG7v5PbLF//ANksoj/6JqKeOgrLkYhs9Mq uUUbgJjwPETljklJSrD7MORVv9+CPD3X5+/how5DOSbm/RdG5gMyNj9SCJxVICDQjRvpeaNvdFAx yLwZTeP8bktiYTs9rweXoqXUyB/e0pe9mZdGZDms9R2sxxXrh7U3vmH42c25x0E/rW04gxfuNba/ 3IEuBZr9YI/1ifv+jZZjbDnyMNQUyjk67607v0KAya4KcpDXPyuRKFFC35PpDC5HGYBAp8X4PvzA y8rp/7vZMod0v0lBUTo4Hf38XoBlOM8xA/E48QX4eOPR2+FFDJnfEGR19sPsA2L38ZiSZNwZciqe Bvsm2ZFtiaHW6s3MER+04B/DFhP+1QejQt2c7jh9grfmEDQxTwQY5+NgxWt6TXodxybas4GhNVdZ f/JA+0W3sDdD9nzcB/1xjkrtx9VQMTjZNN5c3vkl+z6TzZGUCMdeLpDi9SN/51ZhfReOgeXTCa3E hubSRF/hiFHCMYKACiklzVrkhPe9kQYGLBYqgTFe3RvxZ8Zr/tJhs9N5J8S3Zs72eL3H6yKmbETN l3g2V5wMS6FOm4Rqrzu/JcNE7EhRlVHKLFIRxW6HL3FHl3my1pKmEqasGV/Sbnow4uMqkfq1tA1I 2dWmIfUcWatM9c3I+6abLrm5uaOB/3O1eUQ8SUWf8zHayf5FSCdUSeaD0G4J5PiniLQbs7s/ccLa GJi05e54PjBYEKNozCWZPGlBhwR8+oKQHqEClSZUjiYtIRUCJO8PIKpCEC0vZP9+Aanx1rW7gBEr YXYmB8w8uM9Amw8QLMEgRe6xxNfGyVXIgPr57uP88T34ehu2FjeqngSRAnOrmFq3wvLbwjnxTf0F vCXz76J1opGfvMYp1WYO4ccxzSgqdVnSQzNp1i/wO5XDFWVqN+bwRlQC4eKoWJsI/69nzqVJNBpU 9M1lEE0aG0eJUAcqM2GAKzPG6CM/6NAk3/u0jGywuECacFs8jrNTqDcULfyrGkUMxLrogxSPw3WB pJoAybkSFUX5EAg/YwJE0OC4l1ZEIfaj+cU6uOKnrrL3KKcaHcyxfpg3rBW51kde9DLNRnY4MD+p rNjwqY/zj3tQ8zEMnhH719OQYqJut11SPMguptEc2qmw7wMmjHnEatXhXTxhAK12rMr8Yay9tMk5 bmAxSEACUcEIvzLl5srSlZJVMCmv5jvmc+C/LvuAOkkdfpg9y/LF8Z0jYSU5A/FQARJAas+yL0LQ +qm5r0IFCZI/yAppzLW5dbn0y/n0v1rgnBA6ZfauAoFdZfMVtm5NzSOwPMG91EemHnJ53TPjl8Un dmOVkfRj1AhIJUZ/gNrNOk764HsJS0y9LB5b7eKkNDIYoEjC6MAaIbBPkzKOEATe6JvT3iJVfR1y pu11pYWj5Mq8UzZIlF1V1/JLlnM79swBRSPvIo+8tScGR8Z1AOYXh3rC1yTf5RDLNw5+Vta8dEkD BZg5WZlW+mHc6ITv5jBjFR0/0fhnxknzNlhtm+XFXpcygb0qBoaydZRGGtGRyHiOnwa1nZL0E3n3 FelQutibzH+zC3/yRGeRv6Kfx3HpGppkRNzn3Tkbl3X+WaiF45PLbUIVbnYRmDl3wsFtem75rCmP Jatk0d2XUeH+opCj9t3j23W623d6OTYTIRKGrlj0PbfIEJGfAHl9LBSnV6N/DV1YzEYOuxiW+ZhR iAqpFTA1eB8U5rYPr/x2WQR/j3x4iOWpaSxVBWt/FOryWLpmfv/oeXguxX9IZipDAu51Hun1nwud t/wE9eJwu2N06QPuE3BvC1vhbqKu+6rb2hl0F8XIae0bv4a0OTpT1Q6Nv/tB7tVIsZV+5wQ4kiML ScpUFLiJMwFttMp//QefN1QnW/SdllqxRaB6w91WKBX7YkIOkmBJ1gNGxcsaMQ1MTmmeONqHkBnk 71SiCoI+WtxWlCpe4fLGxLe0RualQxFwn+eDXganHpPZkWL4jZJydUjF5gEAiJ1iVlqLDZew57wr /fnk327Kn39Qlznw52csbkqUvE1/yyOdp/Ehit452vWATNhXmv85Fxx0L/c6KK3a8QHmo/BgIvzs mxF1mMHjWzyd2XaiqZSOhbrtPTR2XvG0qAUYCWp7oEvckrbEjU4Rz77ADCX9Bx1J1U7amS56QAzw 71IoE7AYQo+eB6XkYNnMYPmgl2bVlhNxB3W0r9TlWGIa1EztbGoEbRXAUNmWuIBrB3CK3IeORlpE Le/QvYJlcN0dbQoueIYEADbcMq/cRT3i8SZ2lUgmBCJetwM9a7JCB9k4Vkv63MJBkvW/OYb0VqVZ 6hvIZR4qYYfjsRf3WapwXtbrOqDmjF6wJ6jHuRDergovvImkx3on/YH3FGlo5PrlJJABBLVqlhiL tDbKbpPDx6w1cNfYbJ96nXJT84D2CF4XAtnLTUPggwwqJmXGAtGZfS4v2TLodUQOsudwa670hQA1 v61yxieJAbMbYQndF0sr0P3/AJ64ygakk/kGuzJUMXO2Iop99fi7TWYe9Q5HjKlXr/V3xu7/GqJe IdDcVt1/e4LndcnRw8o/iI4auMRaJuzrM/XoNvdyXWDU28okRc3xS830qM9Tty3e6Yuuqp6Hr4z0 PkCAAjPBxz/ELc3nDsLv4YvYyfMj3pfcR6e5/gF5YxeKA4MpLu+cQnY29ZjAN8jy3TifyNIREWVu 0hvdC4tea6vhHOUtu15uCMaoA/DRN7gkMYWet+IrNtOom+qwB7jsxHxWUz8VvrH6Vj1aw4o2lWWa 0mePHEkTublY/f8Pn8kseuTQEEAjRe7Fxlwv1QvNDmVqEUyxG9cweR032jPmNMBuaWYYcd4tL8Nf 0cy5yz4QiiSVvzqfPuLhr8yswKsSKCHZAw34K3kHck4gg1lPA2gwcjQmoA6u3MmtuUyfwlT6gcnW bBX9sh38u1/IIqjBzk31MmJa78ibuatUZfLDW9I43Te9mdmUEd1WESFaLD55f5vMLSj2VxRmunPZ eP2KGuWtoyeJHjSHcLb4+RQOofFI8kKcPe+NwH7Yrf36AfTPyecDCWWrAJaMLAIjYi1T4JibA6Je wKAMiYzm4W3DtCSqaRlKtcgmTC/BchQcA7EJ6TVNXkTSXTsI4rVko+HCOTmtnig9wQrMGwKd+WC7 S/Qzr3voHqRtcAu1Ee9AtziTBSKYlh5pUeXpB+cViEluUPmEXLI9znON37JTu6E3eesCyZdLpB1p ketOGNLePK+X7qrHf2H2lktj4qvcS887Rl1GBXIQW44oH1Uqq7B+9eQy0t3O0UzQ/lLvuAlKSaUI kSdozyKiTt0hj+eq073FTvxl5GzfvXbrRPrNB3kSarBgVmIbxqo+XG/AU44PsGvBpUqQR6WGFIXS XuakzZ2XEQpP9MYR9uKhuvquJj8uMiozW8Xyd1NfKqBT/UtRqtXuqytHln8F5iqIjkoALlezwymD mHmXENteFZZc8efJb2FRfibFO4f8TnXtmH/tLsmcm7ze5UVbejxlaOpVqUOpMsyM0irbxUFmEk9m IN9JS+MNBFtjjgk3nbHzi2AO0YSDxvX7pyYxmbToBj/RMaQ/bVSWVt23ufAlG7EM9z8m/aj1uC5p emgqJOLuOTfEs5A/xEkFeZ87U6Qc+6DLlDKDZXmqAZbgmfKQr3Upo63bSon665Sye/FTYSg1fWvG sZvCK3WCUT8GQK4bSV9WywwV5e2YF6tvX3whMKcV3t+iQG8fljekhRtUKE6oF6Ot574cHbG2WnoB S+XpGLOv4qXLUrXTSm6NR6U9/+/B2Yl5sJz8ZRVmBIyXFJ0+kWFqycfgZca7CCYN3ehZef4rRR1Z x5/vYe2AlDhn9alji8t9Nrgxk+pC+UhehEiwTDDeSs9Gp2VrF7hE9eA9JBUNd/S9iu4wTSXOCib6 13pW/WPMHYTR4VbXe91XRzr4zNUv4uckhRlqTgwX18GSBAHgEFQo0BEMI5hMWjjjlqR6r45keJne iUTc5jaKvm3UiTLoP9P4yJccxMSGL42gs7DWRCRgrpSyH/NxKYzhK9nCmVlBrb3TAq56DAiuE+N8 m3xmcFwMO/rRp95o7Qn3QbVKZiv2MPyqqNCTZmrqJZNC/nQSmeSgsVWHAZXpQHLqA35pGlYbrE8q msk2DYUJckxeHPhZ2kbam2O9xKusfAwh79iB1IrlWbF8+KaZjr4U89UyjKV6uUYytk/cPbj6JHIJ tEMyfBNCzQljph6xlSUklSFw+63dP/f3YdNIwegU5i7fU3x8Y7GV9kWYdal6a14Ix/V1VvxU3i36 34MjK7w/J6QhobYom0D3Xs7+umrDVJ0PQnZdDgqllTmbUR7sGXXNiJTSMSK5pAAf0idApFQ2eZbs Nv6ttHqAAMaP9pQykHIOrZONkwzPAe0EXfw8fo30vqxYe13FiJ2eJHk2W891ydZIzBci9Q2yhCpq DkQit/iVFEsupVj0302qmacdYM/kNVwjV3T6LOvp5ALGuAgXHGRIdL2q/VezIZ/lbfG27Zpo66+n EuLRBCMsL6Fov9C9t0RMFmlIf0/6zD3Zfyc3KXNKxTgm2j2uVa+BMQe20FQZ/XNsSLWbWMgu8wro vqZXP0ylT6Fz95w9Vm+NmspWingXElruk3PEGCIR71Oe9HoKthf5XWq5967tEV+RD1alp8Pns9KB SCQqzMPeOEdmcHq1Wcmu8w4juPN/Dxc/vn8risqbVJPKonVGXY0cRxkBquo+bcdtD48GLKHiTl4N mWZ6gWpwmDaRepgzWritvlQ10wZfcYu6Huw1pmEaTn8m0ZFWrUUITo4DVpWpiDW+SesiOO+kY6rn eRb9fZK7SajyMsjVeyUMqOB1fAtwMWVzoSyRv6MwOXzAyxnhEm9S7d6oFSvg8CutZcIwD9vE+1Pv qNM4W9v83V32rsnjuIiBL4YEsov+++3mixjOUHSQatVNdvlvDdHVbZWqMibKwQHJxGLLArqtt8Yv C8YvxxjLpnhZ/RI4471bSt77LpBDB+16qK/C1RE7Lpschk9kDhdjrFwkqqpH+7D8gMj7rrR6dQWG bioVnP7yRF5fskkLJ3ARoziPupW/2JeF9xWPX8QUDnjaG87xC5M0stkxPzxWb4u9Zwl5sOvcWGzD 0mQh5R3htt0GWu1uIAG3q8MXnw2mSAuPAEd9ub0SNHd0+QFRrC5pDVsfjtfGQqMb9ClaCgDjqpTq z4WnJYC0KVvCuPqwBEufOwFWhjXG+noAcgtZHhEXOI+f1MldiynFVPRSq1VZTGxuByozLs8wEFSt cfnbM7O8dqZzlQt241M5hkKdoDFZO2h7u9DgO4X04emyd1l49r9h5BwtXC5aAYzL5rTc4VsxC/8M nSVH0hFwtI45SZ9OetEtKIMbm1ec2ee9AdJuNZxFgtIkw90NW6lk0bc62kHU9+yrYFeplSApYfSr Vy1dJXQR6c/8LF/YEZer7U/GNOSMfjdbjmg0fHxW3azkkASXGeL3taqDAheAzkta2xN+RrTjS7CR Uu2WKXtg2j2lfKmm0rbwX80uRont69bim60ro/3TwKlu7TClZBcIYBdYRtfs/jCq9V3eKiDAESqm CPa/gqN9h4wOuanTZIH7XqiEB8ddTNJFoNRsB+2x7fbmgSVFtxqBNLAhSlhRLZiD1Afkj42ARTXw mFrw+ed2QmJlCkinwWBtc7ikK9baIm0rmQUivTXWvy6w1BLColMhOfvOKE36QZfUgZH2GxBmwMLj Nz+PvW9CAPIwBTbtEb+cE9ShOrlaAkoelo6o861S4UOmgqwLfnsZohTAUpGaPPOu6cvtIAOi/s1X znATiEJo7DoC5E9Iiz9BzfIlroRMVH+KB1GwXn3ir9XYYTyiYOB4rqk5pxKNB24q/4Ouhs7FbJx2 JUxb7loN8zPnHSZvJ3MbC6xWLLmR1fE9AFNke6lTT/2PQCIbkldo5jSOJAQODBPFsxE5ZosJU+Y2 aFcy8MRJyuqrbpGwPiG8uiItC+1DwxdHDdyUDewOt5fl6M58VrZrzCn7xo5oweWmYlM+vjE/NGUK suLf/E6cDB+5tQ5cDl00bNSvJzKp1k9AMgmUAil/K92EzVAs6eSoIjOztPGRxPxKs2dSgB+09bFG blJjzljRzkkx4nuyhWm5aKzc8/pt4oG0lg7q4WxpHtdquDbeTrUhHytwpvISwMK9R8aABizmkVB/ 8tzQ+xkKDBLBjErlnTug8wkzj4GmSr7LrtQA75AFpjDho3ANxkhZjGyvZNS8qPcrUXDreNqr1pTA MyOJVV4UI1dyAqtqUzoTWXoLaSB8ATrUMUb5PdlWl1xD+g0ZJBLgmdRj6kTbNrIz4VZArWnirKka GTlYn4KneWpAvG5bez4Pvwec49mhrRutgGZu7l/jfDZXr1dcemnDncCKriAC1m8F3Zn6W040X2HM YMVi3F1v/QrUHerMAz0qHyNEUdbJUClD3rQlI4ukWqpxtSS5IEEOmVZaVydkwELcIFRU4kMl4mqp ZJ8WgREMt1/Ryb7eLOKFQ7Lu4UCcIh0x98Ql/l5aWTennlOduxW/UrK/xDFN28MOoeHtt4mxSZh5 akM55AZz6l4R5ZReObSHE04mI45ff1a7aQwHo8mZ1jhpEYESKu1usWE99AbsvJl/7ak3BLFRLiO6 3LC+31xs6BiWfsJtnIaOOqAOMl/QYkUcslKxVsad8pg2X3gnEXdGwb1lxeAd5/eX9JOVhA8WDdyT pcAVR02tyW5UN0ScqRFSusUtB4fj6JT0xB+dl4As4+j3NIdRbxMYw1QNfEHVRZZK3G0RZxzlrry+ 5XpQxAiKOcT1nVxG0TLNCbAq4GxbVgaf1Bha/qpagw92VaJELAKH1xMIXQqRzWC1Tll2GH/yvaOw DT6SKJHR18RKNt/2B47cSIHTRLFR3QGWMYYWv0bpsOShDWRYz1dmAzxZhUG2xB1ht8vM1eyAY4SS e48F0C1L+G6GrzYPcgs+Kg+lU4ihFf1PZEswpdOdlHwXT3+hQ+52QbhQe+hImPKcdbvgY3J/50Sh ANFPuzA+UMPcsYDruWScgUyzjMnA5yH+L2iFkbVm9WDAfGCMqW0HMCA9QxgJ9n/lh23JdbkhCE7p vI2n8S4KgeaBM0Ojg0Co1/DPd+Muk7Ksy9sJ1QRTaqAJpt5K8twNlQMDIGndJ9UOgTLHz2PtQz7B Kjnzef+swbH9vJdVCVPx2oCIyv0rZddL4N8O8sLf2EG3iV7UTGKn+xCCN6WNf2P8KXzvlFkGh+2K uuKMrEmNKLh8AGrI9r7EUjuPRB4quqSsk+j2yEGBySLUWiei7IdfhOZVEBU4T4VKs8hO7of/LLHF KA9QQEUMKg7ag6q8lnYQIUSdS+vBX79zhuppKew2hX1GfZf7fTFulx7wUiU3q7ns4YvOejqDEs3/ SHg7kQVKE6ZPW+3QqdAf0KprWUw5aa3vJ2rflhEHOnZF+5YYRiAOAW2+wllg6Kdn6i+yGQ/dzoMS L6O1AO1baNev4SIpISRvMQQBO0axwaX7SbEq4gpLzg/N2jbUTxDI5FYFfLjcFFuEO+qDu/OnzZ6i U3PvNiGCPn7s4Q++5bATHg7wiAXfcGrOjYZ4BpvV/BvRKYspHQd09bOZO1rYhNGMKhWD+Hir7Cup wZp21kEfhJ7mk7Z7EZmkyqP+FGA6043y1NWCPf8A/cATWE33fWPJN96O7ctcHM3yT2tvsEmLOkKY 4/Xb0G978YXMMLimjLdBUJ8YOBEa5EYSTbjtjhK91NKGhjbHl91Onr2aCYcLE+DW9P3i5h6NvB1J EbwZAf/LA41YT25LYvdN4QhgsRyweIT/71sRlTZBTL7iDcXyYfGe0dRc1GKavgWIzbWTmXx2HqFQ RL9ociLoXWk3pnWLjJmWCZqNaPwRqAcMpToUSEL0VJ03cepIJ1PPrgMFTdFlLjDsgDt55lWEOIjZ nR0U8XreouB3wec/21/BRNg8kwPEjk2HuWS9huWUn+t3YhVZyJB4oqaUdMVnK2VyQCiqQgirl+/S uMbe1Q1FaL/vJXhZTOB6jWCnf45RNTc5qS/s+4Lk5UKYLek4iBpex97FpEXa3p//p3JsL2OkI9hy IfyeKyFB38MkHR5fDPDlIRgWPxp435i1wPaLcKZ/npLM8qe60gRf1vIck43WxKiP4doqLon3NqWE K6YSh7HEbRJFpmXBGW/3J2KT7idAqOTEXjS7+QxtwaO/efUAk202AN9rsO78B8g2BifWAt/vXsJ9 qIa4NMUH2mkbIJWoiqShbcBMowX5ealf3imjSWl32jJxP8g3SGWlMuIou5T5dcI17NRFTp8bx6kK 12sFQKe8e698G0URTT0jdONjjDpyM2iun5e0MK5NkFrmPlq3Z9PcP4YNA1L/Za3KGuc7t9Hpvpxw BbUGf/rJ/s+HYGSSFGYLtTuinHoyWKGT6EDbdLcnDGYGRRseA2THgeNrbY6WWj9oTGkAHfIbLAvs 7OxMGsMD2oiqGVWWjujnUxW7J+E6Pnu4Lg9wWj/y03a/mvaoSexi0lwgxA8ZWjCxS0Xs4mUp0UqR FD5T1v2es+XXhodBnnAW2eOJDQ2upvd384qr+Gba7AzVpfa3nanK1/7wDrjaQcAIy1gC3DuI573S IsAuR4AzdeWfjau81ai3EbRBLBRs3HWEvcctlu/V+T6zwaTbT7w2SATfnIslzEVFXNFxlwCDWE3I UF3c0+5NgiIsmmzkbR8z+eKRyByDE0yE23JMPLA24lrkoa3eEoa2sIr70gFDC4iQ738XYYQqK/R6 AyKSmjo/vIUb5zCLfBEdb5TFJ8owJ516lyLZZgyyriHoQGqeZaUkAfoZ1rSHLsbJTKgUAqByIlWf 7pIWXkzsHs4wl036SvaesqQjwhTfZKEVS25ONrbcnf37T/0FapolR1xwllP6C5dbTDYRBehbqoXl +5AQAz5idZzFc98sUQSfzX3uJvGkmN+7l8l3m1O986NbLiWA9F5oCJCLyLnBsXMi1TFJMva74hnn Bhx2XSqRwikYbZK2iVL6suHxvyy1LL26ESL1Oh3JoQaQCVyI6SF9LcToyhO7eszytrXTY+SuM50Z UyilimUKCEZNqL0H2K8KQBbkH6nQuNf/haGzjIQPmam60gX/EuVEg8G5LopDLI2vQWhW7zITmdAC Ow49+tZUNG8smXsXG9WKHHKu65JoPfPoKazLr5XCky5YwuJmAZ0pJ8JqHAZDXMBwihBWVD8S/DSW +cZpwQKVWQOf+NwrG9jGbePmbA9vUNSIZUyxPCoGdKWiILVb7T6WVrAD8kWHs5cBuYlssJ7Z3d3Y gKDzsxNbGbIYWZg1ZuyjCkeypSUN8LSP6yYt4SLmOpaZVJqTMyj5GolkUfG7WE4H9WAY9kwsx4ha DZmvqQbP1WjmfioTsxbShq6w+Sz/WLOqc/KfTkyDdRcOHfFrmLi/N/zt/0OwQq1Daq4mWJjkzHUd GufbUQnvM0shnxm8rzzwhXWWTAUdI2niRm7MAgjavUVkpFAb24V7sdExgzPaUZbqtfBHyrJRGRds hhXtICI2QN5QmLlnjWwUqZBS0yzHY81CFGvpXlcCeVifO6jEiGHaUvV8S3Kv7xEZ7En7mR1iI5wQ bX/fb4TT98lrOG10alxzxF9AHJslaRjpN153JnP1i7EnkS/NK0Cfl8N0MEg7z0X6kQSjJL97a9bL FFzxOSwEuXe/tllMxLWFFW2x+UTWMpRc28vLb/NQQz8j2WYzc2WsT+aXeX+6tj8cteg4pdsxVFwO Rbu/dfdYYDsQ9SalwrHGPSi/pEwfPQI0LS9GYA4O53QiVzFIdjVQeS99NSLYfOFVZakNzm7qditl HmXZbWIX+xtvh33q07XEmoDt0K4jZEj2PXJHMUqOm2ujOnvTwRAqkyKJR9hiylcfaW6i3XPkK4uk qUn9vJv6vAiXYDgLOJwhHj8KGjuDFUXZ9WaO+Mizijk1q9lQQ0oj7agjzJpzLoMjRKcpUMdkWBN4 Z2BiQdLRGlNP9a8ywPV+8sOFZQvBsORDbRHbnrGeDX7V0Z3LTYj/0Q2HcWvkiODtd86DbBtO2bb8 UcVsk0mKdub35Bu8rQaCQKl2cpobpVgFngvAFfcJir6CHZAup6V8mC1O2o7Iy/SzaMDIGUo7mbMb hPFuoCGmueJkMtmel0IY0pM89Uhts42diZhatR3+HSnRnp9KffpwHcfFMhbSiHv2CbUJ+qoIlk/g Hut4Ys2NMtEIlPQqhIsL7ZSnJDoHv75rrL3Nq429/ha9a/BjHRNmGBvrXlcz0PDc4/w7GYbMVlII WHyvEj2XbCMKN+p1KKsHyr+VwMgpiDAvDlRQj0oWuZwYAK4AjZ+QndraQ/g6E/2XhmNJKcUpb9Ib XabVh1ta37CT5qXwCzKvftSLktnDvfUW5KYSy5KMYCjtzA94ldbB0JAyDE6jytc39OMBEaOOOX1j ZT5GFN5BcKaF3bgK1hS1numkK+hrpKahqlQTB7wKF0pN/Bak8EG5V80U80j4UxIL3c3a7XzOXiTW mBr0WGHN8UgLt0q/eIpZ6OAbJfO2IjuS8vNAL2UyIrSmO5r8PXFXC/pyXWMV9kO3qJrGNLMNh1O6 0XhT+ur6hjkGAlYWSCN/cP/PlOMRMj/KPjaggSdCg3Xynn6DF4/khWGMtzJknISp7QZpT77HYTv8 Q9oFYqWsqLoqicmsZGy3e5qolo9kOsqjT1QFYBq1cSQocYplm4xfPedll6ZELnlnT5czR9ngjZQx epenG4Am3XuUnhiomws947dJZ6Gb/Wfg3XGwUFL1RCWNScjIDIg4ajv8wcy0Xzp42Y4LuzjjwgHu ISdmg3CudARDrwrHxKpIfmneYpkBmniSbri8XL7qTh0uQzESwowKWuzCoE30jBX7prRCoyoFCgCi qAUU17WQWEZcyt7C7ioub/mTc5GvGsYFc6KqhRZbn6q1VfAh7CDf/HCzktWZy7KI2ptCHdICrj0M EN4SaEG7MYJbsNd2cuU+/2F1OvOJ8SBwUt2mTMPEQLfW5Zq6u/d3YTq0n3pJERY1WmeYOibJfXR5 ysDQqIb/cZFcNVJ3wZiiMiYTFmf4kRXNU+5kDGeq7BZBk9UaOgC0O4ukEIePSVLk/UwiAQa4gInV 1Sua/ADwpUWB1xc8tSnXxzeGT9QrKMSoIaoyjKYzDW1Ijcbtg9K4OmUfu8ReTw2I6/LDTXB5f06p lTB12dL8qcJFOee/WsjU/4t9oW5tS02auJirD1/Z7i1lYO6zYY8axP5769c7YiYuitD200KTcP5t fYQg6LxHrPgXW7qkidjFcBD/MBEUynKM1T5oeIZF3GmhMEukSWjLnDo+lXTOWZFvueNHc167yu4j ZtVTaTHSDp3lfb1Tjiu+2RVm5fZlnFcuBULFAawyHDydCUQvXpHmV5sYbYe1+N5pwXv7E8uFE13B g5B2TU0sCq4heZuJmmZvgGuHwIMHcCHuHfhAcU1awkEk3XPGiTZaqaSQqfohrJ05g//AhfcDMkph U+CO8lCNFkE/mA2llowAMSg+Zn5/DAbTVwm0onqepAshZIMe05YG5LXIueRj3thihxPlAu6wieOx 8OLZTtWWF/gmfPQEO8ckaPPgRejDyyUY048YDKiCt+Bt/FybPw4b+m7ixqoplcjYNPQc9rAdQTbV gmPr+S5XHf4HffBids/de31zTfHgl94mFNhlT9exiSSZHDL7U5XbMspe2eyGOdGGQaa+1XNocq9E /sWPakwJyc9DwZGFMaS4XiygVSa9aUotFhyJNuyv1gaO5Gu5zw5Sj5J+7Xdbu58GyoIReeXiutnM yQk+B7XGQ5JfekHwU3pW5ZkxP+YCosLnVePNXcXIAmwGPbNaHTemeF0buMRPBDxwxrFt1ll77OOP BkRRGgzsEorppkBUdn8/E0NQm4gPnZagFPxsqMdnbjiQ/zO18Aqaqgw0P/A++0vRlPhb3N4O5Rmj kn/wufZPWrv7E4vqBwkh9BUIRAPsMNrisRXt6eK0j11o2m9uRcMCN8mwqdg+fTOVmHrvlz7+wfmX gdyh8vtPJpyjk14FSiWGoiYOlY2i8/4AmqGLchhSvMHCyPwyrVgCX23ROqFb4uKwICKf52p3Ll1N eOWD4JKoMLOA7w++rp4y4RJ8YQr84T+T5AtBGZkGyi9jLDJDf34CKc3G1UDernbYOcNqTScwOQs1 l0i5LyQOK58MPdCNijguVyBqm4HArwk/1ts0OKTzPdiZ8c3iDQfHLJRATXmLomj2glMiTTk5AHPu uJ1tTnZsN8NAB8ICUa5fbrEYLAwb/kKyeIks13WnlAIGMdHSHY6Bm7Qbk8ZQBM90B/hPLKEgMucn O1EWlqhWunoDqI6dz+qQXvteHXvCIpg/7T1qpPYpSn0qAOnRMgBZY6neiyXdshqwQZitfViycpiV CEEx7PuLxqj/S4wim2evW3LvCKRGbomIun2Zg9p3GdHjGTtC7dbFOSxbOCzdjydKnxR1Gjn6+yJI I4DGiWl7c4+kLeqHKdIqIzwtZ7Rq0mscrsDvMzHHB2TqGKBSgwp06BvRtYYzREO3aJE9Nu0jzVWZ K6geyekwtvK0jbq9N0b3bneg3kCY4JBuMLsfR7cbZvjr3CcTPclaZWU6kRyFiRuw5JQ3FZyrVmTo QIyeS395+mnpBb9dF+PkJVLPyzLbPKavuQD1GXUhT9Y8QiORKCNtFQxyWUyEBTPb9WrTI2jh4/Z1 rxVxHpuKyaGOH60WaXtH6ZBdEY/7omeJPl2BZ1717sfqCMCsf0qsbW2fyV/OeY0O9ZEpWT1wdYuz VQZZzfcCFE4nUUG4Rp0KBPfEiSp+5ID/8TBnVOXAqww2n7LxAicdoEvPf3RuzgrZaZSHiBKXKDNA I1pki5+KwQLwPVEGxBXLsKGbjkCN5OlZVPwXDbAVoMwrCeNC/nsaLHRYIV/NoFy+lje6Vc4A+Lf0 yp8IqY3jypBOrBn5W2yg65I95KoTFGo6lT08Vda376zizJe15AnYURZMwToV4XoR3WvDzIrkq0Ll WXWyCJw8E/vainK2uoOfgCWqSfsB24pc/odIKqwFiy+AAF/FYBV5z/jFmG5k7Ckod3XW3JGkYYDe SlRL5ZXR55R7i0Z9Ig3EUCyyKuRCeOfiye0b4RmwVmeeyHrnMz/rnC1vER3lHOBkhutxhrzRtgc2 +AwwaCSH822RRrfByFbszI0QZ5CUbiFx1riPZ+OgREL+uiSxtIlcgApevyDvBNQFy7WoTdNhNew9 y3dHnnPJ4igGSSQrpoq0FWra67OiFalrUBd8+CXi8luOCO8LlpHSlp482Ld4NJIoOo+HN9XMVG+d xHlTj1wLXplfuSSfe/nXM8ITLHRQYLhcbQT56jM6wdEV44/sf/wWrfzZcZ5itwIbA/Rni7wRybOh J/5hydXTcObwQC6K+LbhkVfM1TCa7A2CDhx3ZA3t7eLU+P9ITibxHKLpWQsrUY09BCWmfdb/C/ZJ Wmie/2maPsvLLhTrBsx8KhiuR4D7VDLUq1gfkJLS6kQOrMCEddyDYorTWxWnrkr74tD9E3y6RlTb jfJFMpzUQfdBvZ0bfAm6QVbvReptAYLtgQkbFCDbyq1N+38E8sWM0airFjjbpFQFFvA4pIpfxifK c7l8MWnu/3lsGX7dO/fbgoyL1l3OCKnMfuJ6yVSuYP7OYpjlGimILDDk3EvqMNQwOvVS0Onnhh6A 11PQPhv6q+VJ+THkBt1QoBWRK83Wl9OQ2h8ZdeF9QTa/t13fENXCI+ULoDWPSfLZbXrbgANAhmJd kN+8UYpk1AajN5mNUEXI6jnb4d4DXVPKQKHE/LKj3FhZmz8s6mGjhbc4xywiwLWkbMFeoWwEmy46 hL7n0lU1eupPDkAVOdLJokCFmsZkgv+QpQYxFX0xNBoHoXzUbHWJyJZWphzeYvEY6sr4YVeC1eGw /bAsUSJzETYwLRcuIWLpLj+oOpjCbm3/HyfKmAcKDa9hPv75BDpk3cHJ/Gs3ZlSgxxkhjLu/B08L V3jyna2A3H9NtR0xrcw9ZI9HI2gDoCdGIQVU0akrO2CewhJg8/+KLbSGCcrfSbbg0TVT0YbaQoDq nePZutjHAZALIVMVQeLs8xXfK3+D1Tu3a/iwcxcr6Wi5n72grxdFML43tCVDFIECfHcj4R3dKNJo B0qWTIUoa5wwyauZSh7xrx0cSAbFsVLp7OHXF820dr4GIxnXjPcBdK0I1frG5YREcSi9VIRPn07H v98UGlNxS7PEHEaoMxGdpIiRt9u/WqKSuVRvgtVgwcxMX7dht1LcoulpNkuSl8CsdNfEBIaWiemk 4uE0WujPRjFDniqyMJ7WEwUlDfYQUYHsqvTUvpdGM4rI10Qm0QwpvVU6do85jz23wkmuJjRaoJWC hAB+Xi5lx60/3b5H5vF4gXGA8L7jZ1SQ6Xy7j8i93cfkEprFRf34bLiS9NrvXSbOVjaQ4Wvo1kwe BJl6wpg3JfKUHuzcOLtACOmUf0PzUAkPqbJMpk5pva/cnV6+JlwLweG50KFKMyrvxHyyVOiqsh0s XvkP70CBxBVK2fHcE7i53Y93bOOG5kf7sNqTziVFkn6YUeAHr/PVmcBfapyjppdyMIJqDFc9tl5O 8RW4oXd//QIAgQVKvh1jFkQzsTwNjS9uOD8z2FT8Px046GtjR4JPqZQX1v+ONmIqA5UMFNXh0139 sU5l5k5PHpModVpvfoF+nSpVpCqhtPFbMq8zBqykzHH9ttvGB3efkImvlsB7Txn57WdyyK5Ox+Fo wr7fG2693SFKdzpZ6lMhlUQeYD7ABoIiwYYa4DSH354153fxCIb0K552tDUYVpAuvPk3J4SfNxCh WUSlK2AcX29yOevNIJKfUpBeF3rb+EVscYBitCwLeITDkfiXDYlJBSY8Ts4EOrVQ0lduvwfrZ8ut IViPd9Bfv05ZSKtMjNy8X8EobKDmv7bJmwzCf8GtQNlVUQqbkS413i00LqmhgHNLVX5q7MgDDSGr XPPf3eKyBP7lMbSf/q3B+aT3Tv8sqZEWp2gHGhfuIxteO4Yhtcnk0fFm6y8s/M3RpIggHoD22V98 mYTZvGNSl1RXNNPtQ+7uuHgd2UQeLRKsNLSV9l/cKnALBUpCFYMa2KJM98xjxO9kr7KFAa1d0TkD 2VhVp/WZUFke+sdIT9W15rk7qsgHMVZa2UNPi2QcImOBq7K8xAKK+YUy74dlxeKKHN1vhDGzQSAp kr4rqmo7lo7uJ3LJdIK8DTngvBovU6Fl8mC+uQ6/PiPPQzfHylZpUTEqmLf+y7W5etGXbBtoRus2 BCRyyj9TDs8JNV8QPCuRsv4qIwLia2nijsED+97IIZLTVxkbbSn48NaJZm+8tCp+DfoBFfWnqU+A 46Oa4UP9IlFCT5MExKFlQWq0mkKwkBemPFF16rVr9RqrfiBqJshUXCM3XucyOr2T7L0378D7cVfo WeI3IbuQz8rSAw0181kgkQHBawGc9noH+93SHK5awJeu6naX15UZU1BijAOfsrDwqCoXawgm2dCy RRXHIE3dMEvZQRZ6SDoCDprRqfo+W2izFlyvDLSrnrUKkHyFXEHkiSHKkNDm1yqkHCnTf921+9G7 +nXPt1o0K2Egz0HdLZzcwGIWLMccEiO209RIzbAdTMGkUcveNlA1uuOkaAllvJfEXlUpMxB/VXhY AIo+kjwncFHKvB7fsEnvKoJ18QDRkwGL8zjGBjPZjOcJFD8aNHVoyA0FM28PgYIjG3XwJMfFYMfn U+Oui/3ql9T76aMgoAE2S24gyMVWn3ccq6sjv/7m2QPq/CGEmg5Q3gjj/NIeC9Jmb5A1lBC3XfSy t5gz7EIpwkMdKb9XN5u+ChR3ARDih5ugei7dPyurGKb91pNrRTJ2npXh4W0KyMgqrJ7Y19KWf5m0 q33b7HebmXbueFBWjt2uonA8eemU8F8MVEtuwD6UboWzFucWXoQ7opIwRJFkYV/QCJ07M/mEmBGg hQnA9n65+uzD4wJDWKGVOxjE4hlx1aYeCAl/1oIUX2ao8dDkFfp+HEqSDd2Yad/AIo9S6g6055oq 0XB33ApHaaGnNqpGRIc1eovn2a7lDcyHupZBzcUzdBMDITyO5Vr7S2Heqpe19imisdWXXwaYaQLQ mTAf+VpUba84X3DnmvWurif1UIzxSDQiVnk65JZYWNboLbGFfaRi8pwd8oXcmPBA4Nk5ISWLud/7 PI3n97uHLiAXH73p9C60bOqU/cOq3Z3bgdyAkyWa5TzSqSO01pyhxuF84iqsIbR06HcQogNoj+Ef CqO+rq7rn/TwKtTe8Q9k4flhzJ7DvRV2CdK7OK8eJiC2dNwFOda8xjgYkafTqxqbb3gctMVgnEh2 tWqxREWjnS0ZsB4uYbH3wsuJDNyPFsEma8stMXeAveskHjjZ9Olc5JZUPKa7Z1NifOb1dG4k7K1H 9IREgOAhUykbbSL1syV1U+SCYc4Rylafgpif4hqxQ3ey3SDXlyyP4YgMLsGe9TrCbS2u1jsl1WJG XC8yvIW/KYp6VvEZrNsS5wx484ZyI1XjHUIeXzR03/r2FkmHWPFnA0LogwGGXxgj0O3+XAIGPhED Zt40hYi3xpmqEPlf7ACH3kmlD3TjWbRT0ks3Y4aIkCJlm77Su2eaQ5rsrA4V+0r8RCkoDqNeFypq qt/oLcZSG5SFHXt7G9OaAGNZPpBdMZCeMfWamJd1449rKMazbcBqsSBKY+zuygHf/LTE0/3osMeW oJssvgUmbQCGfP6bWP7zKvhdQCsVnM9kMvBN6TPhie9AAnk0J6w9l6qcA4ebMIXc3CGHIbLkPu2o 3eIa84aXucTx1IWppqLSBo3ZXpNMZrIlPw3TgRAOutKNUNoC1lfLUvwEwBuQ7dzsX+GSMva1zwDl uBx243ZMow7wKAKiaoNuM+teSUNB09vP2oV1n11ySq5jkrpu6zTVvpiZLIBAya/DDWF6ZZOE0UPj gY6qtFlj3I/hXS/fFEi6q8l+nfJb0w/TaHNRSH0eYlFsf7Kl4hzCaM7nzHp8T2ZpIyjtI7AzgjGc vGySUlBCGAgV4HA5oJykBMqILt2m0HvyXeO4RCyt6HOpqeqpsuG5sDViD8CWWy4/EIdJYdAiZeek /Bezf/nR24Xh/BpdXATnUQ3tHe+fb0PMk+EF5AAsvzasq/OKzGo/YW/VjE1tav7kQcrRbpL5oVbx uOjqqW9lhvtj7/FtzjUALr7KKuJKtFuAwPaAUN98fYXwMWYVypvPCtVpMPy1kD86L73+jQ4VI12o YC/Zct3Ot+d5o6HiZ1azXDM//wLTKD1ujq8XaCw7f+sx5dP/OnQoDMMgdCrRlm4hwVRsAq3pESqB kDcYETrR3SnMnjz5+qTlNBu5NheP5f0DRNy2V4fGMgXwMLnZ3TZy79Rg2dNrUFpMmTL72YwXnIUK 78Z5OFAl6R6iUYnu5D7jd37zDA05b1OPIibz1sBC9gZMW1k8TOmxOwpD9oW77eEMRRJ2IpGZZu2X TBz1Saga2NBqJcJdDfSurcokXZ0QIt0yWF6q/ycKfZZCEMUzaHIa8RDpzlmpDTY2xnB7jRwOcq70 J2mkHWPjf8tc8/Bll5kRHPF7PtuCnAUTOrHGWHC9iRJFLKSB74MLABTxprxkQnZjLAF3Ji9HX7jQ +l1gVXmBhBZcU34IIrHSL65OdWu/gniSE+kyNRICzvgvb8sILEukkOiKDyw+ZW1xHTssajziYS0c C4D4p52N3X57cSAIveuu/Zu6iZm5eYiuDfWijCLRcm37xvDZBHH0tecIi5qENutBiI4Nm8C2g7vD +wKVU8Wf41rtNf5p5RcXKbOWaKdyy+/xFMhhd5oR6eFdM4f+RkB4RDlYPckNAF/z4DKoRlN0urpD 6bfs2tRBbHRsJ7AJqeDxR1epiR6NlAmQx5q2tMr8k5hzjrLj0EZ6WTYaC/82YObNxydvVJaXVkG4 93s3Hur/7sCTEMTVL9TiaOubiqdWkNTzb6m8w5Unyjml5gi9/egFolxvk2TO83D7Ee8rk8W9Ult3 4c4PSBh5u7g/fZeFzu42zU1Vrl+oIFgY0CqUkaM1QI1AgyjJLC8ts0AiD+T4JM9YGhYBtN1FGNkd 4SK1oI51x2ek99SevzRvtfXskK6yiyh4zN5dNScdEZi+FmiE9wWjwyxqL3Tsl32xzguLbXhuqGiI jF3WPFxhNE2DUSu9hYhwPsrlEAnU1R07A8X0hLXXOUQY5AI734aIlvVokRZP5iyCRttGTIibs2Kc aQFo+0aiu2YSox5mrVMAKK0fQH7zPITKnkYmRoY3xq0JzrnH4Kv8mutw57YtrmvrEuQ7JlPNid7h QcKlFXqYz55dc+5eEr6+gIEV0iS/4bK4RKsrrMKI4pHxq4etC/bLnkYAKC1vhQOEGAceB+HyEE9a wBCnB34DvnGHD2Lhd0wIcc/qdjoew4hnSYO+r2xKPgPqFAbcgmH/4NqsYpD6RB94lv5RUXx/E6ib pfxYXL8VAjFD9P7gFXAu385ufbmFEHIwni6YF7PpXmSfNfVBjoFymda4HIuEptls/h8uHPXFKNrk 9sWOq3poJ7Q44l4esNuFSCCCf4kYAcF1lap8XjpT+dc6VLiOlf/rFGiitvXkx9CzOqinBAJfvK3e ykJKn1CGgyZcm23iTivU3BUOeQ7uhA/+yyBGG3L/IVmNbU0tJ7iJ9pvVypFK+FsYlalsKiHxZNO0 xcsCl9h0NN2LmKqHhndedieskQ5Po3zGLr4JKvywL9+uf3J7r0zt8Hd+NRkB5mkUkDCm/GoBtkSZ sa5jrEU2CMhLNaG0VRyIIkTiEh/dZ3VXwr0+NLhgDbeMy/KBtdrTwHvwLwhAEQNYT1Xa/PBCnmlA ln848IQSkfeiDo99o6qEofLENBaZ/s3F7WyaxaTK3jKR2QA/GDvPtH5j/o8b90WcDGDq0p+QORbM FzlB4wj6lFYJO/Sqhdw3RkBkeD2fd4PGiv68MOFZULi1A7OuUabA9glBJ3zT8PskyXk2aaBb6ikX 16VLqs+RbtQsVc7V+Cfs9fzC7AwuY8a1nX1iU80zb1QheQkBpV/58GnayQrUTtYFOdaRo8/AzLg1 ZS0+XTNcbRIsy5HNeeTi9Hn8J0TDOAdgKrpc4hTFQvvaBsq0bpPWB+f23B59OclbY+5ZqajwN6gR BjcD7LPGXioi1fxHviha6DHtVuI9/K96LJ/84xE8U5ePj51+vlGWFoHqzy6xIFb7MJa7ZhwzZjRM ndrNW2ED7B1oEk42xsApsGMmU3vN8lTiSSHfjBzzfw+MnJyvkOndDDRpFR51Wf1fBOyk2a+SP3S9 QCkBTG6Wg23gG0/zpw9yoH7VgkcUGfkU4ZfIOL35i3YqkU2ZrL4WG1XDQXza9BynAwWqroYpPkPA HWn+g+36PFG+mhOLNyQboyhGYVtGlS+PXSu3MQhDiRVEtMinHADmbz39lWPwYZxG/zGWRs1MWIW7 GCBTeJ1qw60+ED6UqbVm1jSsl46i4Nr1Zzpu1FALW2BLpjoSHZ4QrasmLnE8ltuQEfkRWfV5vZ0o cP+HpCuKujtFTB4NYAU7GCV+KmVfUxGvEN5uSSo/hWSJ9ksDSrTJYonOEy56ve2Ads+lfH5PpfDp wItdQWSbAr8LPqGaOlpDwQ6shiR5XRwl1ri8puevJstHLXy97xNjDBxRS7I7S7I2VAUB+RQHYuhs qsU0wxHa3MzNURKRio7K6LFKF7lel9VF5fVxwTG4LTTwuABr2kv8ghsIUomBV9N9XomWaiodfEOZ BDgxzWTe0/15uwD46IBjuGj+MrpnZhz43+6Wb4sMhOOu5qgLi18XyLyLyIbHVr7gu/5TQIVCBL7b pbBnbUlsfywaEa9jPuedJdVW974Fqb8sXa4/bvvaEjEqXt5MHZSa8Sd7zEiXDPwNbemkwOfRk4V3 boo2RjirS0vL+UHFDegRZ9hysXPLHzWVHbBwM4XvkQGrd8vDZOPs4avelniozltQMhAahZBj7u1N B7dNcTO8fku5Lg76E8+PI0pD6B0BDIAYdCGSviYQrVDjTpXxbgkvyQDFKIHlgniJ6LKWia3wDMg4 fN/PECY1A1v9mhfYMTGMzldjt8AWtvxUnnT9oacS042bmHQbqx8kXs0FUT3IKllxInrbcevJ2t8n nHw71p/56UkIc1iYH2M6Vd0B78pLd+OloN8CyhHg/032eRDy2uLSUhF8tzqxPfnGX6U9QC7cYcyJ 6/4fQrlgzxQ24JHLfDKmSq5EfyZhgklnh6AAuxSDe3pELyBi+UGR1oka6PjcZsdq7a5sxA9v/frt dec4ShQNN6npqGKn3z7ah0LprRfcxg4bk7Lpg5ZMzix/JsHSh8iG2rapjkXD1KkQ75A3QbhU+ZwL fjb3l1ZRPWSamDeF8USP1FTfCsVUi2Pab/Av3W+u8ackOSWv+81f4YBgu91yYcJDQ1xoUf6fF6jH XJC7LtnNTitLgjpmMzAb2elpsz/6nY3utGkARKQz1nQf0GOLfPlwgIcO+HBYE7Llg7u39N1PIpD0 lgNcmCKRLbAbZD0FfrVzp6GJqKNYQMCnYL7grYGuTVJnZi7GytgC1/aqKknkJDo0bwC8lJTrz/YY vn5AIfWRSzvZSAQ45PlltAOmFvuYNPA5tapvzLLKg/9lFTNrGxCa5hSEkxz3672MtZ6FuV1sjoef bpftme7RY68cfGaUecyPbEQjxAdLOEHeiLs0RRtkR9Ym2CF7jZUNfmtxLtw9HAf2KgUxD0DzYs/C v7eyT8PaXFQm7gj7M3hkqRY9IzgUGImZia4oLiqTqjcjOwdLYWdukh0YoKsBoigzW+ldhvx3Tw/M qK7pkGZG+ctPW+C3ldH09CEF7rvspiBBsdd9PB7OWyznkWJhZFVgy04qXj+2kneztNbXgT3MkV5o fTAmkgNY6i078RWgvrERIYl11SGjmA0/CbQZUo/URCmC+ptN3NZ3kQI55uU9f8qm4tYiQCZVUGO8 Dq3X5axa1GiHr8UV2APdXgwFofteegoqybyHZY89YXZ3Xn/p4oBxVXWTTXfMRveooisqkGWB5HpQ q0W/T4jJJ2UVFNvgfp4wQ/WojopLDXpeNqw9d/63jRP2Dk1cVYvZfQMuTjXr0ZiQIF9jIMsnpl8P Cjm9OsdOWFzVrWrGNUnbaTT5h01ngu8z2z7UfD9oO1XxzUIR0ldGA4w6dt2BgyXEfMzGM2oWlxwD eERmL1X0kry9h95eIYaXIngnihFD3DkDrRvVNCjIQHqPVAl3tDLPtyMTPxmdXhKihlFIBH+VhjBk 6SZgo9UQ/d/qCoP7BcF8qvf5Hmd9VRNsF5qkDmwJg5hYhLJT9DmQeEPePMKnvboFe7p3x/peNNyi REWxoLiLkpakZ61mLmy+SGJCgJ4QcklTNgDp2bu49aXegtuGh8dUU+lCeEJjcyjxNCOGltUSjE8P 4eR9XGyUleydCY3ls2E0gXkNgzslHLemDA8y9+pkUzgs0oOPBanDYZlDB8SmLv20Va/ZcjpRPSEL SreBa+tWuZY1fRxI/LbsevPz3nDNe6rFeQLgViiw4MiKkiE0cpXbjJtGGy3+Ck7S0GdlFO2wpYdV YynNbq4BsI1C6KJ4DMFX45ZOIC5Ybu40ty2BOWTCGr2eoLI3r0yv8WZvJCEGFN+Fjdq26QzHZPf3 awBPRnDgOvz9F15DKsHsh9sbab14r8G2vR99f4yfWH7UIvGv7T9ho6qnIJkHfNnNXOh6RUJZQfDo Z0gZ5XAUOeWDVtJp/TMbRxgWWYIk8dmpUPZtV33WT/bqHB7f1n4l7lzra7VwJd+/y3Co1j77pTlW 5PVeTEMmMfqU55wI04618tW1LufaI0pg1KIEV9BpdA9aSzDKHpPGI8zftDhMRvt7B7gTfTBzXp9t fjLYYucTFkJW9vZWtBqSdWC85/ov2rW7FoWX7eFAtfqcjweKGBDdlrTMhkqOnWBnrdseWX/XO16e PVocqkOoE8UQUu34A1OAnZIFxn3Llw/X//qOuzezmHje1zDU3M6pXybXdlZ4ZwuZVi/VoZa6EuIP xvyIg7L8ogKwI2RK3GYAQ/NTQLm4ccpjmHH90FvBnds3IOhUXDLQYRZ92r8fO+jBLB8Ym84g08Db OmujSFDifCkGLygeteeGqGKyVN+VRXZoqKHfCK1gFI/iGA58renhpyo2Bau7noWMKNwAcJCJmQhu 4BSwtAq4L+35FNSbMWhtupUFCXT2xSBbcYLIGDoVlAlCNOM/+9cskv0r/RJ6Kjum45x53FZEBuj2 gf/U1E07tlPyA9QJFnzbqa9dk/WF//qItf/y8ruLgK8xqEBDCKRuBppZmtDx0wOgkHXjS8VjiziE SPIT/7FoC6Mm5yUCfCO6EyytwR0OJiJ2Nw+XTzB/NDyj7+AA27TQ72lJirPYhG3zgxzFzLghm0MD wn0w6A1vDumuz10uCrKzkemyOxi8ofK7PkCQ02XAkEUKUbL9bt2fK4eZLrdp4r/LwL2mRwllMJ5I HR1M0C70c8G3GuUjRHxGNAah/7VkDMYbtDB/hSgqP27vHuYuBm/ki/4igV1QcRKExEvzG7iNr3fb XY2+Gk1N/1ULQSP0TE3khAeFOBJUG2kFu5vplzM/NnWeweRPGYgjWBWPl2e3YxiqmphyvwMrCVym +E3G6GFMCl36+pQgZVwvz1ryJNsre4DQsy0zyxGVNHfgizKDVMUx2dhHz/5ACP9fn/LqXRw1yCI/ bGvvx4zB/7oqt8tmTk7WFRUYVrEktUUkoGLi80lGxt+BGqLJd1eldO5ZGZN0IF54ud+DVAFgeuS3 /HsPww+mz00WjXztH/v13bzjfSpjvFELjjfN2XGBKzjyByOSyL7RvCtHEmQxDY+Vio8nTDU2eja4 GxMJjG4t0pn+V3PzYuRQcQUABBfeLzgnMaqMmdsEMSMIHyfmdJiUIinBNmBChLqEGk8bcB8shO4S U7y5BeNePZP6/mrZoU704jfvYjYpcycUphKHssPYEGtNHA3CLj6i4hKJ3mWQ785gxSLyfCsQiy6j fm/7BYgLKb765BMexVx4AVR3H3/jz4HKcYLV/2PRBmuvCaGcXHY+k0DcVP44SdZm76rZg50B3JB7 9+s5m0yVWs7k+TjAyGKa1AMoKzYkGWh4n9CAiLbCqFLsGgVpVog2+gIEZVC9knO/hJw7Kd8Cu57L 7hrXcZwey3pKOYZEM2o5jEaUOHdCfefzX/JogwWIB2mBTYuE4ATa+AwxUrrHOxKDleCAbLq33I8K 6cGFCJt7a3eMuKfcYDn0wL9VXcvJgg2ImGLKRCD1epULykR79BPEEGX3A98jOe/LpmS42A21V095 ULJwMbUQdvm/oqAWKUX8kVW8l1z1DNdg8ls1t/Hm7nkGej++VpSbhnEjWLbp8jK8uoYsHiCuXJVI Cb16kzOo1vuMin1PqQUkDGY/bfh3if3BqgPE7oA4x0cai0QThucdHGh6cjs7V5fAPQ6dkujDoJqK J479G1+gdOJ+sOob0YGWwCH+2s7iRx7RfdxTGmMzgoDJvZMthWjWP+6ql05s9ylftwFP18zGIg+C 9h5FidmRX26Yx0Iru4eGS/nKhoN4weLPSBsXBKbNbblUZCe/GIvvAu7A3SZAKuYXpvMKYy8zA88J wqa2NGT5pybtR3p+4uCL1R8FoyZ5o5FCehLckxsSFGxlo+Vdo+ky50hU6xXYkDl/gGQdPXDXPmBh ywWumxQtx5ruEdy1MCE6KrgD1sBR+ep9KC6bbrxDWZhtOIuROdBelN7GTKt8YS4/tyEIquc6u5yG S64Em6I44Jnn+Xk1azaHZZMbdiUuyw9ZUIaetf+ekCl5RQ+AxNiOAuj+ADirbO8xs2GaoqOzzuOa ybehbU+g6NV9fH8loDBb5vaQIP2gk9HUjRzfSa2HGkn07sJiBIpHnYVmywOJV81icnh5F9BYW7Pd eytpIqWgkvaqSO3pKI4AsJAKR5/E/4SCOZMNex2pr8kq5Ox4jpcmq1+FEcSTi8lQl9L3BkPVlvbB 63dQQn2UskymlLus/pIo9b5fMh6OTc2qPJqvia5vGqODpetgZIjRpf9pdqh/EitRtC1deYMFj7i/ zh5QlcVQGzv51Z61GYEO3MQdBqLgXS3UjrU5pbcU33CpqD6WthwIsKCUOJV6StZHhoOk1RxQKHOx 9qoNNyz7tWw4pxnqX50liLhB5SK0kGcU51zuHK0VWnzXpiCdZ2H8PKxWW3y+SmS7jqSA9Yv6ZKH+ KOZ5X2oLLoWMtp/EHT5HmdRr4HlXn1sJ0diWE5a7X3IALelqDrn1977saIyeRa59Au7ZBsbdM44G QgRbbxEmD4y6eXezRrNkollOsNC1AYi1S6oWCHc58MQWYQ9iQ//vgISRuHEKwe4uBj/Db/dVzThh AV0LA4YIJ7lzHN8rYSqL4pnHyw7v6Bl1GMGjQkAROT7CXJS+F6K3994QDucfyQIDVBfE9Fix6J/X Z7VX8tY5bVgqoHyItY6op/IqXCCmP0XDfcOu/JXiarA9BCehxxKjxp0HQrifmvVcrW9viMt8hqIs yg2rqggTElNoolLgd5aM1IKaFHQAkleoyAmTI5OVjHmM8Uog/8Ej2AnKWvciszUw771kwJQEnkzG io5Q8fvCD53RBB8PBUJhrKGGBHXkWHwXJV5jhLlP7FCzlrSrITp9SVggePC+gqqWm89+xujsL9iC DOGgNxRjOFkrGleMTsMKkb8+qXaklk+uj+D0wanaC48lJUzCB590L4R/XRsZ6HpofWA9oCSDqOY2 e4yv3kTCbfFvn+HD5tKyWcnMO+d3eSltEk7Gc2d9mtHOHyJb1p9tYWohMEx95o4+l/YiDEuLOt2x GC8HH+sSTYIxQxnYwJD4Tz7BQJL8PbY30N60uzg6U4I5AWjuhAEc5xMuFwohdEHXGOEOvM2l0pe2 dyR/Q/oCPLyMzKI6pWzIQpAIcG5bcXZeMFSlCE/tBS31DhMY+DPTjjWn+6+rLRg7FR9Bsy5eQYWf 3qODGVzgJfc5vhpYIAtR6ubNfftdYkVVGwFeCWEjhF54X6JyXii6S0dXfE5fmJ/BAcpL3fCm2EOX us2YWYkKalc+pSGgFjRWK0S8MrceAGDd5x0CDYZ9EzmuXdNnmQui6h6TnKjBCVDsKax9EC+JXXkF FgpoDtlYQRmH+47jOBCWOaGPByy+woALj5Pq/2niB9FupnelaKUK8383c5kWD98wwJwtoE4zlkGr Q0ip6teTJf+P50Hr2B0DCbpluaRw2E+uWNx9kYr8gCA/mhZdqc53U2QV+V4Sr9Oqsp45SOmE/IzV L5b8L7Go0ztXDGIpw/56/hG62/N2B8YdmPDYdVIorOxVmv1F0nqVGFbFfV5D4FxqzE/i2is4VAXF 3BAp7VBBTjqhLKo6Hbs9Dmvb3JxOhn+HK48QrJna+Ix3xD7HfKDltOb1afcPVo5lEFPo5/5F9GUA CeJQsQa6Lx+1xcGjcObWf+3XFXOFijvq3U6YqGFv5jpx1IVCJ0MVrVBSdWm/EVdQ1QqVqKGMh3WM BE1Ts5cUvOdul3iTyCcHGe41Txh0pYPHeh2Zj4KeUVtCOoFvdWranDmli9f0N3cVZbkGVmOPi8Rl PyeUEkKkgwUZEwdTRi9lCzhEkeC9QzcgC0gGCzHwEMPA5I9So3V4lEgmsPQz0iiBJf2VsaGf0WfW iSBrlrGD2F0bZup4TDsN5rQA85+afmg3OMcw0uKJJMSpMKeyLFFt8DNENi8jmrnoGt8YI/8oxq/Z Gg31ACcK62qRWhF1U7+WfKEwc0bPyDRUwL16QCKN+o10FPGiNhQOVNiWCvKwUTnLlphk1mG8xinw +bv7YJkr04QvZylfmEaNNdMS4pZaWEt59/2arVbzLlBbVR5xbwBJp3gDycrQG9Mo+OqHEktDfvqM jGw+rcNtlehhFLGNrqePf38+gRJcwYbQTZzzrfz/EXwfT9ExF22ULnAUgMkMgMn/Ap9qVCLGq0XT A6j/EXJueEDfiBuYzVAu4voYWoVgb1elBYXTbm76NGAont/P/TtZR/SkM1l1in7UpuzgOtPncqgl JWzrB3vLjzvJseQqGGP5ouEdKkjTIQQ1y1XJLGeX1STNveOJtciVjgDiMOF2WbZArbq2f23eodVk 29udrf4i9klI4fw9p4gQgCUNtSX8nqUJothrwpleM7BtW+cJRWu3C8r0SoI7W6ySkicAso+MLTiL Ig4yaqrfboQUyuEi4imKrTZ8kXqSjfsrahRy9psft2EAmzb9C9HDdCOdJTcedEzj0A6K6YGKVM8R KgU9T0XqLc8D8pmKWPyxvdVhvtfS1o+LNpPnhGtD4sbKMhEs8nR3//9x1h3anwSxpOBux36Ku5sH 81ZX1/jz41iSDwNOswqvKuEw0IShVNBkd103K0V6ZmtMmvKPnHQPSgz2bQCBdYVhTB2xJfuHe2G2 +6kaCBN0aSEneRhYfMcEOAiMJmpwOjslR5OXeqA9FNelMbjIB8OeAfmQ15/HgJ9af40X93O77dKp JLIeV4r2TXdpETHk3554cKwtZed/G9jLdcUVaA08ZWnPYA87m2cwUncI6OREr0WdVyXsmVLd9Xtj JtbbCBGLDkaib9TvHLDcrdGZBI5Z/25DopNE3eFrFCBpyYcdHpppBK7q2MWZSJTONYxSmXEC3uDM NyIuYWunFFg0CPNCV8awN4IPiJQvBfgQPtF+tRXEAoKfkv7Hu4HlyPrmeY2/S/C5XPXXrGp/aZk6 vQZ9w4VsK3G6JjJzH/jQiy7+eICzB76w0CZcXst0v/yDjp8ext60FVwW1DqDm/ghb3ZV7lAd33pI 9YW2TCmNXUwLsOVQ1cGkX5LeBZymthtGi4zWJN1JNdA+2EDQgZTeP2g7/h1Cnr7n3/he2isC42x+ hpNhctygT/Tl244a0TgACMy5bU4dxFT4b/UUJ4u9Tlb75+4H91i120d8AqQWT9Duo4iFrsm85LNI jo2En9FfTMgHqzEgNilCufs+yHk9XJiN/gLemjqdps5oaEB4FKczLBwn9oydcg4pk+lxMpzoqdUT BHzn4/P/3oZUhRrPoKCaXbNCIVInDXXpaF8VWH2ijZ/Fg2Eq2m1EDWuxMaKryQE/4PjigiLTlVr2 xlCgRWdIxM6MFa+3X/ZAuAA9Q9aqa8elZ3RcwqYdsuVTTFRzBXEJ1qHeR/yGKR06m+Ot/y5V3JTZ vKttVAO/IeJbylClEOrC0H6evQCx1wPpyw8gk+MhxkdE2C0SfLva0IxjFSbBN1ayeRUQHIbFyUCy 1Ydgj2taD/SlgL7SvlOohbtKYKu5DrfJIfkDXfiaiza9SgyL+KrfdG8nJL2iZWiZts9rBavIZvdc xFwMy9xvBvZb9cAEkYyBxvendyOrseoFRmj2EwzL4crYcexmnyP2KS+8KpSDVDTq8HhbVzyoqlD5 Hy9GrFfsuIkuTtKwsbAZVj6Y3T5CrAvcRQOw/9tPYHkfAgJMse8Mm4gKkOM2WOqBOlPJlsJUdWlN QXobyjl8l4YUZzlIUULyW5S8tgScfmkolIQ2kzjlW6ZJk33CVWzmU8nWWbPvUK3CBOL8K035QcdM W9wmXq4YdJMDhAqWu8k9Y+4FsLASq7fhUpiKXXMzUdUeKfQMKjI+Pv9OpO0ysGoq+oWbd9/vUL+E iTuDCdi8t68EJFR5yuUhyRjfrMlklc2bcSROfAcE5wSt4pvN9rvxuBaIGCqzCKSDiVZdjZAKB06J TrmF9XKh7G79IpUmA0LIZmX3u+Fxw7y8RF1cu9N1ptxUuSKxHb8BExeID5XzRVL5nKWFDmUiwYVH BY4lfsPdUJ4UZGNARlIsTR4K7MmmlciRNYiuHhO3aMHk44u/aGFHxSYlB0q1FrfTANE7/mtpWMza 3jev9LUGD+Gr/Rso+CBtB6TP2h/+REoG0fXfSoWTj0MkhngexWYYvXMh7lQQ5WlFTzOBl4yJy2p0 zm5kXyCMc1tL/0NkzfGzslAcJ1GaFotS2xJOG3N+2SPIlGxKOkQhXW/UvhzjrOZ4WXGeWgbwvWr8 K9YT4XNYjiYYh99VRPWR81aweDVHISSLZKLwJHfnSDWURybx4HZ8QQbmBNOfntFtWSOvn7AmAYhF OxnfLIHmHFOd03SO+zMzC583Mo96+WF+xRVZLE40DudaOa3QgbMkFUoT4ggXdbZqZVzQnL6kXN21 7yu7QOrAe1BuV08TC8fInPMoTQKWc6bABh/FEMxBH7b/CX4y0k7JcOegu1vlnY+VA8FypOrBdwH6 uf3Z2isZLqbSsucdZ6NNyLobxsTNo0IYll1TVyyNi3cOfDt8tmh0d7krPPAZlJhaXKE3lOnwxAZt gmCjL3Pn82rS0/bvyZMRHCbwfEiAIA6lPKZcO0nGA404PmGGFLgUknYW5vW+ElMypHIGZkIh3W50 oli1eRleAjswYFiIa73Biq/5ZJzEdhD0J5qSmkNWbRtLCaUnjTB6P/nmVr+fT2il2wfKk/1unkp7 y5F/QTi/Cu64ObgoBQ8mNNpLW6FcuOencupQPBeiuh3EerTTljb82jUjeZ7zlk2QY6XfuwAmb66j 1xFjnv3fj0WJHfob83lSIyMbgSv7Kdp1ufX8oV7MLlQj3z06yKQ5eOXxmzus53bI2XSd1Wpnbe8H 5a+Q9X8SucAmGQB6TuCA+ieyoDzk5nfN3s+uCNMDuZ0KEAKayHCxvp0ZvJLEXgm20Y6YnqusHKAx j1o7O7jvcFazyIvzhiMX/jRk4vMB83rI5jCcsr6O9EkYSJ83NM4Mr8q0ZNiyjpc+Ni3QDodoiE/V cEHvDRr6T8ZZOGjsMjcSt5Jb0B8NsEph0ZBeCA8ZlChJEmS4qPogLBExjC6gQk2EIwGaZeYtA+h+ OJTdbyuuvR7vdtxvXpyZaKP1piXB4Dzw2E9aCjCDR8FDhSwHWAgURC5vmv27rGNM/PwHQsVUx76I Eie8xSJd1aY5KnqAzEypRRcfi/ENOCsRehYhHo46hw1d4IVVg921GxsYrNQiANQheLZpij26cDha GhmyXQf8zKPLinvDsdc2Uu4SymeXUVllJXbSGO9RkplSI6HUoRAOurzhj3IM4pNowHBgU8BXYSjM ENXJbUzRPWR0kfYUos15MYKQHDHLPqccsSSU/waDwJnRkY1eu0Ps6dh/7+wN49YwYJYRsJdoVPu+ i8JfeXLm9zSdJtiJogMPCiQQ070x2lXYxeKFnY9YDwD66MFodv1EGGVQc5wAQq6Iu2vD8FmyFXWa /TR+o6M5FskQlcoC4O0lkCY1b9SlznAC5OC55AE7BKPAa2RVQBVkaBjIqmZwxPw+EX0EME2KKAq7 7vBbxPlBLwdey/WFmpjdZAdCry8jEYe//s6sAAT5XVcySrcUB5BPhA8eZHYD6y6fE1m09qwxvhCy OIVF3fVS5bCdHVStQPcdZJyaaNkx1mkhkuI45T0w8tazVqDX7V/R8sLTk0Hom3fo50K5+WTx6Mak XqixAc2sG8y/uDjtQZ5HtnHVnurOq65tqpDTGlI7s4JHNJ+7gthJeq2vjBGS1FhF/fi/SfZApHUF me0k0pmE64bzCGNFMckTsfeo+yANY/1ysfHOTcbRTftVe8n4+DW6tKfVsr0YAal3vEaUaGKhM0nm Sh7p4OUGbFZft+jKFdoxhxXIY3doGWEsrxqjkamYmbwlL30GRX5iKy4vS0mJYBkrE30AkfcJBP2R QkNmLYHSj2bSQquHDXaJZ6oNyTMX1wiTsbdnG5FaGOC74tFZ9dfWwjU7Yz/tKGW2WT1SgG1DvmQo de39CaiO0OnkK0C5TlEVRVhdCVBq/WWe4GBtEj1lYgBi4eHKIRdimaGsXdY2ExpM2E0o4Za2nQzS fX27T+sX2KgVxKgYGIONQm9+SEDvRLbIpckPB4T7HiLb5pgATPLcf514tICLfxcr+ljoWV7ooAFu 2vKMUAosCTJrmtitlnUgPCo3jX7YpzeCB/uVIgIGNLZbw9EvoeqkS4CMfwbyPE4D5+ioh2fq/UBa 65S63YDxcV4sZW0zV9CyE9CIhFPpeMXWLfy60EWnmLqCGAEhSwBThdPaJ4vZpioWp4qWfUzUgjHb htUa1LGt55mCX2gP8TK7jXQRh6izE3GlE/s5iP6dHwT9gmNWc+HVRQC6c2dHL+LKB/N9cj9AJtFF Jts+rDnyVnJyX9ntPJTXd1DDgfeqeT6YXkiQkpaTC6A9mtVjYvKKwz9CZWE8t3MGFJlHisuBP4dZ 3/RoP7g/QBlL2V3PrMLC9yWJhP3WqNNCCNwolHu9Vqdoft1uHv/6AUTb2h28hytGwYdzs4TmpyXg PN3bQvEcRenicVUmLQVIduTBNXjrr9/bCmzepMS15J7mC5REbRLEI9KBl/mDGARUWVUdTNnftppY BkqrXaGQhtSPb8l+9t2hKJoKAL3Od9l4yX7IABqTICPJg9sg+p29hPa9/uquHjwHYtwXJLfAzUrj F27/4NQfI2Msq8NeOvRTNqU3Xsbl1dpYgzCcA11OQAOUEpmGV/iN16LW8dOctD2zd6h6b/h/ACOb U6wXhu3GqmFAmIujwIZ++jM36mAzV3R0tNfP7Us7Zn/tG6gZxXyh1OHpK0JVlKaUpKh3+XBbucl1 Ms8lt2eOaEIcFacn8cHN9u4gTECtTHx7+JKICnN9e2rOyl7EDp+6o6F2k87kZA+CgvN+osMgwUlw QPjL9xzpFNazBfrC+pLhIxQyvQVUExMhWnlHrcAtjJ4hdY+PxRlXQ+un26JNK3IHBgRbew1gg64y EY68elc0Vq+vWeChtunXfBQA6ERkyVTEYf6MvwUnM74A6mWDEHEJ8VvQvRgmP6AGnVaCu8L6po7J U43h6ef3P69rqQI9aIt/KQjBA6v/j6H5T6i2Fx7Rhgz5OlmIO9wyBzsUEQLYwONg1JtQrbQWsj38 JMTxIwIsoD+PdaaCr4QafW7WZ7+3uqK1qM8EBGSS2qfIYg6ig47xtDc/BCEdCFplaaBnrhwcihkv czJeJqcaWECpVKaPPXmKWzwq4b3xJyorfjDLdikw11YonQgfPvx2OEVyQMswPZcLg1Eg7xJBuJz3 p6DGlxMf4qeEy6U0REoELzQZbAVfUB6rvMoOfO8LawztAaeot8skOMrvwoHL6YI6QhJInDy/koPm Nz56uWa/A3o5n7++fPRYC8jk7b07plPWQvz1Xiq7FgX+F6J1p+P81h/WV9L8atss3fy4yVnUhBVV CZW1JzdCC0d1hLA63zYo9g+DZhU+OAEMxjrs7zew36VvGn9buTFp7CfiQLsg/LYXFOKfmGgWRF7I ePKaGD0xoiZKA1+EC8ORGmQC68IZYToPlaQGAavx6Fqh7cBjOHU1w2n3+0+hTd/WWVf6Ig6yT/K3 WdLRqNx72zSHgpRxRqusKB2AiGatCONXFeJt9B1HFhHL28oeO5to7/jt2CtmlxtnwHSC5bjCwD6X VcJMjpZN+Uth6RgnTQr++y8I3Nn2rtdO0xH38UvON27D6ADlT1kVoTUseU+G8v4pqbuJJfN5UoZx efXV0m4euZgk4nhzDGvFFoyiLtN6V8kotGQMRc0JEHgOH/gYevjovb9BNOotEuK8W2+8i5dxSuVL wRC8avUtJPe0xS0oQfPYSvTRoCZNtCEODOlswSkA/yApNtyadS2cTCHW08jjGdB7xvtwwR5JFTC2 +DYS7ozYI3wt2QQ21CgeFzBZ4yGtDNRwssbyXWQkHhwRG07FkicEwueOhNBH5suOoaE15XY9g+Y+ X8Bt+ZXQhC1DM1ec8A9uAruDXw0Mr7KGyrgxu2N/Zx4qUrpBtoz5NSBkJzdNhaAYwWMNH8H+Pf2g w6uydlFW7892OJTUsqcBPeDXaQPlaw0mDRnedSI23cfb21V2Bnm0tj958jXkA1oEWFnfmdTu27RJ p0vbUJIufqMmnqzCKfNWtzeRGY5sIYcP+9litKjzsRRSpAHg5xDHajuHnYa+fjq6/PizNnwe0djz ukYP9AAnD0cL7MUFbtSvaTOVQr7i47hyvlOI8+KAdR9GnzQRwxGazVmVkC5Elf0jHhh3usmPvkPS CaNnV4Px0hnDkfYgJKj524u/Ka9At9zIgw9WB7ldjV1BEe40xDD0gEf/CMgf+OWkhcrfeZZ/FDAv 1z/dKl3V5VPtl2E10JKr/5HB6hB23kRimIwB7nYvNQfNQyvFWuerQIc2CijyHgdN6iLZkdVFDLlM FZ4G6rswtlWM37Qx9IkVNOGy5OptN7OA4sjB8AYnT2DRrdcmZjgojBtwsAAwxWxRGIcrxNndZOu0 pHVJ4v4SsgbDptq1eeXaSkHmlg5xYIPTAz1YQlaaradiFb9vDOKLV054Byt5QKPbOSmeV3bIR+Mz zCHX5LHgq+4Vo3tgwZkDA/zn+GPwScWn7jY/2G639+5ovdX0a/T2nyvRcG3/whljrcjAm/SJJ3ZL UFWgSwwwRrcrKWcBGapnWoeHTNjqUwe+n+8ebw7wxBtbUFysDXrK8OYU5mRbbMpW60Qioap0KVPT RAD6uZIhRxHv3iWGnp9LBYuc2E2ApMk2+1Vh8KZMSixv4la9bqu/FHgbDuYdMLUMbD/wX7+ZEFjC W+F/3H+HZ5tQmDu6CLxJ6a5EWJbsJphOikYCXaPeKhqq5rtMsw0Wwa24xfIG3jjKJmrTYd4OaT+5 FkI/MOSihSClrjv10ShdfZ9rtY8fckibXv1uj+6HizhwCcY6wfgZC+3efrl3vVjvBuxO5BszyXl5 JOAlO79VZHfuXo+iNzYAcpkIZ+tswVHu2+SGF2QwwloeTr3bgeBv5CshDYTxikR97jgHRa0wwC57 tZxsPIdDX8Z40IhFjwk7Nu/8Ut5lKCbr5Qnl+M49wZy/bLvIQFp3mt5LQsHNIH9+YpUTOJ4to9N6 ylCvxUbyJjAy5NOdropoTAsFgTvxZ+sE7aOTHX1pushejA2nVHkqXGbbfo12Pa8XTUkecyIaudru pBmy9OP0pxx0uJcOrgVvsJQUlGlvm2M3UDpzc9FcXFJ4LMVRafJXKX/jurIl6VZN0SUwBptZrw55 5YKTmfowlLYDu+saLy5Nu2j1QljpyxL846zzYsBzI8qCe1GS1plOmuSYXVGVHj/gzs71rEwMJLUa WJWPWKAGM5V85BdTEnVF2xRdhmAYxRoD/dG+5Y1HO3Lm+9Mfc58uQvaxUjKQaGSG+XDiOGq4ixnq F7HbbduGm49moossVXutDtdq+3niz5HNfaega9FGIVZG6VwpLRg+1fyNP6b6R0AMkw3nKkFHVNVi zOcVf8BNyzDSCRxDVhfo6v2j/NJkGl8pWLCB6G/FgQKOfmPphA9qCyt7F2Q5ewv4wobj5zZSRceA HMp6crt0zPkBGdEmXN4doug7wOv0IJ/AwByeMvE9jc6KlvSgBPV2y4sC+wPMz7pw2yxl7+MOQeeY SyOCNspT37GHhsgqgQce3BNA6KV+DEXtAhIuBMp0zDwYHQDaO8P+RljzUFRhrOA4ai8nkiuh0U4+ 2wRyamtZfSbAhPlu+6H7RYVKTQlJUTUrJ4lKUOTC5+BydLTCdJwUqSUYLnZHvxjGAXM5aiWLWTXr MdPTslph9E+4AsV4aaIzgMDvybOVJ7VD78q4hQ+pO2InMZGxN7vqQKkM0plZ+08eQDuUjNqoXCo0 lPTkZnR5qxjzAgHZCjhWDPR+gpW3Ehxv/0oIdgFrCQH4fSNjPRTvbUclB8hus0v5Z9K1Nhwbym5D E+2ntzgAP5aBkrz8lXEH+2sngHnVMjYTwWptp66snNbhKKaw9HcZiqd1LoIorrgmEaFnHwav7ecC 51vweb3l+sheuV1b2EJwd5W+FyYHU5ImecCKBCZlyPPwwFzmibGiBlZUk5X5l9JqMa5CMkDnroi2 qJT457nzrHqf5SXUwf2Ia+7PQO/oKYepxF1Lc0bpG6P/VJhGZIZwwtsQl4SMYjyRA0vfzeBtsrO+ /SsXxbxGBiI/prlCSVLAPv39LyaZdsrkkeOBGRlWIzJiMG2lBIvNJ1EELyEWxLV5SVoBMG1UL3gl Km8u5tjEVkp+EOgsTBbTEi8hjUDg/Ng7fd/lmGWU0sToholw1CHVuHlhQxf3x5ZXrhrrFTpIgOA+ Ia7hk/jCC993v3LJlRWrukFcWjYeWOW4g1xh7GPurNy2gb55rdrM94D+9I7CAcdgl6PmalQF8AIj 4CIt3d71sKX2vN58I2Af4R+Z41qtdj5IcI+fjP2jF7onz8FOeIhC6d9ESQTBrAMIpaGOHPe3XjIh sGtKuOuHabrIKmjSw7N2+jOrrNikQ45h7doIlXOfjP8FfJVRM3P3iILCcpR4bmKhIV/3A6lZPqVD hrLmjkTrNk+aHi0xKTQMd0iCwMBqxBRzYxs2HPfVCOFILeub/r06Ic52jiuBOl8cGMOIcjD1bhkW 6SQXrlRDm/Q7AtFctYm13pS97kR05v+EBeaDPBi5EcyNTZfRAyafnHVn4mfKDixLPHZHO7dvLVKP LIndrnjPR2NbRHRES3hUV8BAri6/UkYTbx2O99FnKe1L/f0o9WfOCdcQRPyrHe7lHjq39jZM5u61 Fq5IXw15aI8sj/lUraaTxEhYGMX9H6QAbCDEWqedidK4WvuXz5Q1nojYSZ3YDBVMLKQuphIpkUZ6 iiCHSHfVpLAf07mtZWD+Q/teSQEn/I7bew1e2+SFDBHDtC9r/HJTJtf3I8EYcg0LNsJJjra1hl0/ 7oVvhZWXhQJiJ89j+JWCPIjyGCDlED7H7HqF/DZKcJkziK7VOv91YuWjT94oD9EwCNx3BSP2yGJ/ n0f0dnqj1qxbWZRu20/LaLvxilsLT+S0bHq6Y6QS4qlHguU+iWRHFsT4xXDCjkFNihhftW2soEHE fzPIKxGsz25EK/c3HkCidM9Oz/rexahllf88BSC+uVclozpjWjDNYJZ5RYpeOuo81ecIKT3CvHNx HYIoz1z3H2YMfVIl46ZpTqxgx8MW4OSLFc6on83/4APxWmtrCW67YxMk39z8K8OrKvbzqR0LSG73 hAGxjzylUuOmRo8GZcZClLo/24/zOqbAN7PFGtfUgvJLGlkURT7iQCzMpsGT1pARV5n2/v/qFuUK 9i7qsfSQRs3x/0vy57TY4Of0JWIrV8bQlXlWsdgJckW/bIeWdl5fwBwzSWYe1+82ug6C07F3w7Wh sWBKgrLI2FJHxjx38OsUjDu9ywZUXZoXULJmMRCmYc79feJHT9EWAO84AnBrBS37Inhpnjt9XOvd I2HqhFkdKhGwUiWN4XT7L0gGq3jFX9FupLdgySlGq59en332oi/KKRCwkIeFUd5EF9wBXIqgTvOJ TWcEseAJHdKwUzmCy8JNgu3ZIK/fNOxQ0wOQXEsSPGQslnPYBNI4yhNyg6N18pDwzjemx8FBo4LX I1ccG7KVKMZtiGl2i3+Pf12ozU3WhQub70h7n1MN77EdjdZoz6kpJAVWvvP/iRV9EKJ2mBLXtVpR OKrt3koS1t2I9B2nqT9O4A1y/l/XqNjOf5jDlQxbiRZune/tTzSFjxhEFXO4zPz1JGrdslx/++f0 3lSLu5emSTfHN+altvTq8Tct9RYcOU976zaB8OIIv+/AMAoTgtTTEZUuXcZY9KRikeZz+cXAQIRK Vz5ibx3IyUlzKvsF3wtVzstbczFrFMIXDILezMY84+q1C3FP9qq+ZW2dmiBybqhcL9Ippt7giA3e 4rsVKmc0e7W7lhbf9/uAkgbR7q9WLD415y1XaXGtsjkXk4pICk+MMFKKjhJ5N2WYywsCT5wiKU5m cuJi5oipVhvh+B093i8GoJoKe1EyVVi3C//8xIKoCSVUQsgUOBhfmIn70+Hh3wtTmOgW6nL/56Vn TPwn8DNRwdWU06kGjBgAJHD4qhKUfIKSVj+MLM7bfL2ZC8ijtc3tIc0h5KJCF8WBLgk2BOTz6ICr 2HmxvJ3+x/XNbPIbs4bg1zrVxtJmfR5zPpCQnQwVOdFOdV0GBloE1VYnzyIe5PRC10wcenqq99FV nUzQbmKS4de4v7q4UNcGa+ctSYiMiIgTt4E16KxRMho8MFoq+YI6djOo+Npjxbs8s+/ST+CTGt7E IxJUnTQDOmsDMM2uu8K+KAF0jIo+2uA/lcFQv3ezdR9dcQA5Abpl6KUx1FhGkqEJOSAgWl2RAYal t52tnHLur+Wj7zgybzU1R/6LVW7GNU/wsvQQr4FAhrpgrHcnOk58EB34VLCyUVsY3SDIkrTyIGh/ S2NnPDQvHEmkFfeBmWk2rWOnVrXLcWfHASFfQdfhv/U/6/MQahdBIUHp6DNKVgYEo56MxYvavXEU PaC5l7KwW8r3aa95UCMGia38H3mMjcqUjYWNdKDbMyFSv57m3IOuwDVILiGjfduZ0BBxNOZGF0gy cwFrc90ndHM0HHvbE0Pgl9gyErsD7eq/nDeVajS+9u1CK0Mc6k9Es+ykRxD36BWpfQfGMuttn9UF 8/rR3NGbAL/Vw/bV8fo62vSC7It2T4qAZ76t+4/TqoEeC+7KktNTFX89x8A6jL6OkPNkaW+QyicJ RMX70byK0O5aBCj0iiLv17wIiVUGoNy2RggtKXM2Z8WMOIhy3fCUhXtmwrT5fl373YgawRX7bJt/ A3blgyhyH+nTcRQ+7+mZt/XYbnnKDaFWQTV6wHane7EJq83Z4huJX0X99SCPADQzHjesUw9ON5Uf YNDOYBv8l5/2hJ/d/KnC9erNxCpMALxu4h5P1BKJymApbucudjssrEsFkYVSIPsGe1R/EsTD1RVa NQA2h5Jyckr19sUlr3j6nJwh1S0X6KyVQT5HEWtx1LHz8FDTfzmimpbMADykRxbcof8PAB/OY8LC 7AYje9ix+IKQ+27VW6vHxRdlpvP4vACHNoJVV/dmQMxPnK1awTuomVZIfU97KWJ2UnmTXYz61oSw sNl9+t0G+dl5qJ+bBmPvndDtHcIldv9cvZsdd6XmYQDRPCQl/H5tM+DJ8WK/mylo8oKZ7dGV3FrS Xf6nYsaxUY74IqnBvH6PS468U2PkO887ROj+6mMSHJigSn4BnqtBgYwqAsfa0iab9jd8bG5qw99c vOLUzZLzWQ0Ckc22vvapGOHlZUHG0tXZmyN3qEQifaXpZM0MHp/VLibFg97sorSiwPRvW/Xr+a3R zEo7krjpAfk/bvJbZRLxS1oBakd/aQxdG8mlI/K7vV/VNOVpwJl2/aAq2v5Zn2B9PuVrLkkRg2xd 2wBhOHxi7PBaBFR/+6jFjTvFwAtxdPS2lWK80Z4OUAc5mRCkPPIuj4PbnfRp6THaqyle4sJKUEXU XBimkBraQzdoA3I5SuZfwywy19nmc+8TTT8DfvodyGoy0DCb/L4GxYd9jZalTxVr1nUSqnwKXZ6P 1cClWVTkPcIN/d9lL0aiQAplkNce4vIKUFh+eVR7+39zaguRuzMcHkhgM2a7ieahfNvNoKH8zyJw Pwu1p369WqAF4DJ0omtwQEmflOvG4UXkT5sruKrKUxY+qJEc6lkQSxm6BCV2Vv2De+wj+/gWZcMt EuhSr6SD2IZ2pwXXDzSPkqSkyF6Xu01JG7+46JiZIbsgMI4muTf89EsOOyNtOb0j/6AcH2Vfid4n asauLY7xWL/1Z+mNvSWZZJ0gQ/OAVqbfSXz695NofY+cVibN74V1SEHPGbbJT/Z4ZhKahhlQZFTW sYHBk1npFbzNZZnQtmZek+P4YpMYVQ7Hip/I7cfOpgzz9uCzcQRcnFo7qFngpGVxMv/shYDYCzKy dXZ1x3Zot5RGf4AwMl2aOPBNhIbpein78hqqkhSeNdeYGapRy58xtdp6N9ZQk0Gj4vpVg0F64eTl viMaygSdbiZPyqE97kRFsqMifTs6guJhq28TB7ptKKKMQuNyuX2bS/uuCr+zglzo5RvNiH8ZcPfM 3hvjLIeEWtOd+s+x2FXRhv+WhzSvcfrbbR3qDHwsW7rKkcEca99NDH/vfTf4oEWKz9O7tuyIm0qx rw438l6xTjTrqVcJNEJk1jmk4zYc/G+t0BonS8D/8ORClBUQY+127YOvgskwc0oqhTUAn0RaW4A/ oW38Ojjz/5Rl5DybuFRGMvzOkdeO+wklkCliDQOet3mqqh0LAZwkljOXXVPWYWBn1+ru3L70VPSv DHUeIgzKyQfW6CD6hB9golwIq7SO2asH6quO3uzAMnSUZy0FQVk+HYD1fWFunH3XRV99aTXCTu/F zfF0bj0bljTqbuXbecj8pxsC96HLo7i31RrKQx745O+TOXycSyu7Xi/2/+Uwa7IoDNOwb6T03NvP O1hO8aXAep6e+UsNu3RHystzneU9c+jhK0UZGdW9g8pI/lHgq0UyKgCiDAcmnor0xDutnNHs5Rlg 4JaPf/Oy7ZB55gfw4o22OBd9yT1qwBulPznjS0dylrKbi1t/5RwjF7CdFaywLp1DVlt4TlEZBNdQ uNVCzqtvFQWy0cU7qu13vA6w5tI9OCvWtmenFE2f2kgxvRaG51wSkZH9d8MlGgo3wjDglPJR1rev HQd43zAAUoNJonPAZ5ciwQEkw889M+ufqY8gDTUedQuTREqPP1T+0tkAV4oyI9gEF7r5gqV5kkQV Rhv3gs1HlohfY50H5jNvRmNV8so8Fb9BtY2joHAnM7vakd7DUqNfIcaOLhCGGx4ZdJ18R92N54Ov PE3OsYxQjN6CAIWhJlGyZJMc/rbPpWLtbhFtpRUTl0uivo+kpISXhbPNXhRoa6oiVHsSupZuRNcv j45Ed3vBvypuUTrMwqpy5KFHGk5T0d1FqAG6DMpZHB2mOJyrVDUwcgjw2PRAo+LL5nbFBL/RDRpq 8aCa2rAtd6nTUPwqrJEVkFeumUOPE7BVSTzuKcL9YcM445MKGlLlpkARMgzHh5hgvjUDnbDetQPP lsAEi3DKk0L/3DAQ0iK8LDxYOvIxAzXtj6J0vTIjCHPGrTDQzSPkvEAEjF4HnEGiZM6WLnnmkM/O 4NpJZDTcG9VgwYsn99eh6Kc8Mlr8s3J444U5dxN+jdpwV3COJfAB6ClmYAIFyyLeHwycVmLC4uNZ H/APncnEVXN/i1zbyTTcoJV6dIgWjrCcYagXLfm9SLAwMJhvjg+HDDFK5FErsEZhKZ3LaBQ4sddd eBKjuf+rSP8gXQmrXV7gFm385nn+uNldsBM6PhgMjZgCtqh3ugrEvmW8hdwptWrU577rvLuSUTCF Hnvdf+vgPQlOI43GQ9sKgoUVlcoq7bn8zi8wKNlFKa0la8fwAP1k7qHHc1ffONjzrI5qXkjoOTf1 DWsjfwEKuQ9a5ols9DqDpuU2X/w7VKd/eb5yPtNDDLV7yz64WhBoPRa+ESAGo+7nvuTISztg3vc0 kF1N897DVCMM9i9LrvDofXFRQquRe4lT2QV2Ck1I/ThkfThmXLwsR8n3/EjH4V79+v5o0pbbk6EO UQfHKuUPsfYvpDIpYhPA7Kq3CGIdIs0PkCIWnghviUsBnvgHfXAtiGztQNC5JKFAs8QEkHRwvgBd liwg+kwEQO1JWbd3xUOUXGTWXr8dY4bo2/W6+43u6RcBT8VlSimrq771G7OvslqwsUdLiuNqSlXV y5FJdHVsQJvYUhlpSoHwb8ZL+IUORHOLwP+Kr3jhg+d2iOLVVyJkhINMip2Afo3jrURZkUaremU+ Im8o3hgBWhkZ2R8oGx5V9VVJlCsGMQDaRY3ylrwsbFiQTgMQw0CY+EgYRrUPjGMtNoReV50XsCvK t98DE2rf8Q07O2u6eti6IwQzX7WT1aY0QYU2AIwziEfKcBgGJ6WeCmebQQnqFOsQJOCmvsrMiLCM pEFWB5J1OWHyxdZx0XnnynA3mWK7kaZxYonwApZ4ZZdniul0boJ8FGawV1PjvTiiszWzK854z+pX 4mJzOxBusaOY55TKRriEEGv54IJgx0jmd1mA3jvgsk2Tqyvvdpy82+bOzLgWCWMHpUeaVr5KUEAP 1RHbA6Fjbej0SOaD/ghFYZJhHEJ0yODgY8yUU64/aXtRxYzoUeddIzuoAyCdi7sEESMu3LEKzsRy yrIlAlxuecPBvIZgB/+WtToxAph+x4cgOZMlKvkjzvLxvr3NxDRrjM+0CYPBpDMrgSDOOCiGkIl1 zArL4Wp5vXcioZjH00AbzMeRKl97bA05dwxafLHEJ6nicDmdodduIfJRmMNgZ7GJpK+tCEIJBd1X u7JQlMwDOEvxrRD9tIx2QClcgwpJnXUcTlZ4DvWJZ/XLTPwaAq/JDP3Z/2Ria46+X9bizkdly7ao fE9YulN6pcr+ZOZg6LsUGIHRqSVvJHBbrT30lE9SZm7HzwAQ/ZvRVLX8Wz2SoP8TzhfQkZg7dwnQ 7xPMG1w+x2gkBVLqSnVmLQa0Mp/IdI1SZ6R5qO8fHMc46FcuVmAqH0Y7xJI3qXKKGTANwVW/miK8 Kj33J/QuLYud6aCNTSL1QRU3JV5TvgKC7B+HIsgkCAUAAQhSiYWGEY2nINWFS1m/3HTeBxMsVrf4 V8B3HZ83WMarEjL15OXE+t2w2MGOQrkVJmRH8RLNMVJjRqDEmR80yXnx/7DV1NZmhzZLXm4CW5AJ 4J8BGDwXuKhuvyNJqNd3uSAIIaU6j2a7FN0+W5RezTeUXdEWhf7Ks6iUqnVGIXO2N7VpyTIAcqMs bMUu4ZxGXv79qrX/rgzoFz7xkMP5VXE5OwXo4V0MYZnnlnbN9oL7AtxWvib3AP4ByHX977yfb4lS a/R+cz3BY0kR4MmPIOPPebj0q+zODJ+1A4OirAhtReLL4DKngVkiWvLSPl4XYLcySH0VA4jvcNrB iiKV4qI5wCvu+O9tM/nhJNqyiRfU8bPBaUlLYI76HeNXCrP4zma7qH7nBn2mqh2lfrBLYtD1xapy LyfJQUJcDrb/61z5gwsdcUVIfi9br3IjNDu7Qn0QAXoYExBgskBnSdCUYDftcXAb9L+O1J0aQBXm dVBWnrfEz5tTVoCWNFB7A+k/k7B7yddpNIB1Eqq10rID8fr87o8kQcoUi+5uezKsAQ5LciWdZ5jh UPwsdmPilnyn9k0ROxV4aTUPq/PLKNBr5Gzqbqg/zadGT6N/1K5oDsANyaH0YPxY5jAKbXrzCmmf xumUwWHTsKEquGW0mMpGkj+i9CQC1JPp2XM45dTqwyw5V9TzOiUJ7e5xr6yTKgifA3CMEDy1jWx+ 3iI/b/wAQipnqkbrUp2H/P3ibA3Vl5K09Tgil3G38FAKLgOvVeSbS7KCAdxaHg94MRzqFgjCiEz/ jv4wMjVUoN8FxEVzsenb0AjUH8ENu4ANSVHgf8swNk3Y8+049Qp2dDuV1QuP4q3P89CrGwSlH6Fr bAnQbe/c1lv54j+hfkGy2SAgu6sZgJ4G+ZJ3aQvdT+XZUdH9RvCVRlWfFzeYvIu6GHqTzce4N7D1 Vs63jf3M0Z5SHFfwxAZOqdWIf9dmQgmc+YPCgll8uczrQSZw6u3W3e3WgbjCu1UUbWYFQuKYlzhy 8F2VadrqdrfZMZGvmuJUkmRc2iHPdMaXg6Xqy587jTp7moI3E9jEg3XmGmuUc81cBf+es3omOB9D EAGWX0AH6TnLBZMihZ3ifKz+IAB9+0jXtyF3i1pUxuWp/kyff7QSWPDm3ALvJgFKvfnToaFwft+t o+MgGb6rdjJUNnhaF6ZkSSoI/z11k11uxBQKhi3ByIgslaz7OI2kshTQZuX+bA2zeoiCOrvJNYQM ZWsB4GeG+7gNfG5V/PYrXgS0EJjbnLxWR+yjCqVoumvSu+WrSHdkRSgXx8+iN/fka/t4LCCxtNl5 jQFbdjZUGMKXZbk6trZdxlRSdv9pq05cBNyPQIwlD9UWKCh3Au0Apyjf1UfKuNoD8LrlW/u0rhQm 44RfdKgb/YopMxdeGZfQslnbM23m2PzOpFRWPgr8JPAfrZebpWunrQduvz9d/WXWneXufOR5y6Gj Mnjy5XYhQFI59o+lE5A5lap/x3LZeTqLJ7ZMD2bThXURXufHhLXZPrpKJbCpAg5DUcb5CUHVvN8/ GYrhmvi9w5mzYAKUNRv19CnUrYVKVo+p6ebEuEl/7U6kvueF5+unAEJdlZYHVGIJYmzqM/Bycmep Wk1T5QMf6sJj8FTXMl2rBeCBbMEes5kEbTEjBPsVjYrSj7ZWOVLqeR8Vp4gIAxSndEeG2BPdnSAq B4tE7SJ27fCbC9ED+Sgklvt2QzhtBWCfFZ1Z2L8KlMmnE5LgM+i6xlIbG7OHQ+a/zsaq95CsoOCV /EBiADMF7F7Qp1HyPAR0Nk/ZhOMcR5jPOa+5ZoUrHyPUqi4ASgYhwj44QBiH4QY8FfAHfVdyHUcQ GJFjwR87EEug2ET7YbwRIjOz8H+D+wCt4+7p0NMVu8DEK+SvoXi5rCqCcVF4chjJN+IcxKO/RLn1 uWIfnU5+ADOcEdlqw2zlkgLi2bjD95BYIV8EtZU8UGlbtPrccmfU59N+jr5Qw6/sxAsC9WatXIRu s+2WhaTmcewEat5dfFxW22W63Ht9CFsNOOYUnTXTpPbJxV401KYBAyg2XLbUobs7f44fK1vX18Lp WeT0MQVcelUpHh8wYUWIC+T3e76a6fcbxU4In0dvEWOlDAftQWEUKbZ4aBlQy0gL0hF5NLH573jS JC/l3l01aZsJ0HjvotzVi+SeKjZMbQB3HRQArkf9k4VcqihYI38AVOfIaUHfA+A2EHTeNe1zKkKZ oYaaZiI3DJScrdkWkAXFKaVzFahIwtNWan0y4a8O+SLIGVELqJ+QIcD4HlLy9dWtdZhh9bCpkX3r EuBlFinFeu63ikoEaneJp5QLJS+E6hTC8+Cm5Y9Wds1YegQL9o9ajRlSL7+YiTvDWZYRkDEPqNUK beksgfboc9bffeaW12I6JcsX0TRr1y4M2umMSdwEq62jBNw2Bl6j/bz2PQz2bSj1+9M+DiwUzq32 lqSyhzFcSAYCkxSShu/Fc3gNh9dkmF2+gRRZ/iXEPxtCbowOBSwMZqB8ZiMK0rELUszkV76rTxd3 Lcgfx0zMJ4qa62v0Jv2oQdP73cAD6+BAtaEAk7M1t5GV9mq6rAoEnb7bbGTWd042khtsP/G7MR5w kgTZs4U1Wf9U3iCl1mGKQZIBH+401UTK91JzlNjB+QcMXZXDevB+hAGmYfyIiceiqJwjxbnAxYMP 8EOGTMmDSYti5gx0xCLi+3YmJpzHLskdBtRzi2p/H0oBxjSBqGOOlCojezyUSPDOGjb+hxPahhDP J0UiiEfX7TiDy9/D/tfw1oyit4Ie6EVesdRnmpspEx39OohY1BpK06LF5VIvLqmIh1VWJLWJyI2q U4zp5x1/hiLKY58wyZ9hsvmLZkmLa4MEpTpaVNKnVyJIMidJNsTt6PFE7f+jbPVcFlpsTsAzjJ+s mUAwK18foGNPU8DcrYNA/3lEzrAYa8uf1+kFzvqz5bJn4uCT/HE7yLww7PNT6FBaoyXcA0AkQerx DiN5etS/P9/ED+G1g8rDoZrkfnYtsCqHAI3mOyGAez70ptanWayKYF+00hWhd1GCNJW5ez0+jJ7x abCr31xO+7aGgdGL/2OAkNCpzpv9sDL+bRsOh23bIN6GOSogvxOKGHpnF1d9ziWH98uboBbHxeLh PnCktnmOsLMe1kY7jS7/HQC2hA5HeQFmVmMDAkh9grgzmDk+lDcLC4X5o84Q4KQBPz0M2T/fuAAv BkM7FNgAyad+nriH+Dk2dKW0Zj2Py9R+beKvsuQweVkE8wlBM6nCgFNqPjqHBdWObtI229lI8Pve mqW8vQIx0pUoPI7dZA6RbUqaNfQKQEJ9w4Bg8QMCGlRxiNTn4dg5e2sbbuglJKjt3+p5okAzUkel MBvYgjmt02YXPoWjvzfTALFe96UR5pyuvjPRJKI/aYQhCUgoKnfVTYAPK/9cxKikrqKCnjjGgH1Z 9wlT+m815bEloxTgLDMA45A7cDsNxaWelrZH28UOz/V4YhH/Skm31hk5lXw1ZpJ5loirjiPKOWyN Rkl5qaWoSIAiYv7kQ/JymUiDp5vGLfbd4W88p0aqia088/oa95qTh7MV5Y4/pYoXJe8ZxNow+hbT aNd7EmQP3p+GhjvMlBgm/EH103syTKGBlSY1WuKf2eQsqx8Bse4Ks9g3IfMbQ1WJgurYktzrB64Z L+zIvPWGLslsanNRwBsOT/FHTgLd9YDKpHw59uccM89OKwbFWTLZQa0g9wQRnk05/pZJsp1gqPSJ rAAuAmXUB5RAeYeIiZHeUPqy4YXQY/5PTIRJVIEFcImVJGvAlhIkKGaVFZu9ulw/obyNoGyrBSHW 0uIfWnCho5OuXeTtnfLXUjD56mBCuj9+6PDCt2OaMlEIWPxEnPsQSbR3vT2hRuTp7EOtcMo4TOh+ pJe5oUGMT87AX8TN9pIj5m0geAuviRYOThvHoTr9SiH+BTg6DFgg7w9l7j8vvvIXW1aCvlsvpcQn 6Z7Bw7R2u8RhplZjVmL824sIhlVR7sQehLeSNubPe39+bkkP/qY+2DbbmfCbuiYAqdt7X7rh4f3x uIf/fFEO8q95WTVF4uvh6IErWWGRdM7Enml4d3hG/Id5BTVuBI9g9kho8oTny6R4GlMBnjh3MSpM itVnMKv2Rs/O3NcdlAVJUvc88GSfIG4Tl7bFM3Hi/DFsQSZM9oT4mtWRijbFJJs8JjYEhZtp0s/D D6YEz1fToU4R7ROTAjYUKXVjjf9VfLNXUIbYrcIIbie4YCVKnw0O+obbPH0UXDMRZr8NgMgMDHKA P6w0Uj8jnVHWUcH22XklfsAR19kjIWvtzptvvrx1QKnncW3zlS0/tVdIwvFOLYJfWz6TQoCKNzOP P77E5uFz6mNHvk+0yx84ySnakDMWvphba9VmxcDfRteS1Bpx9vZ7LHbCPk4rIe9/JUiUCQyt76CY SOdZCHygOSwAdGzone5+l2f18G8kNEqwy0FresC5ARMEwF25dRdSs9DzTuWR3ZKKZJcFkUBkfCSm 9umH8akkvlj1w5sl79SwdTMYzGfSUvDAHIFFUgZzGXJgEeL9T9MbkB2S4ifOuxHl/d5jCD5PI+xC 2ZNTPnQbxN7mZ72cgE9kQqyy4LvGq2LuNlbF6FWVvFw9UCcCyUHRSrCvJAJHt+30j31zTxLvDEf1 3T6dW+aGwBozQRNEubhfwhVfF4wpiR02haYKhJRvEtAPQ+qsFmS2aiKSiO+fyMKF3UIOvf/YoA/i U6tim9CAzNEUfDSU6PBD4boYm1kDSmeOy2rb76TR2FeUXkKZTkmGszJuw9N7po+ssgM4RuOBYAMW uXDnMJMxJylJIixWuIdiP8YkxZd36Oy3p7AzBx86gHL4us5EgDf2iyRykqsYz6uQbE2Jk4IcZ7jy xklIgvowo8ITZMdcK2r6z+fUxQ5Mro8+1R47FyIdBYAHPgcsPaavZdJ4vypQ3Bc/XisPY8br5ERr f5lTPEqE0vh2CTebHepko446GZtNvsjJ1dIRBp5wJk8m6a5ynfZLoYQ42+1QPakwCksLwq1woSb+ bf/Qi2Cbn4WsCVBKc+hKw60KETToVj4vKFlMBBhPgxUMgg4Dzc0VneDRHNacGii7db/EOtkOa7OA Sg1LIRgXzQjHnRNgref9daxwJBfoXJQIuLN27G6jXkrlVH2Yq/QDUfJomSlhRnmvM16LllpgkibL p41+2b4Ro9BKNd9l8EbFTi5qcgTZb7r6K+QSluZQ5kk1LAp4+Qz8WKAeE+AG32+gwl5GPFPHomgm xi1fWhVjY6vo5AZXdxhlbfHPNTcHHnZGPHa5diWvYUdpgz4sMUrl/VsN/F/HhMmV9A9TjrmY9wCd kUj3kP1mvMgywps+Dzp6enApMgd02rKz2tKTvLLkfcofUT9V2cCt59ws9pRZZsmanbW8QZ4/Vge5 Y8DTu3KX2cBEUKbTKpSqffUNK9VFinqYbpsysMlZAFKN4rGuJvVLeySYDxuXFdojDI/J57LVZLcX uIkJVtIst8LoNLcijVsB49138gc9//2Nhi3UUgx0A1HeM5fbX1EceB7NGwLiiZ9JmY59Jou6DPk4 0ykzjImH5GYBGbn8ogjuXXiI6V/V3mpUT3+qI4HO7AtZkRolzZMy1TM0Oe56fYjZL3QRaDlF4zbS t0pIdKxoc2DfLnQKxFMdMp3IbzZ6HxMTbjP60clZ9kueRxvRnI2naEY6tbncr5bXcQixtHGTij3R MhIb4jKNoptisLPRGn2xDjHvjbxQTLLTsP2bv8RZaUOwySXfArjpo/img06qPTdAORPk8iW5GTAv 9G+32ZA8v2C8Ni8x3bYvkQM7F6fLn7DQVRwHF8W3JxQAUGjrYmGfLrv1zhBwXrv/tfixKyvBu57J 633sBNP0cjDnuuTZvAI1OtKVKHPciuUj6EkKxxnFHRAbA7GMj2n4GXLqnqC3Ggc61C6Ugxv8+zbJ DWN6bbncofoiSea7AVan2SlCm32AFeHNWi1GHfTEEFPUgIOp/fiC9NPxpKC56Cczh40DNiqXbL0m VnJxncs5rbSoa13hOjA/9xYxTQEd7vwLjgySH3Suo1GuFKmCCUezEPYf37S7mNIyaPIkPaBigMla veSU5PeZZdpTUVmGNqHBhwhk57hTkbjiJZ/QFsB2LQYArcSjHLdGuJf1dSoQnKjBblxXmoCwFZ+p hzv9vFZ78fiDLrxRbLkt6B/3TgINzWHaEu1xwBj3elAUlzjIfXJSQQeT5zqs5rIfWlyy90aca9Hs CEDl5uB3agLTo1OXTq8aFOONslWbyl5voOcVsJ2jlE0J6g2xljZTCZfGHArB5IxflhzvZGsyCbqk bCDqeud1sYUMPE1Nm/sbN4RrYvPsvPfQj9JTXLJjKMte4n20E8q/lrZOCJ3pPB6vTz06ZN1MVDwa 904yFczexOWituu97t/RcSRFRn792qbu0R+1SETnbWHSmlRgUx0ELVO6hSqAdh34C2+WykTrOBzJ oRCC8Jy47b+CcnujdbBOUyd7SbqzBM+Esmxn6bsIQc30y2/C403MubcN7n9Ed1xPHk2LhF540pZv 4EX/phj7Mr1QA/I6bvhm3bi5UR7hqbkasSxwAxFWuhFJd46mYIey+5/te6p4HlMAaCnWD94VKDAa /QJWQk7u6qLNfsLPSW/bUWt043fM7onhy3U5D0so0d7iQJVAJmC7/gruqKqIflj9z7w6gwULk8ro X0cj1EjlrvP51IrMGG07djJT9XVorvxdM8YWcKTaEZsksj+1rLd1A6+/5m29wb7uJiiVmj2u/ofM UYyKXsxY76o9qyX68MVHA8sNnjNrGRi0YgCf7xCj5eymPhJ0wlx2PfsyqvWcnaaT5eBdZ6Jl910J uwYf9DbI047QQskLxNKRXMlrlpUj1fYAYk6hbZ6f0q5TzoxfsaAPQNkl0zfShQV1oAmKGUMOTz6W WzVgDkj60LT63q61/Jr6sFE8U8nuEF11+jURvVnSnW15PrommwPylJp/1BKv/acWvMJ0IVcNgg2i q8gAmcUXd4UV23C+rHy8weNghXvcn11piX3M7GXZ57tw1pVkGUdcx6AS8MB7s5BO51VsBJJNgoWr 5qUIlkztOmEcV9zRZDP0ZFbw1gdf3J8UxzBQvYJJq1q6LxaW5gcmcaaVlYOHlo+whEnL+Bthr/X3 UIOlCgYK7PothK7e6p1EW4AXOrwY3BE+5wqtxYGtzc5IKOes33mvHFGGMvbaTNG0K/nPk0NkzKpG d1Z44qPhkb6qEb0TbrnDC2itkE14zxrdJKoy7+27OtsdUrFcXZjatFZNEMbDu4wDnxCvK+WI2Ndz dRqVV0QlfbHwc+fzf5bGVgjBsqxm4gD/qCuqaBu9rh0IUUSCzoxv0PxtSN61yugLgFbIFlntHimD JODOnAc+wM+7vpBv+cPuw5Tq+ukkNxC/Zx9cwTGhBBu8jTR1uZNS7ASuHvCCeE0XzNvRoeIgdy2c Ct5noSHUfYusJjZHlpFZI+/Qo6LTihwYLAYja5nAlPBZ2b7YogzCsbv44uxzcKj8yiVVi0Fi8s+2 OusdWQmGB80mZeO1XN7NOHmwEQ0NGQyMcormtEeKCE0gG7AJXaHgmZIWUJOQP1puB98aXoAZ561C lj/Dl136qFypFal6h2858Ew+nnv4L3TSLglfuQWYQJ9SlBx53U0ZhXxWUwb3D1rtNrtcGosdnvdb yaTuMhNRUVNcL4r3pc1i7sb8x/I+9cf7ojNcJSPbG/LXSKA5I5C+B6u0fyIf+RaMg3yVgnWWXOS4 0pdUPDKg/XUV3ih88X3cOJ6j2Xc17D7jUqy/hAQPahBb+DRAm84FUTa1g8kfqFTKwXOnjG8eN+h/ D/H4HeklTGe/oYvUnqFwgXBxQEI2qnW9RDd5wdM3e8e657kHLFXSDYgAWrHuH8vtcnsr1NeTTh+8 jmKlX4Og+dm650RgQDsa3xaK8CHtE8fFOYOAsEfNJgfb3RiSBGRYmQKFgz6PbX2ywpA0FhwYXEt7 OjKIf2T8G15iTDz9jnJnu8qy6klrtDvKMvfn6Yt3tAs3BQVPWoYHJoAy2YAmWJzCmT+4fVG+2t9t vLbYVNbC8ezWaDca77qrZmX8WwKmxmCTtY/H5dhnGhZDpp79C7Digv6/1RLj2xmLt+AnY8E0nS8C gwQlgDOHrVPUM8cAXrsj14zVxV18FlHVRP3QBWv/U6kl4fzKczrkQ+DI6ri2u1N+5FSzGHYsORz2 +T7Ed/al0dbTXkfHrUUv0nKUHy7W3NwE3ExObMvvL/yiIe8FNcTGIpC5fzL+rKJmmZ3i0+JYPik4 yiyK6qKOxZA7CKaEvBPhmmuN7xaJOaQEhy8Yx7LBdB3NG22K0aKK+VNaYEQD8sjwKDoeH+KodAhQ N8jUpEBigEPtnkAtTOSTdaXA5sIvOSMo7uDGowpOz66FiVo5Lapv8JKp/vPU7fiskjd+HQuE8W/i yuqKnSlErzoXcEB1IvYMlkop/QQ17AYJ/Xqs9QMtGEgXRl+LwaTA8pD67Ra/SBU6se1kUN6HbWuw 2327gQtid35mMBG2dOq88LvmYiTmltICswMtYo2qIzJ96OdyAL+deXaE8f2v+7VbcrO3W9+tqzGX 8CD1j4mi3e9r99mDafhY1AXYb52ZDAtOIlBU1df6tD4B8J1VpHMwEcF16Qt8q4afPk9vJ4j+8aFe 3BeJrjrTYBQa/rkzwT6mYZBI/cg0mWEq7uYroiW3iTYi4HAcZKKb5GQzJnvartWuepavHSA7mXGA +pzdogexTIK8nHsvX7Qek9VyJwLkHr4z3xfbMb/E6RhR4vZ4W3O6XpppLz2Jke3dHJwyUzZz0Df1 xvwemhUnTpg5IYcrvWJXb7rBqlEfI2pbjJ6vOarUYRoGgfKHNTM6GivZnAcFlOnwXJI6GPwiJ0D/ sgvhnysDCK9RrczmuwkhMf0qIkJt1rZ7NKbJ62qvpoRZcyZYbOz4npqTWze6wZOi8oTLVx1c `protect end_protected
apache-2.0
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/924f3d25/hdl/ramfifo/updn_cntr.vhd
5
10193
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block T9SzZY8k9gM9533XtJLJJkA/o+75gJmaKuOMoep9nwkvSLy1Jo67di/zYro0J9GKF2MDoM+xdUm1 JbcqDZ75Hw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Dui2pA/UhqLaXatx9Z70nDBW19H1lO7dKPhN140V07Jc0L2D0YrpN0+8y39D1dI4yG1WkpVSgMFC 4B3SffF3OHMOB5cVjgRcHnx54QL9GaSEGOH1LoxwctA8gmSzkvmO8iRzhOvDgcczXOkCt+YXoT4s dI3nTAWBI2a3XCOvbgg= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block JQb4pHLv43VbvjLeiQJp3sIaVgayZH2jIbOLxS/lF33brBhGOwcZGs2QFJP/0nlReGUheF+Xc6pR 0dkN+UbWw6xe2SJa9THc+uqNRi/AH5TgC1c8QmhmmJH0AmEl/CEJfIVMvEiSRzCNiNuW1K3PDsAE a8P4/pEjz8Fsb08sFGA8vD7Ef3K/JwqC+FBjvZAoW9GwTiUA0EKoFk/wJe0ynb+j+yO33vcxzMa6 /9MexNMW9TQ+cHK7/DRnGd0DNdfhevWedoIUnAonlSIlBkUYIOpQKkvomESvW9MMqDxOGYQ5pSN0 kObpxMZj7lAUgd8VISAObqCyIx7CrBKgM+zSaQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block WaLpv7BCNtvA9OZ28TETtjkauYBgURrqhVIKwtw0ZVyfFTqKRC9mtBWPZGCDBhLuuADPOgHsX3jj aS63izHd0dSr1OYNmyh3v9MtBhLsxdGt/epV6Qmtum9fYv3kTMd7bNQS3UmaA1I+CMj3qhjSDudm Rw31tvJDbSg7Vj4Y9c4= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block joapmiOHjgcOy6kSpCaLwZJOHjP2ocHYZH41A2gdy9376SmNad0bsibThe95jKeHRdlkisAJ6fCz w/dznlFTjV5LgMORrJ/iMFs4Yt79Uie1qUVi074PrvHqPGKKfTSxn9lROaw3OUHGAyNj8FdyKgPD YV75YwlpOqgPp8w3C0r1qyY8IJIE0mnTPypK0MDZNJmOvXqRon1HpWzduGOCuNJGX1tovGduaMU3 4NSkrflmvq4eTglUE1oNgna3N1wPuJNgcIDwEfYR390A/614GIoON5WAHBV3KLGiLOtPZ/uh7X2g ZNxD8MDdyNduMSTNIq0EX+g2eoSUXElNgk+7Dw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5808) `protect data_block lJFbW3BScupe60htgIKGGeowbMK7NiFmyzKFVON7ExhcIEE4DbV/kwLrHoTJUbGZjU+Xaim4Q4X5 kMW1J0BOuWGw7UXDbZSI1UZPVoUdtK/QnOOeJ4ZmM4qrlVRhblZngRHEWks44USH0nebXoruCmKT ieV7M0xh7ALkpyHfX15j6PJwMGcZXwMjqZ5YgFJJ5ukVR6LbsaKXvpQmR2cpwT/RxfkrIZR9JvC4 J4z9BQcXJ0WsXKq2YkUwLt1GO01L641mx0XP4LtCdpJLWgfyWgnMLlP4lDlwn/j35BmA7QcveIsP 5pVDOpiiVExTVL5dqYokH02WxBMe15YZBscoSSmA4WGOojV1e8IVCnLl8egSGMc4m/jm7oBLkoBY qv8IZrKuRd1Olf744Xh6Cy1KdrHmM2cqRuTkmIEV5Xuby+etbuWM287a0Y/RAicS6o+PrwfD0edV BwITEYl/QuGUeGH83e9Dd236R7m10fEgWZP6UBleFtj3auocaBOpNIBKCYGNt3JXo+gPVdHgI9+5 dv8dzKJZAvD7ukqjt19qZeq4DU0rSs62ORlSEKaT05SlTNUcoDACD7w1lB2+53w4a0hH0W/OcjXt OV3MHa/iTJOjqdUOBVnGUyy9F/gK5L/mYIer5o8U7EXxurQ9C4sBNlI8VdegJo9lIUiP9gBbniuq n3nnU+d5P2Ki5E7V06Du1wkjgxs7UTpgt16bNRIY+c/ju3E/hZCyq/IebQS/iu9/gS6GU1liYRW4 edPF0nvdiD8pcwR8hB35ly7cgqak6wgAV1hADT99X+QlIlPibmg0jCRXkqnvFx8aR+b844iXSyDU 7LAZE3Rw9ZstZQ7tbuwhMZyMbQoy7CIFCYcfMGTK7eOFPjz0kAKiv0h9xz94qYbrN7l1XUjFcrTp DIPotEcE3cW7fiWhHcrysHfLzWI3baxUYagURK+PYA0TGTb1rEYp1ZpxiYzs79A/ZoRilQAETZv1 d4UjbMljwZ9WCSS1BJJo4u+EljZdwWRr7bKLH0VdQn0zQRmVbBBfccRvkQi+V01ta8j2hdd4fHPl Ma4683IvUtlJx42uZeqnmo8DnSupxAQsVIeLSdXG8yynsRfs+T0uAaXrqmz3AWytzn5IKpK5Jfky NbDF0OXhIcJzADc0hzhkNDDEODzh9ddA7ZE/7M9a3drAgpAKzRgZsxCHBii8tMQAYwGWt87SKER5 xZS0WZnsceouoCB0EB/iIAkuBbROyQhxVwPK66Wfp0xmF/a25yrHsgfSbVoCaJjw1dgqRPVjsbzM Xoh5iu4KAGJgX5tqpE09HN4WG2a9q7jA1MVsDfmvoWtyKB+AgbGDi8z/cL6pgZo28q5T4WMfesSZ 6D+//ymSWKXsQH9cRpS3Rfbdwm+Ihj6xybwVVsWBVg+CDEn8PG2aRKEp5ig3AqRMn2oHrgdIVKp3 tL4AZ2lILj1t3sgQGEBdDSuFMa6pLq8C/uh/I6vH4iHUWKu0AvVipwa9YL86sPQiCYMXS76j5UhB h7y2edkVFfmbz6I5n4oMkVIO+85+jiTAoWD7gi0/fD8dZL8DjKe+Ct+4Bibnd5bEy77lhn/5RY4+ GwSSD4CCCHXsoLTC9O5tNH0KViRD4Yb+GrJb9eOBjkh9caHi2+B/Tcl3AaOgdSUJqo2k2gV0WsDj pMTkVWfxibnKHpQTCl49wm0aeW/66hkURa0YFh9YpC5+Lq0Gg6yQTI7gFnZYEUMilCtPkcOF80XP e6FMhcroP7KLAQ+4YpEp/Z1Dr2JbbOLkMBMMoeZtPOZEjjr30GpAO71K5zcidI7kjy2Kk4835Sjr 4YlZzGNlo/tZv02/tFqRR4bBKwtPoY+ncOl+PCRybUS14CWWmEm59RMdwF2hsS0DprivtUvMPfZi UU+OH4LhXR4UgMOVCNXciGDRr3OpYSFlGdypEH/Nk87v/3ypfNOLEXrUYIVOOjJhuVO/Fw858HQU kPK6Vf7I+t37xVSW3aryH7B+TQP4W114lBqsRXDl7ZpSlfpopb+iVnMqj+ZYIkajRoUAp2JYtUVw crlZQUOOkLAjnkuCQw6omwmCMOxZ2mfwfzkb8SSlA+ivxkDQDXVkEnh05ae54rYnMhQSQf9EVdK4 iJzdusac9XWjXR22FQpycUm6iRLjUXoh0laT/sMcBuRp6C8Q04ULMlfzS89QKGRPUmJVGkWLXuaa hlFTHjd2maysXju4KutQkM/nZc+G/U+seVChCY2B4KP6/paLejmBkagHUmMtQdnyZUvNZBMTttm1 DjXboxnNv5BKkquRSwOQbC+3LFSOO7bzILhbwnYC09Unw/fg0uJNc5HXGDMmqKUqbIkxln9pPmTP 9GZCptIGQU14nxuI4B5bZ6vkdrO10tloA3D+XrgJZfbtKl5amkHU8uy4HaNGQgbBgWP2NkZnSe7N CG9HKsBIkCMuJdMN2eZyORfWpEVgmP2Y2etTjx0L0XgKaMyRA7NxmfmLllagZKOIo2ae9nUVZiEq JVfWexfcsKlEPe+IsfyAliRTK4dRpLsESi8xRQMnzZJqSU2aj1XWdOG2vcC9AhMjOJQBRsO3rKBP PNCM50XcPu6hsJ494X+0UHuKwmXDLYtrAZp7Dsbd3KpNzoWxLalLHzS0MXNypfjiC6Y7wM+UHYB1 3ZJ2VZuFMcBuZaoehfZyCQ5UC9DsZuMVC948+oonrvIB3HoaUxC5Hw4LVq6F06ValUU5lbPlFS2b xh9TivFBTxik380m4Awwnrubo9AI9vV43meJ6kgoPiTb7Fbaw+oNu+arvp1JkWxMo+jfwkv+AmV1 +gXSlfum2CNOLf8V/IenlDHlHAlAO3EcBz8yQi3WCJSat+CQ1roB0CcndtYEuq0EMZ7UnoLuDki3 7KHM9g6B+V2FHlau4X0HzX2/FnqE8uoaQY9tJx7DDdlFR/OP+X4WFDyXirXtdhVSaMK4EBD5QTlH 46/7fWJwLP6JIUQZZg7eMhI/SaKZqTWZNodMTRZYOjgJXmPm0L5cjDCpgeTDhuCizp2FPMuyvtrF 2QAYWBqcA5fwK8ilYnh9hos7FbcgY1i/yVFZvxAix91W6ZH6QNQ/Iet5E85JfnuqqCFc621lidnv uD+vsDXiGbqnZJT2VycVsvtLrxIpZb3fdIdMWVhHnflI5Bx7ddp38uEo+Wd6xEx8MgQ7U2juRKPn qlSndS8ili46r2tjCZwBSh4b+fkt1tTqgCCcURvSpSqQLi/56ZD26DeGZu4di329xBmJ9eQVJoft erfotx06bVpU234iKwjrwJI8oE7D/Nyrwo2uE3eDHpiq9D7ykjEkoGjIkxjm2s/dVbpo/m4k6A3w WRf0uqwhhAP+B4HfBGMXRVdJyig0loOu3EkKVV32RugnV4elxmKyyl4RjUvUVXtryYTu22zi7eFL geo7lajlZ4K7AXvMjmK4p24m6hf570siho3ILQuFweGDkMm2yzsU1L/Y8DTa6oBOESABF7190AjE cK+CF5JZjWtqCXyDGjPVEANEYCwf0AzFIYfN9uJkVYNxZEqXp4Vl6tGgk57l5YVdZoK/DV7DeZ3d IolPFBQw/C1FjgghzAwTFYq+mQYBYb/rf0aOuRDKGLMjih6o72zO27lCH9I1StWgrZM4xSKkfUAn ojXkuvxjZEP6+98U6hn9hG0DFrxekWJmEPaWGNKLJcS8axR+SyvMj/eBIAD8ef6y6kecDnaKWvPh O0+JOH1L7xuOmqETss1ibyscpV6+UB4ElULxHuAMnRHfMIBJMJB4AaPs+hLcHNwDyEPgJtdyhDzi yY0pP8DAkZm45lZ+hPe2aUXB+P7MqF1ksOUhsbqJ1RS6hOothXKKhb1YE0shj0aQavFuvjZW97KT P3znRS+wcSDWcQMuLvyFiZiHc7KmUQcdJXAjM4Hjq6Xf88rP0ZYGDpxBHgwXYQJtVL0F/fU/ESwI zviLR0e5L1XULqqXM+RPtdV/dMLqMkk8npcCMSsVwK6ZuG4ufYJH4a0vKurXXUaEslcBmjQ1zmXO E56ezF/3RfGMBQmVtPiYqI8qqH+Rm+dAfoF2ZUO0cS68uY3KoXyQY6l5+zMMOBF0AOEZpX/0ArBz YqHFhozbvX0airtIwfUg5SMqSickEB3A36H/u1mythHUO4lbIO1yGaQkSFeCSjkWT90ULs9pnHIY SUzFOxqxneEyaBmWLTYoyLIg/X5g3i2iveuN3aBf3HciBOCIPVBvDebSDRzEt0/wVwVj8vFWEzrq CyZuyzOUtL0T0i3VGzMe8aAS7RgCcpSC4+QGhqsHQ1GEmv4CAOEU6rHNnq/ybbzHd3jgPCcGxPzU CZ6OoD8+WNLnXq97pmLTIAKtNYUZzQLNYoW2TO5BCaiHwedd/PORgqDDo8oBneN2FeUQh74wwSIj NqGNAjwPDjURI7KyOzaD27SxHbtaNFaP9ObcpNI2ZQ0ZYrjZ75AQNwke8lD4kBcEjLYsMT+8819Z BTqswvAk0NarytDXbC2ta6QwQallc7X6xVnQquCFJV+AJ6xkdHSrBrDBHDL0zNP2AUOQLjEB9FlL MwkgJm3RJtlddk0xsV38u3qUOZ6SsGVNuaZv3BI3YS79ohiDru57ypYx1SfWDkZZRTJLL9yuuEyd UvHmtiUtO/W4kNSp8sSHzuRuEZWWzSaR9RyNFmjiCHT0EffogdYUXefI2BFwy3202CZ3sXDH5iZC yztFPbg3CF1SMG7j3e8gbobrucc1mgO182V2mnLwA0aYwSiaAAEIcm/u5oulZWeSadyPiAFg3F1M EcjVdqxlc7w+/PmlT5hQb7ofwFwqkTiXpYF65ncx42XZgV0Ndxe0XFnmHuBAPblWkbthtWRCjXSG t28+odyUcvKacfl9SFYkbsDTLF5gwKTjgwSkJVFeR0K37z4pmN6sBQdlo2n1euXcc6WfItQ0+64F HlXRx23vWcaNZepNakUjcuEQDLw+iADnLDWvKkpwQMZUj0kX/pZg4p/2x30UdRJxMltvtYKv4gt3 0wGf0FpL0+m2biUE4gZiE8DainNHL8ovaHMVKheDPY1/dMa87JBixA5poYzYNhBTXwDgTYiiYhCB ZbcQzbQFjnXKT1imwNqbSmnX8A/k90cNiDDcr8Exn9Wh5j/up9SmK1vCa9jBBA0dEFh8RHF5EJ5F e4Znoo4pyzDtmk2vB3u066QZ7ase6J0bNUiP1MR26YOEQsO5dqFM9yIgo1DrpnoJArQ8wakTjUbd 6/xuHoDrphFyw8LdrWMlNyjf/AKfMTVjhNm7G2UgthmdTPa2f+r3Wz/X3v/TO4wh65OZJbmxyGiO XmP6rcINevxJNP6EAvwmc1O1J4/5jRbIWBcnp4xes8KbsiS2AfaLRA656kiGg0ENiP2SeWEb3zuK HsZKd5LH0Lo/DWZUBXhyO7xWZi6vN9hDpY5iarIW4LXFrZJqri8g1z41fLZkipNOdl/fWz3l1H9b oKA5un3WVwHf/up0if8prxBSwuVfVmD6Qk8awZjn4mkPuNmOpH2f/FcXXdbCd8bPvXDpF4YLBWiF 1CqwgVOEL8pBwKmBb+4p6NgiuXTDseBEFIjaGaaRS5uPZAJK1OF4iXNiwT6crWYWlc6l4vA9/cv7 3QpU7pzudibGkt9I3nyTvxzD8ggYmVNuR1Z6WZrkiiMnYlKYeUkxko0rKResVes0VeLnQpgDNEYG UN6IwNImrWsWxAUu2DHN1LSTa/z8efOo5p8Gxyj8bNjAXz964o1YX/8ISdEWIcV5XCWEurVuXNbH EwZUWVYveGFuUWTridbT9Zyi+u/gwx+xNSIEeQuTs+wqF4atHfO/kb11lJGdQbvS58v5CUc0e+NC yKbB53SkCzJR7vhFIGz+3IDyScbMuOAMNhp893tmtc7KJTbnUgRyeSx4eehneLOqGX5JwY0vDk4n bffP1mJBDQ8ze6AmcXRwJio7VDAIEUDOqe5ZWzK7lHGyg/AWyiNk6Gx3s+VsQ2NbsdfWoH4TAD+q NenKE4zPmhkgPj4Tc7JlGL67WJtU8xOeDYuKocx6ja7sFNGsd6ZomXdROipWScx03BNO3WJJWq5z D8EskiTFOih6OZxohS/qi5ceFrayF3OU9PYgUW4WRUNZc2Q6zezpmhHyFMnb1Wn2sUnoiYW2fsGG m7AP0ZnzEIfhXywQqLIt+W2KXEw76PkcY+NhyFYx+/q1AMTwg3gQFbbhgHQv/fkyfxTqE08ClVS5 unosOQ0+AkZb4mo1P+BZQ7I008kjPhvyysDn4vTQwPWfnuy27kBltcbLp8emjsjlaVV1GXm6rBm2 5dv8rQJ9/ovc/CN4hFhpY92QkE5ZUbXDRGXFjXrTivFbySH3DAHPZmE+lm+Cj/7y4rJ4aepn3Lbw 4ldZtsO/WgoVyb+ugbcGgwGGVmsDo2Q+irQwjWemfvNfACQSXi0cnXbIq7W5FRd7GgtyR3Ww0vZk o/Hfu2jQH8q9TN9XR5pIgeePPWZOQrTckYBvLPksSiOpvho9ENyDqBoF+qhenE7z6ukp6w9VmaC4 IY8JQ+1dKcjkahuYwy7ELhToebN7W2SEC7qS+0Nk0PZGz2eLznOcz2rX4Femi+vmgsqCPu7sIhEr nk9l4I0ck9V/qRMF12PXRTmBQzC9o+tD5nMH11j16aT0LeAnQLOppAFktyiGxHtuxxwnJQ6ub9vA 7+hVo1u32YR5XyzM1Ob/IDuUEu+WyepU5QXABJDCKCi31iRR0HYuN4bJY5Krij5pRwJdt3zhbBl0 sjQlW+QQn0uGlhT2IbNpxgXSRABwgDGjg5P6lIJ8zi7a148sCHSk1sTOeSh2IuzI8Xa+6WkflFA5 zLE9lgD/5HLPz/kBCt/6N8qDzzEjM5SiXL6QKUQhfAnlvEqHTQ0GRowAt3THI4vl9XgfXF8Z0C1q kRbzqqOcis4pMQSsHPDFML7aCWCglPRrdUAMymz9GiB+F6w1Hqkhqpz4xq2l5aMI7ph7F5KdNDkN 3sQx0jHNsd535DBA/YoiuJJUMLyHFa4xM2mHDF4FwH8agw6vc8znFmXJFUOUm5ht0pSK/X/+bC+H olTJme1CPF6hwODL+jsoKJ8YoqEnrYaUoLUthkbeR4W4tRg5Gr8tY9gqa8NYri5sKB1Suhbb2f56 E2kiGrnTk0A8ovuZO/+gWscbqJX1QDZ3dmMTp9ZMzJIC07NjkRKFkQZkh4+Ob1le6M+wBYjlvwcu oPk+frRYYarP1mKjinATee9YB7S5BSC8a6b8azyKOE+7lxQ2fSmIurJBs2EoX4kFTAOOBjeJeLe5 oY2DPU1ctCxDOJnu2AC90oMa1adVHR2JThS0SOdJ1PY33dd7rVCzBMMpKdFtmJjds1R21ev5Skyg HG8W7PSbh/x8wyb1OX2Ad9TatnYMAgELF6Utyf423EPCbf1cpAtkxD2zJx/4wzd/MLjHidTHJUX7 aBmPh1+uM2/q1uv1S8EmoSKo3sKYdgYRBA3LTtmks4HKO/FAI8zgdDSG1vhDqJFBPE5zdI9zNRdd ylVqLwLMQByyPmD1SKnT7PChLK2pAigwqYRpbtLzWX8fhn54oYe6DySX1IbI8hpS21ZwgdbFvX7W f88dhkbW2E/8SSNU9y5sHeevTSgnt50H+4x4Ps3M/Du1ZFqsVXpPYZ4i19kJzL36ndfqZAm62QU2 MT06Y5pPix1L+Gg6a8FMksPysuPzdV+KjbKAvN4CjdbFl+/i65MZbDyCGwTJA3lhQQIA `protect end_protected
apache-2.0
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_3/7b6e2d75/hdl/vhdl/result_mux_bit.vhd
1
13849
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block F8CStg3yDBVDIAnLskSv/VsEFA/nnWyMFaJpZHzdrZqyrHW+Odbk84wDZj3KbDpLowiTDmlYukMh +NvhufBpcg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block pL6N0j0Ve9Cx/pTg8jzLqFGlYWUUawcPHHHHAiguB5E5fzBDqF5S7STU2IgJ0J6M1xtV0bEA9vIt tUuTKOO+udVJCQWS55BZ9E4Sa5r7z/bh+XIDHFBfXRvyKW9XsdBuZ6ywp0BI0QmKJPajhgypPg07 5Rf+j/GmD3skssy+bO8= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block MD9sAzJb9aqqT1WxL3EZqcRzs9I4guD3UXlcqj8+pvabcpWjRvIrFCbPv2zeJsKFPfjygV+EUUl3 tBoZplW8RbwPGf5Xg/iXxbj7CE131Gwdyqqjrjd9wwAwE7vlEimWwbJoiCi8Vw/AR5USkJIph6bN mEZLqOkrqfpV8XvE8z0Vagwa9KcXonQmd2sNjCMVev9wjNsAQbxyZoPhPAiyzs510jDKmUS5TcVB jOLts3P/nwYrA+4qF5MhV9Xoo6anD3jbXAJmbF2jUnZSVrhWiX8bk0v3CZRhuQR7UfEsldknB/gC 7xQggACMSR9V/H20/awaZKDwZaal91EIoGhEkw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block mwvbolj7yB8LjFaFwzDLeNE1mWTrd9KnwkmN2vudo0LhwQquCpM4cauehi/qOAnJ4Sp4EtkNkUla dMiWcklqPUjv5/6/ooGae1sahoDzCFDS/Qrgx9N+FBV1tdR6mZ5cVuf0Mk2OHHgK0DgUwMiJHHiG 1efhZls1jNNjheiQUQg= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block kS/f6vCtl4vzbOQ8iG9L5GiJLptGQG0HeCPTLa+cWexhB+IxQRlSh32zsuSq5yMZii3PWas/Qae+ nXic0A5+a9twA6ec2ioyEWuldL0YdARjSUnlItTyTuKlaShh+oCJ3cxBuA9uKUz0lVbKvkBm3n/L Sgv2rZaDDrLZywsMTCAOyY999NqAirZLdF8133/4GjjqwKEF4nt9e9zrVq6q3Ol8PFttnIbwf8b+ uRO5+6M/vUuO/vDB+yRw9IJQ8L/4w9JFNK7PQWWyPvh/6EgisDTYoEqXE3Y/4FGu413LD6aCXtbs Z57rUp16i/imPTC2ndbUVWYqedjBAAEpN22MuA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8512) `protect data_block /w7waQzwvVEVPk9JX/4ygjG/BBtrKjPhJl2IVEDJYh2O+veb62W3o1Z/J3a2IyUv64UYwNPnI4QV lhcOXUi91CrTvMCaroIiEbfc52b2CQ/Tk3U84evU4P9iNjCEIye/UJYmO31EJP9U0pbusmuTJeTv wkRJl8Z71sYV/qhFojfP9v3zkstX2TfYJH2XIWBS8bs93E5Q8yTfNUrf1npqcpPwBnGZTnJglP7f Ncb0Yn6NweN0OzzC9ajVNZKhrFI3/kMX4c6m4FWHpx5mFtAh17/O4U9QAqe0KTpp3vLLeyka0Md3 YDMWVUvHpRDerieuxQ0MYE5l1VbWtK5bfc5ackMnVkPst5eRmvSLPOZFA2kwGoTczl2TAQunUGkZ CVYs56+XJp71A9M/7Uz3+2Co83v3Qzf5qapWGjJumGVZC/+flxDJ8o9Rb4t16XmlnPpamjayLbWv xD88jv/AirPxC/7qcqZ/P4Vk5JfXkRHvdKp9MXWekBFLCIatjTbjFbfDmad6W0zqGu5/NDXz4YK5 Denal6c2sG+LOdCujdbFR+YQBAjRnJGsfnjow7dhZ8cIpWvjVMnDinfZx/dmEb2dokpFvRh6Nlfz cwhD6/tw5Y/uu2MIWW/i+ZRNE4iPRsko/OyGhnv3tTDe9sCaJXzwI6MAf7o2md3hAqFFqNspHqGl ynamthv0pQv7gfUznGIBn1igO5vUHF6PXBxp+rjQMNHfayxkxdUyLogmLHIoj84TZnCqiUEfsYwg qfFfA1T2xHmYIZba4rQQwb2xQTEcIBnSeinHbm5NGw9hpOajbRETRKkR1YdbjwzonwiUcvrQf7WW piCmyJTzAjqcxcGIvD+2GU/RVhDtshkvmUf8tSLZwomhWrOasmb7y3S2sbI9cjA39SHpOEhHy3+b 0T6FUWHrQm2WfT1NJVCcxK2QhZ9f5kbtFYHo1tQR57Snxc3Uh8wjQ8SIpJb22VFsVXfj6HWP64HF n1tybNOuBTDt2mB6nfsRIxYNIxVGjOjGnuJU2Yt7/7G+w2yxjCxI6niMqi76CWUSMwJ33zZSt5qf 4bpCN2Lyrxg+gRChPvrCvF4ijqMtxZFUHpuFDvt0cxcCM79WgqEnu9LjGez0rqDVeYvQdero9ooy 5tRqvV5ZZ6FAQ5pS6M/riVJqpFVFd6QLw+OvBzlWp/w4Li5t8rSVP335InwpQiFSp5Xv6liISxPs UHWPCRa3nhYviJhg/4pY4x0cHfTGVrda6N6FhJF/RH/SR/1p5rbV48r/RIBMesvpbWnUp+gwET+j 0J0e7Lxlfu8Ncs+0KRty+EE8aJgVN786oysYBuPc/K2QeaGqu1xmCN6lmK0vXnuuN2AxPz36LP2A UqLlQDqEiAEdM4zqpP5FdaajXXZxRZBLZy+As23W1OqP3Yl0lyLJ6TX9VoNDW83pZjo7HRSYd1MN kH89GqqZjPGDbqmy35GAbe//ZlXKGQNhVugv1lJAnvGZfJOlJUCBsnfmTwkozSX/5K3JK8Ynh23V qkcGX7U49v8PsPT1SnltZdgteKxSOvj9iyszERkWh0KjSvAeDyqncAkv0qvVcJwWkeHI7b/5AVVd sbokWWx6IHt9UXQxvqcixu7FvkIDFfbIi0ryq3Hq8EsZte4iJWMJmTfidr3WZ1nL3e3cQvwdEG1X VQjrQ1vjSL6HLlJQ6kb5AAoHDgCDyu2A+fhZx9w3BH+HiG88rvKbbOPl2DPryodHcIe7kDoShKaK RESXJge9sZumnWuDhj5Tc7SG+C5zX3YMvwJTfXz8DQxMKjyOm1jurZLiUp/7MFlPoleJjokS5KxZ 8OAQxQldH3KkntQjR19YfZTGFimcDMt03u8uGteUw+7v3c+sEIXFVLAzBgGHYoXnYSdX1KrmFt0+ hxFkjplSc4LAphITeOdf4bkHsDm6rVEqMoAn/kUxTZye2w9zE7iPXRBbUXCndqo8rtT/GG+Qn+gm A01+K6m9qoXsnl65KoG7s6SsgMzg9BM5wiXJJ9GdH0/d5qSCzQn+egM1Pl9ffW3X6yvKSDRH4yBD zSkJfiumAKIa14cjGHFFodk67hfu/yWBEppXYdZlC9Q3V2vGYpyXG0L1J/ErxhBxU5Bgg/R1aY4s OF/DY3i+O86QaPEfZQES8YfEZ3ofCs47RJtZo9byPW5PO53eLlCVYlMq2zyNWfjaU63XvH7N9AfM bsIklmPrXbu17iaLsP4PSlcI7eOaExXrYJktCcPda9KeWBOBCRDi1b5GnrqyJxe/TJNpkX76pVuE QJ2S2hbwAI4TBNGESq8dAHNB80uxDXyOcGgGbnhn1GcHdF6v6ReLxniOcA1O5Xc8URwaYhrLFhAf FTi+bLx0g+CtwGUjRigmpcwwiNGRXWRNZhrDDhYoPXfVkd/aaUPaE3d/jPW52hPq1Rr1OquxLQKy V2wRMKlge+KeViKuplQ27c0wijGPAwWl8lsXitkLHEn9Zqc6O7Bgu7epejbF8vf3vKLQcIoTAc5m YalB2VIMlDYcVjBJ0M3lVb1R8N3uj9ldblwhO1HWw4nP0emQ3nVYh85yNGB9o76iC4UTMLuCxaFZ +N7gVwXyHXZ0p1QJf+3n+/1NFeAHtHW5YLgHItwUEoUjbO0/NB81g1l3EMppHKebrGcmv2Pcv79B hEjK1pxCO2D72cj2N8hzqkfpcIsRvr8+KWlzqgR3aM7YKjaXwAtnyLpMLJfAX3eCwIup6OMqqEyB q/f74FfxKKOeSkpXa9oMkMScdZX02CYhaI26RfKIVY+d9Z44onjSKjiVn4IdKs9I+yCavzq3gFuC zTcHsFTMP0uBE88jTUk5zWSaexsTU24ar2/Q8IhaaZ6PyPH5ezGNhlXcscNP3Nktp4W7gTHB5sr4 hVKes1Zn3jL5Ou6uNgpbwcbz3fGPuN6ryCsSbhUR1ivWpmsIjDmYKK2/ZO2RoKPXn8Zd8kAANXQv SvfYlM3gtbMfuihdMxn4PkxKlHGr8Zr6IUyTt56QMULlrL8ephB5O8HKkLi9Eu023WKpMGy+pG9r gTv2BWjNjIgVAOhzMC9389e91h0gku/M7YNWeSN9+6LHrHahPypaG5PIryupPFaYECBL+h+W7yIQ UhCSjfFSAHclnF7nbAYglWEzbVlnG+w6nWBmZXm/MimhnwSQWnwOd22GoqOOvhPx1YCKSYa7MEa1 /mZ+4hcAsOwxFGxTado0mgks5trlNTHlYo83PcshuZzDsx6xuy1IA8BpnXPn1/nQQyiy+5F0qQZR S8Df4SOUD3y7jDwhqsVpnmaDce9UnVY5mSYZrJEl9ea/Z6IN1vGEg16QLb1wXJrH0KWuSUyswkN2 6dikMBpZWRScHW412aZAKorM2FvRHt7AXU4Y0ACv4+mjTz6Ci3rVko2bv2wxpODwDbsmAAd3cnq1 lq1u/X1eG/Ii5nDJYGV5aGW1okD1l+ppT5uqw/GlSC3f+gaGEbyLXNpnNm4SK68lmN0M3rk24596 9crQfSwyJWbb++IYbrnN/JqoHY3JoDNkOkKtyTEVeXiLH0FijUiYH+CrhrhGS99HOryI26QzsVXY jbvKU3HeRuLj4KDOoxEqS/891QS7yjF7nrWtpA9J9gGokUvT2GMk6nWRXJRPMME7u/D0kTgXpLKk xrzRFqIeGelsK4CFpdkaZ7xRVIO7vNFADaS5bxqLesL0uLyLwBNjsfepgLp882Ni3vyyY4ai6DKX 8Quq6N1xQ9HeH2zJz9kgAeuKykZHGIiSqt5ilCaCSaDD7zK3Rw73Ip9YPVfco+iM8yFhKUc/tlD7 MnWJh/MZA5OkEiPeDwyffh5v2pqgOP7IQqyldyk2X5ku1y4UUono4TkL1xvDGuhvm5CrXDGyA29a KJE4fLZ4CGmoNxCzuYz3+C5Yzeq/YYyAdKNgr8Z0ZswXzanezhodcL06Oc2ww0KGqMW/RzXTORjx yAdnElnGtm86OjvXM6M2KdCtnLR/7AGsRNeb47cC14OxDiwlEwsyi0hATgr6JPeVPLU6oFVOAEmv 2kDMh5XQWnhpWlHRtOjRPbUj3hhzsiJnoW9IqD7zmh+v/h/xj4+T+E9v3mjtz4TnNqTj87Cwrzk8 prF582vw4/mvvUqG+PStnuJA2XuGpxG1faP9Kjj8LBmJdPKK+lLxmW+IM0lk7VPadqrnyKGs0kiU q09sdaCwbxjnXzfGKdlbwJSvJiZqBfTr5fMs33msWVCETjWk2U9D9mNHjOyX86fNeg9UFDNx+dN3 fCCzFSFUyJCRQBU2dXKCmPjeX5opnN+lFGS1mxG+0PRjfnbtyw3/OjuKV1APdGqMF/YKpf1Sy12l bsOuS2lUpb6cg49o6Ya2DxzKmiQ4QFc7WKBzVQ4CvU286jzl0nC15uvWWYaHSpo0njGZL330LZ10 kr6/xarMGyt/oZkcTl6b4a8rMqyPFIhMaWBqqf3eSdk3X/Jirq7YJp8+ACwrra47uQzfMKEQfcyi o+VQmEHNkta2k/trDMx3O/B9nUJnbXArIEhSEkEzQjPuk/u6fJGP4ZDz10xEOiU3oZgTbPdhNl10 P409XEtLYgKS2lhpBICUSjRu6lKS7Qqa/zH01nGxT2DP+WabwpfLMg6e45GOLI7D6AwWJqu239mU B5I23SDuXGSmxPCE1zHL48H2nbxiCRX2SSU0RP9tDwaUHBwkWJfZEp+KoLkrA2fedY6hAH8y46pe e08nyAsIb+SwUjOCp7Vw238ebhT/YW6dJwO2zHg6/WhG+/HqOM8iUIjWGYUeTnxTrzUmC1N727P6 7g2OxPIehhe4asnrQY9Hu6q6AO4Y3qE4Is3YpRmKZrEg0BE1qzUkv2GZsK6+1gN4qKGLPJrxrEMa TVkIBZP0t3aiU83V+aBs8TkSLXwwZe4v7lapnhyCiXNWSIPzsNHdOoJPHU4vM5amjOMDZ83zPfUG WaPNDsuB2WCI2nE+9oTsoXTbAxnxOE+jeOBumbypi0VPk4F5+lUfJj/a/zEBdRAyiml/CAPDCN8/ LvtohVBTRu2CN882hwE2yIqlrhgwSNs3f24ALf/JeT99Ckb3lMD2SwMlb7sNOOgtTN+Adp7w8d+5 4ejWOKBbFheoAPvtK2iJI0IpOX8IYmcgRA56PiQu6BxxCHh8lNLnr1SJrp8v3xbqVZ4pSfHit3hU L5zATmTvFRT5JgjPPocjA8k1CEjM6KH+MDMbg85AU1Kru+ciJYyh1VC64kA++toszYVV4J3exbOm izG3RoLyHy51nmCLTSVz9lC9H1R/ZDInZfgQ3xfK0Oau7fJ8Fzs2h3dfIhUg5qV6LFF2LPBUDSR5 9RaZQ1tj+qv7uYzkuW/eLDM7RaU2ExLEvXQHhb7WPZOT9CzTF6JGAxGk1vMLjr7do9a/QqPRu8O4 5xU9eTOwpZwAErPEyEE6Hw2eYudyC1Assn+EXTp43aXWgzACJrEVjjZxdx77xOtouNZ6wVpFsPop vXaSHMxnEQqyxLsmym33lKLU+KMgHhQ1ZtUx87SPB3e0Y+fRO0DryXZYh2YXaBrXphbIZOT6Xkkg om83msSJm1lgRiE0A2OsLVsWyInL5rsbcosWcL5g8q/9508JrF1jLVs5Nb+qcB704awS5fEietLJ wWxTnSh1QgSmHpvEDLNLrT7/BwPF9JzeIoT3/HsrFe+RsFwg24UxV/hn2F/5nyzinqe+2rzKHpoI vHFDKifPsD0tkBZzpajQEZWpMpyYNIgq439ZWfXqPV8vcl8gRbTPSC4S0IYikw1I2xFt4ZHTPegC vwsqsWQ/o9TmtbeE97UBqRbp6lTDEVWHAWRZerOt62ZAQHO/Vg1PqXXl8vABxwb/2NBAQovVsDnj iZSaQsR1HIxvGJL6l86JwHbDwyeXTU0ryr642l7LaOi6KGZ52iuzvazkPJ9Y4NJ02w8XghppWWlM qfZ7L9td+ZgvVXpojZSEePFMuJxSRSJI/9Aeuh1hJavy943J5v5SGBxVdwmjWqtpxSdkFPCnO0+7 1MG3xcgat7s6Zv9/aJozDNJ7R0AtHcaIOWtYzJloHJp34/20Op79oi1FFDUIC0UDpJjQz3jW3hPR pyKDW1PI6ACrDMsc3PBvppY0GwmS8ElNNhI0Psu4txH+r+TZPaxQWf5tGfs5IA+WKhMMudoHgAHF Bt+6GCq2xwCG7oBF2nZvHG6y7J/fF38WWKK2I8ir1el2Ij/MlnNS7T23GfMSeAetaXu7Z6d4Hs6N EYuryIrxhOQK1/0uBSbmLsz6/hB1oEkXHZWzHEpmHl3F8egAzUrSh8778DMGR/jes+Es89z6u7hz aEYE3c82eHYtO0tP7w4PbQ8Bvc2vyE64fLv+lrhw6NxHLP8JDoQPEuHMjQywfb/XPhNQ+MCweTTZ oxOczRAw4i9p+hqDnS4aCekL30j0nOC/DgRDCZZIS9lyPUKFADNmQPedBBwQ7TmCuvEof/4CZyAv 6u02YNJ1LLND5VaG9CxNPIv48bQl+fmR7pEfoPmRSDhTJXljSzIyS7y/myHT0eA6Z/91J50UZtWT aCq2cGtzNaGSLlgnf9+zKuoPoPGgKowGN3tw1nJoKn1to3u2rrmzjWAhT1/jhH2nRYutCijmfUzr 5gZ7tehUPyKzxUL9pHrVZ8KcQbJzBcSngXxS3+9jPXkX/iH/Yl0QPQKG/oO0m5RgfI9OysX79m9j J9UcVS3jsNbBppBWCaBwbbgdD9ccIgFw8+JZObkTEHzaiGsHkJUH8USmcrXo1Q+iQlyYJajF0QrL 8KdgZH0UAy90qrkQ65A9u6L1dsCWY6pk3UlbZvVcnCtURmimD8xpNuFotKbskaFE9wFJR2zf1GUU d6phw6ar2NAXGfSPdFoJ8DH25GaRnjlThIeuFJt2/qE4e5RGQQhnXtlIJdH1CXleMycQMTnw4JQf SzkJy0kdaWBDdQGK1RbqRNadXRY2miqhgItt3+nBNpZBbJXXbJTXZEoEDwqSnxY4nlQpo7kYKRcQ ZvZdVUjCbPsT/30y0ibek4BKPGXRm4s+5HI7WYqxbUT8UaUlwIfMmsLj756V+H777XL4lqVXCnoC JtMVURd5ye5kvxQuGn+4sniFiEkd6X8r4mVvpSJwdyxy9z9P/j2Ol6SVZKkOKkMqvX0kfaOx0m13 DsCjzq6TpoM3uE1zrP80p6WTvWd3JqNuhJpD+bw0EAf0eDjK74pazyUqbSjJcdLaqoi/kvki+Vfb CX3XrW2pG9lBGiPxgbuOO+dCcqbLzg9ugut2ySIzxogR+AqlZTwdiQrlJih31ONU8mGG6DC9qaj4 0pnX7lEdyXnzux6ONV6F1pVI4QzdXtM1zllR1+R8Ox1jzWZElpGSXcc4+LbvB5THjcI4ngirLTHz TmtXvrbefPvJ8zmMkml5dIcSAgotDRIRuatim5RD/u9TqEJbz3jaCtfweSL0aoDHNfP1sAJyvx5C gZMAFVlGtTM2AsoC7m/aNQUAxSm6fR4qQf884sdHqnWOmeSu1NsEWZyUDzhcftl5NrZ6KefZy+wE Td1L/yC1/aBWnuXL7OnW9z4mdMVCzvGCsxpjmUTiQdEMOGEf4aiQNqe/dAbvuOcBotzC7GyuVYqR nM2lrf/pznBRIRRhDRVLl1+93lJcRcy9FaOvJ/VyVeIhcIYEhUVO1sdNOjkc318viufXADpp5Ove 16ynUJjEXNSkw1fenwJ0TPcSnZqBHc5vKdRX7gjloPkCoh/Yv0V8X0J5hiYiqzlzSaza7Hhd61O3 0DYakTIPLZgMIz0vJyqk8Aqvv/Mo5ZZuJfVvu1UvZpZPVDERlIrKV+kS9DV5lHOjuUA2ZVEjM6W2 B66XDaU4jAiB0dFnvif8ms8og0AGj7SBwto9Gd1FzUdxlJ3uyNnPxop+i7VkaGCwOeZ7LbHRWhfc 68BhBlZaxTKJV4C+sDoky9lbaC6yO9pf2ZqvBoeE3T4I4aVWnPOVSkjdeeWIcakqa0wi1gfeRJo6 oTWso3GFRb1JhjX4fLFeSJXfHvIQIF43iksYaf/VtQdO05jTHvvInVUnnraCp4KwG2Rp/p0FWFsI OadKXGjAwHo0oZKBXpZB0Yz2JLkAoI/0K1V5xs7oDhkEUxAjJVhpsIpkHtA7majkks1fBXGtp3kC Q5xF2mAU8XnnTr8K/QfcxA8P8FTo1e5KjPeXGEoIIjBdAoIysykPHwHnS3lgUv7bnKicvkpvxCzO W6BrEr82/bnFoqYIrnOllmSBfxH1h7Sw059BEynaL8siK70hU2Xuc8WodbTtqNoWfc9S3toAnHbR l4Z2mKX/1JVr+huZkJsVZIaDmV7096tKeiNG6MMXE5juV1aEdmynDaniUVk/fm1KgleG9DWu2v1i STq1DyhS0iGLJVWpWp5gMQgTgrlFiKCYcISV1/+rIPjrbqkjUP+pO3x7tePZ2Vt1zUmCDM5VoYON 8Hw0/doAgu8k+13a2/YcYf+DE3ISrnXoShCr81hwDGWnvCslkC1t7O8+wMhu2VAkIJrxfYgrxcgK S9GObvkPxAkBt/fNxg6sRVF0ofQAJiZE4vfotEJ8oHjigpSGQCUXYIZe2LQ2XzaB4ZTEAiuykMYZ WoXANOeEPBCxEmH1wFiUJJP/gM3B1vic5G0eKQVcTxpgpLEMJiPF1tXoi0NH25bVfxZBTlBzqsmT WB1ALU+43Li8y6RWIN3nv0YcYh37e/lFvZ9XPyZx6Y3FlL3cHaOzZ+UmNBj5JlmzgQBPAE+SXRPt OGCYx4njfqJY/bkve3/wXIVCyTnzIjn4o61wUN/NByX/vZhgpGf5dPqeY6CT/QTcsMvvVIeIvfrN i7WKJrVNt23aiQi5dhElvPKiRnGv4dLVtwaUyqTbTAYptnqyFnlMXPEI8ZhMOPvJ10Pon2Ga29b/ 4miczh6KExPCxTlIjRIJKGKtvEf2FOcnrHbQDHfvkecaELB9x7rt7rj9Bw962VsYTOu+hJd5M46N 9Yzjh8FFDs3dWhs2Tz5p6el2Yqj/zoM/O4fta/EAuG4eVN4edo8qmjGGr5ctTDvYbdz9xLWLRSkK a0NLBZ0hZLNoRwRR7akEW5sQKAwt5VM66ndaC7TL6k7Inq/KC/iTXlB0i4+p7oqtqHV7X8oaG2kC JTAQBwPXaRfSTUyg2ydVaH2SaqQla9of/EMZjseercbQ/qpgnYLDub7vhh5kxYWjPR3mgOFYsdLS 0xnYGvS9Nuj8fR97XV6DG0bQnNpM62u097UrWlH2PXN+w+bB2FnMDZ9eyx7IF43/kcXwg0ko6SOz u7fPnrXgThaxYXj03xYdov2SNDZ9yrbx7x6WcFY+6jnU1iYgDZ/dOmINEDQda6+FJSu4yDKIqbXz zUomq8RPuhltgXgVpaMQ8ndd9dMoAOPMJlzzSmTkReAqTr3DlWIxjB+S4Ii44JDletw2McN0H423 gEjrykQyyBBWobg6oTOxKp5DNEpTNTLYpMcj8lmJmKRqyrqgK6iiSAlFq7DSegk+lHcC5OMRGTpT yx3MHxhbT0YnRybkm0CTCiViq6ct61UWP4ztpPJuYhF+ysHHRFI+XI1QBRyh1F31CIVuLOHqiobE F9rWdxCnFQNfdOOJL20lzBfHjKgDEI1Judu9XLx0HPw43lXgvJgInSQjzspAyhRe4NKL1QN1O60C sJqDwl5QIhwXGjnb3P7vdJw1lqVMYQpY81BlRdHDTwoehsOM4o1ksmASUrKIHAqNFkhd3CBpbYe/ ZL/y/1xoliwuo7U/Asbo7xuP+YprSBEmaBCRtodOH3gJ143Yd2Z2AquTXmPiBlaLk2ONz9hLJF4W NQQ17pSmWbN1rTmUhyZ0XbjVJAVSb5Rp254IEBXtan0c/Uj3hkA0NtTuzaanvSdkQxePzV3qTm52 /0aQDNZqOdo2EcGqcD/P8ayKoVsD8thNDyQ7KGn9gZZFpHMT0BxoWC5PAhvZbCUHsDi88drAwXp+ TeeDtoA2S11JW4hXPQoy5o+8UzO0WlSQKqbNPYRaPbGL122DnHiXoJDLJvbjdDnL2Ri+h4wtXzaG Pw5ZQZGeMwRjKKUaNc6vknkexPSQ4z77L9LffcQKeEvzpuSCS8Z6BML21J/bx4s2SD4ey7eSOBRV P/qfcZ8VwYVbHegj2ivrtGgCK62q/sAgHVQslEeiS/+088XErXALhxaSNmEp8DosuMGsZRSUV5S9 PE7xQ6TJ6Jp9U4E3nR0CD5xbd/lNgKq7UeirpmzuSQYyi6zBom8KAM1KOrcNs1Agcy5G/bjhkIwP BkJNAq5qZyKJB5sYTAX9vm16NLjEdhWN/NKftaHxCYU6n6tZaiuTyhiQr7g5SbTC1dukWF4WOxu9 Bxb0QMFglI37XwytBLmzVjlcALCEbbwKgG4GjQtgPlczCTSYMkApR37aXdPnXunUZ7QgA4vLeJSY dJDyYk6FLPe5z6Y+cQ3AEcL5Y9vayY2xabYG1Z85UYiEYSXwpS9itwPf4wRjf3XZNHECyl7RmNwN 2ZC1G65C9fXBYi2zK1x7NBOj6la23a2sTssU+f7LPC3YhLpRivx9754+Lck7Tp/EznVJdsm6U1il /LRc1rokghM3J2fbBxv+7Dtn2mnC6a3BstZWNcYiFVEk2IjeDTyjS3EK+HqyWgGVEn8rCo4iwHLg o7+Ph+bkjbCkxrDG/ZkN/6UfYnBGtXwUrVPqO/fFpkE6TvUTcCu3Cobo7j5rgWV+YTGN6y0NaLJi tVqzUSfdk2pQkqKaXMb86BJWCKeq7uYVIW4aULXqI9WDW5mJxyjfCIJma4G7Tf0/P4zRk+ThaIO+ ZaVaWE5Tk9qQUu5Dl8g9Hi7GTIM7Dxxib13gsYKx4zr0ZKUgWK/+KaAn+0Dz1Dim9zhNKQfp2+Q8 fy6dpvPt3uflwwLZc3ItNKtkRP9G0sUbt4eiClRfF7IGxcYb/udOYynD0nEJhu1tOfQ1G56TUqlW KJRdMqNSUIP5/lkZSOdBflMdBbNZ2RYXrZGenzuz2HOGKlQgfBCfLNxPxgvHJO66XnG7wo25bStf +SGI3NxKIp/gTRCBT5rbFmJJN/5lqVBYNP+zpSr9C+rlk9AEQCBOocmYqXpNPkEFEpAUHqLp+/HW aq7kOS9s74ETGE1YMjx2we9mBuAAFSiCu0XjtlFD1e01cdiOIF5tkagcwM0cBZIXtTY3lTILSzME krmMbecB6QCLVHx3Dsf7krfaaIo5GCikqBcWHY7tyt4QVsT6nKYuEw4lquT1BlbnhhvE4nMlSS8+ fRC0Pdocsvoj3678ipe9toL1EBrTJIQJzib7ze/BOBDnZNH/d6T2BT1lwJIRapWCzDuLxjcSmQH6 sgXgJ3DJnfWcnsuYYgK/pnEFxA== `protect end_protected
apache-2.0
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/proc_common_v4_0/bb615326/hdl/src/vhdl/compare_vectors_f.vhd
15
10255
------------------------------------------------------------------------------- -- $Id: compare_vectors_f.vhd,v 1.1.4.1 2010/09/14 22:35:46 dougt Exp $ ------------------------------------------------------------------------------- -- compare_vectors_f.vhd - entity/architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2008-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: compare_vectors_f.vhd -- -- Description: Compare vectors Vec1 and Vec2 for equality: Eq <= Vec1 = Vec2 -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- -- compare_vectors_f.vhd -- -- family_support.vhd -- ------------------------------------------------------------------------------- -- History: -- FLO 04/26/06 First Version -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Changed proc_common library version to v4_0 -- - Incorporated new disclaimer header -- ^^^^^^ -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; library unisim; use unisim.vcomponents.all; library proc_common_v4_0; use proc_common_v4_0.family_support.all; ----------------------------------------------------------------------------- -- Entity section ----------------------------------------------------------------------------- -- Definition of Generics: -- C_WIDTH -- number of bits to compare -- C_FAMILY -- target FPGA family -- -- Definition of Ports: -- Vec1 -- first standard_logic_vector input -- Vec2 -- second standard_logic_vector input -- Eq -- Vec1 = Vec2------------------------------------------------------------------------------- ----------------------------------------------------------------------------- entity compare_vectors_f is generic ( C_WIDTH : natural; C_FAMILY : string := "nofamily" ); port ( Vec1 : in std_logic_vector(0 to C_WIDTH-1); Vec2 : in std_logic_vector(0 to C_WIDTH-1); Eq : out std_logic ); end entity compare_vectors_f; ----------------------------------------------------------------------------- -- Architecture section ----------------------------------------------------------------------------- architecture imp of compare_vectors_f is type bo2sl_type is array (boolean) of std_logic; constant bo2sl : bo2sl_type := (false => '0', true => '1'); constant NLS : natural := native_lut_size(C_FAMILY); constant USE_INFERRED : boolean := not supported(C_FAMILY, u_MUXCY) or NLS<2 -- Native LUT not big enough. or 2*C_WIDTH <= NLS; -- Just one LUT -- needed. function lut_val(V1, V2 : std_logic_vector) return std_logic is variable r : std_logic := '1'; begin for i in V1'range loop r := r and bo2sl(V1(i) = V2(i)); end loop; return r; -- Return V1=V2 end; function min(i, j : integer) return integer is begin if i < j then return i; else return j; end if; end; ------------------------------------------------------------------------------- -- Component Declarations ------------------------------------------------------------------------------- component MUXCY port ( O : out std_ulogic; CI : in std_ulogic; DI : in std_ulogic; S : in std_ulogic ); end component; begin --architecture STRUCTURAL_A_GEN: if USE_INFERRED = false generate constant BPL : positive := NLS / 2; -- Bits per LUT is the native lut -- size divided by two. constant NUMLUTS : positive := (C_WIDTH+(BPL-1))/BPL; -- NUMLUTS will be -- greater than or equal to 2 because of how USE_INFERRED -- is declared. signal cyout : std_logic_vector(0 to NUMLUTS); signal lutout: std_logic_vector(0 to NUMLUTS-1); begin cyout(0) <= '1'; PER_LUT_GEN: for i in NUMLUTS - 1 downto 0 generate constant NI : natural := NUMLUTS-1-i; -- Used to place high-order, -- low-index bits at the top of carry chain. constant BTL : positive := min(BPL, C_WIDTH-NI*BPL); -- Number of comparison bit positions at this LUT. (For the LUT at -- the bottom of the carry chain this may be less than BPL.) begin lutout(i) <= lut_val(V1 => Vec1(NI*BPL to NI*BPL+BTL-1), V2 => Vec2(NI*BPL to NI*BPL+BTL-1) ); -- Corres. sections of Vec1 and Vec2 are equal -- MUXCY_I : component MUXCY port map (CI=>cyout(i), DI=> '0', S=>lutout(i), O=>cyout(i+1)); end generate; Eq <= cyout(NUMLUTS); end generate; INFERRED_GEN: if USE_INFERRED = true generate Eq <= '1' when Vec1 = Vec2 else '0'; end generate; end imp;
apache-2.0
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_3/7b6e2d75/hdl/vhdl/carry_and.vhd
1
9849
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block B4F38xvg9o6MfvtcNoRvP8x8cSXEp8i1ELCfm1Q9WTMDHr3da+0D2qdz0TusQWqYQIp81Gh6lJcQ lvHP7a5WXg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Y2p8uUgm+zh6L3BAgWFeiVoAmLERMKWWv/TXIFDNA0pUOVoN4Pd2JlxiMJJ1Ah7Ts0HlruRGNqZT ikA7tCxdHBqN6udkfcf2/Fno5XnmnEq3Qi5APasxXizwlcZJgCzBvjRvuWyODmxHCncnHACDofUW zb9RzyF3k8iz3isKhFI= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block YKDX9mSdzIx1gI3u6QeG2Q/kWjg9Eln/B1yOoGESJfmn8o4m4rZ6PqCc9UZbiCo3r3APV6s1BuXz Gam0oKMAzHdwwRUcu1xohagQ4wyBcURy85nNjI7F6FQxJqs+mmgjdcHF0t+fU7oRVYivLog8VnfR bU10cfHIbFTpw5O9kwiU25Izg7c+1nMECfGp3Z4RuXdNE1BeZm+fxHDVb+5VT/ktUwO+QPirbPF4 goTEvcJT+Hlss6HMLfAPFLFU5mFD+CeKabusbOjAb0BODZXJUEwshzR/8xOgCifhUt8UrR5iMkUm 3hslv9uUukWpdjhUho2B3W5Oc/4IfVYDttx3XQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block bxMxQWGxuKONgjcyL09El42cTTff1jrO65R3vZ9eWww6LeXFSeF3I5HTf6huDy5UVFRO/7q1ac79 Tj0kh+s4DYZd96EHI798OurAB96i+QaBwVkUP1BVIr8u04RZL+RcseAUa3iNKanfP8TpkTZrBr4P AoNwVg06IosYNlKKxsU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block HTiU3c1Y8ojeN+SN5rKWvtXpZdoES8T++pB7jgm/NxjvWfH8eTW9DP4AvTebgn5KOdzAyHFxOJ/3 dOVV3vtlsxbZi+I4h4fQzw5A2xQ8knoJFi35csWelK9wh5tZsNZSNMVGJkGmMDRYdoONEg7G1GOI a4NApdXRls/aTzS2szJS5wlWEN3DXdceqAd7XqkjWh2+JrdzTwuWGkZ9NfUwXhLKGhrQtrHKYCa+ JU7YL601AKoosk272BywGit6hNI3ugoh29KiS25AYBYeyXtjBjfOZDsvsg6UHLIAwc3zMxGeatvo dXTZWlV1q1MnnL4xfy8BqCJDrf8siMMdmKm5Sg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5552) `protect data_block 9i9OOKULLBMVhh4Iy6YE5zirvhA5Lw4BHW4b9wjtttkO60ctZuOfVeecCQIjOFZQJIFYZ0bYJ79B ki1bvS3WhiTnbl+/AYWt7nEhSZ4BkqWCGiaHs3HL6Ehcw7YC5qgsZmCR1Rp4wsOvC6BFkfrGc353 DrhyPUil/36GBemM9HU9GYT/6YqQ6Y5ciIsdOu0UHBT3zwDPhLSc3VM8HW3aCoeolCgvYvBBY+z2 MKB8GYFbCbkYtm/WgPTpKTTjHSp1aW7hZPjVFff5sbywTWhPvzgY+PaxByNmsUiAliVN/g64022U IKolpMLDRALuQyFGesBBwkYgasAfjEguTObhpKxxmpII60iwrYbrXnS7n/9CxWT5CeYT3GhNQAH5 qtNjnBzvikrqKLOu+gSSctgs5XpCUUK4QbxjipSZFzmdv4KME0ZP0X5R56V9u9Nt5T+DjHI+V6Tq qb/YN8hf1Tb57dK2UZ3j6dAHCecSyqgI6IBOgFqS0QxCjCsD/aCALdWKNJc3dZTeI2h9ky0+fg+Q U6HOXHCMyShHqOuNMEuGSNX7J6AjGG163gt2RI0ykI+vwpiQOGs5DTgFiKH0YmXpoE+fD9a6ujZ6 /A2HdTbmbBgl52rFyhxw742aB3GC/1IzWQuPlEsbi63xY58uEf4iJrKLemwmeV3IjSuzO9df+cVS l4hd8b2/L+UZ76WDa13ikOa7Vm4Mzkjk2/5EjxESH4vw0IOKCPwtX/stkFvuFGIg5v6mrsCqVa3N yTQq/61tOi+cVdw8kP9G55CIuhYSDtpf2/qc5p5F08MLsgy0dyz3u7sD9E78lskBhvlEph1VMeXV yE03LqnXwZB5FX0ovkp99KkI7bUGMMcvoE/qcLuOlyiuQZ+dUKyJJ5KvrCzksjxFyUHyvDk2Peaf bN2/fvO/B9LViX1q4MhAf0FwdLjpB81KuljkCs5xtZjBkYOaOjYdFSd9v5TQ4uDH2oQJ7d0oaoYx zKBGjOXT5IaC0QKSt4PCohzY8GqstgXde5IYjLD1jYdwnf/PfSrxaBDMe+YYN55tjirDxHZqu55G 7zjzW0InwSoQRMnMlWA6obFtn86iDhUHY01FTmOBNTVwrw1Vj5ew68jMPfcOZdu4IuPxfD/L//5A rUApAwsFE3atlRuQ0CAcfVMDx51scifX1NjjdO8aY18TLqli1Dwtybz2+qmK/8lmfZLjJS6We6So NWjcYAgS6DHqIY2ovMDVbxN1fbeUQdKvTEOmmqOPXIP9vertpXxDP4W8fBqeNTtnuGJ8IX9k3tEX uiML5hc3nvKD/Y3VU3vqtHW3GGlx9UDQIGmUMzukcignSic+ru0cMT51NvDezqXxilJqSDNP9ykb TwnaouX1lZ6izQT91s5tkbDO7nhpO1fAZY1FnS9Fz4jKwgyx6hqnJ+cSUXwk1pm3ycL9wW88v/O5 fn2pw67i4lp+gGslGQeEd4KtGDjNi8lCZZaJAUgwVwwMfw/lESYv/52uzJXMhP82b5+hDq5L2XRr kyT3YPB2dCzUpB35Np6zWRLlHN538lA4E3bHPtraN9PM5T4uoSlXwEBJr1I2fym6p/n2oYMu35wK RUDcMYare2ifki1ha9Yi16KbstSjBsqFYHZ36e+/z60v/1PrMmW0GSeZsfBjb3kmryR2vuJwT7UZ ujY6l+0tB1L8i+R/bn/CyAEngAy8qT7A+xFam7tN94WXY79batobZqUvQIXo+rYo8FRwGTi8TqHM oOPTD2oq4lI3hR9+wcmNSiwm1ms5Fysy7lSK9D8WqQKAAkMnh38N3RW+OGjvQUP0YAtHyeHz76Xo WxkCWks03z/pyBgArw0dcSh+JUIC53tpFV+yCSe/Dsb7CK3P8ERAYcvch7RpTM4SeHG1/OlxPznk MGmZek7E24sbtzUKhro4OJ5ZNdABi3g9hvIl2cbvs4qf8cxwGdqWKfcZ+/dnj+PeaMorB10TwBJM gOMHVEzWUIBlguXqFbYe5quDKBVpstNM1X5Jb2cC5EwNdLPzTJzUFW1EQ4cDZe1xlcFAgEw9M0In HX59dHStfVNdmp1HicbyBqTz3V5g3liHooBHjNx+Z/jc8v15QSpmPJdsfUEb3keYJ1AdCSLqM1mq bJ372JbFWvZwD9DjRVgAkqNvyxmes4OPxX4yp4WV7t2rBZZvlZ10pmWoTFycGUORtxSN9HlSu+kF UIY0sUGKaTYjXdQ7grzlH5qr487NJjAWYVn//qeoFt+RRuacTAo87t58n5MiEzOq6uC6GDZ4fqDK vl3BM7R88rCN3UQtnu5xiO0bVECtt+P3V37f3cJhgMr24qX46jemCiIyoDLwAZPUCQH0wc3YtdyE gOv3fydV8LI1TxrYHRW4LLSBPWYa9ASx3TFVKMutHnqZBI39wyjucLNcxaoPcui03F4lt/frCsZr e4W9d7to0d+KcCqvMWnmFoMhMSGsqudhdXt5KUTCsIxIN1cDw5HISIBa4RS2kdjNLNBQW6kLkX1a 8+3qZJxT3585gj5sc1fr+mm11fMKAQ0wDPzuzHVDvQ7JQAqE+9aVcbwPoNe+yJtHi5K5kXPgcZaH HPDP61ATM2QrLQpqw9UJTNSPVoaCJpyQv42FceNieJ96AJAY7+Wqiq7Q9I2unv6amCeksUEppQqW PqZU5Qm3mecJ06svdWFVb6Q6YCM/ppQJ1Dp6fDbvwBnol/2zET4+ziA3VdalJlh1qowp0+riJLF7 UGw7dgj3kjtyYpcNb/If4fdz2UJ++bKbFiw8Ij2gJgnE2ccvo+qphrzhiz+TX6G193b7fxFi/wwQ cOqdtkNRdo6Hj2OkySGGkuNL7pogZ7DpnGipT2BhXFOANpfJYyjjiFfYde3b0q5fin56ciDbu/D0 pKqyAfNGU4z7FACex0Pxgn5wfn8FcIJf+1ivJmznqrf6rMl0mzKi5AIT78SjgoUoDnHYDkpONYsk ytbT2rttTpdZ+uu6h2Sr07gWKooeOW/DPhJxSo+Ox5nkWM8nAVdmB18D4I0vJiIU2k6J4lId/Cb4 aR/OtUrh+hegyj7Xk/7R4jyIPAZAUnQ+lFj6CVVM3UdzCzG+2NEP/9euR2tPp8tSk9LjVDDeM+v5 mAE1zvzt7zDyfAOv6LyO+hzby5u/0tveW/JOCTW1B2MntRC+9pakIkBzV1LUVY4xgNIJYNndAbTM 0omtZytmQ/aRwS2PHZt1pM0Wy8/l0GR7NH7Omx8Evklr6ZmGGbiB+c9UA+VaHcLPYKd9NjrjdWEb 8LfoMikHOG8PaovcL0+lBJqvwFx24BWitlnVK/Gvsk83/u6yqJI6+DHIFqOJao9jY95j4Bysk9tl cMJeAUDihbjcjzEleXWdq8r3x60jNx2Fmxv9xAyLAw0862UTCD4k/uUsoIwyW3JPdPBcA9W5xGvf oDreIyuk2tR+IWthryHb9WVeqehyCnN9UCpnz0VYC3PyYak2PuHLspXunBEtJNcs/fnW8/Icin/t U9SOvqQR7ABjcr8x5L4qpq2ufZxik1m56IMqCzR1tOqR68izGU5GorAz9JeGfs64iIDejHZUAwWw djK16NoT0yB2HF6gU+qG+6NCNYdIe5YFTn+ALJdn35ROrVAaACOOODsdT9BPXAFjmXvN+4qOXCC9 1rw8l6JFZI74RDAuLcpyS2Zvdx7JltCCqRCsaDphjYoI3cZhvtznXusYHvYAVqIvNWvreaAv70wB 2jW38eONdoV2XzIes0KNI1z2qBLWYDYzH6nZmhWURvj2u9Y0CJMNdVPfkjK7997nGPn8D/bJewjA Ut/j63HKwS+n3ZTr3aTO49qxB3xzskW9e8RgIh/xdBuzVKhUw3YxXP1XlNaD34wEy6G9a4f6qsDy nbXIoJ/m6zF13GvPauCmYBjiYwcqTf109BuENbUE9IsE5NRbUKA73YBMpuldZCdppUhoBiGe0ru3 1akC/OjDRIDhv60iwFNMfBkAveD9Pi82E2J9cLwgc0Mz/77x+AQHZYwdVEVbd6iZicrw8If56Olx WoH/hOZZF/HRwijAt+1Sncivyn0HAMlu0JAsKiJWZ56kHiiMPQooj42gFvHA0yVK9fiKUvFm8jhF 1RrESp+zCkSqs4zEtS/uxgzRlHKaTjv4e68VqHWCYRyFmP5eNBcTjUSg9zzTZuT8aF3wAdd3+RDO 7L6tCxxRrk6iyavya7yjUlBjNCxqfMiPTwQXX0pF1bGTezSjWhJmtW3oWri9xIcIG63RoqI7B83V 3s10/bucxfUC+qeCphRKjlsfjeT2BfBZ0Y3W8NhvKK63jNkTUX2o7TcX932HyH7qLgoOCkGig0nZ FpFahtJMerGWwk/AwMh1x702D33QL1Ez3jTOTM4mu/uk30L74M46EeXAaH5GxlNZxItgiVtt+gef tGArCara+fZ0kJBxHLfziGf5FpxGHvlCJtBs3Q8+lq9kwwTeRvxub64UhhAAWEN3TB1baw9CTfob pHrSnBsy5bvl9pfM8SROZeczFFa4F2RxtZpgNxsdrkhiE6espXA8RwMFozpf9n+8QROwGCfL+sn+ d7uBi9bgMATEFk52eIesKvWHchvPaHxoSrycvMbJ15kl8XwczFcBDyllKdxD7Z3TRtd0vG7LB5P6 fmlmBbNt5Zx2dlpOYYKaarfAVlujQkt+JZvFEnwLRQdXKMShqUhzi30hY4zbzae+7dIyG6P1vVcb Oa0OhtbrmLaJmefm7nzqAv6JHNURkYjwe45KlSxz91P9/nbV57kvr7Sga+6q3IKWGAmFnXt+OB6l yv9rMR/HMoLrxDiQHzbMORN8avNkXcIuXcPNaUFkokfCyLlilLd1+Zo+e9ipbh0/xhFji0KotYdq 0CmO4hVYhgQNjs2HL88/D+Sqlh+sHpW6RJON6adJhQlWpGCxjDBp460UdemERVRLazrya8eWLhoa LCI2KwpUClx6JmSyLO588aWSRhCIyWMTARVWmJXz5a/UUPV83GGAc9kHIcyW+lcyNLaUOofN0fqS PIKFvJjYkf2bYPwDWVQn5RhG4iNWyUte4NpVmN5R8hdc9Tl5f6TxMYsO/p+C6oROnY60pjL04fpu KOUgWRn4ufDtHHNukKyw84TD+Zx8PLgdfHdmQZbOqLSlbQ6Tfxjqe7FcOJ9dcwhywapV7K0vBJkI buqLS9qQK3m/Cs/XZzG3gq+h6+GH/lWHb9Mubc7xzwm97OQa9nhFIhdIuaMOHtDxIfjmHEfJ/tXp wJfmYYn9BYYGs3sMnqFoD1lAxknqaUqxPuIzXEGJ+zJZadmY4GDAYwkrny+/xsuvZYvpIvC1+xsB +O7Y3gPZIVDap7KT8EGeJzbQLGI/DGZ5zsD/MwYUsqlP9pLsWHZ42RCVp+cYt+z5SWbVpeQ58/XN GZ+6C8RJedF13H3ptZNmcKhwGjxkP3B0hvC5CGqtaVRJKf3CW5Lae513ALqVVb6ve1lzwjnJz8Gw fGLBGXIBMnZelYByDRGOCJpNrPlGh7f4NhrajsmKnvGr2o7PItYpQ6d+WkyCXM8AGadJciinmk6n dZm7GKZXMv2i99dMNBV0zIQvp7Kv2ElSw+kWil/1krOuNHdweGMyHnHCfW1ZOhHq27HbVmD9nsnK JmMqBo6L4pjrEE44tJ3kBUyVK7NHK11JXj5rmmptgpyb27vBkSqohE4plxz9XXTd6PVeSyzpOwKf 6lMXLYwJewTM6HVqjq9gpQ0ZaWduH9ziByu/AJesAI3fZE4+ndpnfFmODJ4Cb5vFyMnSMvk/2T7p Wcc9pJOkMnSA5IHrlQGORVZ5MepWfq35A0kS7hWuAndtTRtrikCg1eX+BzAu+TMjEQGwTV7LDv7p sVM9pUVChBGeD0T/crxi9zp13gB+Ms3fExCJrggg4JVAFbglABfn40FL19cT7b1h3ZGEzB/Rvzkx yAR0cYLVxbJarmbJQb8Tdxnf61yY+AAe3CbD2eF+PHCSJwVj2OyRqwhTctwK/v3OLLAf78fk+aDR z9jStfN2MsC15TV+8acwHFra5CXlFYg4HbCCrhmYFUjpZ8++f2o5UHl5TR8/lTNwz5B0VAsG41A0 Bin/3+HqUj1ink8pNpHYS8yB5q5TeiXIlA5XX4mt8zCiZssA96ZIsRgN7tAdhST5Zk9/Pit1x8tG 7zDZ8Mz4mHO1s7u3rWJsML/dHyQonjr899o1Hv9BMzU+fQ8gWt9uYhE+SUI0W25Z2nZvbrNZ4Srg mjxBSEs7n1E7mfHmuwJ7bcgs/Z/j5u6nc96q7GZgsq5VLR0Gzj4L1Nsj1Iyc0ABP1dQeMdq9QCAA 8hGLXxi3bUOexYj9w2ZjCGT/+puYb3lV3UPs3ViqRvhaEd0YjhT2lzCea3QEe4zxTkALmgb6FriC IxIZObkbT+O6XVLNf6OMfR2PAvjs8rRw/qboT7DhC0K0CpbN31bgeM5WG+dDK79mD7FCVvl3Bmty /+8lNXVG9SSv2+LqYfjwMDDRMt1IBeiiwjHDy1yoAQChst2J97JU5wh8DINk/j/RK76ynEd0LmDe NWwt0qWetEO7PuSTeaiGj9NdKPQy/r9fp+G7GbddOExbyb72agfx1JyhitmHc2LhT6xIi7GrUU0t 4OPdhm6zTEecSa0znRTVrvJYD1H0sH8Sjtg2LO3KDWFi/r2KON/uTpWv1REJKbC7034xR8KcuJ+x f/T/xRbCv0S6UgzSFGe2o6XD7L2O/bwYxqRwmaNIA1yjMTzehpttebN+V+lybbimPsEV75nWoEo4 A74QsHwEUzyiUYFfLrLbF+/v1ChQv5CwfMUKZQMc4IaxcobZfDvAvKnN0/BTbbb+xCZdsmsdVheU L7o5FahQQCHmeA+TNYZpQkQaqlNTgWsO2+gfequfBHLhC28WfA1TzZqhioUESS/XlWio6rvHtU+4 VGqV4WkSZMrgS+gP88pcr1b90n6TO5dDHBv0QkUQV7Ovalp0Gy5L2M3sz31pwjmnyayhZf52t0Gf R/86QlxW/Mp1id1x17P7JRzdk4YVmD+eKENJkxqS2pBFrt1xz6FHFbSYW/2XcKjl2kbXsgjWNPYI QHeF7MZnttf0EjLh6sRD7IUPC7ocVCJrK046cUoG3X0dFr+xyMRvIo2pFuW3h+xKApDLd6wPgXva MEs1wOsbKjsyxhmIznai1eS+PzeO3lqW3snSfgyiEIdDkYIGsZoS5FmGzKELQrbw1Udkyap59Tnr /3t6hS5tKF8LZHocS8Y/y8FyaedOWKye97zeM1zt5Uyuas060QqF3kDwaWmFQytxM5mx0V4vamFt 2g0+ym+Sov0PUPrufvJSH/ahQwVsgCv1rcBLj3ISup4Mn4RlI+UiNEX90uQDHqCiMCmZDW8CK7nS 8D/Y07yVGqRfApIqMkbx91u1Bb6JB5E= `protect end_protected
apache-2.0
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_3/7b6e2d75/hdl/vhdl/pc_bit.vhd
1
15425
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block H78zw80sFdbj9LIgcQ2vyMKgskWaVV3Eh7n6v0AVkfQG3TpKBFXyBC019Bld9/o+VNCqK2AXLdBb Aw9vEZuptg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block KV/fwMBvclqhd+7dKrObq7lqXF4+3ZcMXl4C1WMBTIYiKLLk0YwIvNcI6trbzsw6MAvBzzK8Su9m VWBTERsCmOGbaTh0sLm1XrvV9E4bTj5eMQBj3MJdfozwzfCSsOa3fwR58B/fn5rHZnAeqhwmN1yx IHJv8HPw5MpfRaTpj+c= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block j8QCdS3rWOJeNd+GSJ1iaU2peY2c3s8oV815e3+FbszN6p7g1xKxericcaSgKlzOacrKZZVFNCEi 6RP00rROlbtjwzPRjt+kx+i58g/bnVpL36sedmrgCo/y/k43JsaZjb823JmtJNgwwI8fvuoV/BWF ieA9PbhyvdH/OIHXJATey84jUyXwAKHRPn17dwXlpM0mZvnHoqcpl/q+U2ESinbGImInKwEJslFo X3nHmDZ9FQvClNuw9g4QCl4npvvSUF3b5gMOM70ogbZrnX2VZVNpQ9sJ7IAPbDntpdGqNtkLumri 2Acqx6tvImqCAnozkum+/fklHRYJ265hEAFUZA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block iFPL9+m8Ho97YJXI33GeeGcr0scOEBrjgkQglGoABUTq890tapfAnfQMloZ2tyDWKnfyJyOm/FJv GDqP6ogGpIwkO1B39BFS8i/wgCpi13bc6LlEJ+sqLN8W+fHsQThBwbH4Y9A8toZhYby69dPGTm9/ DnGSr/h85MDTpHs18mI= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block gXIh7RNG1FZg5gsMlaHUVGBKmMkljNJREsrkr5GMzLpP7dyjNVvr62up4akWRHUK9aozYvbHPuVt KYG4ol/EzkJMZwuNh1n1Im+SoC61Hqu6TwSiUvv3Bcv316GbV+B9VWWwEnVwp/gLJgHgDBNMDz89 FH/zoYdJnL3tkZ01TyYZXUcWJABRPZS/uNHxmymX7HIoH1yz+3QjAPWSnY8SDDNkzOH6A6QRD2LQ RzljYs9g/XJAUsztoqEWEwr8Idb0n8ewu42NjpGeajCo8+rERP+GJqevm4MK1q7gu/7RHNLYSggE CnUwjo/TMINmMSLIxFCEl4S+qIoxoOB/93FmTg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 9680) `protect data_block s7DoeWRm6WygTC1AWONnDF8xQwUlm9Vwt1smjZzHDwuzYK77Bypb0tliTDNdGNQT/NBpIBXP8Cj5 2SnyxMsKiCJHUpqXFE7gCEnyZdhcQ3MraaxFtwFEp5ldhC6Ui8w/bvSCaaihWpk19ZinELdS+7zY 0nmxC2J2GUIMoCwF1s79sR4vGN9i8SaXMawP2HDjQtD6u8EKbiQ522MSI0A3SvS0eXaSwd3p0hpc f1t4gw1vCGdRGT6B/Wi9PVbKmCMp7CFIntqHZRzYLpVXyKzvrSUTLoLX+bHyfpEgwX1xitrjN9pq Zhps4qpzs/aZaNGgAvdmGjFRhAP0lfK3ipOTix7XSDjxGGP0zK5J2wYbl76oulYLwNYrFMP347xF pBR2aYq50u30ajS0qVewEjelE12FuqOlb8iUjm9SrnPwFaaPFikOUlqn3Dft9iZjeCTItOyPm+Ov I6Zx/qXiwsCAd+ruOJN2tatcc/vCvDVjzVvoJRLuKwya0Hmczyjg6giy4PNCp4eckr6otf+Y4sF+ uAmepcUUpAwMb3YkkOLd8Ut5SobGVkKGi9lnCR4kaeDVuNV1TLedK3C56mX1e2t33whSnrSqyneI 2SQ6oZlKtfqA09HAEJoNKRWTXIkhL7BpA+hOgSzUJHv5REO7OMjEMQExuDV+8aCFwsqIflHW9Aq7 a8mHtOprfv74dL/9YkkxRNjaryz31n4NHEaDnnVwOFCtpfWrXc0i8MbRHw7VtU3coaeJb+Cyk5h2 FsW8Ih7+aOFlwMMAw4g3gkoUY6WaDdtuQS6cQm8+Jcx8af+pgtznPMsEluuTPPUSpPX696Ca5sPH Ht/zTwQuub/x7jBKx4ejlaD17jGqQE64deTLnPoZRSTKl9aU2M4PaH6wQDjwpmXZPcoOGdQzP1rL pdXFl1MnLtvt8g/i07MJkIkvwdsnEYWzX7z9UfdEWEIKklyi2YXXimnsPz0MFvf5OBrmdsi5MPTQ TGPlWQ/nzg2U5WRt9qAR7LkUn8HGQk+0woVoCQ0bU2WxIvmmt2GggflIqz1wh4zuAz7HSSu7myIo dEzGyIlP7Tb942P+9xH03CW/2QHavphs9uAjB0iH3a4YrweujurenWq+4roL8n1h7RhdkBsYkDzp 0I1o24GvNVVQw4aLLMKAO9W9pz5rjh/zyC+DORYy/xOMDmP31U8WoP8bSAnK/xMJYONbO8VIIxit 03f8OLQTfLdQETjImgfFEG+eYLVxWRzkW0bV8Nb0iAdcwjL40lYySxyqPb67XyDnYMvLLvAuN7VU /BRx1WMeQUHGf3+yd488QwoRn+EZBLG4i/QEbbCJFZZlYZd6aZ8d6uGfzksK0x0AMfGcbO0gNcHh aE7+fWTgsgKRK/uCFr1ZqX+qILxcC/q+BMxcZ+tpouOGE0sII0gBJ/a/83uBsFtam4oIIf7lH6VA CNmso7ut4Rr60BT3HttEBf9kib4jRT69/zKyIMBbMNE7BzmFaZyXiXiluqaQmUh4+XLWnR3okBme /xiEcNi80XflkZBb+b+zS0mNxbpbrR/drZQUBj7Cz9zsx4KsPizwz5nLe1Pxyz/ZjqVHovo8JRLR vuLwOdWb2xDtBjnlxJ+JFyC/nqRH2C485EOSDQC9Rb4N+YLVPUP6eKRxDPBhd+uVi2imhKeWR0YQ 8oci1ni58t5WhR5PZfLYJT6UpM40yZkU9B0vkzJcJxrQ0PftRx6lfLNeySsh12Fh1KZu6Y9opGJ1 FWNcBCMnjt2xeYsNweGDZJXr+JAL2Cc9L8bMMbCQuSeb4SsJTUWW32Z33/Hb5PC4TTFGhQ+5B1xo bexM4vgrc/5ioRQrUIfgCyrOrb9UHouOl2BwlruXQlRqBdYBRZ4GgppfrLpH9WKcMa8s0ULQ6IoC un511qHxdaDnGajUZ1iifLu6iAQp58YcXb1J2qyBpg+d/EaEq0YIoMePGrq7TnUTDu/GYKprDG1t UADdLMj0pFGHHJQE+tZO8ubgNBPpCZuhlN1TyH+MiJ3UKt1c09ekedQb8Ow7feg1pwyK2UpCVErs xYkp0PHe2fhxdcHyAT6sUty7zCotwV6qyLQjgBimIKFh5KfWMoxffxTdU1g0bp+a1lmXLGhDNYb/ fHl0HnMWlBmeM7lcMMqqwBx9LvGDl757JQz2XATFGNoXAL2jLlKV7fqIlj9y7AvPZTMbvKQfG2kV wSYE1YPprDjLh0VR789Oe8n36GG5ZetMAsLjh4kWefuI5iLvOlgeePFLC+jdiD2qtjI9nB+mzU00 iHZgtW3nC0CpknGwNPu4F+qqw4ozw+uD+yf7bVrFo8Im6A64JnnKyQO2rfFzC2IKmO//t9T7CiWR gIobJ76nf/qWIIYB05ombpJc5mlRYiLJrxuquRNfgpUptLiuP94TXXPrN2OPsPPzMyxftPqhlo+N fUMDyacDt1xUSjI2H1s0RXMRnHDCl941hHfSaYhnqGKrxzkyZVVkogZYbRo7HvsVTjCeOAZGJhjr bLqFYrN49uY4JWwQdRZP0eShNoUx/A3gl1sroI2t8M77jAL0lSxyly5KGnbnga5BjTZazS7jOS84 pPnukem4DENjezUuVjI5wbzAossJ6cWVtNdkpecVcLDTeqQ8Iae6kgG6SaWi1w6pnYrtGcMLQbt2 FHHMj1nPr2XgndffU+y2gLwqZzG6CdwhMNxz70NBiXYt9yKCY4VV4gvPuz2PpTAw8k2f2ELfr17k LHz698+V9cxcjM58BFbgvImYZHDr2OsvYE+zErwAyThW965jPdU6m8XKXc9vjV4BsKcosNkTp/Ze 62IigxIUKhNLHv3mxm3E5h1FT/86oLMlIbBYtkLxEEy+e8EOQiTw4XAEuroE66w1iXmkdV3c5R9z OVvfTaOGOLm3RHZeCjgGVB0jJRPBLV3KM+6zNVM/lShvzhoMHrfK5rBjLwC/OrlGMYPeFsumcB33 YisI2+OQOfFjmcIdKUnT40WNoLteY5lN7pq6opblNk96UlDscS0x+p+XiLfHVYaIhJbE+/aBLFKs ksB5pWfTaiON7KLLTvXpqPfXvsWKjz/EycdU7Z9UstHLapQlGIrp83OpWG432anSjDlrU+YfOlUq XpiQwUGQSC/iDDKBNOXkbmMUZ0cY68GVGPrmvonJyS6HKv1co6AbChEPde+B/qgeVYD3HlJvuQNh 9V6wW3ODoJubbwmsoDHt7nfNewKLKxKoFSYqzBt9T69Ig7HHkYvkGOHd4cP4E7fy8TELKHjYl2Rk X9DWJTQgL9jiBjzG2oYzFkq4ATA/xn+ODlm/Mvi9qAMF5LJ56bV147Ak+Rw+T3eT9ixZ0CYcSvW5 C1dF5e0fuztYJoMoO2FpBM4P+hmAudBEyzQRYV0oDijjy5hXM+UMaKYKluLwYi+pTx9GjRdbdths rqnv+vnO613tlbwyWD+m9Gcd4k67ECFSpe0mzebBVZ+TIoS3PSxA/mRYfazjuScLfrVbnDybSfva 5Xi45vdyC/Ll3TIuJgrn6QM6w6PGgaCQ1/BrbKYkStlcxG102Pdhgl6Qi8cMhuvSOxj9yv+QKXte gzr5/LXAS9XgRwI3eB+11S4HiEO/TvUj55IqoI2SuU0Rp0HgCDG0dR0rmnWKeX5M8jm/ZZ+zVR5m J6P3lclhk/e3fmP1RPAFAV8Z4l2PSYL3WgJa2EpfszsTa4rS1E0MjwysmP/rOzV/fbhknPlMsRGT HOiO5AnX3UapVQqTgcb9bSDWpDpFOtatDWLdpQKZl4frDqoaFS6sHRSh2dvrbtO+oVPmG57uSAIk 1TbSdlXEqArP72vBmLC13+G1lSPYG0WYiC7wVmdn1Lxnu86pKYD/66i7MSbiwxwxNHGzCILfZZ6V zFsz/1gyr23eyb8OoZoFwBLwZceErh6KmHe9MVugPd5kXYx1Z1py9krncUSApsj2qJezY6rNg8FX xkDlXqPuoAsV7JEJ7Y6beCgCOpXHsOrB3QHPd2IVrJSY9RyYtHcvjuqJPAf96uTpDDkidHD2iWzB YfzoGUOg+7SQOU5GOjGnRD3GcO683eQ3AlMqGnBBgYs8vJVpa4F8heJuheOXJorGMwqZeYL6ggQQ nBaSa8S3hg/1qA4n4LBm/5OmtlNv5H41YEXAy+rjTZTTzzQUY1ZDWrRWRKIcDqmhfcTEIAvKh5S6 /+0uCE2TVqONAUnKbXzjU9JqTuPhSu3UorvnWn5Q6tNQkoQwlRfdvtASvzIi18nbxqKJVQHNL+rN BEoEOQemu12lsnyaXFei0Zt9q+H9L4c+h23D+Jq1rpH4zFFn/hLqNaEQXpxYKnVnDl5cogk802pT Q1yYMXuJ/32qW5BbB2WbPYWNRRDj5EIesnHu/SNhNp6HLW0yKMnaorkfqektPuZeKIZNGdwxyZLU OlUF62ILzfLLo5efZ9Trhv3tVo8K/bQHrKS7tXIJabbvfH90CC8ChiYEAcUUr2XEzXabczZIY6f9 Lq0gEIACE0BUA8AUmKjioObzft2Bvd6pd91MmLv0OoBXxE2hstC035tpefuvx64hi+JrT2wmY37m xMR3n0uqsDIFYWeJSvviyLoHp7RELrLfSAW3JFtgdKu2bgTgXxqdub6ytNA53GnZdILC4TB06nya HsoE0+jhJfBb3WvdO+MLxBt/WzhbFVF6v+L3K1ACMezI08+1ZVm6uZnIVSUCh2bGoICoSmjtsxc4 z5dWWqg3JUtNcbDySXEdHlciY8vxa115MZpPwqlkskSx/pTkT0FlGgnuxzEg4lwpV0T2pFEIZUM2 flZV1GcgVKCtzoGp9nU7n9Whr6sB27tj/KShx+SDRre+J6toNrPqsQ2qBJJgz154IyRR4tDcVman C9hQOb73F4ILIvwVhbRid001DIxUSiyPc5LM6jWkLL/zsx8aPMx0gbp3RxUofcXCHP3afRqrO+JJ 7mNcEEgZ1qXpu+bOXV7mZeRHuKCkGO+0NgwhXexa7prCgh1spqlZtmle1VhIPoqZwWZDVzD6v6ok 1jjxObrnFyaIdNC+QlBLYKEoA1dBcfDIWU7wUtBNIiGbh9kiuQfCIW9Ldwb2ur3YvKyNiBerjk58 d1s6TRBxb3wa5R8H9GLuWzC6vChQa6Ocu8g1mgEBYczjIjA/jTbUzC7ZEpMmC3Yh8i3Wz7dhOLdZ tW1cLz9fTWTW4fCPEo4J191TKOTQ/olFQPJ89BFZeqiHXJyxUZ+xfp9vqeJVzkrly8Tfe4oFAg5t ef4/yQwDY/wVC7+3hPXfYQtvnNgrunCo/Qw8y9G2CWqF4ubkk+fgthiF4LjCtiVh7HGf0xrg7aE7 0LfXbhRy6NuqlimTP6l6itJSn5JcDssVv9UQreiX+bkwBzyF7TuumRKMLcEVIt+Rxrbk86uT7rw8 u92X+kbLSwQwsMqjZ4M8DGkxRrUdSGY1WnCLRhLxWy+UDiyS+a+fLsCEb5QvOvn23J7knBKFIR7f 67jtYiIe10colDoiLixRmuYLFq/GhY5I+kWcH878w8R0fcoNmE3n3d8cw780fSS5oxYhcfn9cVWQ CHlSgevCEiMHofFRqGX18AR1Z0JGtlaZUJM5b0BQki6INrrhEl1Kb/FESkznSGV83xmylQDK7FEx 4QxrpkGloj+P6noVdaxjjzjAPFPaZib/rSZGX4GrtO0QAEC/pB1NiQvEnKKXckFQ92MR3dSDWJR5 CClC6T1gHbNav0oQ+Lv2CSj1xlZyX89FG9fNuF1QZFYyBovu7sSEpNqYzzHMJapL3OgDbNtDkb56 pnGgVOSz7NcFsjSO01YVpP6TqzEtoheKseMyY4LPFFd+GI9lfNDyW+FDGbjWayXWTvv66O7qox1Z 9BfZp4kPE/CBKhAKUADn/sGAOirJgxHA/hJZ7UDBoXm0zsEel773qN/IazWbJIO4y+WXi8Mf9fmz P1TiP2T4SXekQ731H47WHSM886Dmz5lp7NXVmxgxS94OI7InmxhggPVackYBJw541M7sX/ViES89 1J/U+BbzhBXVw6ozR5DPssjuGiv3WswlKTaaljJzC6PiQtrHY6nYmzLhqNUKZOcoBkjJDQnf1ElQ hJuMdHqDWrri7Wp9Do0XmChow7NRr9cRGvYlHI/FoHDS2H+RIjPgwwcdnDCnpPP5UJmGelJqA/nR P8relClX5zxRYp5eZQl+HCuWrWc0+btbhFRUSd+2EW31JFAYmDd0ud7/V2sC9cJzJF2e8hbKismm MPme5aE+3+9UlQGcYAAlYQgWUwz2M1qKeXgW2nSceUwI+XJOUkAw8jjzj88ISkBkhxnfNJWtp+LI YBVdWlLDZ+8AHF4qPb2OPhnVDkCZffrBJwikA6CCgdhCmgXw4k9GoqLhjQW2zwFrBQ/kCdlPEP9F CP6S4AnhOw0579AJOEWb6dBYMovQsha92nEmbLuQtCr4u1kthlZLgY4d1Z750LgH5k3Kerf1AwRr QliDnNJAcxEQQMWmbjYWtvfr24+MEatvhphoBAnKTw7rwDyWDC//NiIJ24+mytbQ8PLBRm12awYh l/hP/tIuvbklGJX+kmtoPL7a1FZ99gBR9SYYMx8QV3Eoimx0IuIncvZyHzj72C9HG0JGzDqacLnN QjOn+930ERxTWJWyAX8dq9uHZgMzgmGnqLEunuVvymKO/pLQqDcbKjztJv7h/Rafmu8uOdGDNypz Hmhg2dcM+1F/FVJlUyE8TAi6HVBKyXVmXwiZNV7y0iLXg0snSJe9Kjnn3/iz7JT+/jzeLPF73OVi jHHHp1K/H3CKprHSGYbPzIjtnqkdzQytUCRvYaaNVdsWm5W2Bn8VgP54myfzaWAv+VJlVcckT/Ai EQQEc34L5rPbrjQzRJD18wB9mWDDYgDjwtKZI4tUtx4WUagJQiv4lpS+/Rp0eLFRSTnx7lKO+QQf jes0U3I/zb+oFFMiKYr5dfvwwdCoXsA3RvvfdAXu1nQTICjZO3IyqZC55hbkxxiEwIaJo83AsOuB u1n8cmNdiVMuKhlv7fOKHPaEHfN524NTJ7PP867+e8QTm6zvHy+6mfK+2ZkyWXoPOaIl11sIVvda 7SY0x81PTmiFVmkao6rl4kh8NQZH2Inj8F+ckS0u7Q31MwNHkyzOH2X9cqNTF38vkRvSe8fPseLU 5XJlUJG9iOFZvocfl1gCO42TWHW/zXYsljd1I/3b696yfTVBhO6SBH1zFXXscMLAM4aEsSMl0e3I hjqmxeuNJMnnP1Shbgxchb+uYA7YGkvYEx42sPdBedrJXcwUB31cbFP1Pro+574C+UJ9AXwPvZkJ nN4emE/s5bqylJRHNMrdNqn7uH4sv+Nuo7TZM73WLn2TRHokKYFlnEriVEvxcqGozUmrB2ARsvVd 2IdTqABG0CR7sblr4xhf3NAZ3Gp+Xfli1C1RWcwCfSzz5tXcCC0H0mZg3WQw0ZBeGu0abiRriRqz XxXEwi6RDKtH78PK5X74a12+75vePpqWmISaHLTuYlrdJN5buHCXjY3MMbIvgBya4Y+EICrZe4Ac hWo7AcZM5H4we+tpa+1J4U0xVwA1imyQKXl2rwpPVggN3UuYoayD22tf7LjS+df0CDtCrRx3SV3p fXB/WMmY/OuVYpxCizX0oaIn7Mydr1VX6tCCgNnQncfxJaHwEzK6Ss2iWrc/CVGoUw9c6Nqpc+1J YhhKgqiPjvVS6/KgnrnDz2srvhnIcqUmxXIXB+DH3WmwqUFwNZDPQPMy02Fu9xLBihDwDWRCSLFO 07VuPXUSnlK280p/GJ/z1OR9W56MUNaaDdpDgxWTj0bFa2VZvpkDK4ddXFOXIwm+joVe3UVk36yE AO0+401eW/J+0Dh1thHPc9I+9FpeZVuft9qiBMrTcMRsI5Jliggoq/gkjybeaUEGwUOSX0E+0vqy kGPiEXVxhHy/fkMNhPfqzvio854wiq0qtGr0UhN8euJ9iHZJ9KhGV2bGCjRAymjRtB9pHtiKsU9t 6/kd4pwC3o2P6ogp3vQgsm8taZGf34GVVMxRqFutHQJa0O8D7ya5+LJYHOTioxLJbsrZsJhnArqO gUpwLF35GgYBHSrVkMbMTZL++j9fSEXvzBgvHxOi8FBQ8Ev4VfSGxTZDR6/ttVmtjQCJsXJ4cXFt xpj7X6NBtlTvX/s3GuGySYJG3s94zMo2CqY8yiwmylfyUs6YWXsMhR+EFke3UtNjdbL0KijDp7+a G9vdVKtRdrakUCwTwBNRId8Lxhpt40FBKwSUzLzzRhEYwUTxcIsmdkyhbMFEPIj+u2RYPxlgUv6e ljB9ILKR89n7vzTUWY7RNHYbgTkOTLromAgxkBDYXrk6sEbDox2jjz3cmMulHUsYgjpuMxRO1Xgs Qp2XhBMp+z3u+swxytK+7FaOfp9B4Dxpp/Abr0lJEf6R919wybqUCFVkNOAUxVZHH9W+uiPql0OS sDwqiSzY8QfXrSILnA+fqpwRMJdd3zm0J1CencHW74UWkF9KiM+KjrHaIH+gmyLc58iDUMesdkwn s/JDXxEL/8pYHdxVlNtJfxpX72vcXYG+5QHpcoD6jRoHMqGGNCyIqbSdkOg6q0MYxR+01vnBzVNW XvwQYJnmmzl7ZlU6lOPjX6vSx9lR15yzMPexOpSB3W2NcpM484jO+dgXicUstGOZBAZ7xgnFVveu h7X28h6/F1gV5geJp7HuvCLM31b3909dwHtzHEra1qeeTom0mBm8fsDk8FUHblpR/qYpYCVuPRB8 AdzwL2CIqOpyERzgifv6FOphpVfqg+kEUkatkX6VSIFPjoXbmISgQIsk80weg9ww6IWom2IGLpoP rz/3OkBxnoI8uIkluKZxBxhO1m/nDoxorFPjc952nO3G9Xw8+LHNaYZ1nvguVZbptq4+Lp45ppSk N3nf6IKDQhOkYtgxw/8eddwvvlIkYV+XRzGrZ6peqBY7JgI62Z4RxvvLLzw04cDgEGAhilXzjIB8 D1Bf3glL32Wvd2lJ1WMfAyAC+LPWfrmqsqR9MRP5BewhxW3BD9Ik1m1EEZHQmvGvBvDW9Uq+drQ4 WOmUeEd1PA7uJBba8c3v/QUHFNIyBpnaoA4bWshNBO5yJdUmEboi3MteKmJlaPKmSw7laP+DBV9a bTGcxg6R3su4mDO3pNLQt+Waqeyk+IltQCJirWMXtX0TNhzmnXVNSJhQYkDvEwk68jbYuzAr2QkN 8SpMGvVN73y92Kb27WHrZnW8brJF55GY0ioxy9Jemi2T/ETKPlCdf2IQRtDHYGC7eFjQB4a8eYmM FMcn7pBd0Zpkj0V66Spme6fxIzo+KaQQAFXaM6n/qiv0pGFTDB24rDYglq2uAbugtZiNLpOSVhUl /Ck2bCSGb0wuCyTAkn82Yry5kV3vssgUUstelIy9F2DADSfLQhZokBeiagPs7CTLGOrbAshq6rby L3mU3y9pEYKGH3m7BqmzgwePk95yAbdb/mpIqPg3UL9Sh+vaIm7G7A9VpUhpW9xP1eRSALy45PfS 3WvY61S/9LbrE6g7CA4QoElKsm7jrUzxx+7TPfv0U+KsDk+1QAjFuZQLycKs1goeg5I4sHoVLCP5 2dkYAgyJYHTgtsJxe3RoKWJnofIRsvnLeq3Rwyk4wQHiGIo+v50EF5vfaiB04NHVS3tO2VjUeveL X10jaIY/Mkak5E/f+TySGDarTaQHRzVuAyrrBkDKclp8ysBYl0rZvQJlWO28gLeX6j1FjAj4tHaf aWhar2ziD83CcTj1Bn0p1GSG6OCysKTrCBAuDGuFiUr1UHLoLMORRSRtyCB4J+Iase/hVKSisfVx 1eUCIikEzKNhNENWsl0eBTix5wbjwWYR9AoAD/4TgoqLZuvR6Aq25d5QyvekYACuIojx+ToawFnn KvDQe6NOfyHuS6NDDQ9Ea8wbjCQlggerFNrreweULxqnbytZBf9o5HJvWllOD59807ExRx1XYk5H T8SRdjfLVe5wi/CqY8KUDrl2mHtPeKovJREfOxlvVrl97PX2o5bnmud36Ri2NMXDBnds5b2MixnQ CDCUgwWKssQbRIcCtSky3gPAujGXJc5qD51h41W9+L2Qhfcn3eimf45PQrAqAf23wN3rfhaD70f/ MxZyxhghUGKZlgRDXWSlRFulNlrBubXRc54YBL2aIT2zwV2KD2RI7YKWZqMp5WRKIDX0+tNLjLvz GYvJ8ycO2YQsd5BudpVQ/ajFwzZjJp4V603tBP3cksro6ZgiNzQ5iusI/4cu9ucmYaHTJU5l65Qd rOnSXVd+GUXStdjs/71btg8cVjb1s9gvtlTlANXnq+8RaToewa+0CgYygImA7OmDgs7cMoHkSLL8 0xe/svUdfHxyXcXC0WKMBGNu75HN/Dk+egO6EIsQn2oVdK0q+kchS9vF1bQ7F5xBNHuSX0BJuIj1 4TmweHT7Y07oYTaa13xV2BRAP/1l3SeHrE7zwMXFcgHpkwNI5azMSmojZX/MEhR9m1Nzx1ed4KEt vEJjj7UAnghgJZoWswSeDum8MS2AE5Hw/3uGNWoqpN6x8F2C5uHrupIZr2s+UQjlsEyrey+3qWx2 bLrfwJ6drpKvAcRhh6l+dO1z/LCQvtXJ7mHAk1XCWE5smt9h/dSBSjOrNGSd0J+sQ9xOxyS5oQZq DRgfAYbs06JSmQsho419f+SuT3cZeLacGScoFKij4kucpPfOibJP+zEV1saKT58e9DJ/YJtahQWH HWdcJkpUfhtXaq3EZaI0rLjVhV1BjvNhxysM58E/+uZc12cuyK1zbMuxjZlpt61S1tDT92u9fpcS xOD5RtP+067y8hA3blbnZFvDJkJbGH+JfCxtw2b8ZnuSYw4ryFi8JqxKrXDyOEQS5AYVTKh1N3Pa w8PeweExfZt4DoU2h+f2NbqZtul6X6tG+NxoHseBhqUBKq+dImqqVeNC4e0LQl9YPBYMNt+gl+0t f8UzZCO6WomwjSb9B2CUjJi0okoTvQsLJ3tC6EXQ406KbwxbIRokl6G8j1W2wTcl0xvwS1Jrnr/V Jfcvvmf1Yd2WW/fT0r+reNjmuoyNPjM1xW4e98fMoyHUvsb4apm+CT5a4NovYEosijisCGdqWKl4 NFfMGDUTTrSHbXNybv+cz+avEqohnGmTmA20s8B6k+mY+nX6X70tHQnLZCggGtscfp1Aw+EXXaZr OHPVDYhvmELYDk1HgMFLBe3tN3rvNaxdC/TTUejKF7YDVl6Cv1xQENyw3IVglTxdzXqrDw4S4/Ej n7vR4VSipTOyYAqqKfvcUkA+Ey6RaArfEBPyTEKji7ORTnTc+4an70ehjktJGA2F3wHO5YwN8OaU 1DgMv2JKc2gkAMG17WqZv9k6D+zzksHSKcLq4voarVTvRaBoc/dIdZJP2m7q/aOHvDIanw6JdkcF Sgo5SR9ONF/zESqCCx48OHV3UZ5lZL1p+AXbvKEjVSecjJcWwe2EtCeFTu4aiGlK2kDfQaHIyNAS wo5gBvi7U03BFbcjmaD+9fFE5XqrnVLlXGVr4opb8JFzOwByeuXlRajJvimPoY9C2P65T7sMgMdO fjY0zbUAp79qF3LSxFKux50h/7ByW2CULf0qRrjnvvRx0C01NebzLtgj6YBS1K08LevE0v8+gvoD 7uo+kYs6pE1bQELiuDT0ftGDL3G80ZQ3LzCA/VBzH3/D5Ml1RxTmx5jvUcaQfO4RDzgDQpK3Fe1Z 4Cp6d4iLIPuzb3+b5TIAZCfTiRgN4deoUAplbA4vZXvYOPZnC2+hzTKax0w/2yFSigd3VhZ6Eppi F5OF6mXk92+VJY08XeTz8JB6lfsB++1zVbjKd1pa8Z+ZF+TXuHiExCWa8GoVTrju8sFVh0lthZQ9 cNTmH2oyFqDrztTOAL3rBfxzG126vj8cUMFOUdFKUKvHostHLhIwEZtjIP657cMVOOJMZN1FBLhN rm+i6XbysgjmguwQ6nYnwVZjr+Qz+sc59FM+MR5FnPBoLJLNJgXA9HFunvl6bDZSS+lngeGUjyTD GhczGkVYYow7H5BbT+eRRAvuU8j2dhB6JBc8axCQlCeQtgh+R+QET5t0pWW9F+L1jjCJYX3O9UTa uR8QF2lRdNJAKMh3t8hjqPdV9T3daMkErmIrZgTZRyW29KhBfmyVCK4wMlJykhyoSB/Qcy57/xjq CpFXDpaPrpOZ/Kn22CdiyaKU2GmvGaI7i2MWcsjUFtJJ4HPnVX43caiqdzjAQAfBq2ySaq9pbOWc eUpZ3onBAhwZIaZmETR+YSw/8yYjEyukUJD32vzFk+MFjTKH4Un1Ghl9Lv9f7Ptx44Pnw173zLQg r8dkrIE38wgmzN7C3P8woZntgfniwX7BCuK2MpQq7ROloQerrRm2YbREOd4HN6al/STTxU5N+Mt/ HC2Ix2kkgW6mwPV3UxHX4dNc4J71e4i1x1AKWCGTiEhARq+I/3AMLue9jTNj5oz6PURa8s8nFEKJ 5xWwsaCPnIiqWW/wkDgbcIjghz/j5Gqa0LK1OYWJA2BEqXC34Az93W2skZIgSXRc0jmMqjbDg0zG jUC4FuXOgRMW456Gri82VxZmj+NFHDtpXjNRWyXRp0cE3LPajSThPyVAbv9EhNXRf7zDOOjHbcQX S1ymGPEFNNXF6o/p0TD2PAXXwyyoOf6RDnExCMJr8LAS9qjPGaBSae1lbm1b4qinruaIsEyBeAjR kRoYpDNlK5hNaDoUs1HJtEzKigIbAW4t/HUvszdsNRb6HJRN0++bD25pti+4egL7gITJL+yKzwnp 1OZ+PH2knjlUFalvVYixxh/njHkE70cYL93bcnkiRMe7hRcirm8FPdncHsR25rgdefDSITui8XeC x4q3w62Uqusl2Q3EG8HWpWgETCzQZyrGN53jmoE73Rz0mCEhk87NOGRITb2JWHM= `protect end_protected
apache-2.0
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/924f3d25/hdl/common/wr_pf_as.vhd
5
27402
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block V5Lt5UpXNvrXV7kDzX+VYpDXf2swykHFmwaZqN+kD2WHF5WXsIR3Q9RlbZVmP74+5YWxrjKhuZRV YD/H6Qp0Kg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block ES4/7IHq/EFi4IOvDvJ4Ggnt4WXBTfOvbdPp2TTReVgfAv/Z8d+q2v61xgWNlqy9ecmpXdqzGzUZ PAnTh0Ecj4qb+HzLhJVstKi+RA7LtxEPJiEF64MyU3ePbL9G2EHFjgLJyBvb4YuCU622CjY+s4Wv LWClu1L5Xe66ZBIsMpc= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Yqv1SQzT6DcD1EkGaCCOo5uts6yn/a+kzOK8KMRIumOJXsu+Kdkv8Bed8kvngCA0p/gpZ/qL1PYM 6GXJdr8CBRRrMNznfyvUuqgsJXr9YWw3Th89Sr6hTrSnzZ4YK2EJLG+efz33B2i0VM2UAUiqq2ix XTcC7PFudgXIl1XNkIAqXHtq4YRAQm5MDCvBzqc4KGGzdwrXsHxHnsyv3h+Rcn/jR76R7lafeJCd PAPme7qBNlxzM+3lHLXoTAJ8gaUpmLTXBss0TWigFXwxWJR9P+ht7pbtUGecqrkTYEZQaddW8Ww1 CbruPvD+0+7U/6hIodujE1fI5w7hSelFnIN2gQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block e21NYxfh+NL5f7nviA2qFEpDfLAqnKhmtD0XpL/LG4LHuEMNoOzx+BVU2T4Sub4UZsbJUsHyTePd nVzHXRty+nDCN/FwlIOTc9jUAElkAVh1cfof+fYz/7c9gf+S/wFzp3HFmliv4F+83v/ZOY4kkqlJ sqVxjSlwkxoLPvRdscI= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block pCExxKLZ3hQgr1beezULVuF2Xgv84x36KC/vGXB1I8PK5r0u32ztmp3q9HO+uAdvd0alZXtkq5NZ Lcxw9+lStxduLn77rhvNuskhj0BBl8jpIKTcx6nhRAq13Eg+iVk+RYJxqKDDfOMYF8RJrEMNdP8q DzW3MFKshGtIHNIkNnsI5mnOpFTipaWgVm4F4fV9Bk7A8B/T84lLgpvCjM1X6Y1b+mQKPsygiN+i Pxjd608fZbo/qhlOUXAcPzGbfIzyRI41Y4LN1716JmKIWSwdwLOAFVW6wfO6qqvV9d8qAgsMobxK XUpW95V7rw1zc8tWxWlOsGv91I0vp/MR3kO4Hw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 18544) `protect data_block ScdAPD4RjHQZF8x+HWKPeVFbgXVznLdeb3O7WoxXOcq188XAv2FOdgU1Y2091+nuOVAShPSxsC5n Q4Ev23A0IqYkiQXhBiREFGnH7JYnqmMb4Zw1t04KlZmS9YghJSyqGY2oeO5wa7j5YHnl9dHm+Uxp cRK5Hkm0jhp2h9E04K9N51fw6p/TV0FeqF1phV7ecX9E/JzTnLxFAriSyA9NWug429L/W4E4K/9g qLg0zc3H738NTWMZs9h4ehlWwnkIhhqsl5cOQnjOMQN9ogMZlDrdPOPzhPvFc4AVJL5h8gGAagER GnlmkGDA3azcytBdPDJ1jWGW6ivb8/sa2I9O1P2WUK4Xfomf+opd6AP7Ywxtx+AHuGFXwQj2as1c O9DaEzQYSUZtNpsuSDC32L2WqUdJUuGgNGFyZ3Zsp8EublT/UNVX6GkyWRy4UPjsUSuS/EmgnCjR iF+BFbpFze/JdxfsCW97a7DMiP/XTnIG/4v2+GddJ8HaHQfRzZcv6DSBApgTyW18NqzoTDs824Dz MtSmlnF44SVAJ2tosBFTqA1xHUIOhY+E2FBmRH1iZ3NHgq7KjNnB99bB/wBzlW2h/O3R2m9gp++x sTd48cZbnReP7xKCZQTO5eLhIrCoEZi+DXt1w+4Y2kM+oMfOHeLdHyImrTH7qcY1Qkm+WfJjrO5l u8mAAM7CeX+CICyfcVSASNl4nzElg+lNFyM71SRBx2oMT6OQlv0eeMAiOwAnm+OxYFTODTfQGp0I cTIlvuxz5CQ5NPgp1SUSxVzUVSJa9kNeEFcslGv090/N98gjR3zUoN5gfYhKjimh+1T5i4mHoXIx OB/AlAadViOm+nJ8Xt6SO87F7veS7v37+Ubn1DnQVJhybCXwQbJaWtpIe1RJlr16iPQ1lnlruqDf r/D891ZkVZRUv3wDu7apZnMEkMg9LMGNVBKdfjLSEp60T6/IgrkqqcNc+07wYx1niIHjGV+zg/+N gDnKl6F2HozITPAGgGhHd78ijMq6uADASqVAVNsffWNnqtTNUkavAvZBNDlWrY6JckvpcLywq8xd KW6hFIWJPmnq7Ldz0eAgQgxi9662PUNra+4DwryU6/GinFZSOK/GMcqo7qlV8MPVYny8WtJV7S+U wWFb9nJtYm+xvn4PcbRoJDvsnk4hYSp1SOmgX2EHw465UQuPom6IUxMA57ZLqCNVsBPzaphqtZVz GSwJTq26vptBauKgvCqY2auF9S7K8OJ5UIodvrwJ0cYAtg9ERgbbv546By8T6yXx0lDJoc6e0Gv9 kO7BQhUN3kPL67DX1lyP6bgRvx8z50cuvc7ni08cde81Z/Xl9bUetfP6KWRLiJZ0A4aIRhnv2QRG f/rnyCCW8kbhUZ9YhkCSBoC93mOp5OKqItt8QDunO03JPg46nIRqQbglpLcAuyAgdLVFPJatcHS6 +IXKOs4K32VMdi+bxee6xKUMTozmoZGN8OOk3gBW/7KIdewWZyxszyOYxjLkcmqGEwoY1lq2H0Yd vvkmUTn4cfKdTjijDjXwVKk0hDCtZ7PhvNKr44nKnsADtRcun7nII30SNB3bxOcNyeWV7vpaaK/t DPguJY0Icj1PAy4Q5XWYtI0NzXTZcMAH70Z1jzQWGTtAuce7I0qkJoQAq+bAJKSvVZpJn8A0BRt/ Ai9jxAk8XZmixnJTDDDEpzCPzaZqspcLycbNev/bu7OOaul5kYGR6NLP8hqBUCZolDWMfGGSFy7Q quDLu2CmWJg9mtzDiMo22lDG3a2Y6HTqOvhanGbgDfpogchpMAMtOh6P9cszcCjfZMSJVz3ZxuFp ZZ/sOn4lGA3oV+s+flP+uqe4fxma+D6uh4Ah5N+LXTr5xQrtwjqH2dCLM6sdfmcUe4/SeVss1RWU ND2iPTuWyombz68slSKOXcTJLHLDmMoptOCOflz9Wh64IOhHbFrV+G0IxB6cYKHK/mSKzWK2n0xh 5gUsvzJhmPOxMk/DTmb/YR7GnEAdfoYB1alWE8rvsGOIf7DL+NKurZM+9BgAJuaufhwI68dQKoeS qGtbFeJv/7y7TcAV0LvkTgkkrqGCruaiHHbEz0Ns8cL0VRlbLrAdadQ5BbYqW4y9CZ0PKtbMIYMA w3DNJkXHvQUcOSOCDTNWxszSWonXPsFfv4Tmj4buO+3RAPCmL99Ur9t2meWkuz9wwlbeRcKIMrQR mhkiasNTZR10M8vd2N7LEyc73F6bJ4BT2gegsGbz582wd8WIbYb/dApIC9hcAg5Dxa8js1vYIsPz tpJQ0eUolGmn3VT7KH2FoYWii/MzLN/cLmzAi+WNeM3b2O2eGIV9mmtUppWnMrqnzgFHTg28C91y pn3Hg3I7gzwV+aj25hm5nufgC0JacGmCtL9x+3MM+TUaHOFEY2WyOcx8HZqsXw72pPDcxQKKMzeo 9hDZlTZ9nXnLiWuJnVSmwvzrKlnJNz1TWZxBxvs8flPmcXnw+wscXiIBMQH92IXfIe+/+lPzm4QZ O0YyG6ZThPCh/aYEPNzRpQgaNy1uivTm/782AET+jWagsJSpDmtwJBrUB/0FUxBYoErwveA00opG 1JoIc/L2EL7aEaaUROzC/289na3jxps0DqGIqLpCD6ZmQbK92m+3u/vSLzf+J8/5GTWc74OT+Q0I eEo16mMTlosFETpWTwD+XM3GDlvF4A1pVStNd4miF0Gsio+KtnbAoxGti30o5iauUw30Nn7EkLTc L8iNCZiCjT0U8XrKIu5BoF0Q+vlP5fBgRtpKUpbHIPWcJlTWmOdzBHrxEh49FlVMqfFTM1g6+dRA 4Sz8sefKOFKBZxHbMXr/H+bB8DF+UPQSGkeQxVZWXdBpQxNoq2Kdi7gWMsBI2JDwNG3daAf2Q+X1 czWx9cdT2o+3Q9y/gdXcS6MtPU0SktL//L6o4JFdu0WcgG5HYIQ0Ca2ug2r/dsEyoabSQckaKP7z aYMODGQuLF4V+jTBgE9mAY6LceNdIRkwGQo7DqadaNcSO7eH1Zjqm9+uVvZ5u0s0esA4ummB7Ivs RZ17E0U7UA/cMWgfOn2rBglkGxSzkXRittu647xkYrU5xdL+dlJRfceEqgIoeIkyLBbRML6leHwV llv4if7b62gg2qaSui6YQsqsOGBUUU7y7v4QfasZGHjL/QJzxD/snYYDFRNK5p0CyyOt5uOfXHD4 1GO0O0G+Dxlv6ZakNHrdz1VOkcSLo0NTTboh1goCihy1R6JIqlge7eQt2pFVyJDmvdUDtHqz7RJA iS4RW+CY/q3kZsQ1C56JCgzkDxye9YRTgrhPMcZ2JWUkrSf0fTk5S/6LOLv2ZI7Osu36k+g/WLJJ D3OxW8luxtxEcpFqFF1Jlyux6oRduO8DXmdeGKf82P+mqDCTiTBMKbYoUr+k0iSabU/q20pWnqKk YH5EX5VK7bd6z/9VlQBKmhAeJ2wwFZxyNfBdPjwjPTpcG3RBQL9wpZZOvBtVeUeJPTvFedMsXXb5 Tu93/0IDfiyPKrs6s9+cTR29iGgPD0Q/dPBPaS0xzGRJOnlV8WfFMHhTfwqriBf/s4hKJ7gTYPNJ VN7OcAmN1AidKJ6L1zMY1a9HSbjBhIi41HfG2iDdQ/mqYxPfbry3YT6lCwJbLqzhojAYt6+UzFyl Dbhy+L5GgVVif1JQPr9i8iMq967veUICGMq6xjCVy2czWQDEl7tZxzRT+33B8Abs/EbqRP5iExoO CFjvKB54TQo66E0C+cM9UH08CHoMLE7y3SHeAMY91U54UvBHNaU8cHQgdAmkHdOaX8HxCDbMqJFy aWiMNO2tSDr5Kp9//mD+20dSSuPYsKRL+CDdvUz26lv/Ju2cTt5c5QV0yK8nJ+h6tP/eR/5Knqpm JkShZAf/rDCD//5LDPLlS54cfWBmzLbiKWzbyCsrUOGU8xGu/b8qAoK18DvTR3Ilzr4vddi3pHHW Hujou9/4ZGwpZZjyfkj2zIwkSmG7lIflmYW6aYcoZC36HeA1SDNEdgQaP8O4in6YU/bFQJkmxcuM kEJQSHTgZAK4ycuas9XgnpH5Y9bYqE3RDHYrCG0Z5qWqCd7xq4KhBd1rbWZeQXU5KWzaUu1f50EB RIJIP+TT1c507ntPMDzChG7V0BDviYJZVGA9oMtfXeIhRqq3esHLk3wmu56f4Ry9dSE+rqd3CkBJ 9lxstKl190bWdLMlmdrMhulM/1M+AfRlw68SulgrZbojyPkf6HirLfB6utRZFcbQ4QmpzMsR4LJ1 zzfuh9aAMwiD9JoucBRQGSVBPsFNCSt2HLPF9jT88Efw65wDe8vKPNQy26jYTAxb0MYb7TDpEBGv ZHK1nFP7lWjisockwCZjG0HKLMLw3Yh6nV74Q+aP4bHwsHmtSp6F4wkOo6PwTtMo9Hf8aihI7Ms5 WYDkLIa18yulDxKhgz80Gm+IDr2P+sxAkDSCdUhguS3Tx+GzHV0srhJRAy4kGnJKWlhTUKcP6um5 2FnM1JnnW8Yh8ZPMBeVklsbCgBIZ2d8y0YKTXRlx8fSFPmV+G+y+vSdsL+cN4qTb2+52YhKZ/EeO 3PG8ztbglcvAqVjsMMqI2xLQJlVqhlIeApfAd55U86WHSUerhKXf4L9cx5f9wh/AyHbW87WXo5/+ UpoH1ZuawWjZUAm28dNe1FFUupdvnO7x2T3o5rfSpTk18LzYqpuA0uEykfC7OdIehfBIvhF7UU5a VxuAZ+nIOjqzB+isJqVlwjY+IQGPEuFw49jb66PWSvSXip6paCCLeqUxdFZbTQqnKK9bi8JfgSBJ q/xDKoT1XJk8mAGWA3WyJiNJri6ytQmXLLnkuugNJNRdlbw8ZB3tFO5IVgs/XSlRz39nvy3gyIOd l/GMybJlwslCgDhIn6RwZysBpKmanK8xBoxxh9rU7dWbZ9Eg6d225K5e5x1nN2e4ZLaB9udUPQ6m TxbGExhWHCdg6RGEjEJlsRiWZg8xDcXHDHVg+i0Z9qZDKdpXanrZ2+wH+YgaIgZj5Hk07NCYHhCk kWe1lfeqIAcVGpeP3eLcMu/2zil5OcBK1an3oHA+uTMYc6ytIyF2D84D6BtJJ94LroTlJZIzoCyj 25YWfYEpv4qAoP6zj3foaqYw3NckkClzJ5yN5Xf0HIOH1lUIQ10xNlk+cS0ech7HooGl1KrS+64/ g4OXfHfqrv0tj0x5pFvCTSiVKv09JiQurOCjsgsZWNNXZHznFfUqUcAbMPLQxxhFxcXIbhrXAQzc mqP3Z/ynBT0eS3DXKlb6R1Pv96EGCK0Pk2OT/2cFco8SHydzD6h8xf45n42CUcu3YqzG2doP2C01 rXPqG1GicEDuZV75lrq2rNHAHkCqkZm28vgV3RpF1FO5C3Zwt0V2Uo5Zjt+eV11HY04hHeKQrQ+k fuCkIFc0x1oTBhCRLVnC2BIBhAIrjUqxcwZhgz08AU4iZjs7BDnuiU7ReP+otgShBy2wi95/sZuG Sn2lpWK/0oxaeP2XW8hylgZyRfVccYjAVZCiiQM1yDgpNfzu4mZMlE0jLT4vEi8w46INSo0vL4z9 XLqRrjKFlnWjG5+kIZF1abKnciJTGkjaKMIWvtMEKzW/oXQ1TrsZ/1hH4kRuvCCqNgQvuI2LPgbn bOGMPssL4NJzBnIwnavmR8Q6IFEpeTJpn/6/NypvgvkzMsbQuQwQuXYXLWKyA+GaV8bSUGxlgfz5 LvsV/ULIdac0Ncd4ps/Johf4B7O+SE8PD6t/HGj221AX5bo4B8+DqgTcDELsxgqJqFSR7Cy2tF2h QKc4cLKSIITa2xkOIA0tJF2NeZQKwNEfiuvVbr0/ofO3A9xqBt8UWYesMLaHtzq2SwxrnOV/NHno VgHBCZKrqP6mXTCLNCnxPHIa/7t6HcR1hJEph8hgV8okjKsQgyaJyqFmhDU85ponlVKfCYVCrI8/ TDCsm6DiBMMTlMkzbeXf8KIGG1phtpQlSJ0LRbG7zZakFJOhWx6XxJyG1Xbwtcq0qcOYgp3inPme kOYDJvEShKyrxjoVy9u+RvCXXNm4yA6G+4EtJV7G7DjPhsGGSKc/gheUWXALvr87D8/Da0bmMYzi esKwvYGdjHOJhArFbOoyr4MFLL+zKlbQGhGtXvg1X/tlK54yXxMfdhcbWTsCvQ8GhowyYSQ1BKIv f1k9MKOGrZd9bSszd/MLPDTMxVdlCifnQDnM0vKpEAvKibpCXVns6kHMv3zGx22R8U2N+TyXJ5Wy OXorDRTsFAmZ2WMvgWilXQ/TX4WncMU9KERM8LLASnf4GBrsWLAINYnjvB8xYXnapOlx8gTKsOYj /UNs6UaZs3BeDQt4TbiatVVQO5DZAeRXiIxhpXLyWU2weMvh48Xxsy6oRmI7tIXE8VX2/3mM3CoH +PxJd6VTtHLxR+wobSnj9S1P1+sNYFzRpzJbFOUr40Q6Bh1H+JZO4ynXZ3CzeQJaKGw5ggAQKDtD 3qhqGQKoSepH3cIcCqazDxoSsa5hAfTtTf4sFZ7DmB2+l2HF4D4tSCamaEgmtlH+jDI5nhs8RpqY 2GN5C2kd5YaRQ+uURxHvF9L76IcLhRzpztEm5MBIXx9H0vYJs9ixWDU9nMXcs3jp6+IV9VZ5xNSR NzR09wL3tGkSn06r30Dse0nrEwoXkBeFxX43x2gW6gPyBn9RJKJFFFV0qd3twwI95hpeavOL62oH hUV4V8Z1urInE+iAOB8/UqNsE92c52KJyppy0SBNOiRnppQTY6uvTq3m9xUnkWC5OVCfnCty5BB4 b7etukxrAB+7FJbVu6KQLfNA+0WhJJC4sfr9E3HL00T11Gf6Zl21fHlDrrmT3emd211/93ZOoYra ztkqi2Pizdmr7SVVXzFx0OTvWrvvquJxcUW7YhW9eZSsbS42i3rQB4h2wV2uBijyKa+9nd5X/lGl mJrAb8NtPzYQpn1dWMOPaTCDohk3D936g4hm1ffpRJtNUwvuvr7MtG+8Sf3VAgGdpL+x0hxvGSh9 6cWWYC+PW4hP2iBm7JzC554dOY3/wtJ2RmsQqPkfHJnw3j/wAbLDHR2lsWKxxNySBDzz3FF3Pjgj KFI8O90fLQeAziRmuvCKRMvhR/CwXjbSGoOn+vODG8+JEeRNQlUAcr0XNUVzf/zmbUB2V9n0KVxO 7kD/O4VW/hqNKPrIfoK7NyJ7d7mozYKZWoYBHSn5XC3nt1iNnHgQoVJAJaxe6VW5BT2JCOs/mtiy 8iwfDr7AYn+QxJbXacI09/2bqXr2hqZtGw5Z8esLg9ixhrMlUQY23W4soXVHdOjX75hys+YnYcs+ iueu3kFN+hOyHJNuDsfI0fq+xDhgKA2J9VE9Yici9OYMhvCfYAeeuqGY/CM3TGX+1SjRUNjoLRrV Pg9723/uHiQXplE5zEqSX2XV7y21gg5JT/qoKs2GkfZWkZwASE1dGefyY5nMxgz1ALjHVXBPgi8Y ixIySM5xjqMVWIdKURPledDHyl2Bd5KATtSjzGcH8QfhXW7lVn1KtKMXUY3M9qSIryhP0VNjYEuY QFh5SEXeNzpMXVsU1NWiHGue/akajxz5co39LBFu3r8OIllwo2QxcQxSOSsSv3e+dUShXouPDmZh jmxPLy3bzwp0voJ/7PONM2mddpZCC/x2+Fz4aZq0giA0zvI3TYzs9jLpJkelCZSy+yAlYCXpjSLi W90VUFVXTXEmb68inOwHxDQ6het8NycagjoTATzavMrJ4E9dd5EspsyWAEN1prApXbVWnZGO3d+k KCjGwUXIcRPVFd5BUUsMiC5yE32VAE4cqYqUtPrv9ba20BZCtGzd1PbvaCSyJq7TWROKIeOvlVSL aRjjJ5zioe+95BuzTy6xL8nZ21o0Ge3GeENk1xWM8q8Be6xcl8kam2BH0tAz9Z4YZEzrk1rNR/LZ WIzl/1Yqs7gsL3qUXd3BGo4UjAR0S0rSXN9rJs44tgM3u7FoClpZJ9MsE18tGc9rn7WxQ99QEj15 s8uBqXfQIQhcTrOSk661aFSgthzSg4w+KIo7LP2XTTQ3M3cgGsvuCjNKg3A6wgqw8OO8tg5cUXxS wPL8hm0zkCopgbYdDf694j0nBS3dhIPjIf6PlsbCfLRXsaQoLeL9rujNLTEcvSrQMKpqGPf6Sw0h xFvBjUoiYfHnq/ig9YS+1fA7515fg63WbC2C1qxFHhrRy06CRVhP8l6L5Mqv3BJIJPqEY22H52sj 6iQaCPnLKgrI6YOw2sptqByMdblWcYoB5Etev8pb2Z6+5+aBKGB0YgAURMHaMwjLho1tmFvYDZZe nwZwPr87brkC1zdY6J/lLqthIpeQ036/7x/r5MoGk5ZCKE9p+C14gpy3dosEuLBx8brnBDaVVvuS yDFXM+6ID3Ik7pMBSExTe9Fc5E+OVtsyVYpyplua0d3+eqPLaEEg7OWEshY7qiPRrywowBXFpgYr LDHaBEDasd4XbMaOJbEKFF3YzgptmqB+A0veG27t55YRls4MOBV80kHXdq4zj0gmc6OhylchWrzS w81QF9zuoaf/IYSysz2NK6tpXln7K64uLb6EyiqLdZwdQn+RszXpwj8JKpR6BWRapsVxNwLPhY6F exyZDVQCY5sJXlZumqNLuo2j+Dzb7YE+mXu0bvxn9oEudxspOl2P15fnGhVp6pw90K2m1aNtJT4y UhUy6K8QbOhHXt8uq1HyOlg+rvOQh8o28yFpWLgQZkAtTC44oQQ6ODE+Va0vQmAd2TmdZfLdAkMp 1opXKf6oePS9cRmHs5Hu9B+0b3uKutwe3wNlVfvyGPneEdmdABQHywG1//wQ/TpE7b3HYgfjo6oV 20PJlXDOQ6z1uI9Yyi4GPPY86bFDei0YqmP0kSrEgJAjlSDF8g8LLFaUTe2vkSC6Ow1L7mcQBtEH OATO4BcDlqNHjgH3c4cR9xXzggzi/YPfVGvASxPxIXlCz8wYwvUnoOIfyBaYHglW8h27rfrhu/pv IktX40092wiFXDJmRyNA1W3u00c9tNxkqxBbSrhzhcjWwjwJ4ALkPjmbf4awjORuwZnu18vA3ulv 9VW/8gWtNp3ZdYH0gvbFuzl9fzufST19o5rVOYza0vsusNm7OmBMHSvD06lnwBJ4doTgeUl3AqQn xUHQnRJ+8H7uD9CU60AICObwgeprroPp6YeNBWQFhP5Pf2BfAzNbnIqrpaj/11MAZAc11+fqetb4 pHRGWziaRdnBFgXSpXjJj8lvsOJDbhndhe4NUPcN4yqASRJSH/POsJWAclaX8HBBY55oaHPRtjwA NjVwkT4OvvrL2t4yuminPEoUWZ7AKJCHZHVip/Nh+lqd6/XaLISu5UHWxJfnhHQm0IFkT6Y3UH00 YAalJVwo8kQupmYtf7/+uGkJmbqTGY4Z3lXoXCWhiP4f7MPHHtV+dGeyAJIlg4GbAYMdJTH81G3W Jlu2GcYyv/8WtFxFUijbNuc9X+7GbM/yYAp4Nigsdqa8DxQV7t+A2iw89+OqY3KuX/Cf98fA1Irg BVKc7PO+eyFoEROe7gfQpmVCAPXHJsifJOgUNn+J+bffSj4whLIA1fEP4FASlSltdvIVBIGMKGgQ c+XF4ZZklzUU+6NMDKnUBq8BrNVCd0tLVhv4pYx4O+2g+Yr3k9/CE9ZyPc4es47vy0VHfuqPqj+P c5M4We7Ls0O7S6A5Xxt1McQ2yoEK9ru6xmW7PNWl0WpIa04WYBB4XR/aHR6ScI3I4xZ20t8sb7ij uIMneuYsHHRDHfRLLajHPPhLdmOpfS6nQyEcRu1PrAdqC7EaKIzidk2e/zJusBdPUTyj0KbJHo42 hHWmpwij12rc55FqsUNKJWnXPdF2EusE84pwAv5KJ+n630CIhMld+hMs279wncq+MMjduGjDFLCd imcphTPs0Ms1y2nD5ia/1mGh25k7P5NAQjyQPg2UKtJEfnI1YMW5rP06pq5lleYKDB5G8d6eGQXM 1xfvc4Qbe047YnGNUWaOCjlr4yXe+xMWNYPxg7qd3yDCpqvXoeizpbXT3B6BnTThlsDfnH6F2BjQ XWaAuICahBD15a+OKxK+Lb3gu5n0KG3f4qQ5jks3hfrbzP/a5CURv3zk/lEhbXF5TlyFkwwD9UV3 MDlhoc25Go2dXGfB/myymSheyMBUUI3IMGwYJMW7Puuqr0b8Z1IN6GwPsgo7mExp2vHZ0M3G1Z96 zuCDVhg/NPlPITVCXwwOlW/64qfTQizszyezAomCUlVosZJKN+M7CD/m46XNxLtdYYVJnnZbl22Z mD5ekX2x/xhWpR1zPXyRxhSLDM/E+JyL+TwJLPanydzAZnf+lr1BpNS4YwtCmsMfHbV9c7iI3gxb Lutl/BX5gKRgnLv+LeQuwZV+SOxVe7tGfrmm17qqQaZO5Sf1rfKorRwzZXDgjWzMnRb6ujdH6nPP 6ZkmxDlW2dZEoU8bShxJjEIe3u9nDJdl/aMroc+CPJap7C1q8KFUFMdc5qajPwm9arVkLoNfzpF1 zdjHh1hHY+VDP0tBh884+fguNPClqGWp7+gmtzGNB1zDIQ1yNdI7wFLrDaZ1SOPq9aSs9LBx8n9S 11473e+b2VpqXApr2Ox4ImQ923mdkOef6f0XorLbd88j6j1BWfXZIVJRSqvXAE9LG0Grp0b4LV1d P/HgdU8L7fQeu7qFEvfA4of1AeIIKtOSriWhxSMkMj5wqloa7JYQI2HkDAkZpxQIWy5zuvvfpb6d SDd6bjccKxoR/FFprzQudOk0m6HnikSl3BEkI39WablHw70wLZwhX49KI9NDUypv5wm9JzTYL7oo ZmQ84aZ2REyPlnYne4iUGBJZqq7KdiB7R/whU1Qqgo3loX6sM3UAXfD8IKHUrE6OrlqcAEFa6YMt Rv0isBMZN4OgHONHwAWTIUAlyn0177m49QwrmE9nSu28U6fGFPhMyKb6+ZXUmlCzlk91doEdr0Lw HpovhnLj3ZC/FLXh6F604FhSEGwgscpKMhNmDdI4f9VfW6+XmC/1tcVSGAguq3u+fwnI2hpAP7f5 q9iykGhL5c6iNv7Zk3dH8enKNA9JsAMemNjCmndQX6V7VFHLGOOe9wkbYN3W75FPFNb82k5M8TNg SVQwbSSKktQehr2+9RrPLKKiTkwr5Sn56FR++TOvPVODs01bIfsdEBbhUicv+E2pjRttuqB0MS6+ HBXzIEORdMiHRvaJfgTvYqMLoSnJfdOInglPI4nY4xxsvUS5zZi5QaShWZfhmYbdNI6Ru5cDxVp+ ZrppwmOXL7iiJ3bNOsCduDiydJpMvuwfK5ZNZGTJxN1dh7jNtX4/EAhm17sYKVWeHe8id4l+nbnw yFIpkcYs3w7xXSdsPLF0cEU8pRXw7vn3IycXddiDPk0PZQWH1iKJpU5/lzfKFP8cJYPYNB+W4Yye SjOcnP50L+3vvYKnMYL5BOi56j2LFI+wnD1oUdLdO7AWtXg96k9Zl9dEqe1fWyKbmEtNcre3XxMl 3F5c4kW+4+E9aKLNHD+XGBfTfbdx/B9vLw3Pqa/d47QhR5oz1+D8m6uPa7pbbNvORS8o6PwLtpuw pm4ZaAveZL0LLx7ZdP9MLdjgc2ARYGOdruHSHIeTk9ES+g94a62Ie/yug/ApwQeP3dUvfzaq/VkA 3QMrefaSeLU33xS9OajIwzXbAy2l9x/lk38Vqe3IxHYbhd1FsJTHWHy/y/KlggOzp5lu42VC3U8v gAuihmCk6qx1GVsJ4Rd39s7P8NB7G3PVNUJpJ1nyUDX5ooFDaxRopId4hQ+8ln+cQpgJSAVGqx56 S2Os798m6Fyfd0WUu2BD+vYn0LEKNAqOMkP218fzgDeD9/7Pxb9oZBOMq1OON61NlZbQSE5DGdTS MqIYTuCcCQfbh+nKpJX97j3FleWWZHivTHQvolnozCeLbb3N7k8hTiRp9eqHW2k11/5HIV9iUBel xsnnGqzOD9LYNxxL5EHDwZQmS4dKyvl++4kmwF4iAu4KOqMpGVwjjPqo8I2o4s0XKngZnNDapl7l l0OxbbEu9ud8Mx+R7XJqbRa482Sp6V0i428HMnLiN/ujIxYL++WsdDMD9i7QAU/GPESG8WX6FSNK dh4L8lq5bbYKWuOifgOAahYZlvn6gOwzCOlMGnlozVa+Oop/2zCD5NVvd8SfTycjt3lz0Wv2je2j cK+wHji7iEAZA6Yt/VWqsPHEcTrSKsBJmdj68yz+SVj1LnKr2oFMUA0IWswAVfyx2x5epZPCiL/e zxUX0bj4T/qdNzDQOBhxL7HRJjr/ZoB/gE2mYYk+3l4PZH3vIQiIxc0c95Go+AO91p8zVxCOOvvb bbX8kY736YM6wGN+uyxfpm/txRNjQp9TttDA+nQZY0VTcmlnWE++AUfJoOGsT97+NorOy7+8dDlM L5xuuIKPQ0xzZCI2lPpK4bNoeoh+988gbANmsEn1pNCjMY+pYOe4dKd5o5jYH9xFvdo/1FOSh+T+ 2QP4zxY729rHyddjCuQJ+5phkTyXFVA8EgBy0x1kGvzEFsWrC3QR3Hvb9iPyJEIcDNr9p3PazrQf iR3OZQisYU3OIZJDgcxVRYKL3dJhbFXhh4yvC3xM9JdExYSNQGGrAnPyK/dywEO7o+PWCVM70kb+ elDdSnS9BawwroCfHwFb4vfq+STYP2ryod6ONd73hCAdKQl+0p1rJysfZVK1Sdps/t7W0neJsoEc WMCovVw1dxFV4vzUtl+wa5YJmn0E797RnV6FerZx6udd1n6W61iIbupsj8pL4cG8OZZD984EEdvd BFfQY37vTlDwWGPAzq0Xt+LXwiXdQr3Ydl6e+9w5iilGchxGWNE7B/+JByzg3aL7qSO1YUGMsXns 9sW3JF+Bekb/CnvkfF3MiGhRdo05TyhKo0IezP0O8dC15+QnabGrAfiV6EusRlyFCHdjB6V4mK1M 3TmkHK29eyUSIjCe3XVkgO/O67m5yBoqm/ydnlSelwU08diA6ztcumTt9CFrx6mKAGgn5MCkayOf X6K1X65SKSttCAX53a+zvDhAYBK2EfXpQsXW99c3/TFmJHz6vgZbWtpSMQK/mkWowcevB1j49oPr iIIBLIe1P0sYgzdD/5MVI4ltrylrvsJifkQm9j4pdYA0dtqA+90Pg+LJa6wTmwAHDf8IReqycUea tJs5niQou2ysR/oMAZXFe/HJOj/08VKHYLDqHmB4eHT3c7pxwHAR2fz1isXZ8avM8nhAs0W4hrzF sbC/J2cw1r8mGusFB5f5vZUqygMOp34eD7JKMIrRdJ7TWuPkz+eXbbz4+23dBAW+/3U5+s39WaW5 VuzgoBb7ok+ej9okXreN30zNk0T5OVEnDkjHX/wil4RxG5m19+wZq123U6flJWKOD2jYaY4xJcSU 3jPJSp6xJOicO7dgfkAkdCevK8526QiFmEYX5mDcSTBWFxudAS9TkDZPRuZpYdVGdJ3KQJqnb2mW IPZROxMrQd83tUtg8REK5MbwrymGKrCJzP6N3UKSGcHguf/Q1LllxHK8imv/LKvAh3Axlwl6V7U7 lhzZMs/ZuAuVKkYG0oHKm7hxQfXTMVe5wUkG8L944XDRe20k/ITkR/2xggNFdPfSlzCl5uPJ1E6N qqqiXjoe0HXthPdssJRYBDuH/Z7ixnTsFxb/H5rHJ4IUl3dN5kwFh9/iM6dV54Gm2FEjTvwywoFW QNyQ9OGsc4FxV/Qw7PjV4z8jyYHshTWRflnAgoZOfQtjVGBjw7EYq2ZGdNcyZSx9rxPOfSkTYqB7 ahVvVMm/6BcopFax2dDLx+/bh8X/1g2v5lwANDuanKCag/CsoaW+moih8ZyvCmOsoEeSIMla55hr 9kKHvkC+dQdUa0QaNnD6RgQbbq6X0+z5yElb/6T51r3Oc9Jos3tFqbfme+erBKsNiTGVhQdC35yE /O7YZGcuk4jnRbKpf0cFPVNpjvRFO0SIjW6nD8Xw82YbF1Dr7yD94rNzQYWeu72K5b9qVVo5kRx1 eWjTheCsUrcaV+VINRjEFDo4KwmsiXnxKo2wh1Eq0WyhIhzNQKt7loxi4UclOQRF316rE1NCZcnU VNW1C2zb01WZEWcA0SR9sxRx0YkoUha29gDq3izJGpOqEQlZgjZ7FhIetfnc/n/4QyXXIHEIIKhL LV9G3UE98204o28rSQWHoR8qdoVtZ6W8i6peEq4ZFAZguiNVbQ7qzj8sJ3kMwhlbtfhizz9w2vJk MuJya2LJSNqm+PmobfXY8SFQZNMVmzlaAb3r22aIQbNWQ8mAO8FUVfSxcySJLC8Nqv7aVrWcorPk sMdznKa95ldbCH3wiEQHMw/w490iMwtyjbovZNcfSoqUzzyabQzsc2HfeFlvg84x3yLvs6jSY6CA vMygKrV1H9WoXE6lV0PsMZFn5J9QQxes1B1tEMnHW3hLZTf/kaYaZQZPgLczGybWaOTeQGaP5O+u wiLkHQ+wMic5ZxIO3E8zfpKUKL0UjFc2z8V3yzdGpMEvn1/7LSceiSLCNNxRfmU8ExUNJ87EwMfV WQ19/9eVRtrclcfURic4ZO4US38au4Z2Ygaxl28Tm9p1UuTMvsh06StoPUFlrFq7m6fCR56zev9L imV2Ehpms0beNbV2J0Hug0qguNP7ZIetSVRVPqkPi4WKfUl2WxX5GyDmUZFuIyTm2ag67CBcDLPZ iqiGULWn0XKMfNEq9064Ejpdeo2V6+9NJsf4RfMkLWlyBGiK9jXees2P2dsEAV9hFBYg756Oom5O hpaLLY67Fs5lVSlcXE80AuufFmPjEQ1fvdokoLe6tmK4+6zn2Q1yfgybIScUDfGDi2LtZV33HQbS EnH8HT2wOf/DPrr4JVHyoBqMI42qDUC1YxTyM9udZTgNY/tPVnoWa2psr9BDa4CyFLEmDddCvgc4 Lmvw7FXz6Loa90VNCTbTAORtNo0ilo+53BcAvKxQkkTVIRFzIBVejPtAM6vNy3fhG9V5QxZ/wncJ nzP/5M8Fr/b8DYOy4PJSaJh2dBP/qXy0md0tfoJZsT2rjDFE67epKZ9FCCylZlA2Nhc2uRbb8Adc oeysWvrUWdGddTwBLe+0qgRDcKUK2+TftWhSFV64e0mgDT67yPJf1ygRiWHqiHKdETPF4DP6uJ1D ml3yPprWdkfIf/Gdwbz/O4LIWta5RAjNZuax4FuUYmgrbFjW2kMmfLTRae4AD5+nkpbK35//dydf TwZWR2Tb/45m+7o/IlAz1TYRlrYRVEWcyvs0xmcDln3s4P2LPEP0ZxxcUDpfUWIrEBEa7ejXaOA9 1soma2hgTE8bDpi31cMjxex284spgQkPKwqAIy3PQT2io8/UD5o/bzGLeU+rJ9TsO1x/wGC+b4+w 6nKW7mG8KZAK01r2mxymMFv2sRF/WXuXhxqeUhuiqMJ5yaCpBP9gAybOMxRoQSqAnzQtrkebKRrL J1/yGQ3P0UQwFJL51W3MkgixLC84StId3UiH6ia6/bP71gUnRi/W+5QjRUQJuXA3/vxd9xd7tN1f HhEWISLmh7x/si1Gapk4I2tX3gRO5JLnSmtYs2jyU89E2mT7Bp8yR7f5W07gB8US0UTVGlPvR71I Cx67+V51U4ap4mX001Tq3s1zrBWsGzi89sseVqpf64fCkNTOSxQmW2QQtDCBaHOmlYbwEKrD7BfX eTK9EoB/i5z59ffSHgqyWniNOsWr/X2BG3E8nCYsVhO3wYtuNVpRXHSP1WkFQFqSZkCbPGh8mfTu YFX3LThonfxgLGKV3G6DcWeMGbxMjmCcRCYcMbotV5qOf7MrjssFubnE8wkfcLk6vTHEB2KkAkcu +M+zhQEppuuCPbyjWncsLFsjV0rJb4gBsxcGTgT9jchZrSxMLalqCQCDxyhIUm6BJiJfPIY/UMln s9tnwBP9C4jIl8mmgmsPS/EfWEz8zQKB4Pe6NMFwYOTtmh0PMEercaIfK5WkdJ0YJ3ohzVymwQs1 2dwVsw0oahGHaWUIa1EfKUZ2IDfQgM4Ly8oMM8/zJNWUal8vQBzpesB4ylIlJwsl1bgJiYZmb3sK IM7vJ+1KQ9YgtkUVFg2rDL7MQ2p5xw+vR/l/g+GlXKrl6WMOhqfMCk9yAvNo25FSaIb5TJumr4Is bah7pEVBMdeM2+vwhiFou0tryKz8SQyTopsPLZvPYuZY98QVhJVOpnEabrIgXrowaVz475GUqjlS JXrRJW6hxe/kraFyz00BTTBHWSXpPv28JbKd6FgHeTvK/zx8h1SIZegzogXNXSjQbffhOJvTm027 G9eTnh3p81kUGqTdTLtwx7slpNv2y7qe71wVHW0I9fDSZidG8tNGcKJgs99YSYhE5e2pSZ2zzEfg SXpKwY88uxVfiCcN3MXzP5KUBiKWpAAlZ2xb9iz3yW2vCF0djHtqKfQVS/HRZ2MtCxnQEexnnw3A H+5RGtibXM9infdZIGBj+nO/x+uceHhwNayDZJXJe6Zr8zpFrV/58S+KpHVjhI6fvGcdRAwAMxRm 5DUjRUtxgbAQFhuMJDtI8nU8FE+xOu2rLsimscsn3M0Yv0CTbsgzpdwG1ByaK0LpyQN7M3Hh/6ro lXfhWp/xM+CBPgN8aiEQKEnJxaJ9F0CniJXvgg6B2WpaVg10/wKw51ml/OLnDIRyhydhnIGdngyi sPShsR9SFqrFD8D+JorqoIfWu9I5r+ZpRbOqIX9Th7cVH4EVMEKJiHlIF6zufvuP05FMgt8KKQpg Dm+J3rMe4veRMx9RxqkGwAAjUhwsZ7lZCBYKbWQF2E8DZGLhyA9tqvpxJsMUVYmCtlqtwL16+onK NL7UTyT/HijocEeoKGd+FOPayGfuqYLv+OuV6P8VA8qe5oSLS10YjO/8EgdPw036bKqcl8kxOILD 4Op06OnIYelfrnYe3jqGb/aG14yOfh+crKD2rRZtpHMWjW8TPwiWycS1FrX9sYM9htdkm7Aul9cp nGVBP769ARbCCf3yZ/fq4O0otphluSgArb4MT4NxquBTgbMBXihgAt/1QM8OGNubWd9zTZVTmK9O y2kjIKBSbhmqEG1uVpQbBnadLRN5ld7CWR/LTKbQICcO3ByBE6mHUHg6NpxJh9t8eTtR7hgEVFCL VEzHNWgoUD1j3iHhvxvK6zpqpHqcayCb20WO15UloJSUIbSwmPlX7LqtlAQUYxvs6ENrxb6t9gKm DTybvHyclDetEovN/KMUV7AQsSiba651N2DFEk2mE7dxJxPgsKdI6Nk10hX1qu9OmiqfNVlhfsNj FxN4rcbcuK+z2MeSAJYiDrNdfPVqVvSPYvIDZNQck8OaNaMEjzMjrEvxRnAi++jI196QHfLi3QFs ye/6ZrEhIF5GsqDhTRM+oS05ZlcCqmGzj60LIhX6znGcybILhczsh7TEsUxQKF9yVu0BGrI6gSa+ l5z/Xsb59V2kFJJMYFrBO6fwHLUdvcxMAk8Y3cPnJc2w48H3VLSCdkfDVMtm1PiRpQCnXBnifkZn AchoDCblo6IwjVQayMTCcWBxKVhO56jNVOIAZ9pe5aHZQkwkWywh+FwuBb25c8lqWVNtmui6GEH0 PDRfIZaI9570SwgXS1BX1O7fPF9x4JS7r16wBev9Pnol1hgLXndYp1jqV8c5/2NCXxKBW246wd5d MU0TLTZznj6i2DPdaWVl3Fws3h9VAYbCduNVgaqjC1qf8xbYRfwxekw9kP1Eq5CCsaRYUZDsCAKG SEvsBa+4aQNNvATVz8dcG/2TRI4E5odAW+7L9anFQ0U+BEwXDIH70LSSxf/S7asx8wpq365cFiEN Qz706LIhDY5lQ0CJYDBJF9ZRhlmktE3Ja3FzvSINICYrHMgJ7qaMN0TLOLULeXc2zmxzq/YsuqHW nUQ8SyXGq11xQlVEp239UEl+11awumxZmz8FsyInTsFmaH3irxmJJTAid2si04grdFlwH3hhn1u2 HuCSnAjlpGjbr6EIQmtLsuDifQH8bRPPwq+mbLEAHfJzEvDXLOTo28Vahak4BLBOf9WY/ZkPK2vW dmIx/0Yek37Oc6dCXBfU5N7m1MqqNFU0Lun+yB/80ebS9sdr1sok8hKiGWB3Ef04Kt8i2nTcRnGH d6f3iNtHPXf/lunKNAhcNcpodwg/k4Hhef2vcgdtaiEep3njjRzy9iO6Dm+pGvGVKw7HukXFKfXW zOMg2uVH1jlJYq1jNtMF3gEde+Nm9YAmx2l84NZE5Y8uyngZEvQULWwr7hGU5ELlzD4LKgOY0uuE +Qd+3KpJiyR/bnS9A1PeFwYNthZ4cSdSJ6a5qvYPLOjNcyTs7IGxZ91HILrdEe9/CXyYPgHQgKUp zGTxUdTefiu6KLbMSH32euoGOOZCnxrhUdQDh6SEQDi82KE9gxDXTQFdDFhPY4aDUfIJfiDX9HRZ rQxaYC7aCQ57K68n/axVOehIp7J+BEa/TdoWtTsHd8FPUbiztDc3pkbrm/7IQd+c1nKCcy8a90EI BigD1SNZ+ZHBJU79x95yHkSKd5Rc1D6JmINtkyL/m/ze6GTmZuBcIlQFAfQBB2+CUeHh3spfxwZ6 kNxPbf7YevUPzCcBQkQedL66HknwIASryuXrmwgCNMVeIdvl861T5eT8B32cYYsL9CP3eMyOfM7j WN7UqZ/6GLeephMFOHTGKA3iW7JMYj0ujZvAV4F2vvlsMrJ4D8Ei+X1DjJf24KjfNihVm2ldWRpv xoReJZrXG715uEcwm1DJjkgEygGKu1fgvB4vkIjNpl2We4J6qS0oKkduyVKIYQtdin/KmSjTKuK5 5pXLOQZztCLFHfMMXqIuMjDOdFzSttb/g/H5USeBxKxTi2BNaiuozf1C7CE+JlhjFCEjT9Z9saJt Zl6+k7jkpAwfONoY/pRQlhTRxZSME/bYi22++xETqt+E5XAyu7S+EsvFECYZ2BfFd11gA/SqJD6h m+jXgN53e68NBelcqmVDWdZgD3rp2xC72PTOJpQ+chlKCqtMgm8PFqutNMaEQn4kYbEc+oGke8At +ZxevYCxk7BDHPKGfNG1Xrx6JlpVe4bvSeaMTbZwB2y5lT4MbjCPl08IXBCzzuvg0Q5l+f9/6AVF I5LzJ5Nqx5ag5UGueN1M8KewtLR3N1gRSKpUhWv8gFhYuBvUtKD192JhEKsMNj7ucksWRD6t2wbg e9jiAxCbwrTpsotlqi6ZhpBCopByLE3XgXwQ6CtU08+HGfi5cj2o69wPUuw4iD1WhDYsoXcf/iX1 Pz6rdAaH1aD3celx6fwMb4t9PtNoT/BszLKuBFQN/iqGqbyZGyvr687c3yBN5rrX9FQBDXXrBxOT rNbVq8UleYUFZsnBb+9E1eUHnFCi4p0mT3x+7lkkHcBK3bVZyqxM8qd+73wM0s2Z3BvriYz/ZcTc fo3tJfpPTzL24BWY4MLs8MA3zjKKnQkl1pFwmv6dfVa2QriQ4lEzn11CJicKDOBKYsM5H3CFMxzq QLZxOdo405L59aWRF4yNOwi+bZLATo4aCEy8/HQBrwn6nnPX1k3sR7QqrR0Y52yxhvpehJK2SulP u3Ntaqtx8stmJbhGzJVz7km1c/YIkqCKGzfsE+5zvzJfSmMEWgHMGd3YILimQ09NHhvuQpANxNns pMok7+XzBnvhx2MQnT/qa8fdT33yuWg1wISknMnyQzEJd15A0eFUZ1tlD1E9qUS5HNgPCQlI93fk DrUvn/pCzoZtfxxR91lCxsfXpFZ1Q1NxYm+dZX9zJm7xVO6W+uO6w0Ted/a1k3t1lpbgYyQkEFYQ L5GcVgvcGsCv5AZAxhfWyZ4RAssy1BQpevvFsYXix3oA3DRiMxHn1hNGb0I1cesmy6DqRB5QwqKV kRWCCPEaKW4GjRYh9cI1+FS6qqMr1/sVsSQWc0zV4f/j+nbJYQ4POaUaBs4U/w4kbPzSasiLkFgO UEOqaryq2X4NNFxQsAp3v3Mzw3SJCIvQMqdOTbl/8aD174ocbCBCyfZZBWpSgRabO6hxRhLulyVS sEjA5TdSyi9vpMIu1UEgnltFyP86zB0Inr8MzkWe7W1dTkPrfBsokdW30oefGuNb4KqyuBPrmFbh ++rLI4FlTPFVejmDjd9AgpYxX1nxRjoTP5qAdarJ8Oc5ZtuC8S+dPvNSQ8hnyuCRbVHBr+bchrIi IJoZQ81wei5gsdpnwrr3QehEWajJ6Tsb3dorTk99tySannpq4VvznGALUyHHxY66lYRDFyjWCIp1 qu9X/sOfipETTI3bubPxZmT3gZofdSbafGnmfDgWrSEl1nELP4IPvRxgbFj1uCa/MH9nqTm47a0G ARcBk/65K7YI7pQ6gMzL81Exph5Ow84FA2iCGRQVmQni0U6b00tqT8hHpv9P+98tYqx0xLVpmw2j epBbdzV4YsXSSid3//cZ1EFOtpXIG4J88BtqIARSR5r6DLi4VvFZn1T9teBrUNqWuYjFjvd0OxJW 9Yh/pJyZ0r41oykImuqhaRhnRDjX6WiSdukjihx6bJLGlmE6ELGzt9BlD14I8Rl3ceDxCw+IM17r TrdYAJyrPtj9kqnyuat5RrqJ/eg+SR1KLRCyQ9ELFyAm6sXvhGkLtRazofOuSUT97lV95QiMHTs/ wl79fm7Lwi4I0sDK9TZAhowF1iy+8XdeHnyRDMHVx8Ex7QfZ0RaOwj0nSwXEEP9Lg9TyzM2fDLxV Mp3ltR+0+wfR8uMbK4PFEFldOjWrefzzgkwmI21qU1tLKTQTWdOCOZh2MFj0wsdd7n2jLc393hH3 6nPk3KASM2d7QFMIliUDQxCle1lXrH+VGlSH/enD2G0wtpzneAs8Uz2iHvd0n5eu3Qu8myVovqV0 jqdOcgI0YVGqcHxqLDK1bFpnDb95v47iya0Ambvzw644lL8QHDr7FdCkNxOOZQmvkP0cxDyyC1id 9870GXFw8TcwAAXd9DGyLao0H8jzK65DZjUhgyoJstSTEy0NZLfLvZ9qI2ku/B/xbLl7BxSLxqGL MtdMa+wgICi7OcowsRY0Sp93VwasNI1KV9LxgZUSXBPFFny35ilCOGxISpmdRKGyB0Fsp47CrLLN nvdea6+SAYFpIXWfeLLaOkuXmVp2iAFOOjDB70GduVLoj8Pv8HoGcLkQVG5IQFeQL9fmDQ8WAUCg 2R6AXVyiT6vFt8QVVc4+820V2O01KuYXGQfSqguEimZGY0AxhRKLLFQ8ZgXV1Ykv0OT74lyLGOLZ 7ZAi1F/gIqD5kBsPy96yMku/DCh8HShxioNnmtV27pJmJIWPTFPkiuJuKVVVEA18YMXLxI6f0eLb dmBexaXsxwtJZf5t+D+GFpp3OD9d3deVeHtUcty1iMg7rKrdT7lMkQGRgS44/F6rpfwN+72z/3Fe 6e1s0A71WOwjs3xj5h/eS0vlJiY2FMMtpLzTTrBa20XIT84UYRjdiwb8wHhlhr+x+rlkJbYIEOiF 8ZTkMNt008iiMDvMjIbz8WUAiHvrydX1e5pURV+J4O9Mb0o/57rVeSf+rZiyVteaEnNkiPuz73B3 v3HxD+JP+vrmO2HfCPjCCU6jHklJaquTiqOXFWZnJYqtUzazXxZMEYCdjhj26fGOpBVo/Y4xPGIA FADk+i4vtj33R3bct9BotEerOMUFEV28C7eaSa3qKOJDmozZB6bb81D6dZnlLeLLKmuz+WHSVimT 06WusSG7y54IsXZq5DrFCeojjliIhSD8ExOlISWfKfoP9FiGo6eMIt+uGFplXG41LjH43fmQ33Nk Qd7PT6zHWieEx3oXI+jxHOb8GCFzpUao1R6kefuZ5k8kzJTemwUVyesVHmEDJlUsbcl6D7UIzvB8 zaMl+CAA7aEYsA/gUiUsBnWZ54EGoyKbwcasEBnI5M2Wgs/GNF7/sDFWvdIZdK6kYAjd2bjeQyFt olg6dY3u6yZ1CYM1kmctQ9huaE9KpnuO8rZ0fcFQwtNwzkSQ9x2wWS1LShiE7bZNBIonbGeWml3J E/cndn64NTLI3xrLetwcsPqAjzg7FTvCwft3FviwguB9Red9xJQkVmYdVRZRjeGg7TfoC24BNNs6 soByfX7K2mkLobnIj9aGp2F5yJG16vF7UxJOIoIET1rXJzl2aLrnP76csxX45N2ShHtvp81GBdSm 5on06dNcLoNIppdRJDOqzZDjRcUjMxP5EwOk4fvzvYPg6LPTQWcMsxp+kq4h3VzrdSmMAzK1pwN3 oPIrfspfZ/WYqhRKTGgNCHvtgnu7ys+X677IaiRSBbDdnRrIBlN3ISNkJOcvYEBf6hdx9hrtHKI/ 0Cv99mTmYfFrKCXsSuiz7mKLzPJ9KB1SkpD+QS55LTVi/xFTdx0TTKIFtIbx020bqhw9JEBsF7vY 1dOOHRLV5Tgv60AMlATcsyIUXvxe6inzD+5HDrdtXvkjEe4zzFRo8e1G15f4DCCMLpgtu6rKVP7B OA02Jw4kFphgMDmrWnF/MMu3leN9BE8r8fNrw3eWLPbxK0Y3zvX62P9B9eA87qJn33YA8dGmovdw s4WGoAPSh+LWvKw3u9/bTX8UaRgxhvcozeU0yUIqzar5EpFclWzftmjOSaWbG9WgsDqZNhI4pm2g 7CQ/z8004OJb6IVLj1rIs3nnVLHO6AM8I1P3+l69rmMNxPVh1OMLW8Zbjki82oLFqjemQ0Ix6elY zaayHKuXtxh29ndZP7s0Wcig6rKnYfhtZFNM9w+wUNy4uZ6AwvxOOKwlUBeuJkxkvwnD+4nRGVBU +qLqLIcIngdb7UABnNV044hQS0ds1BQfNbfHxSaesmAko6RZXIyrxyDa+PBX8CgAIu187YhJl5f6 aUIB4m6g8Oa47mcd4hQ5uMNVwtniktYUISJ2HJwu22rez6Ru55MSV7AunXUUUsI+2RAkog4EZel6 ZQw8phSPwPCGzWkIyu++WYaul1v+aGS79rJuuvIVkNQ3OswItROwOKPHTzr4jv4d9pUKttKcUIPq TZGi4wxtGzoLEfXRKNtdfeRohrbKlBb2HOZoOSIjCS2+mRPsj9dP/PVmTnZv7xcel28zxGNhVvly mVG9vSwxT+UjzbRTfQL4SLDlIk0KTXs0rXspEzHE/cQhlxoRJ4pIUmGJH6v6HpPsMZS2mWBOrlz5 Bw8B5KLt0wi0NiVbWCs89Im7fh1xylKXPxpBgrgGClIxRPakoU0S1JDzorYNjqsLQrOLlQ0R4oAz C3S0RYCmTmTnmx+hUkNYcpWW5gt6FufxhTGi3ozBrA3jSasBaKpX2mPHEN/gLJmizbmgG1hnelwX YeQ6ckPkVhU8xsjt3YBA6KPRbV3Q4HZ8rWZXW0Vb2/kBIqnWpJHgF02O5K2E4KsNwD9Rz+IfQFiC JL3jS2R4J2SCMs3OszW46pQKVwtVNAP/qRLb3hd3xLpWANwOj7arG/1uETItKCI6/4NHd/+2rMhv YvZDHPeotYC9/h/b5LK/GUsRAFqOYnDmY4nr94UfKWl+qEEaCIWUa24u23UCrzR8ZKYRIuWCLSwQ XBBZYUcrF0YMu2euOBaHoy4RqtPz+6mWbxHp1mQ7hdcaOc1oU3t0wLO7MGjGue5wVvx8pol771it vV4eb2k+HvoR8bEu/0AsrBGxDogudG6Cw2hgFeuyyla3GjwhDsTqUBUTECnRSMH6zK8IjwZmnlMf wS46I+ViEd/BfDx2egFl8mCwcaz6HOfQflQgi52z5mYAtFlrdtObhAJo6twMN29nlXk82xWGsdQf qWVbQT65pPGv6jMNszlc1TqpsbgnVpfC72yB5V6doC6p0flsEIe+ZZa+iMBxG59Bvhd4vENmL6vt co43iY+bOm7B7h67IP6HLzciUIJ26J+mHjwtYq2bmXTai47YOKMKkUvX5cSPxfziakXagaCHxFYc vbq2SorvYdWN6qjWCnlj/JbbewBftbWhSDXUn47AlpPIcWA3Y2wLfIQFWl3vZxM6lH4CkUyvt52h JQEz85gTTWuzy7huVzXaAdNlLRQfx23Dc6z/hnku3fPuwsK+9E4CejGBzaTK/cmPmb6pfE0PqKra 9NXkKwdl7JTM8kPpLz9XVL7wg7Mf1r8OTXhKpJKGyJ7MKqPjRefPVyPX2unPG+3lFLSZv++yEAt6 u/Bdf7w9jaVP7KDq6PQQXBHvGYNsYcs4ZapXwGT+i+tuzHUa0EiuMiArDCz20cpR/1gSRIrUNbTG D1+In4g2O1gKSjxpYUNk+7tb1MHU3agqWalpoXumolzlxquNPrGMQifAI+djXrKjQVlKFFXgITi3 i68W9SfBQ396GQMymc24Vfto/mrZZdTjeCkcU456kF0DYAaxqJgxtDg/1+0Le0enErGYOUDeeW4i FVqxIt2qzvCYQSgvkS2XKOOYJq0RY5/x4c+RXInsz880xwXV+kEwsLNMkKSNvZF1UifIVT7kxRtK +/ADnueBTtdvDfQLi2rlns5Pl3RiZ0d4KL7irwfme39wXp05k7mfpZYwQAAdeOnx05TC/kbRp7vq 2eovCH27O1LcMVxuNlXx0m+jyoHxAa0aWuuPvVabhhlto9/2kgcJfuVXH8bstj3fAL5MYB1Zn70+ 5pxqNKmcySk6M9Paew6/LQ10zTzjhDbCzNiOoflzYP3HIhokXdgWyqMvna2uZMTCBc9+HzJ/85R5 IOzhZZPkNy80kGEqzvtPtszl4agCMd+o5OxTOi7jt71BSJUCbQR40+x70J2TK65yN2gGmNIjloCm 2eTLIeAKihWVhUH7uRW9JSZWdw== `protect end_protected
apache-2.0
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_3/7b6e2d75/hdl/vhdl/operand_select_bit.vhd
1
27118
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block qWRBAMfTJVv7zyzeeFpiU+YrU/9ZeKY04brXnPM43b+CuS70m7+KkTUq0GX8V2OORfUFixVkNk7x 3XV2V1AiAQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block WlcAXVmEsURrPAsJAtzdtHjng+ZtVj0lds4SCNZ0Y/51OlFwfoVwWNCBH8UZxGowXOsF6QOthtj+ IQAbzGhYdPDk8N1wZ4Z9UZTlGZFJRlQ/zTkdUZ2LFJwnRUd5sRDYj4LPOBnRifF9lTvuE3nvA1/L leKmGLPy4K+q0NIaFLI= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block jB8Hh3pvPNvheGbGBTHPTWYGP+ZaIZBuPe14+Hasu/rejHR/mGsJtRjthuUJNn3nYp1bgjf1emwu WYGOTRmhewoUhuZQ17WWnbQf8nBLz/VZvfPVIdrF2sBk8kK4Knx1U1rycl9s6bdYXlhF+HgCLFFW X+LV073seIvFbKiRYxwRqkFH+tFzxcBhyyVUkpItFSXHXCoDV2S4V1Eu9plBthArfLX6NDhz67m9 ekNyd3S0GyNzCQMA8T8cosVCp5oyoxASCZkUtVO2xr8ZDawzhx0y+f6yw0OLB7IYXDvTMMRQCmSH EnOKn9ZgaUnIxDoF87I39RF+i0Z0FgwDw9QhiA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block K+qJFQbz3LdzU9jdKVwYnv+LtE+avQzl76W6Z8MA78Hp4MIvYa7y4OcWBWCtkqiIod9CuMi31rd7 qjgJEQxKjQFiEofTDTd2LlVBS0RtP5HMkvooIZRjv2jREJEBWUaNu2VHXrv9xvHJM2pLNx/4xcf/ 5T5lGdbhQ4tlERgGIKM= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block DhG01VnJnAoBPEfqz0K6H6wGTUC57J63TKrm+86uMUIOdZJHWn0PbwVkOpc2IuL8+p5mazRfQ7gZ bfj8sxhmjJyxTOcUBY15p9HuegJlmZzGSCEIGSK+bgED6mrHD9wGSPbgE9BOUFugnZyw7aSRWk8Z f9YJEuLLE18cDhcERALf93/VmmC3APFZQFYsZDCfejv4sx6KMFCTRcnxP9GZVUCajhGB/5MiHepW VGyXukdMtCYGK5Bgk5asZSN3W3IAtMaBgpcrvqXYA64Ndgy1NMMeNQIdK+gaKdnu1z7bkzKPJ5Bk aBF0ulliUD9BjiKd9etAMqmHlaaGOqlg5Z435Q== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 18336) `protect data_block sx9pnNOzQDGW0RXC1U97igxFb6jYSqdpvKvqdN8VUkj/MlyxsNYDRh1m6qD+yc8WwxVvOFNHOFIc QX0Rb7yNc0rWq1GHwS48P848Dr3VnAqxl6ESmvM4UsejzywtCgT7HHcAwDQTslvPhVR8G6Tal+Bt Y2iWlFoey9uFxpe3W0mXCFqAlWKdy0S/3FCkfQWfMGwwqGhc1Q+YzlGEEhp631vwEolEoa2x+MIa KL8gzPoHfvbHtdfm60DI9ejCOjL52s3qAozPT899p2U8tuHM0R1F6eKWSGFVrmWkPippM2auYKnH Ao1sHBkN8davXxqdi8CWNO2s2ZXZ/vcA5rfh63Hcf98eFvH0zrI7XC1bO/rTKzMyXrr6KQfzrw9y dBtMG2TqQzxXO8rHDNxrcbJ0mdt8DHL/PGnBFT1gIGD05/0pe+QhAEEodgelWtb+3XifV8wWm/hM QHH0I1t6RNSsIINarHyM2yArsDmIzkHhAoA17UABbpJMpsQIuhkeuCHQR9Zo4iOv+fXaKrSBoWbO rCrI+sQ0LGnK1lo0x+zRDeHpA9nVNL2mgkIG+EYmLk8BCi68aYZZSufUT/Jvgq0pL+FnBqmQ0uQJ 4lv5Wny9yJXjbLkn6TlFULO/xBNl4jPbbHYVZlZDN+JuvbO/c7x9EJDFra/RspoVWGIOiHdfH1UY p8a4FmPWUtL1hYw3RP15YZfcdQrduTUC1ehGRbEGUC86XLFVV/EnUeRTNChocL6p4U2hdNBeGnnn 2KSlosiulkIBA9rc9KFpG3dEAKgie3ulHlIGSOHiD+hsIU6m4p9pLz5vR0/atm82prH8MIHrswkJ w4RQ5vxCKverx4CDubIF1K9xEEnPQ8BitlTq/zbVDXMF0vwqj1rCggqCfapPZppctPzZ+iEOuZMn kCIiEDPbb8g7UOSNYWpfm5PwCT3OMTh+da2W2fBTYi7L9FK9p6183I4PD/a6J6Q9hKc8xOsBziMI S4TLmLJFKFzddBTR8Wl2byHePEiiSQ3txQVndDO9yhs+LpreOzoo4Dg6qkgI2VTYpmrfp4RIdwzv mFsObdQTWDT6mkOj//gZKH6mieY0TCsbwDHMikxg0SN+t95rGQVACear1j6p9+lGfdrYv7sPaxyt daPlWeSmi8IoglDaASrDKDeZAezOdw45AkPIUoKn7vAJHrsfYTtoM4kg6ALl5Jv5B2XJXPML713/ glKPcNdAriEQgBLmKwHVH0yBnycNnr3Eoa4A8wH7SybN0T5NlgocB4AnFiLr9RZ3PFSyfrSbjlSA uJyMVDnVlbJbq4nslOgFOz8iiBpYugM0xVOYLozbFC0tkWg+UPYmBQkF14Pk8G0fWTKwbdHormum BIYgZMRGpOllPRa7c4YPt3dY5xwfb2P9xsJ+B3we+ULu/qyqHHkI28iRrXb4esfQzCbFuXfw5yGS rV3BGUGsVwyLk2bCLDFgswCP16r3ipKKNQOPAOVQTmYKpWW5OPQOtT3RZcFVrxHGE26Wen26Oafp 8Ysg9kWcuDPxouSIMDJeA7qM8rLbkUmReUyMJxCRt25SyhCer3OmonqM9YMGGNwoP6AGgXOa/Oyq TmjSWVu/+2xTfjEAtduhk4pBKlPCEVDcCYTxFSAEjeqn5vp4YlUS+QCU7nJqzBMvjtT7Nto5GxEI F50G12VI/B1vfooa3onPQ9oQ4GJIBPu9bx8b2zwhnjdcTsfJMb2UnBvJF1HrHEHULr9/uPZhWRBW GBuA2vr6SBo/ckrHfC0oSB7nHpnNGLr5g+kd381l91C3JTK9XwnWQYT/sc/iIUDvMzOVQzvVy68k OpzqM2VZn1Z+YrYC9UgfAHfVWVYbNloJpAeek0U+yRHJk9SiwY+VH/DhneHO0QMBn1ofdz3XjutA IqEWk9Tcvokw5NGCMbn0cq5jMh/nJJ4MN+JagHGeaKlDjC9aTTWuGPvaC/OlMsMdqf8Ggn110y3q ZLfGBqJZqydX3CC8NYiSAU2h29B1HUT1ra1jrczrjAcEPhzgoZT3lAL8l3IK6rF7kS/6lNpFGEIY vZCZ+REu6rpnUzJUcEGdZrlwB3XzEjo8YeanA8wDVsp7dFXaIEKJm2YD2wJCb2xGLprHidQG/lSW wncyLaa5Ud9GJDL4hp7gBf1pgClPxXrCjg/NmPuMKRzPivUlrOPhPe0vEUngARwFPUnUHYX507TQ SBCCA0XmnmxY45gc7LrC2yV3D+KCVQtxl1GlDpl1sDH9S6iqN56N2QFePBzh0N6bpGNm+uPP7OBh yH6lJxnsp16aDrw8OW5F+IZSEqPI2nhMMJ0gDwDOXASNxJQXeOtcC8yzRNMvUWYOgwtayFMtmKi9 9KfycYuoat6xejp3fG9l+EqxEwjtoScC/vlFnDvjrL7O/qajptaDMaMYBMx31sIVnun8uT4bNDkO xCOyIowQ7Ko+QGkLA792vtszf1Hy1o63YRD75TLh48zA263FnRCpAtGd51ih0X4xAyJAqsbTi7EE qeDZ3cyTnC69/IhF9k5wapDuScTYmVBzCWkM6SIUfcbrFd2HF2oDFXhYh0k6tsuD+7C6zhFp8ZoD wkNKjvHRJM1GT4I/W9kqY//mKoIMhAgFfoPvitGTHKnfnj5wex9UDcjtzOuBXk/u2O9JNQ823l1+ UeT1L1TjMIvXlc/NrU9RgHbFzmMmYoKkDtDzyv9OWnmzNTys2qcLla5qnr8rhQvdEH5iAfoxyloe B0Zydwt0D4Ej3rAzIm/mwpCp5vyYohmNpgzLa9MTNedi0JO9ROy6ypBAf6iCK/M3yfjVArNtHd/o nz7fv0Q1YvA3kapVRTuuiYopjb/9tKMVsoa9Z5gemTr/Rd2NQ/J651Aq8sjsVyub+K9ccC4AhzcI c4nIkx/imntqUE+sgKUCn6MAFDN1lZkokMtzfo3PWbFpZ+PGbogptxHad2qqtrqYbnRv4ctaGbip bwLGPQj494R27pD8OGIHn27ubb8B5a6zPPkaFSUU9xOmTY4+L/TRj5euvgCr0xe5vBhY9SaMG79e 6kf6F+QTmd275VoLjcUbVYszXpeas83XmBo/h4rU1qYsDa/R2mXc8DfZTW9YPooahltxYYeQ6jzX 5kgPt0wlZ/dtGFdP2CKSlK0rpadJ0Vf1zDF5XaZnt+eGq/PyzW/sgZYrePzM8AAiNIfTli/H3Sxx imu4EpBaHBE3FJjB1EiTu2WjGTSHW7RGXiG0DygP2AqDtG4p/3eMHhfq3wn5tOB8bXhVh8htO4sl tVETvlDPLYlJPONXz/YOSWLu4TQP+jx6IGF2ktzC3683oKvGvzHun0DC43k3gfabyT0CTI3G0kps 8O4z+snZu/evjWhObU7gl6C0kuLvLbLui0zOHp7GGW+Hx1+ITQJ6Bu5aHFP5K0NkgduwjFwxLeWz q7dzSIc65Z+YVxcWZKB03/+8Y0CGTvWbCselItlrQP2b6/IAKDTcbPsUIVYPNGGQoy/gMdtDaFGp nJetBShzdXldXCSeNZe3WT2ID31FZi/Zpi2t3kFj7Jkf1WpxHjJguyBoDE1E5ZytW141mFN/3m5p RwK0JXSvbOFNOedK7RV5RXCuLIUECC4SL46zIKX8gc2sTNDl8XYsCHXfb6TUghu3VlhS+C0KQoEg Zoa8XR+/N6+KQEpvvO9r6lGP6Q9AzoVEbCdQMtqj9Jw2uHTAF5nCgCClMATxm1Kqau0bCXaDRzsZ zvlXr56Rir1opodS6uXforarFhr6YjzDk7J/7dxinvIChJtqG3+zpcKz9xDtbXxdvdC6uadMVPeJ m3Nlc+R/MERxUvhzhi5zrq72cBM+rvnMnU/69yxfxPkcsO/7G9HXe1DoVNaXck5ypt99vERHL4P6 GaDat9vKu0UxtUiuT93/SWH40I68MTFjePSxgnE9DTNXZnVWn6oBAbP3S7fJv8kYbabTp2M8CFwI U6vJK3RIBNbON6opo4gTmYKo3kt2cNAgk0M3GkgKgveB2cdycI2TB4c81+sL/PXkSKoe7wHO1ROh 2OS3lLyKZw8Q5YJ5SYIXyUn76Komw3dFjTwCnkz1WEBPWJvbpBOINv+z2jWCFclbdRpr9wzvrMOQ msSMvyrMeERTfxV89bTt3tW2/a5bmJh7wHsj06gacWLVEgSkLGd25OaO7/Q0LEKuzECrwcdEH1/p XNTGHVwTNhauwa4DY40yUujvhmTDre5m8hOpkRhwSWqRMAS1OQZOqeuMMw31VBguT/wOOqO/Ehw+ lyntUpvyr/ZU2O7Pcd8PqD5jrCjL9u5bYBmqV5lhEw+gxiugotQsrDMsQrKmT98nK1J1tmyZdNiW Ud4IAX/c4fk0ovTO2or33pdAsIfaEqnqAH6lygirjfoodKvoZhl8WLggGq/RDlc4Zs161UbqxUVU inv2o8RUY+D7d6Gyp6cWp3lsS7KwtEQybPgT77/D4ubltzRE3l/ztx71BuVAxiaWK3euj1cSAl8+ 21sr4tEvhCJL+zgircEQKUW2aQfbyR6SKaC6YvyUB1LrDPTUrGvDhMYxKCWYF6cfmQyY+tLjzopV RqzmstfOzePSPx6xXlHgdUEk6Of6PrO2LTJOlpuigSrFTLrRdHqyYDaGSmII4ZDu3I6rF5qUO0ap LX/1ZOKQxZnwWZbP0bP77XvS96MClHOlrCYQowGPXd0mRkbxMnqABq6x4r7QNvWBqAOJhfU0Jcee 3xrpO4k2mk3bzTp0JMSYpeJ6O5QCF3kI5wqdjCDSltMiC/Rpt1ndcsDXnSc9tlQYtHbb/UUtalRR becQzx2zFwQWFirrzShqkFlSvZovmXxK/Slvtl1Xp39wAIYrsXRom+s4kqqTxgSIqyR5h1OQrD/T hqQF/ALDhRnZM2N1drLGWB29sXz4O3X+Ty6IOeIoiW6yb1ItO0cHdZZYMle2O9IHMF0rX8KzCdaW jTKpVbTC0A6QMNTMjl/BVObp7CV4kKruaZNDwKoB2GwHDMWjeQ3dzz1zCgizsIudAi1OIzFh3LOU 6kk9ZPOwcNHPhsM9Jompccep18yqLT0EIUCMtvYnPttCtGtdYka+ZElzSoh4u7av6rGTFfZMYm2/ XplFtV9nV2r5OOg5WJiVRz6Ou4gerkxddZosVaaLeb4Ni9JQTEO8MhyY1MRvgcu0+zxa6gHAr6cR 8lVrSU4t9FychejuAXhSk0OoMdDlPHH6fEARpJtxZUq26oYfonoCW7vzWVYYhZIFbMoET3OQZ47q bLf7kOkpsUPvJFXrITdEsqcVqlfPusOYS8wGjQYKq2bvVjwvdWCCNaOulX8lVbAyZ1eHhnjbQYNw Vw+EBnDy2IOzq2T+kFAO2WiWhTvmoevqN6WsxZX52AxbAVldPQDIBDvP3ChF7+MpgT35niQDKg2l /31l/5Kcl4l4wDS03pBzp0eHvHyj1gRcnUu9B1NlTSBdDvHPYdROORq/o8NW/6zV9TtjOnKOyU1u ibyyf6dXuOlIB78B9hf/fLXjnDmsPP6jiirmr0cCJ0NSuMK92Ht5iqNXruGOjHTapbM4R3wnE93T tUbK1uWMoW3u8QVsDiSmJ/ipnR/avN0pkepo2EKYc2OaIz3MLXh785mLPQfPontvj2hwVnA6ZfrI xSxL+1wj9mpxGv8Wwn015LeZNjFXp+MeKzJjRwLICBXqKoAUfbbDAYjbkcnzxVIGJc7/1VVcIV13 8v4/AU++a9gHeEcWsVoLmj6YSbhfve90cVC6zBXnh6Jt4YWFTxKdBT29SQhDxNdcDwx8DaNbnk0G LhKvZcYMjV+E4z7Pi/mfcbdTyiqeuEKF1lV8TeViNxO8gD08ojscJW6MnC124M62cyYWQEpEWU3S lVBKfpzk/5WiRO++Vo0QEFOkqDzlrS7Gr8fhkQepAZcQYFmJStroC/FW6fyOVxBTs9iWWp1DPdVV sBy/cSVekrJsOdP1kAUsZoMQsBU3azzj8N90wGNB+bwRcmbN7pwEjKyuEd10PNFONbPAOuW2Wrqj lDoQmIBc8F2kxShFgK6D8LYZC9O3pUv/X+UzeErGL77ZjxtIdvyMYBEUBCv/pW938dsyFUfAv5rJ +/jgG4xk2kOBf4d4urV4Wu1NklObDbv5GzvLkLQc9bbx+ykaEk+4S5aYrP+Z0X+KtCiX7FHNyoUf oPMgBiFhcSPY763gfd6cx012wZ+b6DOMF1U9KKh4Je7ATUX2r7O7bvIQ1+4QUX58wZ4TCigNT8IC jZkWwFKJwwQa/aieHyJ8jiwrIOIcxpv1to/rAJD4aVLVFqcIFBOh7TDKcSH/6NadZYPdk228oAvE JVTK3sE2cauxJI7FCShGwu0alClTFg48ia2ymuXAKKQHE5gmqNi6RepqVrY5xP/Sd1ZX3Tt+H85/ OZvgC2LXWH8ydeBfQnVTt22/GozJO7O2d/1zqLkCq5ApUgMFsxop6yznPV2tXU+plTRDVK89//5B suROVsrmeMtZmy/Y5TZnX8EVzVZ9xv0A6HMttEwxeOlYrYgrmYa7wOBc5gH8l8z2rmcSNWsNIVX6 BEwZP9TwVkAxHVS5RwZVxk/JiQYz+Z5We5HTTBqNjiPMOA18UtRsDHQ3JC32AMqpD7xVG9r5a/4I W/SDZdDA3IFQMj2mjYjD3AekKeyF0Ex6WBPS5jA/FZgxOKoRiSwxvxJMe4uo9mDtIkaUErFVPCPT /9Su9M6NnCMUNaZJMkW8Dxyn06EB+OvLwSaDP8mMaAnmt5jqUqZ37+iHMIv1naw9x3ozwwfFU0O8 WVNSwJdOfLUW0F4mPnX3nm7CpQHS81QcirI6guBWAwNmNT7ifL7byRrhSZVo9+Mf1lTvAKbunXkh KDymV2XFfkoYxJiPes4Tg/RKhmzTRLaYESB5KPLg5UtRWXdGS9yB/KLv3xWJScyDCTy07z5/ICwJ gxAS66/UbG8yTtm9/lWJcYyciM6ccqWAn7lAagvI/ScJ7zvjiCzpukHXIhlUjW1hUIKMHnsjVezJ BOe9ifOTYRYqh3+vefx/8CIHR6bMsob1ivzKLijToTteQDxUq1uPwAFoxFuUKzrrBIBiUs1SZD+G RqZvUsgRcLHoMFLjqnKnD8SM1+3421ChIv0Ljzmvjl/vnzqQbzJyiS++LvTiRbxwqctcmZ4lmeD9 A9stPWlKX8cAE6L/zt5XO4L2dG6Eo0DzIaszR2JMPdJ04qL1a/oTUwyt0lQcYOHqP6oKZcKTzfNd YuKGNDQc/cCa36uPZC6uYv1u7nf8YyfM1g+XC52UOog37Qexx/i+hURDucwxom8AYZZLwhsDzjVn YzBlZ3QiTCAlfiuIUsrtR7ayxi20l1c/L23KW4CJpZTyDNU3/JCfy3cEzslzDUupPteDdJ4owlYO 0pEeZveXBvL3iETYUgMF/Ccg0I99hWVnRbVGC4+nm8Fb6orGmqpfyFh/RCVZFqYKyo5U5834P5zB FkhhC3cXAxgw0O2/W2nimpVUiYS5ot/wR5iSjh5SCyUL5Ja4d6UhBODpH2HgItifwP0QQPDZ3wHS 7BVyMeVCmPM/3XZZgc9D5ihDIjWpnfkSUsO9ATOOUu4MxfZ5VJdBLkct7WXIA/lhYYd2cMPwv60K CDWCdfS65ofxt4om42YEkQD6poVTAU3TUCp0zq4keG8Uuxq4llx0TBPXppR9DGxQwSNyXfKLg1wd TbYmpy7tNKDCswr4l1ib1aFl37CAI4xRbANb7ApofjZuJZ3qhJK6RFdhUME2ohyTv+ojTCerUV3z 5A+0xJ/wk6oAnG9kbEpiqEdgWfhSxlFbMnkMbNGA8Y/Uww2f8g/TGeeQRNWxmZcxpGPjeIVJ7R7U OiVBF+65IL7aMXn4CsMbEzCKPnCKaYCpM6AQaNo0eCOFQtVxgqKNu7Du1+hrVlG5aOSwumevNmei XYj05mOfGVtro8XWelZYxp6w/PYCUwoJEOxDw5asKK0lAKYVjdtSqMpzrthcmfYTEuoSVpCRBtg7 zAPq9KaOYfcfGehsjhz2CTtC1gpbwqLUVViV2lCZkBy7Iqtau8XmdnYpjvzwp/R9xH2S6mx0WsJZ rSjBNGS6E/kHM1ZtH6IIp/12up19lwujHZjsaQ8IkHCCJrfihluHNmS5WHX//E15ClZZb3vaeC9b B4vTBTwADGSrUK6hIk7GCzGUv3ROD3xig93tDf2lMfkl9czgZlkjCC6ENtOyPXYTPREUDdw7bIA0 Mb8rzuryqJVkbwI03nTNl/HiJdtkkKE8K2vlGzsmbo/EtKih1wrToPXOown4NAF3Kj3s/qLA6gQx 4eEk3pyCdQ+g7HO15ARwqGI5agWa6xbh92xDdvQN4x7Jj4F6EsiUOdUiz2qTrIFo4zpkKpX+wZZd BZalzwH5jSmOZX0ICE5s1nm4mJoUbmRuLfAuBbbHk6weuvhgmHHg2HVnMsnkjVcrEa++hql2CHOA cKZvVpEQmMsKt+bhPlW12KJalsIaoqnMvc9AKbBrOYqGKKDkwz+Wc5cx0OZxgx6rBCn+di7FZFCu TcuyZFDy0DaUJwHlbzSl2j+gV+ISZk9U4ig5157rKgDYK9qKHp7h6I9wi/S96Z1CF9nnkwWSe0rG PJOlb5HIWn4QjPn2bub5TaPkVduA9tcu/Wl/wMUhDbYmF9FWG5Z/nDKrDVY7oONDdZaozzmFNQkz s8VFUK9tPl1BNcjSQnFwjsugjmVGP89/jIW0RGVrOJOAEWimOXQQL09wgoU9pcAzy+ADAbWS732M 6JvEIQbiaW/vVIeyZMheODFhqLimY1H7+07BrK3Qnu6F3b1OPZbpMf8fmYvHyebrTkEiEqSaM3Ud 4AX33akQwxElFuVlxK2KOASoLFgpEWtAT5NbmBexOu01XvBSQbmIlDdP/Ou4bENRnDgZ2mlRRvIS aBLhy6q0j6wTD/peZdFUtJfrbQEzJSrDciYFG1HnewBP2So9dLtM7C49wIibGZYisFvWWaOsCrLA wOlb2XiDcUnv3ZLhMVJAWnN4BpWyMhpIiBOw4OjdGsxfOq3kg26VWmooCvOZ3Gl+8ddlAZJRvAgY WQJB8pFxlVSumdkGc/b9VOO8+EbHJNTY45/bLhlEkWG5Tc86EGLG6TMqv4ktFzpPPbUjse1yEUzd vMUyO6n5eBpM2zRG0NXTzedmpSGml5ablQu5n+HmjovRfa4QsiUWgWmOIuTGioSzRYJQOZFJtbxM 2ONqoEm+iScF6Xn0IVGi9H5Tix8v/VQy+WBabyenVtH9agg803jmnbz42pQQhZXc8EUk62ltm6gc eG2RbVCBH2jajYBqxNxG1sYzpzgtDnbNRFhMfJTm9i+U3/afekr71fifL+ltKChmkswHnOLKY9/6 8WwNxVUkKbVqha8BSskoqxE68jJ+fygsJ2sInHBkjgYgMyAIkyBX6ALxXe07QApcKfgB+tvMGk2f 43uFhC/OOHHQqF26ECNrQh8eHM5vDkdJGvTTj0XtwOM1TAKsIWkO7mZ4pm8irMYCeFy2BvLUqz8L 5hrdyJ30OoayIMXlIJpemXjrrFmcbSFsQcBRhl9vhRwdjCGDLH9efnNcEjPzlbrqKczj2uiJStgA g6qYUU4ogl/WdEyA4QFs06C57e0XwS93I7zEx/CHg75ROq/5byV447jnz3e/6KAJHCsKK/DFBmoB Wo5Gjjwxt+S4eMmlXzOrEzo/tZx6UFZDZlgYrFbQwDnW8+NhCpA5GCURLiQfovNxOG51Pt4Wjyxj Y8RTYF66jY3vB5Wb6/PSb4oBj+qAOjTEwVVPmMDwxFNUeHEGUDTZ2se1O9pdElxywmoTNq8H3tX5 +0XgpACcYsDUFXcfR9pQcqG1ztQZX56u0pq+iZR8x4jeJbP96yqCHy2cht/C/jUZ4smUj0Vr+lkT PXYrrXtyEAQ6PmTcFUvOCA/jE7orTvy3Z4SLzcOtZb3tuUTFfw0X2Ju3E3lXOpUJrIAYcr8r6e6w jd0JbCC2KHUditRd8yJCwPgaJzYf7GqnyLP7p5HyhLQkGJnpakxzWCrjX2Y1hFqNckxoKin2mfd5 EPoAgMvCPyrEHcPvYLmiUFpdrNiMl3vLXrbYSlg4D+CpJU/3bJCmRvK/jZgRyrOkYQj81Fra5wg+ 9omj/GwueB5cYEefJYFWYev7kJztOaTeRbuUdKZhAVN5CzyXB/zBpA2FbRodrP0HkTOwQ1j4CmhQ pUUIZcRRIhWqVpkU0+loGWdRV9tXvcua2ZPLjQnYp3q2I5M5BghSQ80ocffAFbYnj7sP4a3QjYS8 KCKfP2z56hD1F8HxbGb5Hr/RJbJ6fRrvtOQrX7a8434zTWxNCzusoSag6vRI/Qdsi6ehKN5jbWh/ /15NMJLV9TxwbjXrdjowZo08hLHNayH2k63gLFmDwvHDhQV/wpt2XjareP9JjC6hMvSstPSl7wJj 7hrnpfWUGnCvEv0Rtas7WRQqaFNmh/YM/kPj3QvPnW9qqUXLWdOCuqCzOP9cdatDsWOK/yEzBG6i 1B9RD1z1Vqn4VhBwHVb8MZN82dzWBAvK/DR6yWdKg765jWXjXJMWPRb+zws9wKhG6a+1DyWdiygc ymJ5iWR7sDED/+lWLUyZ2No7HiVtFaDPaXHzdZZuDdqt/wya4G6VnSilIVlWiCGgfSfF0fDLuapW zjSbRn0p8/0yseoRE67CX6gdx6fxSoZ86rDUH7oqxes2TVnHnXbTtu38pRaioc3bEt1PCPKKChxs YjT6VpcGoA2CQ9jV4Ippgn1i2PtiyPKDveYpeB6yjBZYeCj9TCv+zNhziiUocA5BMRYch7J6SfQj exwWxBTmAHD40i0yNh4+t2VmXy0w3rn3SbtutK85TDMofL6iFoLinDDhD0elt3bCZ2JGT9ZU1hmB 2/Ly1QomRb/fHogco02FNiMqWYcFBuNLoF4Bn2/WFahZFqWFR4Z/acNTsFU6BUSBwpXt+Ttxgr1o 874x2TLtP1aO1i/7ns1/B2XpU6Ci4djsoO6cnRpHS2NQzm/jEsEunqNf4CTU6DVCmMKBz0ko45Lf GkKp3RqyphC+AdkNKv5Ns1omRRWlLkL0vpRotROzmRFt8qJ3FUti+bD0njOqia6BXcrf58tQ4U8s 26SqgYKEGVbkoh3JbUcCXqH3Iek1Tbo9DxCiMTe+SAcC2bZglBnW90edGKIsPH6lvopCgo29OGv8 zlRR3GrwkJNrJHr7hQL4G10WNwQYPaZRR36aNjpv9tpx6aOe1xlhMBqOc5qwd2CzHCORYwkFhLH8 VreS+trhdhWO7f2aLCZq/H5FuJx1j1FnGvfNKpKLHHu5rddgwqJiJA2I9/jZRlsK5MZFVS+sSjEy tr0LfhdDqWl1sX8tUTAAoma2If1+KvRdnLCaJDwr5CHR40Bvq1WGVOYkXWt+GCXGiwH8eqjwQYm9 QMF9KrbISCPF9FD2mNOp31gyq0D94hUcKXH2Kbn0DJ+jf+KVLzCFcO73+lSP1ukF0rszQttthBFI 2chk9oPmIXh01sxzbhDmIAyddNhnIg9VX219LTSCZJEy7W69jeUorY99tlwUmqFs9fphr07iNQBS cZAb0E2wi3rfBDmNzzfuTAe/lQW9aZu/3k2gISWdWubwvxYlWdZikduHyXiZ7nwake3uHLC5lyVA vPIJxA7DD9LIOeFu9Qf2d4APe4vCI+HgeCmWJoGbsSMtBMjPDyOMuEPIGAQWSVx+vxtnCGfmFS8P ZX4U1kDlKGtF9yL8AiI6hdYIdMV3qctkSLRUbisc6T0QnJFMyHixbtv776X93d7oXyeUK3ayE2jy So6kUvqmQtV+SQOg5OasD+ebA2FHoOttTl/9ywTDxL5iZLvvDtckjqp4opJDJIFqNLCdSoVF/sRT DAymimQmTpSZGabUwdw4bEu42W11jO0lo6B8hdNGdjiVMNPW1dFeaNhSumMjDx611p3ue+0P6c0h 8coEHy3awEnfxVoiu05ziJzsUVVw5dQSCNdm2W9xobps89A/vETDw1zGcdHAFMLYoCz/OqbShPs5 I41ySddg6Hwe3iC1cGOa8AYbS6f3TxQEyp+T+bsjNHZ3elyayM4Fb1XuUy2fQTorXkGN/L3/73id tp3rtDUrSK4Bg62wdJBy9DOLFwN4p8ClvWfhknPUOVzonHxGJOCE2ivDcNTMF2xtFIPb/GHAJyqh AguWHbbLqYdPzMdKf5k33zeaheiMMVIUMqMuKYP2vXknCbKCBvOLeYBxWE+nK3VyC3FwCXQobsHn WDiud397pn2fJZ49JPZc+sP/i57iPNH65VAeEsElbYEo/cTb9tdE1a1VcBATCutqVipLa8rJI+zO oQxPKiwiQ0x00hqn1BjVtBNW69xANdwKCRsYnZrY5pRF4G4FDKSHjWtbVXG5YfIFSZFquAXsOJsr Lr3hw9+AuYJg/1FHJdGpDsl/aAD75rto2LXPT4+vWm/KotDCzxGrhs8r2H/YxkAksXF2gy/Y1uNW IiOmWdwAjSQ7AHrWX3WrEUVtt2ShCVsXd9ruxW/9PZWiFgZXJbUxdWwjBM3ZXAT0TQw6YP9YOUwm hkYMS2D+/k+tKURbrKygBojGJly3JeCUOJbIJYQLdjlxRUD+tQ9h5+NjlpFYZUWgvFTYvP5gDeXS zr7tf7SH8zi0e1+Xsy3+v+psG/PXi95jFYUgrSRx55eZkci/RP2W44AnWg2YclyxVHxtILhTLaZr lFatJIgX63ru8iWafGskO/e818a5lr7MV9FfvIGpIYVJzTf0NgryGU4ZvuGRr/whFG37wGJKXzb+ c1OJyOhipVAC7Ln/ZdRH7HgZSbg6wLD7Qh/ojbTDoGPdwzsi/Da7OxdSXc22z/PKZLFAYq+zbHEt EJeGo+6yMbtZnZufaZIa+GxGbSoNJN+aDa6M4q/Pr50pbisUzdMZaut2ImfljF7Vj2tqaV0KT60c 1qEDqYCZOHmTw1jSA1KEZSGfgQLHZ4PfiJBhF85HJNBIFiVHf1nft2FfrxQj4oOGOlLWn+XCFzP2 bbqEk/MpP2TmTNzlZ2fUncMOoZ6bT/A4PimGz+AlZ4UracagqkzPnWdYn4YokePxwnCY+jtCtgtI NVuFOMWFlz6m+qRCqsP7RTLx0aysfiIbFOCK++7EynfVFmgraK7eF7Fkz77dwcNQGO07BhfpJT1K rXW9BXPGTHGbZvxt3NI782zOF+3XStKcXrgH6GhQPL1Srkdyxbmo6kY7pPbNVfHn0S8betYDpO1Q TRnWHHW5u4oobjKnaajbruj0MGcz0ToSiTXnyiy+HLnPeHrVp2HuhLn8Yt+rZB9dfXzIgP3zSSXD Rv3B/f/lW+2turN7NolVZXM0MOWz4C6MtyNbyMH8zfqpBjkmZXMVWCteW4QcY+Wy4k/q1s41NAlv n6KqRDTQK6vkqlPVnMo0811aaLPfQHg84SXGnSd/7H9whS5Qmufr1GcbA0piN0VFpqkZwQ4OHp4O L3gZY8owk6fVmPeVt4Jcps4Tmblgd9QPQF5oc4hzFAT3cKv0xAVVMr0o94bIIMDIjo0DIhDdpahm arYfZsiTGDmwKlWFcbxBLmJqcXbNgUPfCESQwCL20HojQAm6ylFV5QbydhB7Xe2/H/XuLmUV07Ag 9oKlpk4BZTi+bOUt1faui057jphvj5w1U4NLxk55yOaFrhGpeWii3VLqQIVUlrjs7yo6dmZQXekP evLLmbgLoWtzmISzqzp5CK0DBYrlE2i0oTXSSYiGZO8Ow6QQlF5oRMTC1/OeEoM/VmEF9Oc3Ky1e z8PVan2bW5kHfmDDPLJ1XR20Z0IPtM22SdplqF7lPGOQmH9BrqzDz14MyYWRuSooPOGzccR7Ax9h 1geQ2m96VmtqK0SfJP+TbHwpocfNxi6e39rk5wHX68/hK+oJzb7ODoRcvnpRrNX19CsJ5WREMXzi TIPqgG0JqW8hpeG1fRT6ROs5aydVFTP/gZv3CVbH9SaXXfkDlOPmOpeve7gd0NCUgWqOjGBlNKsV /FVhszlcNNzSvCZRtBpANlxdG7YnuvNSbgTYFx5bJDxjjWZ97mSc+kewYoyNWCIlWz3SjeMs2rbl EZosh0UjBuaFzQotSy0zmq4gVXvl3QaPYHc/c9rKVrmmDQIgxwn3ZKroOuAMcL+p92POxj1QbGPd E3mUFK8WxJ8lK1B86I1Dn8tsBDj44+/cfd+/aA2Yb9pzynqyhXaDCmJw839QdYxqI7qRbg837H+Y PNHVngBtp1U4+77z7kRRywcViVU3YibIkorzag/7C9o+nQ4P0E4iQtgfGjc9FbwMi78Fv+pbjEtE wkdB7CoSD9YXVjHu6wP1hrwLHT50ZDEAZBM4QY+mCulCjedA8rerU7rXCpVezoeYQ3EyKYBmk2jv vANu74rG3xrzRCOfA29NijHD6q/Moiwe+Y8BvCn16nJ26rknD0iTlP9cjXumFEL9Y15FJZp9enda I1GPGoceAu9FaivF7tZaqEQM7khDzkjDVZ5bv+uW/QsvVG1OQQX4Yhr4l0+4dg8ieO/DIrSRyrF8 c7pSycaHyIp9j+XLqnhwuknP2Plea1OpttORkkl0x5dKcK7eoFRIEGp5fC5YjzUlcoEuTmRP41Sv oejPgXWoEKSGhOmgkBJAwvxPB3SVg/hwM54kMMRuOsU/v5HOJVny2KrNCiWHyygDHnEXAyULmYFo saBkNobce43qoSVwXKJjT8aTRCvDVp0xCA3tWjXpJLJtQf/ch+Sv3gYK/hKGlH0EgBUPkrQHVWrW SXeYVp48WxWgNaXz8t2GtmUS1nJnvBlOvmWjwzzW5DSzcYjH+3xmEUKHVbBbtkLE0nH8R8ySMSxt eZWk0YN2VlyN3uw2H7yWoiRU9Mc5ghcstnal8oRkC3exBCKQdTNjVdWRqGmqrBjxOo9SvSNd456w KQOQ2i/KcMImm776DtC2JJq6QUWEvC6j2UdKFsyW2X283R7cZfBlE5jNpBqX3afCZKGzeMCiKwbM IzAhQXALfBAJQQA4YhTeYCtaka3Y0sCM9O/JxNqe2jwDyblRfF7n7onMZwJ+LXsGvigTLBkVxv7f t6cJ58Kyi7PgmFffxDeJ33UibAkESMxbnfvzUN7fmj7WZj1Cj91CH/ALEIY4QSgM5bBtSaQYcex1 U/IxbKUJqt2crKTNova9Y7Pj5sCk5BnFEgUsp3giMPvHfIiD2LPZDNufZbRqW6AHyVAqqxu9QCGm 2L4ZU8zg5fCtDrRRUMcaChZZNGvHuBgxE+NnHo1F2TQFS8b21Zd8GHzZ+Qvb0X01u101UFV7spvg imgzNlcXDwTMTol6n10gdmcJGJbg3zJqR775DvGLx179DgozVLDMCYnnGrGeIxXd4aFhvuMjP9MX s5wFC4BgEwKgCRVuhjHyN31swGjf29lap2z5ASBBqC4zrYJpuD0mYqYK88DP0X/Gr9+r+3dHz54d ONkiR4BRv7r9h7EKYGRqwFUAyYYq36pbFmQfQ0s99P20jrvQR1Pn6cPVatcYd9wW1OtX68twVIvX eHGoxa/XQAB0Xqs1m4P4BDDdWXGHKKtClOk75HV8xEtOj12t3N3a6EEkXZaOZjgaoV836AqRuZRC pakp2T3W2604+4ldD+ChCQmnRjxae+/dA6hE1wOkbL5JZWJc8bMIWf+vo84Qs5NX+tRe4t2z8I/s YsXuLkBwb+nM+dFCAyms2h61OlsRf4ekj83xIodXuUaNPUZ4lU+cxqIrEHjcdefCPNZSFJhCUsJ2 SRk8AJ0PQ2JZqmr63TL5nw/kjwsAiBS5dCTVZ6OeK44/iPeCdFbWxMu2Y/3r77tpLiKMD3QGtMrl LHtpb+1tTwJd3P+nOAoL7Jl1s93AxReZFKLZFqOZK5et4zetUky2n0PYaXgmNKNS7HK6r0xnp2mg SJ7oOKIm4C954DjLwyE++ixOoXOO9Ui3hEQeanW1JCGFzze1JKfBPqUVU/Me9B6bImwqQp6/0qMs zhi0mERNOAdS8u2wmBTOlsigHI5vZj4z+nQwsDNwYlqgV+MBfBCCIklQ8In/YU02tX5yUP44BRCS xdTL04cAWp7p1c7BclsUHb16oov8s5kWw+MyrjIfxrQUa5mlJ67KRMJTUvWkBqJJ9tGbHD+L78JJ uxOgkWvmiDyxtgOX9ql98S/R2Lqvok6eY1MtjkIeI0W4s19xISnK6j1zAMI/YyQ93b6vZmOvcnDg CewfWEclWuPgX2OQtLDYJJnjH1ak3tbqVytXCYisDvj9DO+eA3HJzvINhQRWdcbC87VS8duAhpPn 1xM30KCY+BnVNjGhMmhUZdnOIJXCQd0LTACJQFr0setVv9MkBFFtVNwHSvJDvhu9VaFNofzTshUJ 3kc441rKpb/Nsi1B/3NCj5d3FUIOfCbOvW0hkiOasHuBI6M3ISKqfQiMzvJ5AGxNOnHScH/a/bkc s9ha5OXoRxJ6NnkFM31IrJUz7duQMhYRekX/YA+FO+cek0Xfo3e1fn+BB+HqNMXE3ptMs3KuSsKf 6Bur2LVVa9KymufNpZyYxpIDuwIFYYFERKETV/Pk200cZn1+8ew7Rua2a+KvMIR5Rbodci6k3GME LtUNjDR/xTkBImLLzmRJoRoEwPHNU2MpfF+vvH9As9xacYiqdHFzDdKpL189QDQP2ERgHwtA3rMW TyxnGsDTNjsWPycBkPRQEwJ7ffKr20vyqYbJ+5Y2uVw2G+zwibVxeGor3gqShcPC0VBiwO5AXuyD 5TV1xBrMd1NuUgVJme46w7gBPZRkH3iUF3QAvcLkx6ThnPEcth3EPv8bWzYlRANm1cSpMOZnMZW1 v91jUoTjRLaFDovBhnKbNeYxbZ0dum7Iun1VjruJAwm2I1dU/Z3dQAylr4MPwX9Eqk7JxjyU/gDA SYes/+wcHKAbqIvH5TLxnw7EhBdNBu1TIhjyG5ucRLK6Bc7ea/LFohmZrXMKJPbyg6H5C+iYmTgE xUPCm4FaIEJKTNpBeqSAOGXpSkICgK4aK9Qkvk1OXufppFZtHfpiwlD7fRofNkoAI+rI3RC6fHrg gfaEq9g2TyShv23w/yG1KRJiQZNIOuv6KESp2VAqjzkr5Us1Org7qHFp3BsrBv7RvA74d1YBXIPF xmCBGNU5NgdmDcd5fE5MFR+6E6qsTxvTQd9CydT/rBJmN1M/9CYzQcRKVz93Wy7QaFIX5WPvPrz4 242srvVfocOrmFEie6L5V+dR864XGVIih/+ve7BWDKyidfku8IcLlNYBnUiPBgdI4wZYDi1adINs uwtoDFZhtta2FyqTEGpnI4WFBK4AhzPFCAHPyJkaCq4R8PPm77DoqA43fj7VB86qrdY18E3GExtl 2J8WznIvdHrImFmSCP655wFmBYIj/VD4anfpPHCc2eB+eILlDA9mN2csPWh7YMsYR4g1IO+FGO1K qnYgTWFqlwB6nDr3sDLOMV1oeHvOSA2Qx/zcQbG+EoAckeosoWtWMnErrAr26B+q6ra8EbTc9ogo gpbN098hT5qPW9anqLwqzfJJzkgDJLYWcVvNuZ2iDLtEhBwkhl3mC6VZCe3LhOWVzQWaOzhxARjT xHDcCePWjRtTLnuUPhJ8UDLKPKShrGjG/NaWNR+UN60c0yCPgtpQCUPXEy/UOJRWoikcL2WZxJ7L 5Y4/HgwFxNx5+af0nqjpFeKSSDKIGZ/zz55c1sDiVPYjvFQy+A5TL53Pkyku/7c3cMeuqPt+Hx9T uCYRovOoO6OJRbPBzWdHSFS/0dbkkNrvbZhneryK+6zG2dXLf30N7GbLdXhrvMY5iq7rYN5IflCe sJbXJim3RVfG+TMWe2xyMoO8Qf8wdKCBzLxaZ8ss7gKBVCaPXjOnYlmZIv0YCj8/RFrU/p0BQKot vvrjntbK3xcHkKyQon9tkSzHkE+oqt4INasXNIObm70fbgorSCSej4uCA1VAXj1SGCmaXWz5AzMO O5YvhqsQgxoAkbFz85GP4kdt3z6qNOTX1bEtYsHts4q7G1/PDawvJvDLKLf3pqVXq2kwZFOZfMbP js2Ii2TodwN/rNc3ZfKi7h3X3ROUTGej0nf4gI9bold1IAf4T72+edeRMmZJa3BbxNntli74NBE6 xEvoobn2hDFGT3lxrk54zlIRnRgRBUbKtpfSAG/kYoW44NRqN5Agvl5ld5vxRd65H9IFvGEonRXN kFuA/ZT2TW8HknGqHiqW0daU2bfRV+ehzrHbkXav2jeeCyK9jJiwNVgwldYZZFbo/aqAM+3wM+Nf KbJohx6pWMtFFLUsg2sMRedrZaUc2DV9JhfXjnYqJzESJCSm7/F8njjXVAH+Nm0VmhVcRuR1ZSNc upedB4bZ+0yIuxZPmHnqfYde9LaNlxae8KydnMo5mdjjMerTUGMyVrXuCE5hbZfOepU1Lej7ISTW TOOmQVfQWgnMEWtzfgLo0Vy/wxo6+sEqn8yUyQ/2DBkGIThRTTS7/Mbj/Te/PulKl5ZSA+enaJJn D7QhJvyr79BgS6LzG0RkqaeFbmx2+fIRJejQ2eCsxupxlc46fiwcevg/XoecL9E0+Fs57gB562HN lSGh+oqVnUVhY+UDEZSEiCRaZlVbWqGSmmPtv7SeDnP69cZniZN3iE+fvsKxL1WteVjYonLWDyJl hpZipOcmPFA40Ho6pdjEwTHhMUK9F8iPhGDQkrUZQ0sSw+AVnMmeAqdchAtC5ZMtNvQIOIdwAFOp +tyKJ4d/fPCjCNSrnUkESPDxYa3KLW78dmSYyDuRkyIjJ4wWYRj+fIDNoTR+5MU/3P84IitK/uk5 nWZxDmlmitiaKZTeaxiAO7I5+FOr7T9iR5WQ2suNjhDX33XV7NXFQ2jdTy2aTWwdcKAvmni3d0MJ iJIgJrsNBS2FiGRjcKh2RBwPxGXyzRfgORAnSC8ArbLCoBND4xZvva4y1yZ3Wxo0YK0zwbQVdpjR 7drNLpcevOhTWEvW4BOZcyc7wyd7sPHfvGuYlRotZiU2Aa0E4oBXZpM4zO9l38fX3+OIyp+Bt45U WWy2WSIZGUjsWQCu9VP1FAoRYGEcRT1qr1L0CnaSZTebi61bQzPIXczAW9UiW0FkYzsqoblBGwxR ZKnyQvf4io/4QMinZZUAWmYiZ1LSOvBMUdYLXvA2xsgbewAcmICinNPzaiJkIAsWcxBoIiCGys3X Wg67s5NnpY0YoyYKvLBp8QX9ytrC5oO/vFSa3wyRnDWDM1Vxb8LktcSkmZSFpWAo5+br0x8uKHwd d3dEgzxBIBkeyGVN88/Qj9Bvb3tc8Yv9bqXevcHu37jb137Cxv3caa8Ab7jsx/AtvxiemiEcjTVJ Uj7IPk6PrVhStArmpLnIVJL/TkMHLdigLY0FaM4hBg32u293F7tWHCftFeTZg8ZQW+2g9Z+phEvH u6u710oZMqZujjKFsxR6EDILVhDuP5B+TcBkV4KhbajuZ8zfm32OzReoGFFC+An2bZB3aTUAg+BE JGrtsYq59o/OcTwklFqEG3iOQ+wzuCbtStdYpPL5ePzIO05TbRA4acCWPCShhiNNDUFAUHzSzLlM hUANqIXhOqJfTcg+YS+vm9diEXav8V3FY6N96vieIMz2CUbIsX8VOCX/gRLPM/KxzyWKSTnzrvSv RuQPDdiFW2MZOBRWNzY7N4UYxvpTkRkDa+855cBhx7tUknEkKQ6dkQ8rqfS7gox5CXsl3cFDKkjH lP9n5xxt5Eru9oEKh58NQGSA6ewKG6IMC7zS4ItpF3/fL3jjK/7mY4szSJKHzvyMx4tJ0ansbB+U q+2QqIDGmQsBljWBNNo2gKA0SujAtEynPnq3/eaMeHpk5Bem3kjC37hlD3Ef/MwxaoTJi2wYFZiW sjs7GyIe+nuRbZn29mAEjGn2bJViojhyhAxa9c2rzjpm8T4P4PucJzjHWMbxE6TcSTl6tCVtzqW4 6FVg2zir0ltZocHFipAZdB6M90V6CV78VuAf/eM92ZnIaHAJ+JjgHR4awgDkLpvYuXZYBvENiM2e s923oHtZLIC1yoUnGUoir4F1qKRbln67c32TMaAH69+SfUsXYQ/2HY5Uq4ry13gsSzVbphl3+6Iq AKInjdxAGEDmQU8pOp7WQZihrMBUF+uBeoiW+44Us7vJfn/jgdY//zq1yWynMXpelo2YG1rqF44o Cmc74kXMCWP6pv5VbIKKlcjfsoNPRUF3O/OU6V/Iu4mm9BglC3U1iJQs/UqKXhZ7DQmvvdmo6XNT 3nWfPmr+g7zm3pAdk87GSQmT7/cQfOppThN1bBWumWDEIMgsAzWl5jMgg657mgGi0JvJSYsr/Y8z se+iOVq28ihNSix9VK44Gt6qMM+UGtGs4qMlQAzfrSn3kP/GFZNGGMxbVkx6WEex98g5amxFKSwc 6jjG1Nb0kGwV1PN9+L+cz3vBSQZ6ThEy2nxG0d1HaWf6yEpbfYBUkMm04AQsYI7dEOGuPhd8SIq0 ccP31gmnxryl6C/dL+eoybe4TzmSHiOWoj/botCyhjZUbjm2d4vQt8f3Oq8E6CDcfDDDZ55DZSL4 9b0/maxIxpo5EahRmmdvyXsLuCZ1kqtXutnU9o3ibZX7MCKRF8DTswq3VpvUIugc8s6A2pvU7QmQ aHE7cn+7DpYLj0EeA5/VGiwwnnQH/xDITSFyxgkQTCA/wBlGcK36g0Phrigq9eJ6IiDDNq8cTCMf AXbgoj24CnDA0qj4C2jnUiuCJsW/adBKa0T+cfBpwTeXB1yWCokRvy9rgVHwGEoWRrdDVafnFFZq YnJDb8pOSMKPLLOcnxJr6IstDOXYy1HsKo93YfQ0+HOXf2zhdTkMvzzHo1KbIOkRkNpfrEZayuLH bhSHBclpYxmZO4xsZWZz2nSoiFMAOnHoThR8F1VlbDOISYDMucy/DpSnPYi0X0Ii99SZEWhjvSY+ 5hW71P5oVZXH/e9YGYDZO450hAoT6T11Wti7QyBUFA33SM3BKH8ob+bXETnxdhWi4x+LYTAUUKVn 2qtzhQTxBegNC9iqug6ki4U30LtJND1dOzg43aYFMWbM02TRy1bAOz8aV8zYVpsN1xXwYpdGuW+k ENR8ZRs6+7Nc+Ms+DTbP+nZjptjwov5QDAEna2ij4lyopy4O89l3p3UjPdLADsIxYLBTIA6BoNx9 voqnIGUXEQXL6v7Fg2RNPBmmT+evoTOD65SAt0b4G10LqappZhg7s+bHsNHaLeNPTQ+au7UP9PYW PeVLcavjHyaFi3legJKFKkUcFAXE4I8pgTDzO4R9hhpcavQz6hf+/vY7+of0QERkaXvB+r81WCKM HNWJNFFVP9LFk634EayEqTfseCrsI8qJUOjWX3+Oga+8rB9Ic+U8Ip5YpnmQv7zDM7/Wn0rQiw6I 5lsfhraa/c0b11BU85Hgk2EYiDWF33ZuhjeMWtC+dRSzhWQ+RaNCqENZMsNF2hC2WWt6lzg5B4Wx o9RT+z6fsUuAfdnz3wQOVcb2giBhSlCszrKDNSqgNzcInTlDU5bA2joVJp1AGuqa1T5YSoF6vMM9 3eVwcxhMh7sHhKNAidszGTIn+9Hqv2iP9aBgmIh7KN2w9nNBFW9bSv0qo5OMxEKWxDwwusdMcC+x Heb3FShse6j+B2p/MnHYIZXusXi0jhHneF9RiVwAOLPLJ1whkb4wFJt3xvopsfwukjApkRWO5zN2 mAsOCPieFnat43Pl4/sOBb2mhNM1Nw/FKw9ElunFyBbMUEYKHPn0yUkK+Ke/wj6Musv/x+p/fIZh R9cyPM7U9MXsENOtYTp81dV4bNwVT2pUK00U+/SC83Ud8tula8TZT074ex7YmSQ8HYKT2FD0TJVG d21FP/FHvqkqfelC2tEG7V6GtaFq4J8+A5cbXU0SbyqnInxonwNBFcX60rX15tUkagO0oQ3VmDn/ SigEpB68Yp1pDbTEiK5rgpnyPpDZjGDvyCOqyyZqxXA3ETnH3By6mE/ow8d98XLK9SRQVbgIrKaQ O4vjREj7IXmuE/nn78YYmsQ49hWVXuVMI645KJsWaCcE+Tbrhk9ZiHw8/ITmfxop+MSZQQSYR+rQ bUMDCumgw6v9woRF594p/zNwq7K5ZEM42heKrhYe9Esq4ln0hvTg8CVNmZhUBAJNeb8Xer1Hd0zN bt8WxckzmaB+a4UHzVdKy6+g1+GvFlQM1qWwss75/LXZAOMkcie9UjXRkNmxanchS7Fwu8H18DXQ FEA+Bw+zLZ7VGiJhgU5ujgjBVvQOQNtjkBi/Ae8Sk6pdnwULY/o8rJ7a3BOeQ2Bifecezb71Bhg/ U5Qmjaa5pAcnWT4f7CT4aMH9Y0t1bmYxiw6XlDHdx87NtKRRY5+yKsUU1dET/6XYQxvdASu6CUdE /Gi6cg49cwqBle3PSHpzlJXDbUpXgi9+h6DdzQvMsKRYE8aj+mp04Xe2WoP4Q/vW9EJG3XXjMcM6 hTyPIZsLjFcMth2oaZnhIYREQP4wx2tDsDbZgdLRkU9afs8QYuaAKJVoypbL1MPhfMowjfuEvGcV ixLIwMBv2iH6pCkecmAfIVgrb6ikXHMwL4LkxMLB6/cFfXMP34PrcCk52TZKH2SdeVyu4qx3kBMZ 5jEYdqswXKQmrlLuBbx7smdt92xqQ41ah+CsRskysWb7pSjIhT0/4PhudbGu5Nf4ltMdzXiZ/kYS tNA9hEGFgxby9JH7NBvVX5HR+dlzgOPlNIaD4cOYrx4z/hOiol2qJO1DXtCEQl2B4LVkPvENrSDG tjGy7OlFHhm/E6fQ0kp8NHqOngOdZNR3tEN9cfrbXFmoDEF8ibg4xxNzy5ZKBIEbA8NJwXOr54hq VhX+kqTAlX1J5ADHbvr8ZzwyC/Z9x4BjMzh9CxDkqY/aJCtTsXxd0c82/o3w8TEnYmtWWiYUyQOp YKUVgXTIIl1R8CDoHpYKdh4D2Cuf8kh+fy/i+Td8MNULxs5hecPPcsdzHpgAVZoLLEUECjI1R10a NKwchDH7C811qOsSecYMOqoUOStCSaicl2z/e17jycXLkSyUXWSsrfrdF6ejgBdo4XFF4O4dCXn4 6bGSO/YOXB8qofBWHIykr0JE86Cr6zlL3V5ukNeDFVUwuFOyPjDMmuBHbjxRC8nNxSu1HQgUA+yL iqL+P0DRVjrdDYjZT6XhG8pr4MPfoOLEyhtsWfkQeojFXLUs3EBZ2O33e2HjXYNQGJ0kNTUymaIF Qa2uy3uY926oKCOfYA0XrgBqM2d1kjYHoiKuChuQgXUenPyi6J/iTiqIjgKpcDad8TrxUc6BuicZ dMGwxuRZZAx78B6S31MZWBsqaAL6SONIgfPY+nK+nUIZ8tmQwBlaG5apQjn7eXgh+nQTA/sN+rkH lUvhXSCTygo6bx9SPxXgXNwpHSEajVr5/qewBOxjkc91oGxJDgtWtOI/EJ7pix+CGz0wVmHv0egt FKhMrrWhMVv8LaMzuAUD252J1rexXNL6pUkMrEWCt6bAOmgBxvZsFQhlUe5Pnufd4jj46LYaWu4y 00B6DpDKfK4dlX9Tr+mK4o/QB0Ld2lHSKlvmwfFU3iCVlV7L7LR581DhrfU5KC8keRWKSFW2+lRM Fl14BLi/NEHcxMMiQ8k3ISMW2+YPnD8r4KUZK03bN3Mem67h4sqKiJC0xAmTV/FGk+FYcL1yXK3F b1OJVxtFu61979yC4TGwDrbWYbC4bKjto7qPwfUbbp3lHocsBe6OFo63qhiumYdlbfH4YHsP8ivA ZGsIi+OlbOmhrNyUxUok6CPMSfDhhnjaoTdZ4qiTy2xgCctxkUldeSqoY4IIi59zBabBpvUnkQQM 9yyYiCBYEu/UVYO2rgrekXxUv54yPwxOKI3o0qjn8F/3q0H7K+roLMTk+TI0amPoyI2dwHCzC2fY agfLdVV3HnHgAF19qBKiuIIwF8c2Da9lcClMNHvoFDXW6kjTvXfJKPhjCkaSJ+1pIznMFMWdVd05 J58hP+Sij43VnIn7i+AD/8SQdMzoJDdpABFjGhFrSrkxhBLRYijlY0G8pe5AY6vDcklmd4ekLbAs 7zhXeio5GKz5VFgBLIXdrHBO/UY25BXT5AMJQ6s+AxhBBL/+sHuZ+xrjAM3JI6F4wqJnNANG8Wqb RKMJzm01XiEuvHVwn6ImoxS3cdqE6qgBwR2Iq8kHlag+f06iZtrkfbGhhP+LgSvbavBggelg4doZ HGZBxASAK1R09ND2iruutGtBS9gF22AocJI7SSkq/+ceZ0Bl8HJwxSDqS0FIKNOU+87QUWqoPYVe OozI3g2EyYZarWK701TBqV+DJ67Z1HWqFxtW79RQUejwyZ7l+u2zxIXazUgoKEBca8vfcrFzrqr1 Wj8wELbyCcR5nrwT4H8qFXNvCuc03zdGSlLJIte2fHeTzg7L+nTmdyoMbHyuGCSyZ9Ixh3Px6kUD 1+1Q/ghKaOTDJ5OoOEURWQN7apFGSz22W9OoXfMRHgRA8WyFDakc `protect end_protected
apache-2.0
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_3/7b6e2d75/hdl/vhdl/gen_srlfifo.vhd
1
14303
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block CLFv7xl6AMeP3QDoEryOTPgZu5enAL7Jrp6r7T/bwttymxZB1WTWjW566nb8ZfCZ79H5ebRxHIP1 YMQREYiT0A== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block JYL9rTpWvmKVlsxyNmh6yjeDVxNhdoF1XlTV/HIQO27tbMgEW3DbBcEasSD6lPSukHlFSgGRlmXQ LLxwfzzuGR0sVD+/g9xAYvPBGSpFQpchM9QCev81Wap72vScHxZS9zb8I9BZ5c0BLUl9+RYt++3w kLmizxfYoGut4OBsM+o= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block GOONEUfq/33FOp5AdwEfLPnQtNF5lv61wwXBma1AfADnZvqkPNcCHzpPGgkd033ZXF8JvWwoEgGK mVOTXWAtDlkBk/sZvrI5shcQBa+kiY5cgUMmR2yIBtHkGn0x1ZDDHYItcPj/whs7ClYjZifUCRaU WlFS/D6pzdKVfASwtmPG+1cSrLbtSUJ9Pmua2WQQB3lYDo0yC6h/yUiwEom9nuSGed0DcU+gn3Lw Esf18UbR28Apu1DZJsW8y4aSDFSga65h0aZBr7cFVAEQC2TRaN/0sy1OdRUwNEapmM38bVLT6qdk /2aZbMHWw6FO+f7wYf3VFjhyQhiPWExvHH1dKw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block sytOQ+ZPfjaPTYH7+1MehLQCRvTcc5V+O11A4SwfOYkgQ74fvw+p1b6UdR+s1NbDIj57XGzICuDh Oo+Gken2+E+aog6VJBskUGfF9Y/fD/sG+Ltq9r/za3AKzmIBh6K3YICinS8lSVip04vjKh7gCr4/ hiyWIY8FN2ru0M2Ka5A= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block aPApmeLzIyOVr696yMDDcgb00/c4vy+yE65N25OZb5vXv+mOe9vJnAdMBpyJcUt+lpWQWW+emFDG XnuV/sZNKH9S4TjZ1goB6MlboBp57bkcZBvIW3OaBL86c8TfwJZSaf3/oKbVpigu4rXiYStT8/RN MftQE7oLEsnpHPl6w4xbEHaVauZB29qSd3xBklG67AZO6ByjG+wQIBHY69SV9S7AaFAQy/6P3MHc U5oBtWTLzoRBIWG2FjODtbnZvoH2jG6w4QLBvoVnsEZAC8v1/cbVKnBz6Cg2BATqFdf3uGBK0G7f 0h/V8eJ5va+y8ZaiTXzVc0nE9LBUQfpbI4kqng== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8848) `protect data_block RNZnPsP3ohJ8l7CTsHdwGzjKlm5e/Zwpi1mRwavxm99xhBckI9ZkApukLYi3k4RZyMiPHxl8x19x zTmYWCzHtOl7dDnOzhvCYBxszIWhe+Oxr75lzBJCKu+9Mqo28h4zwwJfs/PDlnsmGkYjZY5G/kXD Sbe8ao/TDvpUnZOPFbQP7rOfjJCclMJDgoDyUCJEW/G6x8ZbbLVsHJRes0ujfeHl1mkc+KiFMJcw VzUbwAfAOWZNNuf+vNJit8MgE57VPTE0uPG/HuwwDVXgz8f1iozpZ94/N4GCXWRAKXt9agGUs2fb 7ep2Kpv+RbolNkROlxK/gcMmXBkXzdgZ+D+9aMDvmv4a+swF2duMyrnj+Il0Hj+NVtAqE4oFMvaZ SsECfqfQ4/SHRf8+AINHYYLy+2cuz/63gjz4m28AsdEy/ZGQt9DQhFr9tOu07rjrKeL9pWDDWS6Q UqXcCu8HYTcGREX1TKnFAT2UojEEmrVfA/X3+vnPq4JQvsmGvinDvt+EjlB2gex25zmw7SKup3+8 Q+7a5uHuqrV86CkL9zFSw3iTPqaTTvYiw9zUmm+DX84gzTb/Y9RKyGMk7jqbhMTth21OYSD3UNDW h5i/Z2/Bz9mWE/jAV5Xm9aeOsOBRuyfOFOXrwZo967ZbCx9q6IDv/zX+K/rDH8ggMsdOXyKjselD g8wXT8HxkLvh3kXuJ0AAYF2nwKU3VUnk4TwqzsdHyGFGgT/Gv1fwnM7rzmWDhP/FzFYb77KBF6L1 1pj1JYiKX0tos8xCfupsKnxhIbF9wv4r2ILBgo3PkJ/kc65EubUcwhKMyaTvqxPtC+NllpG5FI62 Ga86cc7dMIEpKtYF2/AGgxycdVr40MMCyIBFPSGSxwQSML9r7WseBByZjD9HexibKcwe5JkpmDBX Qu+t8nogB8O06U6r87A3IhTvDxdeluZcVljjOaMfBomKayPI86YZUGH0kbi78KNo3Q7OLT5JzqRv 2z2Vrru4bdlwcQdi0SIIWR2U3EodC2T/hqmalGcQlxAMrnSGbSswlL073aP2Gy6UE8T3gVOFH3a/ 74IrggkDSZyEblQqyu5Zd63NnlAXXNePvG9GKvjxdIbn4bXjbZTqqkvuCIgVtt+nhO596aPQfmOI 2HG6ov0wS0oUPN9UDe8TjXQjADfoTXriV7/ulRgWOFHXVdWi40VXRXbIvuacMBSBirFqr3lr5od2 /mEmgAGE96xQJU59jAFR1pDphzpZGrE6fT68bKFiI+Tz/qKUHVkzWMstUUTWBQdAmQ2B4OXwHNOM tZB2WHAcSeInHdN5jXqg5rKt9bDMf+peLVdi7oIPDHtKIVTbuHAvRyG6ct7kS4YoWxShwdqtR1Al /6t5RiZNDLrNMbkChsMfE2G/Nv5kFEehOzfBYl4ZVUscq6+Mny1U+Z89jUlQpEGNOzof37OEdIgq XPsx2+EzFMjz1obbMhuYuxPxNiCn3NrQGE90rlIZ3pZDWacbAyXkMY+X1l92umB8pUOKdpPk0sfL 168Kv2J+9809ydJoXBjCOwWUZ3aclnSBbwnPuOMx7lSddG8iS0U9ygJ/ZZ5TJRnwDzanqS0dxutU Z39zrnvaKMhTvJMOqrP0kU1BeCgRaxaaZfSWU64zx7dR4F87YF+H6yAlbasBAiI7zn1MSa50/ALD WPW34pceeUcx0doofuzB6V6FGBXHui5aCHYstkovEGnZPDqQHVHkivY6UkNfCw8IGD2ZMRTdrnOT m/Frlm9N/HWYozR5ZD5RZooOhepNxxIhTWUQvhGK9mE1yWN3LiDG0SAwXX54igZyfxCnq4RFttrR YmQHRoLbkPGX3pjgr319YHeJBx1zsgwi06fe3j7wJHG6Zo4ihbOjScAgwyL9FD+awuf+R2OB3vlr 3A0s/Xz2ZiIw02SRbSYyK714AkLqA7TT7579w0mJaPYAmjgyLwaWT/hR0nfL/fBB2yRCAifIW7CN 3sxOHH5kQ0Np/DsGqFmr+POu3RG9qB6I6LjEHsLniUpBD9sKOLUL76xkAleccly6Sz6ndK+12os8 0hIcSvVVflBtnMQmcl+z+IieMCDnJ9FXimuS8T1IohirFUGAbuzrQB1b1GBYNkXREqEEcYRT6sDp t+jK43l/la0GG2eAnH4OIMlPMNcMlgQM9Uvs4axlx9gKpv6TKcpwuJzo06I1yqyVGfksVtquTVqZ 9dQqOdy9bKCgdMd5adwAYcty4vMs3h3o2WkUNZnsNSfzJ8q4hgLfVVT6ub0BqY36MhfsH7eeKI45 wHsw9iNjPdDY7wgYo3bxuaLkF5yRFsUX89K9Fyw7iaUeePmePCfAYYb2dMABzZa8kVopEA6yNwlz 6NRnW0mNQSNMlZfrbel5TNeZtNYrdDBqDAmlB4DzXp2w8nTX9ms+bdNp5pxWBSy/K+80/vW2Ou0N LMC3bd8E50Kth+QPUyCQ6GmUBIdDG5AS0u+XmBezCeaCbInaXwJqHGtU6eQe6J8jUCkxW1MBEyh3 Wfgs7p8vUBX20Qf/4kMhP/JJSU8UNHrBa1KmT/k189aIXEtHUC4NozELAItbX8uUWYwTaqdDB9L4 CTLrpC2Ohhy/ByHaCNog/GNqYT0wzNveEeaCND3KVaEZ5sjMV9CwzcvTAU3lNOMoWP025kc8p2Dc Kl55LVCWgv69xUMLlsLb85fdeKqGGVywgXfpBSCseIPrRVnPUQpXLX2uACf6cPa+n90DL3PBeD+Y YNB6fCEuMU0KaeJmVQouyD+LO/13Gzadjlu0X2V83veXv4Xz/yIcd7NBuHiv+Afd3qoP0PsQqdLJ BpaJktqFMXQjhy5dWOyyQgp6QjrCbIczcPEIWH9ORaqBLg1Guf4Dn4YFFE8V3cfnvscjURlw3sSC FV/B+PHAJqN/N3TK7r5lM4/P/EnD8fiN7BUM5PskPBikUv4xvvXwl31/41OKnwaqZE4BNtIztSyg f4Qer0g7Lg3xKAOn8IHqZNi/U3/QNnWjHFzcEBkNgCerSt03VRD7m4PeO+ooZG6oHkBbpFpGqf3k Y2FTXpSUdpQtJn9z4YLA+TWwnAgsWI2am/2NvaRAErVVyLNjErUx3hcabUDYKccdQhaJmrwaU+oY Oa/sxrqqemaI8yIzE+fgYQnMB+Rv6iySjbu/pBya2QXKEfrA1NxIECJuz0Or9CP2yJkO7ms4TRhC JTGrEN263wWt9pW8AkozJVxE4QESD9GKdTNZPaus5BOI8nBIx2KcElqn9wyPqpnTp/Nx1GftaQLW tpcrBfMNUVqAmx0rUhFghLxXNTbMcVv8fhucqz4P8eu2OaglJW34ejsDnvPYHu1oSNdzUCJxTIzL iflclzRdgRqgIycxY7gTrskBqJk3e7ff3z6J80B+SxzbF+7S8ocDG7kfqDt/QPcapMNKTCIgekIR sRaQMK/tsRt/6L+O/CC+RSwjQSHLmgGibTtmOx4jzz2+VnFkw4gPFOCJZX41ivOaEyWQPSskEJhI M/JY/2+BfJzhXYusJOrZ/s/Nll0Y78TvecbVjYsYiYVIqQuqO+AiCtsGpbLEL2cIHxB2dogpKeSM 74frR0LXoucBgw4theWzUKHRq17fIfFI3HerKfPB7vqGzK/aDKkKjqnRLPvTOgMQ5oFgzCAIoxis K1AgWo61d/hckD+1N11H0EU4/SMxh92e9aEIPjMqu++IHp6sU/7qkXYv73fzs0pYC+iKDBY4TGPV kDh16MAIYKBz/dIRo4ZJKWiJPlS2zuDNpLa2rBk67pg2+bF/xAEc6visw8t6mKJ0Xr3Ne5nNd7bd mNIaaSkDgi0r2n9rgSV0vo2NMqXuCaMjj5hB2cLiVugFzgg6QV2q+0iLb/BTAax8NSEtIwM2Se/i +eV97OBOMlznDrgxnVfgyNkBSzxSl81KbBS6Eya2TLAaHpQzSaBGQgRsXmMWzIytwi8w/ePPZ3Vv VHoketzyOS46SnFcUUN4/84lxDHlh7+tDv8ScaaRnFSBQn6K/5RH1PHEqKuWz5JQNr0vJzPH3unN fZ6oynQS3ezCtoMhiQBfiEReFs1mrKNAr96FwJ+2jCHbOrvCRNYF+BtnqisOysHvvfXMOC26YcXp cbDFOvAq6vRZolxcTn0f3cxcAhXYaDI7h+WDizaKEXxCaBqSIgZadryBWEtxKihiiXLyCHtIcx6q rZDfnj5b5Oj/DvijDK7Fo1I8KEwHMm0WTk2p6Cc+rAtzOdkZJpX0IsAm+4vTQ7ArhRJ1o8K1GeAO C/z8aqdAbmeFimxZXp+866xRLiH8m9x3PckJVwiroFqA3MWunIF5r002qDcNDh3Lb3Xg4dL0ua2x iWJUwPFXbWoK54XapzipstijF6oVxi9Lwmtcqi/hMnHs/9XRJ1Es4lTvJJylKOl9T1u20NwaqrbK ejEUyDq3HbBVmhKEstt8S3tT1Dm0e4oCGi2oPaEu7aU233c3+Uc0oW3X/GtLO548HqxcBmDFKvvp iaMThF17AiASLEtFFUxvDdNrFNTvzLuIYIVL4TPu9YjbpZtapei/ax8ZE2Uz60/0wEtTOhIx6htW n5TwZMdy1nuV7NTx6TypdU7Le6KSPA1e4PowyMwFJFx/Xc2xX0zH+C6svLvnbW3O1eZQe3vFhzHM Kr//Go0NK38gnYSkkTKk/vqtG54juQeLHM/WGSPebyj5X5hItvMqoHjjwiiCzcfOI6T+25zCKgBl xW1uTXNavtwwDvs0Js2LHsXTuxEm9bFs82oplYWazt8GizxtYsXg8KZtKT2EfmnMp6rzWsB5WwER PtNudCmyqh8k+IH1pelAZK88F2l/VwPq9XYNu6fWweyNFR9Y6ZBybfOBgZ4Ty9t8Llq42KbcF12L EzmQCcIPkefFWNCNp0kC7jA9HJP0p2xgqEeIGea8Djx+8AFUk554dihXitdcZNm+39Cj7HxWfual CBGBf+zCPYgzGW+g+IyNvv7lXw5+AVJ9sNm6XVxdNzFyH9S34A2U/ynOu93QyBMp+yH/5jeuteIq GgimTEUY0lT92OZm5GBAqFwjGfE0WeOlTr/Ey7VdGnJWSRAhQFEI4GhnPNKEQs00nD8vo6Bh/Eex La6ZWRoxvvlfsRpvuiHx+v7wM4KK6mfObtx7i2ICJ0FohMFThJjC2JfSpbVpG1mzzSJuYKdpmLQ8 /IEligKnd9nBL5ZbhjBcfq0h8a5QWj7qnx6esOKka9ZHxmiBQd1fiITFdNt1pLGrK68xN3D1D3Z5 qXETGfuoDutR48mV6v0rYq7Vrrocbt9IB5K44cUka5PR5gwsIIFe7lqVko0QziNnGvc7a4U9eNgw 35XRNXXHvDba8EdQ85cVfpxAcG45ang79zri3krSZmUxKBGKz0RLo16YYmU1sFbESePX+c6Audpt TWz7kGusUq8PdIxInlLM7WCcNR7bQwfcXcmV3RC2lCTvwqeCVhybzZosnzQr1BhzGAMW6TLE0aEl ggmALWytBhbGhIbaByNptsqPYhhK6CxcJpunU8zLos1e/CfjXTXUbb6rcDVvqqQ0w6ZmA4aNmHgI 2FrrcjXyPn4BGAO/0G51BhapcllAewUwEwAsL+RpGdWBAg8AD3Xipob5OneujYz/14IZr2KxEkj3 P3416hPusVBH/BMka1n0U+MOp//34L7CGwoLjmnE+krO8muOjNU7/1tTFoXGQZLbEwDg4AMEDJ39 lBNfEx8lOFEVK/ltAzFStZ/5ooFgc6c+tDlBWgRAXSePv4BWuZfHdtWI6okH3/6QX6lyp+IKTztN 5wWkVtuTSIKW7W6SWxhFSNtJdqffvJcbjXPkr8tprL6zMytbYVPy31l/bNu5UiNhrEGkgS2TLKN+ 0GYmfsE+q+/UUNf8CcNl++y9iTlwaOZGAoVLNKgEPXqKGVxxDeMSaQnTwKoWqYKVQZL+1UZZ8dHf nxrvY9F6+7SJn7mI24q5rQrUdfTN63JDI/hKHOzjYPeuS6/DqrAF4Y1ZK4hwyCDaRwAA+4DFpSvI 9HbUt9byBSeQI32xTV3Tyb+olA7bpAYwBrSXEkYs/75u1k5+j/rzX6CA3isKlfZ66b1quO2pFvnv DBcVZdtfarFoRNvxk/viHKQJpxX336P+8QwmYFAlLeKnusJE0Bhpt7x1Sa/H7ck9QFRLN56TYzF+ YaXoGkWqWtKoMCwebcPNB3f8JpOy6QBGi/lyMxElkVaVT0J3xvW33byaj0V56IW+OlVchRmSZHPj AQKnug38uKMtno/7BRlw9vKnbz7+/FKXZilQANezeszCoMBKHqpBN0I0XYctB3nEhzIbJgME04Zn Sc2QHWSbhYpO/Mlm+3mQZCRD0O3lk25Dk0INe+LLhec53KwwdJHgPAuP/+sYJSqH++LtjMgOqtzb YaL/YDsUhpOIdzdechZ/hyJdE74lr+SrvA3vqIjqBv/h6yraxLU1EbWMbvxDuAh+omMfpT/3W2DB DLa3JaKhoTpNp9kl8IvDdQ/GJK5V0W0MOO3b4fz1eKPIan5JaoxK5WbN9ibXrU4o/qknV1LV6uWw IPIKRUjcFg3ujonm9Rljx7IX70V5PAyne5Mx6srvmhReIaIXSxmzJnm5YP3qytmwMZmfk/VNlL0o T/cOT45SQEo+z57FDageLqPpSeM+Y97rwaqXujBKQ2k3AiC2UZ0tXClw8vxpMNIvSYfzLlLD7/0p ozZ4p3Fe8+i1y5TOgs4cetlnfferI5EnRALYPbx9a5j3Slc6NFhkxhVeh5EpbvTY6GLFTKwuGLKL W1fpYj9ypI0q1ZxtxACj/Dk7jF+JFdva4VmhJESTH/PnmYuWz46SACCpYwN6nwvzcD2Me8VDehST XOHr5RXSB8DgJfrS65IlCYSEkrcvjFGpsC6ENEuP5FXYGh3GH/8ZENNeiCW1Jw8ZiIQtmtTWbk0Z iSmLUApHzOMqERWL3YgtW3g8ypCt32UURCPuHv4tlTkBvfIJkVLyFv4XKurLTuY8l/MjmIHpcdXv PTsbqMDKw3uM1SIK2y5gIkwoCYBju3ftFK755IrGTPR8KeoSY4/9wLORah0cEDfCTGBpqfAQLUNN nMA8Kltm9Tyb5M1xAwf4xPxO3YcFMJ40PMWYcirlcR67T/o1gQmU+aJG8VUplg3WG9ApZk3mM0Gk jbO3s0xQXlQ2sSdOE7/JawN/P+xKUwM1McBlD6/5WMrafVuiUw4DpbDZ3QgDSmaHrr6kMVB1xwJJ jKCGaaz7WfZKotvDsQZrtwFX3dnJgQ+kAU8Cxdly40GtKN5HoUhyKobXK9I59G0PgwtEDRsbegi/ A2bTcAx0ytei6jDZICotsdnZ9KklD8Y0TfyiY3itKcGCtQUHCnEWiP6qkvvkxkMTs7GbQ4Q3NKIR updu136ZefMLII9noyu1EOdoTAUioTSjjQps8NryZEIVyhcK3ynK7ZV18hX0y9t5gtowPCweCm1G WSz6JGV61Wp556C89I1FcS73vT82IlAtple9LdLUeGnRPSUet/DNEY94O2wz0BJWMK1HvliztAcu S+UfA47LOr4aD4xiCS6Nz/T5qCq953krhgmjKloB1NgvbHoBwtGmJtHUo7rpfBqh6PJXODwV1Fzx 3RaI09N/2raYGjTPKtcluh5w+NO3zlCcqla+RCBRjzkylov+iw6a2TlgW6s6He207OC7Was6096f b9i8houdwGRZ7+ULL1lBgRmLvONCldmVRFqFqHfWEE223qk75RUtfv6FnLweNMeXFJWIb0oKp1tA O1StItPCmclpuLJJ20MFYbe6UhX7vd2/b7tz2xHZ8SdjJlkgkUNgvWqZeSbC11Xj7E3BMVfYZh/X 1VGeu+MOPVwDSKZrmVBUrd/lVw5xSMy/hKwuaE+gCQ0jbTldzj7bSkZSyzA0ILroJ9peEYCVKE63 w3x5wjMij5D56/+9PzowucO33/hLIkAb78U13QR+ecUZRqw16dyYXW4jqHv+cLSS9cKGzoCQxGGk GhKfvBz6bkMtDbMGXi2NZmlDv4AWDa/KSwIlVSdItWN6COvFuwKQlB0H1zfLE1zKog6NdbIUbj2r HyLd8KlRv/iHO/3iijNmGr+3pQzKbyBozTWB+oGZ7S+V8/n4DMfUAGUttnK6nNeoZ/FwFrGWPEfb DqI8IYV7yXUuS2ZpvI12zHottSlZ/5BX7vyYSoeHNz81gfEcJ/ZU4YXYBgbFs8f7qFzsi93pZKWA U/rSNkqPSbfadmlg7uJQFWu7CVtHVB9HNmHityW0thSHPBUj/E/La74NXmo6ckOBNTmeC7h2SNDj 2GMAwBwHAjBxARWnE/DhXmWsIAeJ4+mHugq/GfaDULQgahOOn68j2LD+2op/CWfcJ8mzlxegKNsF ngxoTpHCzkWy0DcdiaYyGMDAjE87Kt/K+VZlKM03/f9mKjmy7ryX3uWLKrl0VvCF5kR+oMdGVdvq 3x293XVcv8WD/CEe+6TFxJO/IFCqXp8b/I8VUQBeNp161HQUtUA3y+8SQz1zx1aq3E70AT+YmUcI twKRJw0pbtlIbvX5LnxlTZS15d2dg04vSs8PPOYjAkL3sWX+0/M/LymPBGbMvCEDhF8LCAGpRDHv 2ySPTvmNhgzculjpjkGNQ6eW7/Vgc3LDCJCNDm4wflQgXaeJIu8cixurpedbeZ9bWiMqptYgxhdX oB7oJFj6zZadgsYDvuYftQ7g43pX4gp6KJQbbvaVmjPuG3Im4t4LGk7Ha4+mZVeE89PoGT+AnYts zKolqtZJfmy/bPtfcgzj6juzGmMBzJBbTp6Z5XmlIxG9t5MNaKYOTgISksZsNSc+XUlDi7YEcDsN +KRCQPX+K1yiebpVrIOnF/dSL1I5EVx4tpPma0cKeeRK6oilWddzkI1lanS+eB52cKjjkrneNwug 0ufmyujemVY6brMYV4yI2yh5Xy7N6B+aQaltkbU9dugklGpEoaauo0/HmTlvt/UYgjIqHUkHasQ6 PZ2DX31+sbEl6B6X1JjNVPIg79F3WNeVPS5uLO3lQZp6yQ6NXn2IGjSJvzXkLSDSFnVl7CgftKmU m1Rq4fNeMXBNUJOC2+VmAuY7RaK0su2iPo6YTfcTpDAzv2tnkfwTjRmpjohCunZxhf2wqhy/oOfN y/2fSmMCR5XT6n9sj0ZT/SvrxazK/9H0VM0U+XkcnP7KO42GYu/scD8UExprtfqRht0E5L28PXWP +jiKv9a4pRxbHJpchJfDouou7RFcynkH6Nhb/e8Od1zCgD99DkYpjT/zTgCHdkaXhTQWrRuRUKBM imGuHHt7GGIdsIFNtqrpz6xOtXNhgWMC88IYpkFGlEC0QMpP393vS4jEY5XH8AEVraUPeIeVqeEg n6cwjf/IkhnP7WemHD5co48w6hc0IakXVwUyV+VYCOaTu8XxBoFbYtTc+fky6/JgVobupDFWW4vo H7hkVsU2w4RBJPzFq4ae0cTjJBB6o/R4SSTbcSn51XQ/OzM5h1bt8pxGGv1mWLItKEYfF+F5nswm LrpTUxPyXFUKgRtlvE+xHHoPAJgDs2vZu7YyDHJ6mALpqymKcdocwg4Oyp/j0TIIQ8FDcfxCsUzF 7WVPoycdB0r5jDy7yY+I/dLXM7P63AUepT7isgjeoThViYTdwzD+i/TiuVOHwxY0qiTRBMcGTNGt GNK+RAbknHyUVUtE1GMF4eoKNKCer2AFDJrL638TgsQJNtV+Olbrl+MASJbLRBNGM9NjzSGpk1vA oiIn9tkaPEmKmw1cshp0lJivL2hB9x2QUI0kr79vu+MpkBIVq5U1qscWcrnqcDi4n9dhBtYrKSJJ 8pJh07YJvdc2UjMGj+8T3j+nZxhVQpSxWe3NH0HFxapE6BSs5LWZeVnj5WjlZm37mFkHPq3yjU5O FR4jpxa2GMl3mDqCPqdQBOTneQcBHSG2C6gI4bb4yqODG4jAB1DIazR7TzvcjPlmiHym8Hl/c/P0 qVm+5tOdaCNdtqZ5Z1e5LWUmFFN4NI+wWGdCo46iMuE6wDHBbVxlvhpkWm4neaxSHcEFTtUkGrpD 78zBRQJApqBxpkehSPEgXEdWBQtRRac9sqY45Y8fEM4ldi7eVLhTovS6IQ0StEkKqyhwHAxAWGTP 3h6+Xw+sXZV4aZcENy+gaJ5fzLlJ3oqm8/Hg7xtyi7WcdmTaXCDKbHYFNkJ5yFuPbT+Qcc1pq8NL cng2bXAswcqBpcMMvqV6J+IHa8bOYEieYJTW86LanvTZRZ4wfJciZl04Mq7RKV7zRVnHDbwwyXqV QbTokhAs0WofKfNBvS3viSpnao/18LdLl/tlbpAQW5ZLVggluo18Hybz1ZoTFKl+OO9rwpQyNuAb nslzae68y6ZUL3xviebhr5RFxvNqAGOPBZIM9yFgj60Zu47XiGE/m6OSW5kR/gV8zSP8TUVcv82F GU8qU4YPzfUbmNQfVqmTL59WdW25ypqaNYxfIB1gVD6BFSZSaeeB175vdbb1t0Afhk0nZFKnUHsr 4g41Tbm5XC8NJh9Rb1TwwBSpcqR04mQdgeJu57IxUu122g1zct0+4TyvHcY8xvpRWAh9fCnVV1gH xAhaXMpjotVze3k+A6AFAl91yz/SULuVGwIkWJrMppOIrphYy3R+XVScREiadgsiqBObGA6xsy5D P5ZSMdQdngr33trdnXDcx6iqv8f/X58/2pVIIsSfnMU/Ujb1BBRRTvSl2MzzPeyfgO8Hpi9Q7AAP JBVkuFMNJFFN+vaB/QhCVWyH8WRvLK8tsyLgmzmNMaXrc/1H01kzH5ZYNDehj2xAdfa2Vsm4Q20i xpEOIgzxqNZRU+xDgr6rS7WBeuMGZZgbXzFYBubiGaEDQAKteCQcW1q9BmqkzC7kSyhv6SzXtHwq 0eyD/YgZfdUAkZWbnv4QmVDD4EhTdwqKQ0fF8Ep3uKp5GXixVe/H8+Kh+BsUXCnjbtrVtjGsssnK KSOWjgVlOcQDIHGh2nAdv88SQcl8OBiZgED6BhLZcyo2EF+J69cwwRPTlpp5/eykpKUnl/FMqd4v 3GifCH0RjAeJM+9mrSipAQr5he3sN+FSiQwMFPemdd2vf6pz+wRnWGAHUP6wvzmQYsbkJgghasRf /y/UdS1kO+6YBp4gUnGn4KkIYrMp6VMBHN/glYNMZcjJX6U9/XLTVDd/g10ejBBxKjobMx3tfVhb XuFVq0z3zhnr3OymPnEBW+/ViMSjJ4FEG7fTPdg9k+tWAaIQbNL7SLF7bxf38gHHktiJ2jCxg36h AvuRlJsWyU+hK2eF1zuN4G38prXuGXaTZcjKym6cvPlKLIuw9KV3MGSDoSOR/lnq7mDasicJ50qD hvC959LbQnwNKZQf2iehJXd5uSAzqprugJn4Qx4Nt9SFMVz3wljcMHpCikid5R9pL41x9uBGGth3 n75H6Y0vZKUadxi+L5DRyydLjocvlSYXH/2P+5VPJNT6lHLgEx4Lxo4o4lh4TNPfZ+PzMhMY/pF1 y1mOAGNn0eLjoFJVHkgszF44ltQdOCAupkiYbjggkwvPNilJ4uxePACxqHKIdVMdsc9FwWo5uJVi fVZJX8BbVzzy5vENVH5exnxDjW3O7LV4OkEP6qEebRBsGgqvJCrswpbhvUHHSMmJmPqlmjVJkj1y KXDXpXSPnG1+875zIrwYPwUKWkr7Vy3R+pCXMkzLIJAq6xVPApvVvPNuo6wpXqSoAlPEBXAut2/Y T+H7Vu9HRANsyDl6pMERolZMcvKmrOpyLQedbK8aTnCerPld6EcuOwWZeAiIr92b1VeEhoglvbnO 6NBo6pXiqQzJwkB+jA== `protect end_protected
apache-2.0
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/924f3d25/hdl/builtin/bin_cntr.vhd
5
8597
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block U/TEXQjZUaRM/9cgwpEP/LBYfJ0jLWbWRkeNi7iB9W5NL2NH9QolQkR1qJ5lgrxH3yll4V1asg+6 sGUmIucWuA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block WHZACpkiDnlCGXQ9djDZpYQIIYvsuuU7UxGXkyZaXRN+rkiqPmodh7r0MHZcR2eglLvvpI0+obtA UK6khoy2sIeo1BIy1jinW1H7bE6QLhgkxKojlZZURK+McLWjsACWq7ZGuV3o2KC5yNiB6q+1MvdU dC7XhouA3JpZ45svpkg= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block DP8K4+95dnff/lZasMTEi1gqUuP6CfXWoLK3C0sXkPtHmvo6JCoPWeM29vbOZ7/oDa9WYFdwfFdB cbF9GQKv2EN8q7LTB4WBdZU0ehkGcGnHhhiC+VtlMQpgHrUWZ8SJVsnaD7Jh7S5h078SZyz4TuOW Ht7KQwRloOCVjcO6oPGONig0zSduxs9Pvk9v/fcInd9UgldZSSVqtngTl5nQ/fCtjHv+8xjHuXZN wOy5RrZXNDS0v0tsH/ZRaOS0Qxcc6P0folnJTdx8XqMDptdRbTu0peQLxE+mdpPA8c0oxqNO99wD n/e9fg0m1EQ2wFTxTOwsL6AS5rF+YEQK/0tofA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block GuK4tPHwUfUSGV9ixlOfwFWcu7ftxSP/AKF9X/+3AQZ/jSBj8yr829fZz4TxW0ZwxxVMdjZdGp5C krDjuBN0rDNF0NKt5HOno5nEEmAVejTa5KjGzQoWAi7kzCQMApJvZLd9vi4PkFcsfjQt2LC/R+jT yP6wAqsO3EklkugH+Io= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block GSWDLNoq+Dkh5zV5QPNn+l5h8EmLq4sVXdBWMKs2IfgibuWoAS3mSz42hgc6xfN5wPiZMs/9UPpQ sHYQ4gVExqACJCXlxaHx5KZNmIYV7UACMlu637a8dMnF6DgTxEYYsIpXdzNRhSGNWBS3kP8Px4MB XIbrHud0LYjetuQ5ziUaFwhw7n3FCl1Mvr5emmYF5km24A74Rq5lYdeIsSBtu9kGl7IDI/d5Ve6C CMuiyO8dYzi+4btJbQVpMCv6bRm6QvuBNUUhYej/V2kdqcU9ke6Gwp5Tiw+pY6vdpoe/o9e9c9R8 p4bvBYoW7F0FoFNmI7yJsPcA1LtrVmHXzlsXkw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4624) `protect data_block 3kPL7ncjniNY6UfiWYt7d1+Gx3b2BGX7njJviAL8exB6Qwd6WIrPUu3w+Whci0xyIvebtE2vTu9c N6X3xtyKY0d6QHf5PKR2FwZu0clbHqiA/vbMiN4Mq9lWQMTG8N/jye1TYzUQwmzAsoK1Rwbp4LVf SjTtcjDykRPdendwJET8uLa0ebAq6nJ0JpntJ7Amr3DFIIQqezVzSpm6KsIe4WAuPoEKBCZzGVsT 9X1gufY84SD+XPGmEnJGAPZhIAJgyBq7BJRGXyRbpMKT24dksQCqCuyn/kkC7l6dxRK0Br81kpP8 QzYFpn3lAclgFqHJm1xtjg9ifFG+yRz0XegkRPbsPoZsCCQR2gN7bpbwGdWCp/J/GiONnT0DVYk+ YnX/zoSncrwxaNiQmfDVZnfT5zQaPHPrg5w/NMsW1t3w+YiVNs073XlaFmd4nprZlXQCTEbZDtXi 8Zg6Em5c//guAuAiSl+bt+569h1k1KwBE5SHDpab5nt6WOp4GhPdEOrNkY3NvH7/BmbY72qDb9WL FCxfmnMKabzJ9YH6ixXw2QQPjM9NWcdBhl5TS456BbAoFDBOXVFJNERVBl7LDv5BMqY4baYirzEq N+NebQTZnmoFT28KDhlmV2iezXYXtxChzWtyS5S3HVR2BGi1aL4OWjOh5VDJekXyGZ6J8TfF5B3h FTQmzfbSTCpQUqGiitsGEySh2aCGNkc3U9RzLY8GYLrR+lY42Pik7C2xaNSlrM5R8s/p5LyjQ9wL W5JGZWaw68X2+HmqQ1Mb5+7YYFbxP/27sr5KPuiQ5A8Kl9JlesTd0tRLeiNiRWLhY4Zvtq5Kqg2F ihSwOowHVnVzgOuizSSrTjsKLagt5GUyGctfTxNWcHM92OYNCmO6coTwJdxn432yiHkGukw9NR+y dRIGArp+I2Z/xh1AewU2Yep2tTlOLnoyUbw7tW21fIkCa0pTGDc5WnEwn85yoCplgGlP/MrhAfE3 v5w7PKwYM01cGxs3OF1Rc9ZR/wiUU1pwq/BhKXOZzdm8V0uAN3EAJofxbVa2MeEDuHdZ3qypiNBj WwpYW+F/zLY6SU00NJhzOh55OJM3/5/5ITyZSR+h9w0+rBkghN09yS3WuLrLkkNDx0yDgLJv7vMY Oncnb5FmRPI9MbOp3iMGkP4YcbKZetRaDVtIq9VTlxOSqcZCwRM1e/VWEAJ8ERBHMVsWPEqa0yMx B6TU4xiq7xTZiKXxe35VmX/wysrlofHQh2u9ilvudUfnJnuasAZzOtR2UkNq358DjPYvHKg0DMCz QAPGOr3wkDLSVJxr31WsFHKfaOHKU/d4vDC3Fpcpd90K0lBA6dKqgX04CA3ZI1SWOlNkJXYKc/p8 Mi7kBzTqVl2PfYw6ohy3O9sQ2or0H6iiYoVHRo0qdRZliff6ipE/ZovQR2AqOx2zb8V70RnbF5tu N2dozWot4bmGsynN54iVcLSgXtqWpYTrZPfZjmHIEh6bM/MjBW6lMNciLlzxT21UlhScgyP3fUFl 3Ymb5I/lNDfZxVpeNLpK+PbpI/JjJQTEqQSqCiqwuEWW57/NLKVvdMQGKeYixSxwD/1ZzIAayb8d PO4UTKOYPRF7pM3NTmd3yngTZIG1W4UZ++iu/xcUknMV2izmXPP8wZwihJ9vd8LzeC1PxYSCbCEG JTRNGbe4874YNZBh8Q4W4bo9+FmmQB0wQMT3B8BSN8xbqHBVVGvdxNhzfKtAh/br509qhB5xyEsx gNz21Xn9xzpb2LtutbZ8sGk2hX/Ef9drx8KCJCE4mz6MkjxcotFaIXcpZjHeuLP4Y7cBXVgCr5Yw aUF4vpFlA5zwmL3ybqOA6cDbcF8QGQTsH9dS0lz5dkscktjps4ak6tL1qlS4Eyu78OYx605aMrT6 ZwQ57f8USn66/p6zA8S5gXNa8ZOfDSsW7vF2YPNfnhEQxMIJfzOYzDwbJ5omcxFk7wHpAm1/b0hm Cd7A3T7In/hPzlQvQMR5sRkDxCx36U6RxwSLawyEGS8Lj6SuEc5yc0KWz2cxUN139M6BX1cLrbMl yDKDNDCKufFKthsOiziVjs3ir6NtYKPNMEcsUmSP6d7gxs0KVAHu7Kqxj1FR7Jbd/CqVOaqGH9tC pX91p13UmD8LPAqGfjtmXnll1VM+VVFUSmqLFujlGBRKWz3rID7CatytQZRb95l5WpAgSYYH8Xml dawByXtq7mcLRjMDv/g1ZtT6frM21ozY9Yw3545mNvF3BvhHXMjlLfx76R+aErnWoQss8bF9+NH4 4jurf35dV+OLTPV8SpzyI0EkZdeBXhRMKDON0HEZrjPq+FEotl9msiEVIKyKNKmU0D6nooGCzt3F ucKXd8XSiZ/yNLdMbUXWj72QycShcV60zdgZ4Mq4h6ujPekQme/vxtz5mRWZ1lw1ET7yknA3lccP uliUWnVM5w3hwqO0DHgzxOjYb2jujD5xorVgTcNk7Id77XEziJBf1XaPQXP+LnBT9fvEuaSERwkE TwqQ4NE2BwHyF49mVfPjnUiRQIJYTd38IiWYqDTGzoKCgPCAYbVr2TrGO3X6+5L+MXqM1Xsshjkj LcVaAxSe4tdg7zqW7fiY0QzgbVMEWUWzsnQKG6IgQMPKEbKPbF6gQzygbCtZNPixAx21aR4lJpib jRlzvBbckYv7ZxuKrTY6Fox/Bbj9x+wdoY5nE+ykEnzgILLxXnS7c6Han0P4/YbBj9NQkd0EVy/y O+XuCqC5Ve2tqY0wLj85ddhPiEtpHedg8vaUE01d6T5pDgqGkU7DsGsHKmAFU7kSEOtKb3sBDSro uKyerGBCe1XWersbkExkbHhIt79/iJL6nP76InlhHdMPIAuy6sSeFWw5v2xgVtq4QHdGiNtVdEZb 533rYAph80QTXZeNHQ8/GPWkd9Pvh0KdqsOyvBKptsFhA9tkrf/Hom+IPYobgCT7Ih9lOY+fuugK nNWQhrKT1XsN4dOmk7vyBhGjEwBLdWDAPArNmWjNynL+O/TkssPr+RUEL43ihjCp2YSCIKu6PwSk D35kkc5AwL4aZ9lPVfO4FoBJJrq/XPrb9x6iLT/cPCKpUoR4T/QLePUwkNCqs2xHmPKAENJdnXwe ZmvYQm7cuAEFvcU5WLL7W/uFJQvQhcHqtMWmGyfVXDsC8xhaG9nEjXI3lBaLftptnr1t/igs8Iee UIbqjEJYSCaXxBwyna2vMdy6Nhgj1zGynw+GIO6DztXIRQgy+44HT23pumsQmO0O+yJntmlwWnRa U2bSYXFrSTHMs9cbfXvgLLckYgoTHBtKs+YyBKryCsmtgl1qIL1EuW8f4rPTLZ86wLCVwLTC06EV Jxfk/87UaUr/J64W8T/MW29GIiz7MPvBwQUJrlsgw48AbIWPC2oVNNEUUdH2DG4P01RvHPT1vQ/M xtETOxVac8bQqRJJgeUHAYUQwOw+mKgnJ8SmCZ2uNXfkJEsa1VoCm5HNJ6VrxzDsM9kt6AjWORhL VTjXfI62Z5KaFVbCT6nqO1gnse0M/VuCJJip5egnPl3HMoz/uEA56I1liiBqXyZImVpyHRL2rleY uGQVwqJM2L+OsmTjGWJ4rbxPyIFcWRFEN6N/NM9FysFLnNAFJ9T6xPV5YaKYzZDNNSRSl2Lw8E7d C2X6FOLmUUdDBA1ZBC4lfLuslIY1S9KZdiG0gYgnd8CSOxTQAU3oOrgJHTX+ajMSN3wBKtVEGoL5 ciLZKSit8WOFK8eqfJ1YrWILcCxRKMGehFjvYXfuYe1uzqiUn7caE2f5BdKf3yTbO760ipnpcxki NXIQZL71dNUZ+wyJwnJwkt8vDE0C0Ze/NnHcHuVqNVfy5YTbzWChFhsAHreD7OYssMeWOWGKLbdL lcSXVyiIRjE/ERqNItW+/ODD/7hfKGtxBQszoEX4WojUvnTZX7Rfsl1bbuO99EQOkBKHceVA2ctO L0++PWec9QdB3ZAS6R2W2m1xiPMmP7SwmPTV2YMoEXvfoz0nLtN+OZx4ad8y/NgTU1MO9tdSa0uV oV/p9sZkJXwmjmbSmwOMzi4hg4K6iTN8A2iaTNstXLjiVOB0Kc8JGxy/bRwW3+3Fg1kTfXOYfNL7 9CLf1S3Wy/PJiHz0jVNF3hIl6vVMTjWB2iCbUxI0P1Nd3oTgo1MmPlhNpG/F29+dQy8Jxe42xjgq IJ/pjPJycc7+uiC/gJQtqVIrfnFCzP83qW2y79qmbAMILPq3ebKya2zqrMMoTl0w8wU0neVoh/L6 dx5rFgT0lfj4p1wKo57rq7YB9F+HfuQUV0aFRlYTGFCmb6SevcDAvSedIyja5vXWvHqX9avUNWVI lwhsqlnSPsC6qVeuQDoGR525VniqZfXiVeBcZyPgb1vjlI68BC+WrJAHB9fpGV35ZQ4OWWmhyDx5 MybAJPPnDKWYRaGR9z8hZ7b+0RSsdGiQ1fbOwWsngv3xTok8JuEYqxQhrnkyWRtX2yR/9+6nvd4A wXjLeQY6KBUwE+/Z407/++ohmjyppu406lydP1GQW0okuMFfxmNsYS8VJ5GDdo9L+DUx0Vt95nA+ 4aMKgqHWey8v9sAcB3hTEWdQB5zXaEys4Ewrkj3Y+/XPTl/8YR93wLn/pMLKyWgrTBExRu6CMEbL Ka0pkOET2P/IadcXXH6WIqhc003wlVFZVNa1eyKUBwZ+zi/+RT81UCsWA5GX47zXKtaKIuSVL8nm iCM+knktKrovM0GhvNBmupVB/wa4jzdPjqc13BXTSsYcu/sfhLrtKm7iIk6YrBcvi28RRkifbUiY DvmUPJWo09Y2XmCfUmxwSKwEI/qSCOhlhe1m9chdbmJMKZLWAbejNeevHuiSr+jxqr+b6tDEXMbJ vv9iTSQvGsJAmvO2HJGhkD04i8+t8diF17gkpK1wyFi0sT59b242JyWCcOumf80ZBUOYkMpQIDlg meg6MXL273N5bg/0OJF5Omd8mBJByqNk8R2PFfBCvG1k9BDO+8czTdNN7ZBWgJ08zqsRh/d5weg+ 10GgvqBmYRuydVZ521l2LI4kMRanPrPXXcmxWKC38dNNIHu9RCj7y2bLEl58WU5XotvfjPSUxNc7 YcAMfLAkbun+EE7u/grAOP9LmFo4MuZDQB1ATLiMD73UmyMG37cySS8NUzpLWqCUSMiaeyEPh+ip cZYraPQNK01kd8gv7lIIx/+eWSaZTn2M7bEf97DW0OmD2AvF6PcvYM6XSbUWX6ZxLExKTr1av4HF XMGiySq79GS2wjlgQngzZTUScBXvNUwpxLQ94puO8zev+dne3BevpyM5dggaGxSMF4DtF7veFQQA Bw6og66Z7pGiIQqA/CFT9b8TEZjXcRvPv/ohstsUqXMsbYYG4QAvAxqAil5Dfq5Fyu3PVIG+OFdz 4A6+H7fbjt5+2nUhbcaNXbMTaPldvk1duTGtqJDxod88Rrc94MhEG4WB9fUr1RsPqkVcH1Giim+2 FSj27C7ZuLH4oAy0pIymwvi1cuuUmX1csvNcRSGwD8Ve3YPso9ScH4qlND6bdf2KmPcSy/fNgCao 95UU5WsPAKWK7wMlVoGMB8YjpUqMZqkm6F+tKN4DGhynHigCABm7RhT4jCKvM4jv94uhGohzlqlO 5bZ6XZ9Tc2vs51Wrz0lwLQybAUvbnXb3KFq2QERzZAUnoMMKbcGF6kIrY3ZlhbxSGPojoPB/qbFK Koaja78JdE2RnjklrFWfaOJJqERaWqNlCr8f4KrDZsCzAtQG6BsLAKikVSJ5EVhdhqUFcBaA91LN jJX8rB0+3/WBUsjvpVJtiICqR6wfYMO2bo5i4Nr5XmTwxayfawqzifcqEDZbQGi2qU1JzRgR/7JG 2wrUCWvPo8bSZn0TwU9YUHJiyKNt3Dbc2zRbsNMZzavYeu644BCvvhX8+0lkZ6xKQRgdQRDdLKPu UDPYSAypUB6/t98YpoC0jsVGxPLjwB68OrTDEBj+qlr5cm2upwtWPZ/0rzEIfOYlrDKcuho1biiA Kyr0K33q6pyrUc0B2pubYnfc9o1wbeY4bhtilyku6OncAbSjGVKsikhuCnuqbFbxMP2JUXCbMdMV r8hLjTbyALG5gMiZDPkvr1Jjp19ibEOLS9tuo+8FcZmKUxgmzUlhQ5JUwCSrWI/p9OtNxzdRWULu kR9fBGcHwA== `protect end_protected
apache-2.0
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/924f3d25/hdl/ramfifo/dc_ss_fwft.vhd
5
9156
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block DrFNe3y2P5nP7Rx95qyoQtV577JZ6mHlWcJpkpgDuMk1tMBHYOxfAvMPjf6auNpYR8gPabRS6cnK jRny4T5vWw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block IxQn8drvE7Sb9/3BUJVMQoZBd8cj4z9U1TZMSETxI02fgVKYupGpiEh+LiYhMW3es0I1ffs6McuE bs7kk2EOtcuTfwPsa4Wu3OOcvxHHeC98yBqddgvOLVJoAEb5RNiGoYqws9c3o1iqC3JCd5sYhWwU LB7ySr6E8tZPaq82yHw= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block UC27eDrtTOjJIFtKeyx8ADcLMtfAT4mJdO9dhuxbE9av5VWQotisvd42RGIAgggOPeCblnuSjCFN MxJcsj0Ym5C2pXnWD8k45HFBUtM1CZYllaNawVznaxCoU9cbI/F/quA9dytfQ6E3nYD7NRUiQeXI qNLRVlKOd3sHDl4Ml8BUzkUxkau+CLIMqPeItwxQ3t7N51OKF7jRvSKtsM42GZNwvj8StkQqJPGy f05noKhb6G5GDYG/WWfCFpt3qATC3nfEMt61wsILal4ZZQnpwZN9UWH8SrVihRpVT0MA2gdJUbVX 9rjCb9QcTD0k1vglSUdT7+KsLKo6kCJgvn2v/A== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block iqIbH529vk+Zbr4o+0lOrJs0Ntf6Ib2MR0xJbZnnBLs3D+25tJbl1QpWtBcK9/Y2IAVXAleMTcjs fxnkiRTPlx6HRCqglrfz+Vz0phKv5uAumD4xiUGt4yaxyu2wRfEx1rMjicoNaeBeKJNOOrM9a9FV tnb/+g4KQpZTMCq7fVs= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block hK4UEFPXCgC7q4kNPisRaOqK53obm3ze8ng6fW4VvFqUMJP5MFWxSphzH/GYPeOeKkZBMmwyzQMN 47e7HNlOFny1piZcPvqRo22Qje54G7VKf8g4sBoKB6RwAZcCt47fKr2fP6BimKj7lZ8jjoAMqczT V55bVQ34EHRLyi4l9r3Q2wQBGK4UQoKHoiSiCQoY2ZpDaDap/GKR7pdAqwAGcIS59GXn9NtJTQyZ aLEkmd3ecgBvcYG/Im6ZmTT7EkS3zPDAAyygUTx3LO/s2tO4zMX2OySkUFOMbQZ8wzRO0TJ8aEkg fSuuEquk4K9hgaUcIr+/UeW6VcPEOH9JB+BOtg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5040) `protect data_block mBVw3xJ/cTlKJIeI2d/aQKXOIw2ew8K6jdLMNJadPGPriaNdkZPjrGbWctKKO5x+hl11eZzH0d7o csL3S+obGbzyDKjtH64QK28sn8vRMlrPI6Iq+8LOhyXxE8Q3ZT3kJNrrct/JxsAdGlMtIDzjwcAx BHe0Fp6FoJZZWEO3JVA98nn0ydJrFn2p+MxU+Wk7Ja9V7xGGNzv2Lockm5AaoL4N7zwrLnqqREVY 2j0Uv/Du4YWX8WAVY9jC7y4oQMvJVTeyaDN8dJIxDXMPm92yK2HB9Oku88kA5e9V1DYmyRldV2Yt 2I29LXI6uhG5uwxbvUdqllm2P5CxKhpyKpipJDPgYra3CRUw/sJdgqJUSR4Bln5Gnf1r2bVVUagT UJvkhodkcJmRyfaMmHnMzD3QmjdLaLEKmNUF/eHaHFKtlFFr7v1O0kXIqFrhoX0fZ6MAD3g5VP2F lXa7VBx6isbyhfPTjaN+bGKSe+cwgyBhgVYBK/hOnMbDSxg/SsDn4TIPqV5Hteyhf+nkT0hg2t2u Fg/Elubtrs9WQmaQFvhs6Zu/9rAAyV9M9e7BhuBT/0v7GDQscHZOhjwr+JmRwD2MdjyoFoC2OhR2 A8Ib/W62GtVw67lkqh2Wps0F5uSPm5smxw+BnbdFANnwkEizeFEgcwOlGuCeHcmS831uHlwCqugx KoXn3Xcx+5a3piZNdRb3vKkPwhSiKWfRKFF+NdXAPrR5wsngoT/4YnV3vK7KDYIpe01aD/YhzXPr KxiiaN91nKMDFi13LCAkbeQyshTFzICVwR0n62kRtQ2cmW53s8X5VpMQpdV32LuocCJFxlz1NPDC YW380BAGENDBmOMiQjynRbib38mUo7RCuIwNIuGN8Tv1InoDR7/4PK6gi6mZKEdtRm0ltR9ZLRec pgUZW0u12BsGqEK8KP4s1Xhzk+3VGoPkGvMHUMPVSlPT2dFPkxktG+ofYZqdxbn03hx7/h14xWZs t3aYbtKrzE5sGxxWBJXel0LZwcxFME6WAOJQu5wDuMZYp8CZVioQT+MLm9A0+f5KqgT0OY4a0R0O K/q03WQIlj4aYUlGXPs9GSh8vt6n1x53nyKmfNxQahIBVaaeShNWt0UFMsDxjjaFpIqSFGB0SWz3 Zp7sWW66O6jUJI81joaoKzszKttpjtwp751gId+O1ewTpSiBV0MqU5+gCCI9a3QP9Rp+/frXF+Xc BY8OSd7dqKp52qCXYo3wEY07p64hf79FQ8pEHWrjLuwBZmHCqmxF+r/y2gMC+o1wXqETzh57aAlQ yDuHCLh1h5dR7FC7Bi10wiKH1DnrZ064sAqL5cglr5xIiqOZ5YmtSHVryTqnk2rOmH4ILieSFwd2 l6nUkKgZavqF5gGoXRMgKIGotBOZqA5fiFFewuSQdXCE0pQ7YmIXFXIcA+3I6LFSizSyxC82A12w h6MY16bLQmJpk8QhtCIEiba/or0qkL4lqxrqC6PP86SHJoRpSAexC1vX7d5EIYDAanISCMZj+/bX 2kOxUr7oigcM3BtoT0WKTW78zaNu+R3yFJcxugKGpUG0xmBnrKVx8DCQ6vCV9FZdchoQ1VRg8vzQ 1ma0Q0vPA81LCMWjMPevBr4jvb6V1EWr75GtrVQjQXxe/UWBT54R6YYEug3MiiGyai6Dw756gmYW wBbJceyLyksnZ4wCg7Av4UgpCKwc25PpuYYpYRDP8RZ2Cpy4TifEdGzzTY3X1v0LwOeKYlckACu2 QnvUZ+tsFJOQFIRKg8blaBZRhHrqljONq4OiE1arWFiYJmylVGsm9w0VmkV8A1Z6bPe4+e37qVnx SKiUK8iFxjGQyUWHpR0wlJzrfVPm7IwIA95F40WInyHXIei0KFxtX1dh1E73tI1OLvz/SPnD8VWC nkziaS1ABbvoOVlFF5lFHFBosAN411sLMBjaJvkgp4ONZVbdq6H7JoadEtDfeK8LeVtxkkep6IKY VANVecXAxkM/6Bw4ofMa09O7XCKBAnRnA76Uis/hBOdKd0/k8ciQWff1OkIEFF6H7lVS8sQ1+VNh dbUJlfFYFCLoCr/I7EZpfZ8B+ivk0OgyvTszkEO+vvxVUCmUCbWYhMYdsiYRuyGpjWrg/6GHPvHw HDARpVPLJ68vGFUFFMnV7PaiGIxEb+lyF00jZkREnhwmyQM9zyeghVZYC3/DjX9UTH37cPGkWlxh TK/I2Ub/Cyu+5l+QMWtHPOQBVwUEZQMylTlYMYA1FAmc48Z+imO5XgE8ioGb9FzDsBr7wyLgV5dy vtp+j0q00rNOjeDAvkALEUlhXEVLFhCbmQ37BYVN4sm+PF69tmh9oSxRqyE2SBcK8nONc1XXhMfe L7kTvEvwLIQfbfheyWsyFGeuv3hJKnXB5f//mpdI/j9HGv1/tR3GAHxPF46X69O4ayd7k3/HT4Tm E5qKNT0oxDyvhErvlja+gmN7os99D1XrgxWCgoK4BHOPrBWKHwBAldHMgBIh592BOA/7z1keCM8D ZoSbK4G/Ia93z11IiVC+He3zMl06tdOckPt+dCVqePgnsdy1JtY6ayzouRk42+aPdJI8ym0iaMcZ z1V5bBfpkSHrgEgdDf/U1fyFCFwMtenlw/2xkU/q/6gHRCN/MN2uXuOO4W2Ad92RJHmg5sAYar6m QWt27QrZWc3wDTulwOMlJgyxhAhWEl/uClpTj5vMCTW1L19Z+AsRVKM1MVG42YDP63GH9d0vt3H5 XKV1ga4tUtJo3J+vfpGGjVwx16icUwKm/EHcIs+oQQ5oN8MsgbJw0ct8QttJEFACRUqFbA8aR7zd exBHF6Rgpl1xMQQ0CdoXSd4+3ZILJnwF7hhktor4K2rUpqGIUWqH4P8pQviW6eE+QwzjMmLr/zUF dbW4FN1F/3xMzwosCbO9gfLoP+mwC031/ytplUgWHi+UNFAtaIJy+Ar5EZu6rRuPeRy7PlCb8kIn mPiqa7TUZKIZvRLjJvU8sB5uQvnCYm7E39/mkGZELL/P52+B7hZU93LSYAd4qgRnip6iJVXjAira sQJEOvJzonxFGgwg/0pURnFpL7z+MmRVWKbrDWguf4gMk3tfvARu/UJOPOL8JJji6h07tMLufLAC FoYE0iHPaxCR2LMyfpOGgR9WtQIvTh/OVH0fbass9yHi4EswtZMuiDBRy8KNP8sotmTSOePPal2u 6RLidgNcPWA52IWLSvjhMhgSdTeiY7c2tvDpmecR2FlFf1xLLh4TmrqEehOy79ry9utenZF9ph5h lpxijrOXzBfJ8sVOEwu4N19TrWv5APdVTjqltMb7VZRzOiw9Y77babkTdSnWZ35Rmv1MMxg6DI6f tEPJ6KGdGmeITHdtkP6bLvPPKsxKADFiGQG1zonfjvO/utnNeMpQRFtPXqfq0OZdpZtgsfvsLth+ fSj3O99iQGpYiH5Xm8VV2R20oM34mM+Rn7JHucw2ZaLN9S3rhQlT0b6zLPEvKFZ2PbVdHTpqXxiT PBN7IcSipjtmp9q6IDcADOo0tRIsC+LCXRCgpXA/PyLrZWKHiFbJEEDaor0ws9avkjSpRtkq8dlx L9+K5Yj3k0r3JfeXBUpEPxyYvpeky0ceYEZMBZIf5GyMwWcB04G2MdqcLjTYPFut+HCKMs6RqMmp Rzp9ThsZbvLrFI9FLUbOEWXA3s9dbB237ExK+OyLGraqsRII928YHNppCnW3aj7II4Cjkp2m/Lh4 ZjeL8yGZ6ZX5M9wktRYUyCNAxaWxQThzBdjFWbBOYkmP/eyeuz1XgSIOZfjZLTAk3xkoBpYiDpx/ urzKCE/ZEBYhLmsdDjoOEWeansX2tyNjebFX78aHCUNGMXrGjnnp++ndK3PTTKQHKhSdF1aKYoBx 7Dm6RyRZsGas3iyjLdjYKoDGLmIQ9eOPZLu7CJOIb0HImafawtvB+HlsRY13eBe0f0jhdk8SXBD6 UyH3c4TaBtEA4qEICx5zm7EWJ0xG4oX8bmCxpIolgB1ErpusqxF+jUsBps8fGSxcgse/1lgS0aYP 2RpXRzUa8IBMa29pgYi0tyhDCGYzXXu0EHA6rQ5orKl34E0uysN0fVBZcRg7FO/qbB0GME+upTeJ sY6HjEblURxMzRaSmqBfypGy4kPVVbLveRW5juO8MM63zDTUWn8veNDK+2o9GYKu1/EH2Ytwg4oX 8GTrnJw6Mq5Udj4XdDpu6r8z6XK6GdHthGUzhxUdzA1ySuELgAosxtJp0OtYcgGUjkoYDc3xQJ0N vJIkFHV4p5RaU1g/yMk8MDkx/lap2yk1Bx/Oh9sOgLzPq6h4tSoSTRnKUKj3QPHLFzC9+EDX7IAz 8J+GvmTUgtaU1nEI+bJxtwqXhnqHyE2e4PVaVgeAzSHr5nS+RoPlbcGj2V6gw6BlasY6sRuQ+sdP 45YB9vqiKLHADV6I4Bm9mZC2Mco+AonqqWfgVqij/JFJbbZjq543Ok99A8SiMPvKTQny6ha7pd3E gSlZ2fkAq7HIm1hRmcHlLJpGswqfquN2ISOtg7Q0djUbNuF2JS5lc8uNIYU7kNSFfYZxxcWAUBBB mRluywu5YT27HchXbOXs6UJB/VcmtOd77pyWIaT42PFYFRb2ox6KvRPV0dhKdL9YjpcGNRpGA4X0 q6aH03XCzEM+lWMEhzm8MaLrCKw/qGH8sX2BViT4FtEI+WP4B43eunWOYB1vjV/jMzRKWIx9z8Hc xaPxxFcZJK2JT85Bs/zXLKTu4D3BjW72NEZxeYZpvFtcYXXV0v6yrw1BaPtMP1YTvykaHNaGSNxV vgBmve/N0xgeoOTYrrbrLABMur/rPcXBr1Vrpp7g2oFtpHsPg6BL258G5fm7YzM4Hst2vyY6pAs8 ZneHub+k3FNRItHL7CcHEmjt4sGRZgKU4PRuQ5RjkyB6T1n7JGFCTzELNpQmKKO7677JZO306vjS HXK6GEw/giZl9obHqoo/kXZ54elkOrQ4HzYnAWXJELcQh0gHk3qFyQf1A3RkY46Lj5W6NTOHszRP loKBZ+irpbLjKMyTE7uZQLQj7aoV9Tgk6qzp8BavpvoklxBodijrZvc6/ahLxqTBMB49I7FLJfN/ +aL3ex/4ADjvcsA7lxQTpxHHBnmQkr+eEdGj6kgRBjPKSDdi6Xh939l7z+RRJZNFLj+v5mfnPaWL nksNXNzRPmlHDJxiO4tMEkmWsHffSzqQNaIaXiqM479AN/hLsOtKNK/d7ow+wnY1g/aDfCMt+nfE H6g+gNxQ1Y9Dy2iSOjTpnVA7vvFfUUCvDrTsLJ5cJNj6m6g4kftcPcSpXEJCTSUccH5BsESulexA VFr6JDoFk7KZ0t9+VqsDboE54HslTX8gdNEMt5gs+//AA/8zeNONGtkV65v8aFhqUe8C238FsQO9 dMFFZE66De1nkDwtxLnAEVtwpt5loQZBGZpDJWWDeoNFFZ387/Dg3vBrVFoYMnrvff+8T942ICGe 4IV0rRy7VxZHUB07REoMQCQP9Bl3hh5wDtjIpqBEcR5JKml7bOgbRKKIaDtUvT7uyL/0L1XnwYTd eJisQgJYl2y/Ge+2KQtvpBcLIezzRsdOZmqDB3bHFiYrg8/YBBwtodUx+3jwNN0WWftPkbEt5zGz pUO/o+layBaLlAHX962DOXwXBh/3R0Z2OGven0PX91WMR0g1Vkm0pdwkz6vpOC/XTb9QbQeL3HNo wbtFLjYw8HdZCS3zHnRURQpElNyXjKtnUA0y5VhNh4pH4oZ/tB0jifj8wv90t7xaA+1s3kfYOKGY GHt1wm1ed4nm46UxcCD7IVchvGx9En5jlpPdbcJBincLs8BBA11yxcNzx61XxHHR9cDeOjLuq/OQ XGvn84/eyb6N4CQ2GpiwLhqhAgTOYAbXVU7wvU7xEb5M+DfSAtzp/0h0RsHlwMh4JvZ3Ib3Kpt0d osb/Og/yiIcbK+LG6nnXuBfCFK1ynQBBINUHqnD9D5iDglMx4SaqKtzWmWkRs2P5aF8INHkNhw82 FOa/OswYb7XvPrCpP5BfScyf/WY0mB7gdjkgaz//xH9lu6VAzClsy9HX0H8hmMAQwuUufrdfT9LI gO5C6dyFad4d7SbUl4rZwxM85xvB+wXSglP0FIpXF5nnlWMn8IQA57q56GlZc5he3eQDZNMXeOII qvL/RfS6k6hnhA778Yg3eBXn53U611D5PXF8qzgQAHOVRyFkqS9CeEaW/vDo5GLhvhOPn3LFtgIv mosqO6f2EUxwNaRe3imVYshVmXNDASaLBAO/wZVfTLkLy8pPzN7ZYuQ9M9v4uliMnv+zP50auHbT tx1Pt8pUz3nR5m4sIxNSDKLvJjEoS4GvdecIQeq1Y4W3+zUKa41CU11XWXddBbOo0h5W445tNrc1 KU2u3iMMX7fwO+BGELAOZGGw4FszWN0bLYYpY6SJUvPO2tqz0n06uPcjDbli0Lu9vCpwkCLr//xk vr1fSK5qp5Rn8M9/+trWz2P6X7nc/euMAGIDktXRRh75OskbjSH9usHeex6fcHrNXV9xwXO+bCuy V63vyfZ/PBulCQfY0i+9d8o7RWdZCvb3BDBcyUxr/goyuDyWMQ7JuBlWpk+tTmjoYMsHNMOfPn52 GD0C8ixjbhwj1AvsHe4R1X9Ba8KH9tPgNXD7IBitenLQUTUQD5HetuMrEZ3t25nWkqGDtycQTEVN OJ11im/CHjYpBfG9EPV/IfESrWFlRuas `protect end_protected
apache-2.0
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_3/7b6e2d75/hdl/vhdl/shift_logic.vhd
1
36111
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block IjN7epbgTccVlVNPzG/kE08t/CA36hDXu74x/mmgaT4QOVIlS4N3P8SJrf0hk1+XnqfWt8gYQGW/ 9Gv33Qz03A== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block DUDQa/cjARbXFpFIBcLVnsux+q+zxEbzNFvQYlNJ/UYUjgUbLhAYYSerS7oixsRHCYw/1/KuV0u6 588TXmMiG1krvHocrTyCEBIryU/Wzq8xS4xawdBnKEhIlC1BwXd+j2MoaK5KCZeFkCd3eWGMW+x0 SDVUuueShJhQAUWdbyI= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block A9MClJgqFREZ4MIdZXZjVbT0W2yVJJpRyhPYR7AkcE4rXyGAB13SRnorKqg/+QipcZ2xk+Zg2EAP JbsqhVRmcSFhw5MLr9/RvWGlD8QiWWFSuUymbyi4VGxIL9u6fpv+6o7215/9//9Y9MTYi1KiLjdb /qPIhnCulhGIvSpNAm9d+scPvDi5ZYE5xxEvgkL+zzOyUVZc2x9seELfD5RGdKaTXlTX7VqILN9L lnhTlvEgiHVYbqXMDXmcJLjC6oet2mhQokW8H45dc4ANcAJDaHZSnQmsx+PMYTiQNoMmRuuVUQTv 2ZRXDX6Zv3pEDV8MmhSLgoLotKcFsmlBMBr8oA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block RxRNEhyMSItzHJQ+q/OOTSjXto4JLa/RLa4QjhPq8ERwCXJNt+BlXjqj1MMyrnH8okJ8X/5jsEAz wjuXeh5Zr9UxSrFgS2dMISZGow52L4bKqoV5OLQlBQc7DjptdZYEFav0qbB7P1ojmmIRRF8VYDxz tkksmIamhmN/OSNj8BE= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block SHdJ1UxeYry9wo7mSzieqU3eyBcmRJ4XIJPKtX1xHhOL47iY2hiZhJcxOWGXaFwLyndOkDeRcrYF Dhj1K3eMDTD5XEJxco+Ax26Tw3lUeM4huN37EJNpptyLr0bhas0233ad8V7HoVVKQDc+W2QNzW9C M1izIjtWUXaqdyc4bAxZaVw4TtQu/NrdT8dUH180tmmHzBUQHXFvE4ivQ+L3JNE05LCwfB1ZEVVZ aKZ8qI4LRlqbiq+VDEdsjOh2lL1bMbiDNiP6/VddwXoEQ+e7JukwnO88vZur8pkfAB7/DC/4QQxF oyssk1N+TsW8ye6WCph0MDL0EuJpjDFTwBB7eQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 24992) `protect data_block YotEeRV/iVpszYANN56l4iyxYagDcbkMLJxcnNFSyPxde8zAJhJoywrcwBPKgUxQQp3QLGxJIipx YOgD87BnrY0d0z/GSQGH6Vl/vKhsfvZan65KLSLtbxb8MZCqeyQ5dCzpbHE8R8N4NtF58uLCAcHE QCpH6W5FLYXvyqDK6gGg4yFvCFbQVYANTpi4lp1iajuTU+pbg9w1vH0ocJ+5MNohQkhk7yQVCTyM Wyf7zB8b0W70aCQ2lkqPKsTaetStjZAK/D3ndJjzNxA8Gd5oGY4yTj7TNd8ACy9idSYGgfkcUiOC oS/iz2SQE2zCd1ebOs+wphnAD2kfFmzQIOkKoQvBkzqVOyZzbNm/lCCxI9dxBatFGb99fLL+uSzT BiEITea3WsYYAi+PXjigTp790ETsH6ZouYhnVUDVrqhJVROh1hRnp84dhMLUH7GKtLKPDNsnm9WG WBv3c9gwXpgH/drvN1IBBKUZgTUZ0SgzuIQuUrxw4IuhM1IJKpUNEPEyt7dEgHAYBtJZuHh9SSEh F6WXgqOKncUJ5xDUtiERAioXmu4hdKHlu/KBJv7q6QS7bW3zKC/edND3q1Ngz/F5KHsAgf9Iw4YH 1idSLUAWHl0jLeVddVBtgytom5/VRPmKV71f+b/qilwno+qELXUf2oJDuM9yW/ahZc8D8/dOtJWv +6i+VW3+t7zMJFr7EOs5A5whwmjhNgColwYEUVr5wAlovb/1Nyu3yjkUCrV4NcuvRNT8S74p6xHx l+p34oXClK/5pIf7xxnuTrDqMbkwmNQcDjK4d9AzXeHCebkPlWOu+6vVfwRFfPFPC+iTzd5ZTCT6 zP4LeAy7BFMrVMUVaVGR2K66MoHj0FFUDXBICc+PCtz5YFipXWd1Uea/pxsUckXZkX7v5zb5CDWW JPbLBmS9SlXHBAyckxgC/qA8Pzc9v0DvkRRqMnOiyd+3ye1LOZp1MeWZYd8wvF8EfJU2/4vBo73r +9qbVITsu/GVhe0xYKwXiFmb3/35dH3LPVhtebwbPOUBPiW1A91f/7+hrJ28ZxcZo+ILmQp7KxB9 La2OiCYbVxkRZJxU7U1bRPVHyVIG0EyNgSJhQ2uPopEd+Eg3Gx4W2U0aAEgw0YlvqXhiK3y8Qopl SjfU5MoDLBa1CLJovqRGQtAr9OHEHUl7m/E1MnmgFf8w/9rPjNQbX7sjwLOGMfxqW+/5sz/8U50a gqjD1YM2ug6VMo4/PWZ9oWgZqMJ504ML4WYNRKC6S7yupdOz8uYq9NFWyS5hmcYV8LtgT20aP0sF rnGmVVcXnwycRB3mJWWjXZdrPLdGY2mLmKdTDMjHSis13YtEXOOpl1UOE5RwsA/ytOcyO0uKX787 /SVCXlyY7GtzkqS0xNxOc6Ji18gTuAaZ3AhMcYNjRtCjf/V+6fkLe3QRgbjVUcC+SEwrE1AuSdV3 kSPYJjltT44O6jW2DiUn6rtLzwye1EZ2QoxQeRswUl6GeAyDIfqYjjdhSRXC4PRSLyCBFOJzKqm8 8bJ/2ZYxrNSgbQ1iWcMgfSTVJw7pEpYeIdWLoh0nIVVW0bcXlRwXOHrKtwboyd4R+CLhr0tOtKxl CtYHczXuKFmF7TwlXaNHcaIcCMt7pgTw3r5la6VljR5WthWldXBopkI3ornIq5fl8Ql0wTos/Jrr 8wlKG9K7ClPlGV6dZdYl8E1Q9lIl16EEsxlZje4j1JjW0WOZKTqb9RYefjb7UbuEyQrWQQKLl2gl DAcw0SoxSFxDVndEH4Aabctw/6G0j7F8IcgwcUb2J6FVYi4+/qe7OXKOdwFsybWoBM9m7kXw4rty g7TUAPQz6/bAlsg6+jAujt1pYlxxu1+waFKD1zgTTSNmGRdSlIrxT5LIwQNb4UARgmMDZa7aTdPv POnlvem+e4FU9E59ONhln2h3chILmvHNC+kiSlhU35ZjxmhQvzG0HD8msD4F779+5B/LrnZfHLqw HkbS7VVqq/T6grDiGOkRIHH0AVQ+LlghuOncHqa49NrGZPulfMY0JPgzRD5zo7tyMC7gNCCbB1WE oojyQ2Bkcza5vZ/+8ZwVlFfMGF8pR0Mt8OgLFbiuZ7b6G/eUZYBhvr1hOHCvgazwCvbG2KB7BvCo uasFSKBrtQdz90D9OV0ZbnPwNNimhnNcCrBqvrHE0yzzdeeCKAHOIOoKpoJrwb+rmHOXsRyRrboy Su7q3e6l3QxyHssIKydC0eULJYBRT8LSKHyCcsQNbqs5ZIdWRikoSvomVq/byL5+TE72NLsVlD9Q dOODl7lodm0eKAsvyWDc0j71kdV9ALz5pGRjffvV1Yazi5w/hRzRBeAVylCW70p3MC2cHgbbVWog 6y73g/ROBUvIsFqiSVek7C8l2El4L57OiitMQ0XvyBrMdM+MT7/qgUK6I6NFQUqdRspV9nbxkVM4 dq8dMAmk/+eTPfKr2jXCT/SZ1WMPlD+0SYgIJVu6BmV1CBuLhHr+ZjYlYAWpyzITxpCPp6oRV0i2 IwVLqOim1Wj9wTxW3AvD3vrhLaob48DxK7dVPZPuDv/20xsWTSCxHMnaET2SrCBF/1EJR4MDoHa8 NZHpt8C9GFcxqvTb0Vq2/D5oEOQ56cg6meToTI8IsGzfvtwmqpAUsFxuUDdh8CZT3vFLRfrA34cz BYNJFvXbmw8MA7BYAmWMGPC1/lcOt/b/WC/gaqi6Y0rPNt1HD7xSKTvwnEOxR0Wyk/PWYJfb+dLN ZtTbtlHEzJpST5+RI5KULqeFOPH/muhj8iXPIzkfpM0CnAmfyRw7jKDC+6VN3iKgkL3GDLQXlaNu FuH2uYm/KYsjGsjyih2uAmCyKBdoL1jxQioifEeqF98Dm1UIh+H1pWGGaCAhi80CGtRELnD9nA39 gcqVYii39ttAvpDazBmKUo0r27Eps+h5/Op+8BRMSHYwWgxsouijIUcFw0CWEeHyvmFiRi2y4HxK neLxnB9OeoIbmqGmDzeRU032WKIA9sfjSuPXPVr5ozgxl9oaaDDRYzMIB2vslLvh/+Rh9KAWj0PX cbfdP0NZXn0fnBi2vBUCEXtc+b4Qj7C2OWIaQKu+LrCUSKs2ehHi6Hv2fLyRV71JrJmcHemQt+fu FlliqLF2bIE4ns6cCX8F/a2BZfBmMRo2KxgIxzIQxZ4fjy0aQ5U5r4+QP+X3iEOGaYgUQw0NCX0D 3Ez2AttlLMx1O6m3qSmMigqucfPGCFQynvJmv7efucdRum6CxoMmfgq6fCen3jfVUzUtnXz+P7cB 4oCOXgbSVuBwCrdxb7ONAePgg6jkIPmbX+Ym81lGc8/9i57jeb6f1sMG2XyYkfVp4vHdzKL9rld6 p7EuvLfOaLsVGUnFiSuvmamoEp9HVWZGJtF45IsrkHXslw3b9AIbpZ4lIM0LN15dIXYtciWrjSnz Rc/whqD9V73btRPrj9OgElMslv2fefioCuX5bexXS6pAaf0sjkieNbhQ2QniNg2HwUdqPh6JHq6u tSZk1TjoDRiQ1DjLv7RWThbVGwnZ3OnO0WxQYQddkMu54VsUdpahloYCjIWDDCqFFGXHKaQieMvg whJW4RBMBedTN/RVjjpt+ghpNFECOwDO8gfJH4fcatqEZETt4i1DH8VtqPMY9vsPeZJ+iZfiEJtf Aix3yqG4RmuumETPPHEeoUPFTGoGrQO/TA5Rs/Icqw7YyaOEEB5apmcijaICkh68L2VPqkLJwPH8 kaxu9qUi1dP8duubjpmjaXSZBFdncl6xEG84mIXHnJf36ojE/mGwqu4gA06pEBuFhCzp2mX71LVT a7jQgJ9HTO/TIzaTv7rMBr/AVisO1RIhLbYM53RW55FkbGF4EBlYkaiqD4cFAQn+1SevEjprYCw8 RXTirKJ7UPT7ot1TJTWTgCX+41sV9qL6pH0QYWWxg5MkFbuUruMOlcyWExZmoAX5p3Wez8SMGHwK 5cxyEs+esxsxPr2zykzAukHOkIle81mMQHXihvVSRpPQIMphd2QaKEL6dBU+fXAzmWDki3n+O92X VoxRrWgxxork6StBsuey//Bd4YQIk/F27PEusH8jIfzEtpnFd5z65eLxc5FVRe2+t4O2ehk8wYwv JL4Gp3b6Jh07oq0XUaaSXq4WZQFqOZJRuvR/CiFeCXl+Qr/3EeaSC4i6PsvTxHpeZLz34QOV5mxq 8DswBEgPOSfB6LwllW7Vhu8IhBjxaybnqfj9KjF0w0bexEC8UH9avNJPaB1COLvjBvoIGTwozIv+ Iwe468pmEpUtozivygjXuCoxa5wx289zOZic1j0ITdKR5Yg49sRtMrhB3IfeoEMIavGinjfDFNIg wxA1lEs/P2bKvzsT4RO5aPWx5oKI0FxtJi9hChS770P9LtDe0yLPfAmMUjkBqPq1cyYYPRzrc5lZ Ac0dfT6DLQfWxJuyNnN78TtVAr8En/qY5+sKLNkepm9kcBbX/FwjYljVMus1NAAdgy7Ca5LmHnOh zKjBOin/IwuZQ8MaRgagtYQ5Zd54SEAVIDqcqdn0OXseJIGABxLTuXWKK2cTikOB4U4MD9xYS74T ojb2RhgvLCdSWDqvOkRLmUf5LruYTSDHyXt/FtET+3fpIkm1P2P/FTRlxY80nnT64XjBLSDkmd/y K5uthfGRIIECqwdqdgBdb1qibQ7dchvMzVkEoGgYNZCySWDxPE0UfTryOmYkKXxVfn/jFmRSAagd fIy9ZqtMZHE2/WuRDvOaahBe+NSwSsSl+9muF47XZ2luef3Tkok3TnYo32k9AZhxW0hTdX+HzQLQ rCwsBZtZDhYsC1TH/wF3XLDtnhqfZWjNCVAb8AHY6w7FrUp/Yf2mVlqVhQtYfTdnKBl/3GrI1AOZ /1cLVgTA+PfOAEWk6XpPgtsMJC26dQvuENdJ1p/qFKFdqQgQAP/mynSOCa1rNvAgUV8bwtzbRQgo yTtRMv8KVvac024SzJeRktnrxUDphtnEidxnp8bbIchrb5SBJLs1rj1zhLtocznSw/R88IwHiOoh EHXdPVKEk/l5kxiJ4aL5sB4Oqqub6B+BBAbRobTOXqwStowC3bVSTR/iJz3cF7I6845Yr8SsefCl rp+5riStF7PF+r0j6EhMn8cB1HviQQydE3mi8pck6Vp1YvDJ5A1AHD7RMQrlOIqzGYLMsJEdreYb 1xyfY71c3amvyA1y1pH9shlCtaKiJynj1sxR4kBjbSPaDgvdh5rCflbm6J18RetKrK0sYyV38dri zf0fIpFqOZPLquY/3C4X+Kgre1ldn9XAjdaceJFnAMUDgw3fgKZnQlo+uQB88JPep0GKUV1SwWKH QTzDwRkDxkE0jPdUg88d12JcANyQstF8WnjnzZvLuhJlv8lz426qmcPNu+UG0UVigr+v82zYGNLP YEfd9SzSIDVCpsq1HhPC4UtWV7tVmVM0anmPP5I+h6x5hXW4OgjefHwWK6YdHiaNsbkVU8B1oMDi XiYeqHr7jAhSk9sV0SQdgKxZvDHyPpcfZYtOVrqdl50mj98G/XUSV6oyBa5cwWOxtms6u9BhHHxt UOKdlz/4Q8uwfyydBd3Y+eL69ENtmKQ/nC07w5uq0JL04IH4ybR0XhpRvN88bPPRe1hyVETSarN6 1mRtBykYCooD2uQnmxCXl5JohXb7EX7ZeIJEMVUKUkv42hwXdxjvT9aOkpU1Q9EpIaG8ALr3mMf0 7bQsumHhi/JbThNI0sFaWRSwvODYhkrvfbGodpZUz6fyAmEEI2pCkzGSC+IbUrQ1RINxcj/9Jdi/ 4fxtzJprbz5zgU9gcYZzEbMjrhibPtb2NzZnHystXN+jN//qvti4K8nEvkGwRiYhJPpHcJggRRcb lDbU+7XRBQbrKefMrM9CNWTUXj0R3Dzu3ESZYY4ypTpG2rjus3Ogqupvtemxr3SMpbmFQn9wCSNR dTL3XO9TDzU4oEBhIXSFkFPnxnmS4c6RFUHzzFV4eP04WGgm4f5IrC2IM555yVhuq+bN1X9NkBWf cj+tpNYjteIyRafE6zwTCysGka9BKfXbcaUKqFrXyQ5Mwe3IOiG1/mZGmuncIKwzdwgeCv3FhD7m DcLdLnN+wWo72KtooIPYQkY7007Gtc+9f966MPDizlbpdPn71ujl2HOynGvKb7gr+8ZJMGfI0MJF Bnyz49ICWS/AmLlnhytqaFa5lcuPyil+0z/mSPZiE3MBa6kzPg3GAak2J+ODzT75WeATzGSEapnp U0GKaK+xSblISOqi/7pxYId+Rpb25+Qa1WMQFP7aElMaiqNmq1T4UVFC5aevavSpNkcgLCWgS/sz Q/p++CfWr8z4faKtcgFSsIH9hdaqfNnTWwe4fDE+TMay9wDZzaq5dNA92KZ4kzAUZ/MuxmCVWZQZ 6sb8zLAMVKOgAD82o7pSStK2kPWo7nC/JrZyoJREakGOd4kIxsLZEBVG7KV8Po2U0KnWHY3aJ4Ne dFBnApgc7xwV9eYqkpOWNL9KuoRVuP2b4K5utVCjs/n4/yte/7DRd/GC9IokoLCvrl05s27VLtjm 7tqgDiu1TBLpochNS8CBryKV+dBm/KWyJP7LYI3tv011xTbqOBvzDdj+4AnLU5sF8TbdnhmS1JCF vqFzexqOJgduu3jSnBHU80RMXgkXEoIUszYlCQMzuWM4smowaEEty2tb2+a6FgXvXeNrgRUCAUN1 BD0ZuJT6wWNy4yfr00fOSzK4Xp0ODCt5e5fGdIlm5cBvzl7JcIpzyWAqdnqhc1XQoFjca7eHzaDL HcpG8tUOrH85CBgAwRjyFJEtcj1vGdULTGfY2dO68ME4V0q5RJWqDIYwcN//MoSPP5LnOzNFTqOq oP1tIKj82k9vFxcXXvA1XQjh1jTr/kdGI3BVAPXYPKLLt8Dq1CkTNhaR3bRuUbmVXJIHnHhqHCn+ 1Rz9LoBDHkq0bXb7oCWpMZoZUMB3IqiVJcqq7NpoZbF5GeOUK0i59ogGi60/90e/DkcMG24di+YY 2K/zXqHCuPGjDAYLHXHk2k9Ep+/GOleMy0hqAbsCcGMoCFeVQ4aj7KJxDqalFKKuWEJTe/kunRu7 4LlK95kzPecR5hKjSgmdKZZW8j/Yobe9TfdR8XsrOEzslb/Re+iad+XIRcO3LYd1ljoQvZAAURdQ lazqLOcHtqUg50s1lpdNhqnxgnQt/dBwcpvDRxtGLV704lshXKEfRr6Zl0EzbDzBz9DaVSywwmIP rYr/10WiRgMTGkH/qykDAqQ1F3eubchquvxlRdus/hcPsB7eM8Xjo1nSYQ0wNNExrsay8IKrqnK3 06wEkivi0XnMu+/4ZU3x1ZyBKlrJPPka2EdW8p+IEyv9OEIZrbkPTIHEAbiW6suN+bN2hDknC+3q cl1xGSQBJQims2/jtw2waF/DYpX71AkQ/0KqRbuoUFV1zTo4MqUFblfr2QSboboOPo/4yB7RwWtm duAHAm/Km0jm48tp/D8Cidcv6m67tc8EZm0p+nRUvS7WnBxkEqtSl+LL4bxtULe10Ovfn3WAF2kJ acCYiL3cj8h58bPR2jftrhiNG7xABM+aX5KFKG+XJA05UT3ikriICam61dpz414XnFi/ePz2ZKdu 6Ft5SpOdXZtpZxj1FUJDL/vilAnga3ojsGr2RA4qke/jDst8uPRNcfCq/NUtKlpN86K4sjkNXYYL iJhODwP7t6mOghkCdP+K6pfOHo9OcWs0dGUPqUGPMOjO00jhdUwB50Oga8SPMuvj9CianycXA9FM UND86z6bOEp872Q7v25CQIXZDWKokuS9Kj1qL4I1BpKH6ySqicfaeGM9EdnWSuPmN5BA9E32xlsM RWkRGrm+L9fIzZw2z1T93rSlKIpUMgL0t9i9VaCh1mHpXNAFJVNi0Nl0P8Ylryf8/m5n/ZrIKcLb G2dVH3zMahmwCfersX01VuxSLlLmhxvIShCZu7g3eXjVg5z3BjTzyRkRILlAOiBp7gDeVSeYg8cC 71tvs4qSbMDe+kAaq7pgcnKxciMPnSV+u+2ErBP6Uvhm9wTkq5FPxm68/FM099eFNlrqUoweCbA3 hH23wpytgWckOWgF+Bt3PkeGmV+spWgkzaryRzeNRig7rbCpPTo7BZg+Wwfv40ECramjLZVffHlf Vn0nZmpSQdVwxlLtEnML5Gwd/0WrzOkLvV4i9JwCtpefjMrf6UHn5iMwtz/Y2kOFLsbaNBqT0eFs /9+LNtL4RKG366wRPckW6c0hevCC9DI3JoDdwJF8Alzep+8D2dBxaSypcpCGhHi0ManTUAh2XPHi WrKz584YSHyGOS5f0YLJb5YZtdH/szM+0O5EM8d4fwEor25Be5Er28QRs7D7htHKJuTcnS6RLxbQ ThKq/2aBKi9Cni9XMFDUYJQnlalnIgFhZBlrS10CZ2zBghSi/PNVnQZPWQ8IO+rEVGzFUJMo3ZIn rDnKenpBIpZ+Q0Sue9FPa8KgXBFsRpj/0Ym8+gUvyvtdYTZyaNN1URMZgnKIxPvuUujJuYRM7GLv qG/0CuTVghoBYAGBbjru5TF+L4+gzDKh23RYTsuzGnFIA0HrpAKrHDTe5Ac3KLwYTJm51o+x/hLH UdPnToAh85v/jiEiStrrEepkQBHhNg5RIOV8b+5ReYR72hoc8aheipFPPxfXljd+tcNlQJJsRPKu 3c0Je8ZIIMA6F85H1HG2renkhbm0nenEc735RtyZXbuXRJTa43N/5rcv5HDX2qQ5rZasGzaDJueO EAYamGFWB+QGU4bE7cd9IWSB9huD3pYz1PbqosaLfIIGiIkbHiC14cuXjuGeBAaKiQLozLvWJMrk Jqf6m0igcInfoqIa786LkMnzSgkaJOArZFl2Gu3wuPkGJSXBWVuEpjuMQjqJVde53D7sjeSQWUQN yXQJ/cGzF8wrfKhbDmGUyxYQtoYkOhUAeZnvH56tJ7j6yiJbkZaN6mvgDDqtEcZpNvaiHtfUS9+N oMpwbPhQbCJ10HHwlqeLR6ws2fge0TCxVzhdg1914fKLAbRdyJzzyD5+ltG5PwRot9OdtPinpEdT 3mWiysPJEUIR2Zfqb3fXHZLVdhW+WCInSeqDVHeVSZRJXrt+gl8dRBVEdEH2ggXLDCJ2pm/Jav6i AJhk7HTQcgOpD96/Ce1UI+GJD79XIvwvEduKSZSZs328NV62/9nZpDJZxu0s8KzdR0j8phOD0er5 I+NDhgtX3KNlA9yrH//9Vp3fCOHxWN1/+qrDstzLLqwKRjyR3flCo5YjSg3l/boGMxcozCxKF3Tx t5bGcP5uOe9pv7cKglHYX0cq/9PM9/iRzNOvGBVADrXxh1yZjW8tdpVW259cqa8UNjUn1WqkM0rJ lCc6f69HXRe+2Toqxc8VVmuHjV2BWvZT2iLB+ojb7aIFRH7He/BvKIroZKDdAdGKhtIPE16U7Uda xW/JhS6XLeBULLvFT3FksWJCl8AlRnxVJD3KILjAqc84qR4YqnusuJgdEMJkrGw6XhND6pthFmtb QhqVDNTo6gPKXa58+eyakOk8WwtiXwjsYWaYeea6DEZId7LCoHDp0FrX7xujuT2XIpmgBcrfqT3O YigaTVZha269/m8lYbqWTs8tVp9GhFyqOLnToPxMt0R3WpJinw+pyKaMt3uDYyI4bAnevVGAPvV3 5yb5vAEnmsw6/4TU52uoTfQbtf/yCaCTL7kPA4RX7MaBSPGq1uYvdNsUfqRbCPrEBmofFBat2v4a odtq4HbQx6fXAwsulzS4hBF/fvH83zy+wc7NrU0RfMMWbmAzZlZDivNF5MSoDis+VboNZACHhdVy g5bRpYdhoTnoh1Ec/dpUFqwIZVByd925uvxG3Rjx2e/VQ6P8XLAONhvPfqYspfFPaUm8OQL7LscB eSCPbvsNZmqa0PdVEVSgvKjlQs0A0CLHqeVbYpT7kpA6i0vOOKRXx0QyozsamWQbGozVow5eH00r fSH24Kw1e6pjAojGAqEOMIVPmAruWbSakYfySewUxwvT+3xsnulXE2wvX57HnTz9eHbdtyjn2j7S 1ThuSXuSSo5xibmfc+IOrYMeyq+Mpbu2cPYS5OFvo6uRy9WOyrlKI8mAvpAnhAgMGiuC5c1beFkE h1a3zYjBu4dLxR5l+pDG13/v6yT3HwI4awyT/3tvG/HfhPMm4mPuJlcyhABIWY/y/xpHA0Hb73pj 4+WCcZdqSaKzOQxsz+Yqypod02tMaZKoTVrekM1/VEDnH7lJH3nJnfGmaRzerthvYszB2yaYN0Ef Of5B4ScJAQxaX9UNMly0YL3JlWIiu30TpbDwkiUHzd8ZznJNl21Nb4ZfHIreTDS4WLxbAScZDU+x 3e0kbJH1r8hZCfuVjBvJ+tuftRQ/knJd61McYvk/P/0hn9Js2H3omLeEbIbpxNNfCMdVC5whMFG2 /pmVKTRV6EOgUwFmQP6zzjwLiXkByOr2U0wvMrVYC7JRxw/NE4vAKjenhwqP89Tugni0KZmmVHLh y9nbePXDS+Nga5bA6T58uK9fYGAw/gur9ZjWLETim4nEldWJZOZ1oUUKP7spathxXH6Dpo1KTuI2 5nNZX5JKlU8hUHFHAwX3xpouWPW8xpFAeJC4S7dik/2f1E6LwIU6L+TiFtVgq05kYpHC96eCy8hh /+gWTkkYNkPEyJKyCJTwPtA04r9MOlj2ZSU3QCmFKeuNQvZt0JaMh+TOdsBTtlUW4uHmsbJ6bV0t jvu6bPSvRW2pvLhQOHxYauJJo/aWVcPRY1JedFGmN87pi+ui1bbu/gbZDOafarTM6VweuN+lfupK 3hUtoNfEbenh/IE0SNRQKGq3etVfj59Az+yDTMfn1MbGfnYL3NvVrLC3tOHrRUb+9Mn9uD/HOiD6 y9yVLJ01AHj5gbclvnoHrjrMmb1dRYmiIWJA2NuuPQMlBkM8zA4AZiEfCw3ucKWoPsbo+bkhDZiL /gu8u4kgHpHp/Mjpt3AtC+5spkfNtJjDuwf3DS/k4V/ZFEiXgS9SowBxuCwBFCKhVNgw/LZJE+zm k8x+LH+arT9Rw/Oj1AFGjnfC9KKRy6c9NqM6Kj3NiKTu3fWPHhY3eKTlC3vyIsJ74PrSgWFR0gFO KHCPIaZQGGL2AJ8YCUKm0/MF2M7MMvje+RZiTKuvA4ZYa3zWQKF/EgCmidVn3uVD4WrFx5/aW4yp IyWgRAYMqC6n4DeTE4OO4wLJ/mm20LYGH7yF3xkKYtE0a7yzkfmmd+DsNO3YIz1bK5Ox8hbrogHy Zzx9ktch7dfqNGVAf6zU6IcjDEwPQ2SZ8z/sWuH4WgYdy7yzzqOD2QeS9kfMrRhMRg4aVzkONIQU Ihsz/lpAbS48XWNeuRhV5tpQ/Gjjv5Fd/qq9Eo2BIYhUEVpoFj0VuybPTqniDWAxZgrw+9S7eH2J wGjy0ZK05uYgOxvaI0xRp6pp6+gG75rEdLe5RwunS0Xg0S25UuVTAdsRjwIEUFzza2i+dNWvjJIM QEK5iLQaQx0k8k8sBxmPt+uEmhKhZ+VlhJ2Pru9+ps2GPRGvPT56Po41kXFw+/MZ3r/boEz27Ufk g7ka3ICCwCGjzBxiCDuwyLL+53zqg6PKc858jOA49uXZoRdZJWGkzpnC4TR0Bx976FfXURnP9YK3 MILtbs66bsf0Z94N4qEESklO0yRKB9iuaOyuMFKTiBBISWvOtUdopcyxracsp7Pqe3tEj7fP0AeO NfL4lfgVn+ZgcHuWlcIwju+WwJK4VqZK+edOEAi8Ymf/HogQUb2Wnaza43CqxpjNrVbm1AI+PZUe DynjJMepBlMdAsflAigzfS5CNzeO6YunJWgNkShNkCduaepRhw/z7hOIzZxn7wXpDq7Y3FM7ax9s XTpII1LiXOF43z38zPEOw355Vs3baHhpv+rwwOYKbUfeyaELFLo8i6hmbfGEGfl08l8E42WvzaLX 1CimThYoKJb3KwQs+7C4WO1JRX8IXEZa5K/m5xBmgvsO3jqp9RqbIjaSahs63yhg0L92AcHJLudn IrRGyRssVkcKTw/KjeJuZh1QT8qCxP30OrUcuVQqw/Lb0LBwn5g+dvehC0CLMVIEzzZUV6UteDqR xG3HorPDvDufqTpC8yp6MZ9y7QZYxgXKY9F3tCRKkNMZHEytrSnU1K9XTk/2F6j6L5IGtQkfSrgb QW0unqPoTpgBCaVCXyhmNmd87n37lchZq2IzRC504kvV1QQkDhvXPInto/lO9QPv2R18SXA2NEqu 9IWU/OkIMvmopjBunEqGKp18qHPJPmV8VUCBiftzrN7KSw8QQOewGP4tepk1szoY1oWyGTZPF4BZ JY1JXnpejKYVVpU4vUAOMcLI0a9xv4FLjVg0uIR5L7G/h6zABcGOE/2mE4ikeoSQTSERUoMcpvke rKI4pQ0Ij7CJ4mbqtHhh1rDGw/1ORq1G5Aq5vc46ACHYCxcxaenJOoSTF8xFKWbVLhoB4nSWxfUe 63tVi2F9WY6E7jQacPvT9vlVRNWgfTRnGwTEViXY7qYm12+7ud43j55ztfjipRUZMvfqv7c++exh KAeDQsWTKn1MeijDGW6jPhUjSxQiJW9IO70jnTh6YGDeG+vF4t/p5qOfEytkmyzWwSmYtaqfK9s2 bC1kyPeit7mZuINCO+KyJcL9fFnraQyPfGj6Rzz7CoNO3TrzmGKNINGwDU7iRUyxPxG7aNgXr+So q65BEp/P+H8MC6IjGGk7MK/DT+iCGAX7tTRfh2dtQUgITvxMVSC/TjeYGyXANLsYr0Oh2t/kInH2 ZahoNwiPbUFBNPTZyv/64VByb8ov7gtLDvm2njUGTe0+t2LAAvAhaXlweJg4ryK47qGhdD53q7bR KaoUptfEori/BfIbbrPftZ/OE+7v5/bPtOuidKJk0gDH/1S4MXMCD4o2df42cAKc+oLvvj9Z72OI hoyCDutNew+/M+Ya1Ky0LjWMh7JboM/bU3ipeTgVgF5wlKfhO8GtDdBbeiiSVL/IANXe2ojRmX9x 13xP6NPABW9OUy+DprCU9+2dSma/jmTYIgXfOIFtPnf4ZUKF4gSmfRwcFi5gJ2mlIxL0VYeikQGJ t2gMHmaeFYa6P8hCPZgyl8faOO+GKsnY9oudb9P0FyzlFtoN6OA5iSyf5Zf9/C6O3jjsZBX8Vay8 yrYqc4tPZ0kk4dyOIe8vK+MwSkOCASVZgI+jqebQ2HyZjqJ/F3IxysXD2Z9D54JxzTpav3wBzhsO OKeFMSjX8w9o9/ND+A+fYE7frrQ1PmxgdXMzE+N4yuScaR/podeqhT7k+AIKLxIcwWJVBpKZqWl8 Molqaea0zFGHx2+5W5VaYoIqvafDlGWLHdhNTGsd/N8AE6NhLm+Uh1PwE1+hiGOojMW8skKxzLBz 18+A7LLmsbUGmfAXyj6CAO2Nq8jZGDMaq9Y+uUv5ZYiwICDHe3V+UOutei5/XpaLnl5raGdH1JDe Kzhze+k+Rr/7ep/M4jj8JC2V/KQo4ORi1BIFzZsEGDXmBBA2nvaVpAlEHriRom5hy79mhF6wkaoU bwG85OpaFuBg9gAd4g3lnAoD5+h1q7kvKF0+EGtHfQ5GNVug4eaKCOc75TncIWd57s1QISrCYq8o sIXAimDmU8vMza4kmsSDf/LHbRg4d1PaMqA5i+3hZ18IQYbVmV/Gh9CIVxCjJMRyjqUfDz4KQtnM 1zwFB+j+SNuwTD7hBdYUwmanox1Kkvxm4MBgAKVWaN/a56uvC0qPyPNLN6xrNKBt0fc2NotCQq0x jXzmuVLs1Ao438s5CDB/IqTlTZDc5ZcY9+pV2di5xY6IW7JA2/jGapVdYFhlN4pm0Ywyn612JDEU d7kRvQo+CYVYIpz5te1YpwRF8JscFAKc0O1kY8IeGU3sLDB5FL0dskO/cfcSU/WeboxAAp7ys6Dl cALYkSnEE9ECxUQlQUQbG/ZmWKz6GR783TFdH0s1OUSlAurMV7TqKBqWyryjhPWDWxfq0QFlJdQ7 pOUBn3HrRHLHbIXep0LhkMJ3l6hr+I9nUMjyQB2fHjZIutyTWc2Ply5PJ2XZ+c9P6JJgjdTTPdtA nGuG0xD+cTjfgTCvQ+jNfAm8N09pH3RdbJWV+IDveqCEoo+K1T36t1iQnbpczTkc31xhODWs61E9 Of1jlmTSBYBF426MJM0c0u3U0mA6B5ef74EACNoBpN9lGn2gNJoo3JDTuy1tpyBJIpB/yYbfNpm6 6XFHwhslm+3aVVIuFRaLOOp8F2q107F64e0R6pswCEv7Hf6fG8cjx/V6Zn06z3QYmQzWVS8YKQXB DFB8Z8JcUbiLYIKArqd/aLmTm31Ew6sgvo33CgYJTYlHBvpOcCoJVyx0wQ4CPOWwyBxP+rN6lzRB o6uFI7i+Hgx+VBYF3Zv89C+EWkxHmDTxJ0qhS9yl/dpBcYId8P31yoa/AoVn5mvIXQANJaGfZ7I2 KlGI68K1i6d8OPR/uCrF6P6uFFQlH2yyzNVdTkgfS/j5V8UNE/Z/ULpGUxzbhZ2Mq9eHdkE+uHi9 msifC/Eajq4jbHZOPttMhudChcx1d+1LrKVz5uZ7JwfsI8SLkB4dOU6xDKFTjCv5L8ewWnxlbbu0 Snm5e0pfaolop4XZ/tyPRXUCOOQWNjImKDn/R4SGNMOVCCR5enMmse80M/FZIr3VoOFE7C9LdZVe kedsWW1t9UPLB+xwXm3gvejJrCBNiouRg6s9/g2yADM5j8Cg2jNeSVHPHLOUQ0xtgTJMHRX9aFkM 6x9h9M91/Vtozd5xiZUM+Raw7NegkoJ7c6v/yWZFh1SZeF7y0fpvochldjseBjXPfxPiWqfQUwXN stHeKMyEdbOvk3L675+fY45AkChTPPVlfeziPBj6teV88tva1id1l1lPx7MOyx7x0Y48yCf6NwQ+ pA2z5jq5mFvezKrofyAZBlmB8ZRcwJU2YO/pyhC2rCFdenp60vejlE/Ov/T1DW9j/dKF7jseCIrn 4s8uYxVZa/iDB4b1iR303j2lcj4nBH83uKVdlPsNsCgI/s0vo3AwGPGHdNU5e0sdxwUCyDRwY6XU YXyxHBe+gJLKqYP+upbg/6BO5jBfzrPSSaQGtC+utauJvIRNjw6OwdT6xbitN64HW3xvsKN95cXT qlRFLR7WBiXqfoTlmyowbAdaEZ5q125IJ0uXDN5jDNMW+MWb/8VOLfvlkYwqiMs5VumM5mzsmYHP s2cqIUxshbagieDwILl1GrKlkxgBpX9wXUpCBmtWi0Zem85FZSjexX03exDMUHAB7ay3zXcQ8ZCt h49AZ4qsTwxyqrxkAjeDaBwj0zYZ6HYM3sMJN87HaxIUbuYm8yymGlNMljBvDKvv4NSROOnQlMcC npU7EVDUslhWhHRj9mhJlMIlOKubseus0EUSEXpnRBLrawciwsR+IH2uUuCGHgjFkhdN9JVnBSQe Ib5BOmij+DDZgBllt5XiiBlbZQP/sQpGmB7OFTohDI0zrSVgxf3EHx1rXwgsnM2SSETLf0VYw4ME RekjbrMf7+HI428xgWbpO7f5zWNElYNEMEK78u66yMfsLzZkIa6mIDzCgRWrtCwg7GMfUsYc/Z5j Smsho1yi+z6DBYcoULTrnI5BHG3DtOVUqNsORHrJbgByazzDq7WWdjIcdjyYfbKEAfPvoJIosAih 6ojwvuyuinkcxCn0rzOCfFHSt3hH9yL7/SrCW5ue1HCI28T0F5tLbuckp+2ds1RtoM+jInI6UQUe NUQxyv/qnBSPwyyLmVq87+gzpLqiFgotDSqM6H+vgoTj8C1u0L4mgogNpA4msn9VmZWg43SIW1Fq CfvWD4gVuVqgOUExePmdsH2uoil69XK2h+Q2+dS3Q3bqqQuq/92iG1SUlKd/aVKOZnoyb4k1MBDE 22uhcS0sRKXyxLQx6ys+BW0Ph3YcUnNY06/uSni4m6r8KaEk21+JDwV+DyYcsW0HlqJHaDBKhW4j MmQEn+THh8+5ArWXzKBtgqgM9kAbRDlpqHRau+fSZc0mityPxS9T4Byt4XTRvRxG17x+hhKFaptC RSuXZ50M3yFtu5Z5g023fFT1KeTt3q3Cf22+Hqnuf6c4BxU8qmcfalFwH0YoV/1du69DpEzdkRAh ZRh9dYN+owNitsEvbgHcPMc8OVfjZXBV3BEXanaBPuG4gwbDOa2hr0LCpgve16ysQ5XT8ZI7GmpB doK3I7M4SCHKR3Ipm6vUt4NGcHj/Kh6iUkqEgo9dQzk1RzttSNQ6NOr0vgPA8onWSM/wjmPTALRz d7udjEmOUrw7guMqcg3vYIXifs4J9vzJnrEPH0aYL9IMBL2ahhNim3sw4uQMD0POUMju9hw1d+Lb WsLyUpQ4+mEU/MBgT21JWumKd9ldBCqtiChiIfXHVKrdyUevvjEniZOX61H7QmZ9gFnVq2WTlU6A ypP/ExRN4+NA/EeJ8mhyFXpGWBUBwPw2pNQg5oil4Zkaqfp6UrZ6KHYJrwbtmSDw77cONo1NISQ2 S2nKS3S+gMwXrwh9OOPbrWKUfXyAkMsLtZXEBGWGtU541CdiaVkr7Js899WKW6HGc9tSrSdnTdbw pD3spv17Ep+Nh2rdKlpVafY8oQOwC38tG7i+W7cNsdFAF8gy29C00SDy3sfpOAYUQhgmMcIpPDiz RfDhnz/VmBezDyjUkFL9u5dwwAdXQPzPt+/RI5WoDaa80ND3VoCXEirXkE2ZvETcwhQJ4jnB7vbE FHWf+lAdNFiU7FQsmU+opAnfUSbweHqAdea3BmYG/99PNtbhaf+cDXWTujziBEKCWYKGw8f/tA4S yNbjbrTFRr4hqgXEDYgQ0CxjoT0l1pwXITG0V0F44b813uYvzm6NA7KIo74T5D7OS6LjiPvcFf1Z X9Ez3nBjh7AeMvHzKpjcpqdVqzGfOo/o8ckkdFejgiebhUuF6o6b8YvhBYocQtKRHJw8UBxG4fxv UGEc5c9vTiJJhmd+PICY9aaxuL02bfsR7ZSz+gXR8KDDfoxvSdmO4dkf+exW+X8k5KhbVCi1es0v O2RLCgyTnYj/+hsCJiPCZl4ySSd31+yRr7efofD9Y2tQvasnKlCu6ryIpfIo9V2GfcHq7t2RyRJq dk7VnVLu9bynqSy18dsiC7Pazb8o4+xZTUkob5YGciOFnwMUC0Q2pP/VGtathSEIRFfrhgLYvJcZ QFwfcrcfU1mmaA4HDJmlaJYbQ5QWtMWyFf7BLuOdrJkV1X8PXsARYibMN9tKSFO8QVRpgu19CmXw /+GNwZXbzdyTaM9OMDiIUQ5iyG/hOZ9y8Wt1HEsZCc/Z3xqKrV+g2YEH6RoIa6r5ZDLUP6zbXcXV zoGj53lZLorx/LcnRDa8CcYPoIpsk9pSNvz7+2U2g8hZ5bnWyX0/xPw2rgQP+QeJlbhSIXHK9KMe /UmRYLVtzhRhuoMXF+qn+w+vcFwey+/S3p2A2Sn1xxrhyKX8XIYQ0vN0YmH+q9p6T7OZZWCMEuX5 ZGfyCHfmaEBoeV7QwddcbGq6J0DBqu4QwYmc3w8DL9jd3N+VLgnTPokGNB0xOa7GHykuQvhIroIs OKr4ZTBzr+zYht2MJ6FJ1tJRrSepq+/DWprVbQ9OkW7K6Bd6qQtjcFDzZl/LWBhDYmyET6xcR9i1 oMeXQqAG86keff+t4SD8foO4Iwfbgse1WwxYrPw5uyBYycJnWxel2JQ6+QpcNadBFJY0Vlx/4AIH 7rj75upU722o7T+MDpQLxLenJ3/cprk02WUXRPeSt5KpLz0RFM3AucOswlteG6OG6x+k2I3/9SBT CvBIwOWKzz6t91/amuN+mGSrT61JYNfQTMkZOHDWskP+ZeGF0X3KJlSLnLTkVas+i6lKkOGjSrx6 uHvqswZix87BZLJQ8K3CXiOrwdPxxE97hLi7DoxXTlyO7xRhlq47K3g6FtTTXYv6QqiG4uI4oI+6 zmaLKcnD7X77+55zRw0+wjTHteq/LdpjEFBNyiT116dYlGDG8Y0XqSDGwQ14xFtm4XQVlAhAH8qj bwOKLsFW7JExdChIel/CZk+A6nB+JaL5iNKlD/s74X8epK0yiA/M8F2gWTnkMDoI772o2UiRXn4E OPs7IBPD48kQY6VUVd+XZJL9HuMQTKlfpSCyEEHyRSDBuyjuyuZNzmcHJREf2YE23pw6Y0eavwWB DJ5j4+AVquUAagA/c9P8XIsL4k5PQtC6M7AcxwOcgob+iTOYZ01sBN1U3oS2mHgfZg0zN+JLUXoH 6OOhAM5RupMzSP50s4SY1UynG6cuS9xapEM4zvOAZzwGJ1eG2/OGaBJMdqO9L/MEXqkRvKTwoDOm GCSxraXIiOdN3mHVk6GRKPWvsiXNCBK0lzR/0fTGjiPdv5arwP4E4Qg6+Wju5LcIUm/M9PTWEHPr COIcRDRiWr9j2bR4IooTtg12JRxLsS07gxRKRUcQGRsSNY/RRxbWqkb8NBie+x4Ih8QcHjpK7aJd QvzK141NuOFA8AqU6x8mzfIVmCHpYE8BDg3IWvyH7ZSWSjlW91HM37ltXRFvOWL8k7t9WZLvTxiW 37KhYed2eUOEbKn0gCN+dcRKZSrJkEjXkTQJ6igiTx8KpIzORErQwcfhvnQizQ0UDZuQ/D9PaW6/ BCUpSWCt6I7EBAxi9oQyEB4Sj9tG0NscID9k6slUiMglapYKzLPr7wKEY0k2jPdY4WnsyBpyvHD0 EG5aR8XjKxff4F2MvzUXsbuzf7hDXGbffJa/be/pFXuOJWzpSK2knc23stxuguyM41aKlgla1yOE 4bJeqCVMKJMbGBePER4qHLPaJ7WS6AY65tXlkkPOh9cCKbZ9OE8EuKyk8vzg1eXCl/Cvhb5nKzZ8 Fwe48cbNRUobbyfufIja47TwuOWRGx5WsRD7TRbyu4s37sA74Qv1OJq9cpRaEu1aI9QA2VhZUG6i shi4Or20EmPUXx4fTk2QgxYfMXYSCguI+9WjqePzFeiaqRiySb2i8ybsYVRyrukq1wgOwoIuuQIs Apev9NuJ+qr0aw7VNNFyxGk8vVY65vj+j+TyIKoEh5Qx3OclRHNQe6DfXExPhXKUaNFEg2lXSFeI lPEI0suJFTT5TmaeUfLZWygmMNn1eQ3aOiY39iKXA36HageQcUKWkpmELqZXtp3Oc+OzeM/U1Hft HKmnZ+mfuyVWuC0wePd7iU2MFlz968OsEGrtdWoy4CkkNgiOT1MD+e4PET4OCKEqC0+KItKgj+mr ckscK2jexxw4YLMbGYeL/erw+exQUp4eVaj5L8xxurZb7eACdDCUjDDd7VmfO6B2AEp3Nx+wyx3n s1KRyddVq9bLQDmS04a5WSL+O3tHXhoYbmqpDQyG+R1Fx77FQg2dk/L879Cvp5NaeLg+Wwx5ZzOc nK0X1ojO/0SbL8oTfiQkH9OP2L3fj2w264tpMFHH6Z3Ig2uexL7HWhPiRaEi3GJImTY/cBxZoHIi 6/KgCc+RlSPGLrmGWSQ6iKWqAXH5EQ3IcXlPZ0/G1nBA95XzteUsn/+Rc+ANuGncrGLadbCuDyGo ehaAW91geWWAmTpYmsb2Mg8XW31UdeMTXVz8nkrgA9QFfKpsDq34nytrJnkFBAh9BtdQoCEN56An U4n9MMdWg52zXb0TLEEv0/c9KBhQDMKfe4t8n1udbcja7Y6Il0vL4IA5rR/ihIRER0PHMJOaMRiB P38ljM1CGaFZzfFX/Erm0HSswG97ygAgn6HlBG+20sUl7HcDxeBJJfMj8TyjeoIWiimE8srppgtE EA+3t1SoWwoCtX6/L0o2khWWBaqaErV1ysRybQamTFOTkkVJjKdLZFHvAxotL3rYZybKPO8smouT yFV5Zedg8Ky5Y3e60KU9ocBXV+83aZIHbR1INb8QTw4M/Mv+yBcBYJv9HSi+UIR4q/n6n+dyVa0E SaIpO4dHLgC05KbAhhAiTpTpYOjzxxSAXU1UbDTzyeOs+f/NVRe8xlDI74D40dNXDDBXMC+UZA3N sITF2wxqlw6ebz+ed6pmGwi60BfHoeXr26M5H1MyljJk0DTbnQ9+WMmmg44oW7+xk0X05LTXCyvz Q2OZmsr99X6z1zvuwhTcFPvpRSojka8C0Dx7RxZBXVBe3wiqx0YQCIL4XNBaHWDUQWyRVFWwxa1W uKc/3MhY7SjtCw3rdZAQpcD43ZfIitsqQWUZRRTFfa9lckoWo4zhA+CkFlvKrSxzAJyywN7jh2+Y 8bVnoZB5MT+ePxibswBnH8KSI+VSHjkCahj5pPTjatM/Og+qe3UjFhvmrFh3v92EzXV1QIUqSdAS J3v3cI/ADgNWLtbii2Wz2HhjnbSzv4T9ExhNgdZVKkrulAGLcxElajSYT7Frnm8aSpvyCB3XE5xG vDLtrkkFwhoRutnDdZrQX42Mrcizvbffme2Wm/86I16IZXrdmk7IfBharA1aoJMjK5l6u/2mnHSB cxHvHOfKgfjJDxEAVmzOVvOVM0fKtiMR3lXmP0h+lEs3EPmNeXNKtN6OoCoF3lRBJ6UU96/dg8NU npYU4sMNIGZT/YyePahbxA49xXt1tYgiD+zmKvWOLXvWbZymqKjKOcmsxK5gzPihBPutwIARuaNq A/rWmq+SwAviTlCjebMK988ERXIsQH6ZdwpCk9sRywiDg5a6TESOfXI5IL+iO/mFj5+9KRMVfl97 4LyJsknxqtZo4gVuvr1M2jwdwL4sDGaCBu3+mfMszG7sBDVmrOsozSApnHiE1FB+sx/vJcw+7a2S 24GHfUI0XQ9z8EoXsHHD/h328iw8/namb6HbUUrG0dNIyUUXOe65V+IG/HVlJbM21wr+gSJ7zIh/ 5T4XSZmNM4m+dRxEHESQ15y9OTB93/3Avx2SqXWIw/tFcbd/00R6cK8CS9Isi2YStZM10tO5XU6R qxz5dDFTrNBMWS2EweRN9+Pg2BSVobKTFr9fsyQA9vPIbzRx7mcVfNpBFI+jG0PgUqL2rUqcFisp y+iaJj3Nxp22i3VETnuLKb6zTkpvXTjL4MQKUjaEXYxy6qlwA9AhphVeGcAfQhKYwnMmZL63IZWB qhFOya1H2X+O4xegYWPaaxWF3ePwC2Zgisp0rAW/ZCUXNgXziu2izdp7NBNt451q0ehrl2FgQbqx HsIbDNkdnAYcAdGRlBubUgsbE4CUnXPVt549vOGI/q/PBwZWNYAsRS5lWGNgHiL5K0Sv3ytrRqI6 xWst0D73uBG/o8kyz6sBwbsDuY1B4DCQ1YUSWUV4jXrM0FrPnOZg39RB+tfHKr8UzJCQXGlpVpBx 8rDCrbTZ16BkBcaMwULFJOjceYMwLhwLYia5oEqhNilm+ecSmsL6lySX/unzzk56SCtsWFc8Qrzh TlmbCnibwJCQ3xm4zR+h5CQ5VqmwLwM7CsNgFyYRQA4OdVDra9F74982GyL7CczmonfkF750NFYs NoMi7D0UxX+6pMFwtb1UHEUnSH2hXvP/J/A6LZlGQUUNGYoJxx4OFGfbk9Pn8M/izWCPbWPNmXQb ZPq2CWD18NclJDAJOPal5yFjsQCPK1GW3Eho/r+GbFQ8on/RdkY1DQv/9U3YkJEiMhhUFfSR0Hkp dTQftOSCAmXo22pv0fnHIoElIXfdJlpoCRb6sBEUA75NWtGhemxxCZ68cfpMo7KX5TF6s3tkSKuY 5sCr3Dta6NTCK0+ArKB0K45lz9aMsG+g2sVVbqxmM/90R7GiL1OMoGfaGqJagprVZ0DfPb0Rrj0r 8JOoY+OcC2vPAi6+tVwTWn5pvYrWZJE3VVCyGmNSCiPmFofFO7yCAOT1cMU3DFz6FRLC2xDZ2ekM KPfQYnjFL1cOb9kl5MBJWvde084Jai2ijMMnqtA/dYI5PbPGhpzZN1WeGq7Tn5fJldCxyWK7RLYy ophO5UoOFCcLo4ivOyx8FwhhlxHhpAYL2VdhmeEg0HJw9M0fUfeac+EIlcPOazfY9PlVKNjVnC61 oEAaUinEqkon6BMDw695glnPAv3CbxigxxDW2uipIpWGPuRrH64MsKRBGOiRfVPVuA2Wc2woJrnc 00MUO7GIosN9QtnXFoyisu0wxhtXHMybQ4vZvrnw3jQLqY8GUdYGcEvSuHk133E/kRnGvM57I42Z 4eNXDJaV0Vv5Wgq1jLpgSUVlnhI+2XBUCKdJlNeEaW/Fk7nuZvUywIRwFTmX9DEBPRQ8VgfMh00Z EFd5GOvT+C5Jx3vUzL/cuIPln1QjKe3eURYElxxjLTu7w6SyGIfOYBx57sEcgkBbGoCMZQ9f6M1T FSctgAkMOirq2HUCTjmOanj9+c7UlyPE6gniNm5kA5pzo200rwbF6MVR2VF88G5trQGJZwirqrT4 GxdIWyZTB2JpShn40G0T07mgrnG/6miZimgyjFH4Y2OBXOQkgnAfsnp048HJJbVM/VS0ESFCNW8i /V5gQ4uuNQHneLypABt3j3VEIdUZmHj0iJIArE2SUH4sXRGoJOOe9WdASJAwIFhIu2Y/zX/OeRdR 2FX3jMB/ei9jySxCLbfxJkHKQtoGGDRIhcNvAOWYYt3dphczU+YlDmLRmAYqul07Jas1TNLiWO1s 76QeFRgpDEWT7oohCxunevV8PhvX6nQQQWhlQ9YlTsBbiqKUFWcs/iDsZFjUAmFRZWvTvsmc5zSD p6+CQgU31GJ/eiVJxW+zSwbBWhXpQzRITb8qn7A1m1hFvE5ky9LqD368fiqm+6pyhk37zTZuwySP ShwvNAtS7wKe7J9NrWMDo/kgOvqCcLAAH7q4cqry42hDrd+Zwn3Yp5eWw0ZHDDKlRP0wVEPtUZYM eGyWCpIPf6VllMckzuVX6TYs6IE8hXiP6tVMwpmH5uZR2NbqLgXiUT6ygz9LB43RvjYLbf7gCDuo 7dJMgc3tmc3jLqvzW/OYKBPo913iitc/MnOGrdftv2xIx9/rvRgELsJJNbAJqAud8tly/XIlSDNc 9scictH1w9OiFzfPgGgBpxxaHqLGZoMnham2zroyqUeUHcWuOAtDLTISWd5FUUKXuCLkmqxV8tu+ rwnjlk5Jn0qD+2hkDIspIKcre48OK9tteazXC5IpQ87L5x8mZKIOUpZF/XN4kSJQDeFWNpmngmKP VDQonz2C9jP05Npo83ZflKnzjm7+Bu1Dsv2iuJ6x0npjWAAkoUDC0MVGuZAXwQEcGJUHgAOwdQyk /27i4CpZoFJVk0jqwfmGHpD5pdVWRggi/6wnnrGyKsO6e7+hP9EmF0M4pnz0roK5+l4cIiduvtxo T4xDNQH/MDEoJvPfoPVugVm6bGHebhvJgxCVxb4r75k8y3fRQ/iya3x0XQmXTPU6WIPYitZWbhPo AG5Pxa1JN62+tsUxlnxL0TK0/dcobXOCA6dNsr+aRi+mhRLXlCpS6W9QX+UEjPKwDxB8CMv/ycNz 5JDnAYEyzDO4x+/lNoI2uaBP7wqSf10ffAL8el8cI+jQ8zVUHAEtzxRlp5oSIVLixt4fBtJkCRo/ 6UEsAA3dcHAMkqzaPF5jol6LiYMev4WcuGd5reAb3HYzyymqHfo41HA36cWUBLxELN8DtYhGukFx dr3DP68AuOmHWpXpxPd5EYNjiMUZ7TtW32d8Hf6i63091DLKDWzMbu1FvGNQn9bs28qenrhaBNBX YYYrmHgu/A5cwx3EfEChL2gHpkyQ2xHSPe5QCewDHJqhxO8pDsH7owNJS3r/ywOM9MH+1S3WX5KN QGRpWJqxUbpp4WL2qyrnSQp80gbJaTy/KtyW2SZvnwSljPDa8aaz91xDmNlxKTE8qCO3fOFMRBo3 QY+7OV3MtXiT7gXfY3noVgaJtWgHUsJgYG/cNoRsiOba71wfP3BoS5I86h2Qn6iD92BuqntH4i5s Z0Q5jrfCUsNdtfw1kQn7EYhav5vR6K1JAougz91e0fpUu6E962J0uaaZ312ua/Xkhi+bfFR2QOci qTuZFrI7WJefnzhetSYAFD+N7fQCmsFKmCr9RJKdh5O1HeSoHZTIWa14fEAY+Dk67McMbaK0lj9m XfESlhODZKi6iF+TWN80Bc0Sdu8izjxFzk4PiKZevQfWz1JDQ0ddY2jusdVXSzimtuIrfCeVCIUu F1tfqaQVicNnHMSERStjh/X1Dlv4jVqn6CK1VLZUkme6Yjt7DqFnod9SEwdAidfgIFNsjVpUP3Xg yx9PFxuXkBbs+dN1DXPBUPUVUbTVEEFJUpc2iEarfmsP3ecWKPf+BYeg7CDUkZ7lP4YfnSKHJr2b LHBTowubYnc3Ojheqo0N6wvNAtA+7ZuTjgpHOmrFLqIeT+r3dJfBXQUdvf2rhtVFzO1rTnZ6suI4 nDAMMvoELvIlOF0TQKMxbnpUUes7wyR92Xdu+GmfVPyKQtpe52QzNIn8R1xZpsBBigBVEUK32EM2 mYEQPOgq4o55SNLSXCaUMgr1dFjH0Rvq17qX0v0qDNcRLaADD6+kHBRxvr7deo1NHvoH8BzbuLIn uqMrtjRvrcPsGPtgJR72lotEWPn7AGaYhfX4KrjaVfqMB+7G5TxJaAEPMzuzUwEmiwwSqTPHjgh8 w4sk42bxyBVLH3/Epco+SHG7fKazJhFBdTsR05TSO8bNE70+VgtLHm4MKqtpJgYrjtQsmB0oGa8d cqP6QUMXmWXKmGmIeCq2QYXQ0vXJB5tZLTFiuzF3VfCoEdaOUrV1zxcPD72h+H+ew0OlznLlRa0y SQfTCs/e4k3TRNtgSAyqAoKXPb4bmxieOnzPwD4BqQYYQpjw9k5Lkt8E9dlocL6flEmFbZzC1mU5 hxEJA9pKI+Ppf7PZ8pUrXno40lwgISBQ3Q1WUGQbiMVY94EeXS5LpIv1/e28ZrpBp2N38l/h1qPg tA6ouQiO3+6cx6M1W0RZR4utSmc3yQbyXaGCk+B+fmF/wcBeYptwcYNIzQfiUbUMHuUPY2g7kwai racSn870/cPZoSHbWy9qzvTionollzl9wBn1XHeuMO3JNzmnjmttVRlLPY6QNlUS8OWxw/XsQ7t9 +X16vbjPobWGQsPE9U2PgkBF1dqwIWz1/tU5pscoaRnwTN7m9iBOanKttBhfNNtyUXUiz66TMJei dcuFe4IWWSejZKoeKf17yAcsLM2TPSrZeNmVMExuApjpOmn2KxYckg4GT4tjjuZLpZZbCJzKwVxe kSHXeIgvbPeaZTdt91WGJJ5+vPTXRHg68+8lsUZMD5Zk8YOQP2flnYlNuo/2AmHnnD1/p0ZMbecR hcs1rIeQAeQ4TGTGxZYAOV0oGEHAnS5APU5QCLVMZelV6rIoELw2azSpum0U3GxkqBNNzO10Zg5n nByCWNLd+DMsTCCohXVgd7frs71DvoPPxmVFBIK+mXVmqt/+w0itb5J9WwDfmHKEoj+hPdd3+jFK zUHpXPDrbNkG0Ro69qIJm7zCL8G7TTZ77WwQgt4o9Q+vAZi2qBbP4y99YGa9mVT1KbVxrFVSOYuX +bKN0GRhr/8T4clRFpG/Mur4YSPqEbKD0A4qPrWBDEaWk5HXxnynU8TfR9lHRUFmz2/Q37Fywc4n pVU9HoX0K6fvpaFaevvi9N+PTw9F56M4kJAWjk709+9ispb7W11Q9XrlXCeTkElO3AektF/9GZ0C 7/rwStS9fAe4cXH9t4lUG6qvYVW4YBGltJleR42Py+4r4hVBT2jJJG0KKIesPBcSZ7gRE6gpwjYM IPicQLqqHs59Nq4UkiUJ8ld07E7UNTIk7HsUvxvFGMkKUQVdybIWTWRKRqpvwlKjSFqjDN6Yfm2Y 6mpowmiU96OA903MdHXmRmsbgcBQRVGvmOI9MdMdLYKUaIsjyBlHcT0uuSLSJ8tO+PalsY7FT5dA GmkzEc//yOIKxtNeNWnzvWX3zAPRUYlFFBb9tpnDPyRWb7RFpEGcPy2RPRklUnqdsNuRZ3MFosyA Bhfi+A/TU0dG6NZ4xOR96DfPEw9MqP55tL1yHp8LgtwYkXyEvQsB28B6drQwZlax/RczBoWBWbrr kqwdBcQt7whPlHj9ixZwLF4wGzhrUC0jw4dLvTTcKRLvFwOfJ4Drf1ex9+QjT3aL1RW/jv9iaKkd wCoUANOJHJJngYIe73jV/gPDgw2jgyOlJgZ5ZuY/OwRpjSLosgOnZG1Wr+hUt1jnbsYWd6UWO88f d9oOkCVY2mglLyD5VQcKJElEDGc/oVq5HAO4vEWQKKNDCZMKbKQbj1ULLu18EHZQzLIa9ewO2dXV HVyhF0suUskuqz0fL5VoJojDSWqEaFQN+hTogf6TFosts5t24A45JAmzRaQx7d6V5DenZX01P/1j Fw68cfeuen7HvfxlRDfrOadT6VMklsXVHwI8a16Rb5hzGaroD78o0xwZTquGG64vluqdkAZi6479 sZuQmC4D1WAIE9iSnUIFTqBAgTizPDlajMHRSloJ5ndoz5lEJ1CRblRmskaxEGqkFq24H7tk8rDr GyQLyfSEGt6TKv+G5UG+ntcTeeVnVZnpgSsRWVcw3ID+NGZcnnvEseLIfuzF9f6KGkIi4jrasmrA wsAnFa/TKyjBg7Ec/L3CHm3mNkScwmYKl8TZqkWOMSyEivA6HWttmsulivIUfsmTrkYbUw2/zakg WulZ+7vuTn596AsdGzxwEDx7LEIVcM2vYWZmm91kqc1//kn4PHs8zk4qLBVwLnLCoZ5SWkr6NR5q 5AGSk1vLRF5UG7bWxtNnfz8sY5vMMzkYQNfmRmN+01bC5eLMyLpuwGghUoE9bgm6bv0bdA1Dermx ikgg4I908g9MkGYW2L+AX+/1I1HNo1Ujm3G/LFzQEE7OqfpmghgNHwRvHo7NxpmIxOFI1qvm1g+O gaKuSfqFxtoEcoZz99CeExeR7dPoXFtzqvf8nq7JjgojzaqVaoNhn15Nz4KdAaF496JJJTG1DkeD e97EXB34WSxJbTiQPyHsGj+whwiiFD/wDaTCzrSgxvA90DH2KB2Vr1ze7BjG81d/pWdcykY2k/JN YEdDoPj/A5zu54RQOUsE4PxeMTUfIZoUlvGKGoqv/FHja5yiV2sOaPj5xQy4nUE/suIkSKAuAsVX KgkyyPgI0RKGIlS7k+pEs73Wg1cD2mEESYdrlgJQ70MwAluBIOpFAZWWDQOyeui73TLglst6qdWq xTBy3OMvxYP+PagPl/viqpE5YEobvwETuuXIJ94ZPhHIxWkGYHqIn9ZI5yIdGteIrCLKBtnVzwdA nsc3sOkkoVeqaSCL7C0ua79dTWrD5OcuAUye++R87PFuOSIHxn5w5gH00b6l3KJF4pRmZwSCLYME cVx7nr9KmkxTjP6kn4zvNIopBNOAMzwKiU0Gp5OZGlF7aUnOG5HuAh2e51nChRD5bOa5S7xfzVx4 AcU5UrTf0WG8VrwgCpfbwqQJfE1gOUxaaat7By5tztcocCJYmcFAraWiEm5jJoVMUUs0mdcA3Yas WoOc+JluV8p1d9G9yrwyTisO0oPYymD+t2QifbJ+dDeH7WJLmS2HWXyuyGLME7foR5P43Jxusz3R ZVSPZJl2yFsnNKbFLlXxw8mFpgRNFXYdFQMU1lgjhgrjW88LRo3rN15kr4H0f8E0I1zPCUmxRM8M i+WVy2FPdlM0ODTMrACNnox2nFkZbdKofri3Jvee5AIfWLUIgsg6GVZoly7kI+J5KW//bi7XN7hb FQo/CQJJPg5SufBZyFBcNd6MBMDkRej4bq5O8AX14ZgyDOaAwTiBXvC9OoNPmMOgXsS0Ral23zLQ c52SaYBjSG+MPTXG/3z2lidXsPsr29Hmvku6yMMXHEVraDoPSu+EnIoHcLCnc+06wU0R9Q+cfApp Kf2gfNT9Emhk+6I5VHEcSBitx2OCXuimje4se6mJk8L/rRqUtSaALSCSy7M8/26eewY8+fCXXlSG PEPJjUiDpCSuWUEHrI65LdBZWeh/4QGI8awEks40Lzs4tzk89UHWDe1v9OYfC8jOouVTp2fa7qXX extoTaRG1M41TvJuLeNW09KJFS9+ijzeSejq98o/KXLp57lwuu77cE9xDCwIqbPDCKMDDKKdTnSX Ig1TNVDkvf5t8DSZT6I/KteJYHzy33Zl88uW04HOhkpfI+KBtCSFzxfqvidUFTVi58EMpN8mywqe Snr6TEik4OazG8e3i4y07d3WJIXygICODyiR87kJIKp0dFXeDe5qmmhKW2fCwXIF8S+JA12LuPVN bZxzoRrJ07ja5DCAXsAl/9iDuQeqOqYEEqtEN+dYjZDlwWZcONluli4w304+6w1WTzPYHKwjwrh8 LalvOPsEmq3wf/gPFmHgUrkU+QEwhFMzirVPiYDnlYMRtmP8A+nB8pqpkOwz1Pn6x7tvFHtb+LA1 jouyDpyEH5IKHmMyZGPkNZJBvqlT/9FQYcYwVxmtpOBZgcuBPtsxgDtZPq9mODZDA77ED8nZmI6f nbID+CfdfMqDaD4VoAZ5wLrosTZIuI8ICpAqcrG5fUpATVL4dSECApzKHqs2/RiXfUzqY+/UZlKF Ina+afnP1jo7kbigbS+ceHfR7JQpGjM8D2fuB4rCP9Rjy8dTqrbEJfOv0BxBMZwS/QxmDa9g6yti tvYgx6QAdA0vHSQJlTpfPqCbY3zkQA8ifrhkpfriC8C4xJo2hp7lKU5RQmWeCjn9ivGT+Ov7Kx2I G8q6UgKBZtQEFRSuL+ogcA1d2gR8BddimqwGrmEuhjcz5AZh7JZ2v7Gzx2hv+4luVeI+gAOKRgFp VVxupv/t3xeYBVdQBhAfJt1Ph7SZsoBnd5eMHr3xOyrFfn+ukss6jPgRm6q6YFR7FlaUSHQiTIB+ htzZDWBB3SHkAGjZabmMUnzHF1AFTJqYeGeZZrLKx6AlLBhnB35eJbefYKRWPMkjFD9xQWrLpYgS dw/KpVaUkuBE9o1sUKgWcbdfKyLTiMlP896T8IRnEymDOVOTT5bFjp5CrKzLATzoO2b8A73ynsxc hnp6zLaCEvYgZyf6LaLMQjXk1ZeAQbvTLzfhkx0Nato1bJS9r3q5ESFsKDTLOxA41JegZic0YD5o RBxOzpQBoobm4G3bXVTUsiwdRZpS4aeJ3aU8VZZfdTNHmHFQVzWh+S5Q62Or0nH4D7oKH89Sc+/I hzI0MuogllUa4IqTJ3nmyk7huiJiroGCsR6Po5uPrgwh1MEBb801P5zLt4LLVql+luwc5+2tM7dF W71KQplmSuI64bfE9Y1j4eK4gWFBLiimPjjzn3YRQPiMrMb4DPh6t40DeWoaSiZrNDwqpVx4fYDl SSn/d1Q9TlMs2xCOKXbFtGIFTRtxpffdigc5OagcML5NY2o9uAqUeMkwQjCLu8NqK9huybX+5IbL wEFXgg60h+8rqEQkxiCL1vyTH9xQGvPrauGNOA33nxi9X3P3u2eaBvSiAuG151Xm5nNa6paWzNcY w6YRHpG/hcp4qnDF8L9UscKFU5rVIOMKj3ihn1KxbLCYeTPjuBgRZ/3g2DC3+KhHjORLEXxovDrn QkvIbUgo8slUqeab5OZqWpsq/fQZjgd0qM/ki96PHoO4WLLk9h+G2L+S7CJY6aOwAYWbg4KnFT1P g9u5QgnWHqtS1+y/JAvihp/l8OIQU8XvCGH27TUt6EoXN661ACVuFDvQwI+r3QNBHte8JMoRQs8f IQFNmBnlKuqyBR5qurRnQ5CQ3CcZUv09RKEurwKs/+W+Bz5dJBn24pXagIP0gHv61R7YcqBKN1jl XMz2MSzLb1PMtJS2zJb3j35bRIs5oS+v96ydMs5L6Dq/ye+Li5E8/vXsLPGg44mZNpvIFVWv7f+U 8x/oBk1pAoGKN8/cw7Qt76Dl2QTnQHTx+t03wiwehXgAJKbrj3TZvep+9ioYEdiz+qdBWf4MvUGf rTky8xuXQvEu+OuSN+yymWZUU3A1JIk0yVhI1IKvLvvcw8fdwYPuL5TRm+OLHk/3ABBPLqeyxl4/ EDB6iIi44rayv/9Sww02UF9w1RLNwvx02+CGS9b1m7xbv9jeFU0gHB0jxXG4Lq78mqPgiUKcCelv 3IFV6DjDvjt9UPfcwmaeu0S6yGzYtv3KQWQ2NerfziCOwFy8OKyIqM4t6xLUpH9q8BNwieuemPV+ 8cuGDkuv35xCQwQVa3Sr0xeYhGnTBP4uqrwbjRnAu/kAJnHtKb5HylmHAZ2uXOrfCoQQPvFle/0X aztVyeDOGAUgxywwHaztXiCs/z430/tb8X8qdeK9Fv9FIab2ZfHDmD9KIwUwzdO0SEzC31dNb7LX ucKk7co88Rjjgy09hiZ7npaM6eqzMHKhbgZvkPIzssj5ExRRWsGCRbtc+TlbjhnUSG86ptAbSf2J wtolts5np6bEHMB0fM/RQ0BwOCiEJ1Xp7OlOz2oKynDctbuGMM7VrlfS6GJ9tY1dhkqICvjgUfSP eQ18NQTePZXIr3GwvGybmLR9+QSV5sWZ2NwrNXbITy4+ZX59hGSr/M+bfwnroNFNXPmEhGvhKTv1 kbVa45U5w0QZYl0IrfwvZZOYirC4ZuHK1Sfauv2qBoNnjG27RPZ7QMzECs1XxenikSapE0aiE4/i BomGTW+mqiHoYVSbhnD0l0Oz388+pDJsT69DstZ8V0L0vqYttsM/ZOdhg7ToiY9Zfq4GHTqFAKUa uxGRmRC7f73bEa4ypc5AWYYH4Dxx1N723rlze0Jd6p2J1gAOH/AoMAL2KuoSupPTpGhXslnHaSkJ qKUR8F9H6PkGI6Nr1P+sbMNFzFQPIIAfefLokNsB6CO6i+aK4rJMpc3KGRQXWMCe0mbpwtl2+RrZ 8maA2xi0QhQbxpd/Mk9nYvnSBVJXS/kcg46kK1OmnCA4B+zg6lOX+op9sJ3VsIaLxUNLMF/yZQkH dbJ8rsx9Y1Tuj836XxRBP6ShHpTjcSMjjVeVflvTA7Zj0Xpd/n18mfBait53R8FSTEBc5msXibS0 FUcRpdHRva/3JngoGZXZiJshtRTkj3AKGbFIkcvhhouLJSznevPInrMoEb4rHcLz7JI/4exYBMyc ndF472MFWeEh+QVf5pMPSbzQACezTs523+CdFSab8hfFNUI/C67Mytut2pWwny353CpUtk2R5TXA SZo6ENtebUHwwAqwkiY+ZHJYhn3NWtuAVikddSuhJ65P17s8rEN4oY7vXSwBrayJVb6BYzyoPxpx 3pljb+qsEXStte3TLtRoIGLbmrNISV2shFs2Id5Gz/B7hNGu4iGiYPqBp2H/ue7z3IQerxv2fSyP sbVcviip/nqebJ3WU6nSEsAB/6rqudf/1ESQQ5Po0qnuhUVMsh/AYRjn+hJhKNeVPM9cZUJg+f1w fIW7946UHsbV7COzmoKE859mVGNIOYIv//e2NQq/k6fCM08a4BOSslC7YskOsbnk27qrqmldyuRJ Q9bYmiLhZK5nwdDaxl+9siAlJZ3kRQdWP4YueALA9CkgPsaJ1l0t717Avcx5mOc4ydKNzp+FdyB0 4naHoPNWsrOJdJ3NwVLMZGyl5jPFOi1oRM9yqL2SZ8zCmO8WeN08Sqk+z5e5ZG5oSznGc44Cj1/j hpNWsR0mXr12bEx9z/e/JgLS2Fncq3rB+gLNiuJX/jpIeHLhzqzfg8MTOb9B/apOnUFB7ETutmZE 0GIYhkEzJ3Kay9lRlXh4FmLnRlddlo3m3TsyG71GVkJp8ySYdlBCTFYaKRnvrwHiLeQdx2Ql0901 sqVM3WYQWsCjvMn1fPr6C108GHM28Qpj3jfJ0HRqO/WJ6L73ts4cLp7Z1EiYXEt0GkbC2Ya51F+E ajVkYF8y4sImhA12mcGZwIPvFAOwW6MrQ8M4WuzTyd+t9GsDHyFKmU7u2iOWzM8bk2h9k6gx6lST rVNUr4wiLraAcp5IbcA3zn8tQMR0MBvU9oyIUljf1sN7jioBioNfdu7G1NKqp1qBrO6r7YTErgpW +p7AAdWuHvNYlXEz2RMejn3vTpNS4YZentTxSqRsgNGzlM7j85ra62IyTb8qtCaiTVqSTDDVB1LN e7QnWQxb0vje8lNZSvczUHjQbO7ccW+K3cLlgNHc2ducmy/yOx0YEhUN3ewIh/Aq5tBX4hBmk4cB deAUWd+MPqJdiIvtbEx0OSQ2u2VWdkMvlUgCAWrdkSgbqZq3NvIreUnl2UiNhV9cp9lNV3Dv/p/T V+AjuuRF2DmUG83iaJ86v10zqRBZbWcWfip30BD6Y/tYU4qwbYj5bzIMcx8tURa5YmBLIgVGJvPm gJRJJlApuBWMPE/fqAKqLntnAo+ebfbBsiDHab781T1triAWlIKoh4A3f+ZcfkjCGoRarSw3ysDS IxFCmxgBvcnio1AjM+vGUOpGu/yozhXjeCP1ojH/d34CFf7DZqylSIbraddAqhSEEKH6bZePVhz/ b2OjOFGrWxJ6QYNH5uqOTrSnNHOUnOkt9TnlSw8dtR3xtrW2U1beRbgzUEhDQSg6ld2auWK9RwYk oMralF9KzWQn4YTS/GXvxpigPVw8PpeQDWaMS3uCKcVHcLVmNJTOUQ8VXIIbk3U3f0XLF596+mF8 9M7/LZ1/3N7Zaf3WNHKO+/+vcecNI8ZM58RwjffZqPDAdMdH5WTILVuZW4OtBKkHM5LnkHSyDpQM 727k6cVgqkkEMEfdU4t5GjXQ/GEEU9H9TJ0DOItJ/b3Z3M6wv7f1t255GLYO/I5FfDiN4ee0xZcF d8g8E3SDorDrhGDRZH5JbdaYB64nNsqS5xAtU6zU8mboV8gE9y5JcYBcJAIr1KFdhLlOujO3fSTw UlrFeilMiImAGjVE7cxP2u/0YQDwKPMjtnN4AkDcQ7/g8+Wwvwi8tX1cWTNVoPx1Zu1tHxdkDbm+ X+gyO4q34ereSfoxnwPvR/0T1XUAFYrUv6qEfL0Z9KkvJAXhBzMDlZQynHha5l28LR+xtQ6ZJH/S D4JZ7h8tbyN8/gmT3r5r+G7VKuu6ixRyhBh/ROgbtCR+DEBLEWg4L9ANWfDGgwb/Ce/NRtf4USKZ OKBMIENXNwZO26V1PjQNryypleSHy0qETwkjahnYr25BrmJbV9cCWc2PTzBd53UMA6iM08MJMig9 0GC0iakr9RJLpSoIFyFGW3wSKivkySMqAc5+7ISvZ6UuskTj2ouV6w/0Y8cM31OFRHju9MigVyZB lZS4SaujUqPWAKsxwfuR0eWukXsMxfX7LYTfhIKj9F1kcqWorhMbNYy4HNaXD/PcQnwgnHwh/LnV nSv98XJXeiGBdenNJob5j/6lrGTvZR2ZVHFw6wrz6d1mgglVyL6++buaGGz7ZbYweUi5AxfScWA0 PV0skczPbgneeDKzc8CGw0K2qXDTeAbyvu4= `protect end_protected
apache-2.0
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_3/7b6e2d75/hdl/vhdl/ram_module.vhd
1
76228
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block jieZdw42uIrTtY1lDkSDVonEyMZ2+AMpN4+vRbfXXOYGJkVnBkchf4XUBzcuXvlXbRqOGeEoTxgN Y5Sga7iYOQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block AN/x7gA/IzS1MhC/FjejNPt5ng7wcvS11/n7BZdRbo54l9s2QhZXvmMm0M+T2QgzYPuUU4UM0Jut OtugKz7ae9WHs8aQTvpu/IqeP0c/yKtE+vGyLNo1sL4FY3gWeToVohpbjvonOsPbF1YT6z/xeTJr NuyHIjHkGhJcNsMDkkQ= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block TJv4gW53FsbVCe96vntU4aHrVWKnRWU0/l70XegGPRhTaq4qXzQD16pciBlxXalrjS+gXIAxCO55 ScuOp6+4UfMSNa9GEd1BHzEbfdeqgMQdD3/zc8Hcw0baK95ms0DIeYRk5NktzI2QDsm8Pm2FUHf0 5R2BhI04Df5ph9YNy2NWNSmRxMYP8W1E2XFzUSHHBJp6Md1y5kQbxyGLsQA91a5+7smHeqlLA/r3 lpqHsiYc8D59Ra9BNr/WOxn7cbHKbzvegZayW1sJdKki2kw1YVEyj7Ic57h6vhmWSWoXAJlJ1owK 1Asv59eWq9bmSK8F3AcAJXP/fZQeyWxv+2DYDA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block zaEawLx0Vv2IDK1DS3DV3kWoS1sFJS0I8ljVgwbgZd/iMCvfQEgjwIDfVbTXe5tAAl08mybSVMNH 6BsdhcHn3ivds2LnJjRLJMRbRpaBYccgIx/S1RWWS9TRfQheiNio/nWnnOfd8X9Fzd075Ue1edfe uJAe5nO80FYUfiNjV3k= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block FDempd1A4xUxtor2rKojNGbDVpgMvhkxxbYu23m06hjw2e5GvyEH9PuoYaJLkLlsvpXAaC6aeQU/ sr2seZLoergGPWXxcSbHpTQ8xdmKC4xtUIVdjFHOBdgb8g5Uu8m9O1KeTJnamGB/XMhKDaNXLI9w 25D7ssRj2gPg8higtE/S+WOZbpOiZCVPAjDjCq1dD7I9meanEzTzFNnWOnFofBh89l8AJrMLESC2 48vJHj7btjkWROo9jsD66qykuroD42a7bACyRmiw3T+W1rmI79e1mavCMZmalZOY2RGEp/3ZiBFw 0XECp/dB2LjS3FuPJ1bowQGxYGHhWxTHNBqK5w== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 54688) `protect data_block jzumCDBOc0Y6dSlorzopu2372dCKjBJxqNEej57gj9rNU34F/V3i+WMx4zb2uPrXT0Q2Y3Lh17kv 8u0c2YSnLZao9NtS89mJ++mkO4kbeepusLavfBcBbyozZBhzFUYTLcTG8ve29qVsv/B7/LRyGEzx Vec61Gp8XXOvgCMH94g2echGyhhWnsMRy6ZzMLamnKMGFGeb+7t8DTfl9+LtzSJShtL2xbVl9Cal /XkKgL5et4NqPC0dKrzoME5XnWua1xdHidAsZ3xeyYe7S3HW/4BKSoxDcauuUY0yzHubyZO8aTSL vyyKHzB1uQCPwWU1fVQVGIwoX0EJ4NUnrWaB8f6OOU8pOLjykEUSytxVkwcUWqY+hA5Lx/PKYKsT 7Mw0wMT+bTlL/Q7xX4ATpHHwyqcCAZkNM7Mo7R/qOTMeM60Wcm2BV2ujP7H3JBsHZkvlvDmUVi0c rEwPOQaPV38dgLTdt4zz0uToWK+dC81fMjV800IPNxkuBPAymxajUhU6IwEfciUxgnGJ/IZJ8siD WdKcekmQSO1TTGaqL+OLu0dtdY08c4CMACzWpR0REhA+EjaDpPCCexCntbMVAil6JeXiwO+bzCOW oPb/iJnI7PfRcuz3canaDuuwac3zCgBB+GAqYYW/CFSEEUge1LA3Ojha2EI2TUm9pGMMvy6pzQYy zjWsq0qghEFqeaLotnaWJOY5aBWlsjs4AqM3WMD2el8i5xWBJONG61R7VsUZakBCkX3nU7+MqCD8 F4HoWRskokun50UV/3/PNA3nEeYP5XE9wmjX/UHe/jTk1t48gTSu8lLSRNUqo3tIyTWN1PJVVBwQ ueQI3p/eM0ItB1VY2k7tW+lSFHPri/RorV0+zv+eMDtOE5R1rvufOBtRSPLaKh4JJy1jte7hkr6o H7eZ1ktNLOBtWN7xKNCbfyWmujRz36PoskJKcgvwRyg29BG+y6oOnoGkIko6CqTTHAhAsYIKYpfM ZDgMCzTWxDdrkCNWWMoNLKAPLXSKAXgcZYILgH9/oP1Y/pCdkzL3YTq+nYLWiS+LrLmQFGewCMIe 4awXN6ivD7p/ySI3mFkXh0byRhylUuexL8UIIl6SZgM364D274qFbjvxU9NzBfzI97po001fuYgV p6TJRNUMSjTbGAT6vTWDH+5iUDFOfcyf6Epnm2S1EkubuyeQbVAW5rL6A1ERvvzrw7JpWSmxWykV ocfIFlfHLoke9xTLHmKNMqiQ9bVCZMIIx7K0RtymV/1I04o+hXRVLzBZ1b2Wz9SJH/8WMnWBNzcS G3g71jgU52mHAxvmDxJQClWAShtzJsebJ6JaTMRvaw3dFc3mTSnvI3pI2iBDrNoWIkRTZ9BLp7Sc xbSCltmlXZtimG6WNZI8D6Nf0AWx5WcKGX74SeZ8lVRLeERsy8l8wygtGI/LgX5RKCb6soXHadXt Ziwx2LTQayeY6+Dt5kok+iT04rPdtwZBF5Bwr1tQ/0BWgvMFtDSt/qjmb14cSOUQPsp0Q/JbIGed qNGa00Kdfhe+WPIr4H7/tS4fuOWopacCJg6M6W1hAE44OK7o46Kx6OjbowgN4XTkZQfA87DAS2Nh 30armDuJO5rezexFOTBq1KFJ53EetH5kD18dCB34vZDri6/Ijp/fZSOP6I18lV72M2JghvijbM0A WdN5wbiJQiYsbB4MIzOQeRbwHgd/rk4r7rqIUqMDnluGJridb+YMPslGX+5BNXt9zoyhkB3/ZwxU dfHdw3MGsWB4jhS3YFVuqn7mbDhPuAuDnmUZGJB2qsAMEtVYus/UegeSeu1N553bQRrzoj8na5Bf i8+ErOUu+EnUEC5S5CRUw8PO9QldeGNQqfo4OMbtVzG97/qoIQHHUtIIoUnuyWAkwKcYLuQcgHml nKuMIMxpvPcPZ6RgjDXyb1cqI/AHdGgxPfO6DGRHKcsS+5Le/Idj3wL7hjVd1TrtHXOtcAp3wXR8 mWcBQ6ymgKjT3OwbtOQDmoeXjWRfOb+4CmVVsyIJNckf0fG16NDvW9T+R7zW3Qnz7CyPfEGqU6X7 WFd5mvMLbdcrvFESTlF8VR1JcQnn/WNFV75zWxHSiabszlPGNOIW4ex7UeK48Kjjg1gBwGIIIpGD 5+x1/g61frNZ1ufkABsCQdyQ//mH9QD9DzPdoN5Z07IksOeJoFJsncvwWmHHGyctBIJ0p/C4/6mr jNmcVdR2qDMCAtJPLhSbobg7Elt3zazgXgV9LJtjMIoAnVtCE1sS8xoRsDzEkHBrAJV0kk/CHsNC aDCnHY9gFlAzY+9saFNMVpFHanBCAl+bTLJtzvMW2E3hgQN6XgDBrVj7X5lKd0x9RIc0c3dSoMQa EVLQTfz/QawWeL4NF9X0MsZ4WVrotPJftoeKAeLBPyEdcg3w4/rRvLx+1YGx8kNercsoJTi7W2/j TrN8WQLA7wvQB32eKs1juh6TsDX7njjAjB6WAcZFWLVT8QKMv3Niv4ykSZrwhIGvFt3oaLk9oR/1 +PamCsxbJmcJ2W+JzPwevJzMkkoRJkFdSrmqWgyv4UN9zVAKTDQC/bHgBBxoSsa1AZxjh4J9O0lA XRsp84cA1/iQNoNo8PGlz6NxfSMQvuZkhtrFHcOzjq6DB498zHFM0ccsB3G/ZVCEqwpNvk6PI4/U t2dFqvqeqyh7S6eDIFDtKVvhxx/6bKfLMiqiCw/+bdIeaFQvVMm0qV6nww0jVwZ7bPCxyZVYBliN bj2gRaASRnOBiIWs2wgmjPp6zD0DGJBS01IL3JFZf8SFrwLIzvSN+ZAqJBdHHnGvArc6K0oZ1AFU e0cFM+XgkVJxhwSICNE1fkisGgJ8vK8Rafnl7qePTo8nCHOxxzuOcaNHIvwDGwCaTwB6OhFwNwuv vNqeLrkvL5XrCjpPue+9OxuVJka0YgUiTdFRahlYyaB1tK/8v+w6DVeWvxFgGGKl5EmYN+IOYtjz 5o3VRiHY/s9/+Q3MKKMUxS4BJS/AIceqAGA9yBacMBzvocaew03f3R8DyrbchhTFHruz71gyyMny EijLV1+U+81rHRluci+SFaj+o3U9T1S12io//YEmLCHfXhwRgPIBI24a38kd/fseWLSHdJH+akiV 7YJCFWkObo7nqnh/5nZ3z1t32hEv5DVJHhsBVgx62VaNzxvWPdnZP786I0HXib263d1wwJ5AzoRD fUTSnL9JqpUSWdjyGyuk2vvm8fJMlE8FQHA2g2CsTpNerTwP+NGiHoHzPblkrn3+14IUzCYgXZr+ cgphDkB1bOjFNUhyu95HF7Kj6xREoBWHRZffsFFCNpEPHaYffSN9Ayv1woeSUI81JoIjDsmG+GI7 SOB9CTwSndR0M2wRzfAXj7uIRquas1gv+Z+mVpuLpdTy011z9zQe9LinQoT26MOmP+nxHsrtzL87 Q4LQGpVx727n3Kpa/kCgwHru6jayVPX658gdZbh7LYRvaOAEzCm98QKrRsssEoXvym2AQ8WNJSYP sopBzmKNSY6qbzVqpme/onpBpgJQ6SfXRfZgDTst5tmjB6XNxOcX/VoPpStJ1S4wO8zJ8nYyJcYS jFw3T0ooW34pe4POhH26z7YlZGDz6iiGeyWCgWPZ+c8hT8HmmFQ5VF39M2XT0Bkb/eqQ+a9DwWt8 S1T6AbxIay0qWNwEbYZIS+Y2VENj6Bpye7KlwlMC5SlbZKQMHsREnDCyoJs5bbfL5FkclysQovHr JsoBgJ0GGG8ZM5a+GlXRKS72yss85xAhMhidzNDxIDARyBSklAo2pmOETj2/M0jaEj26IgaerY7R 63I82Lt6b0BgegIYmfnNgYzm6L6u4KFw2Y+xkVWo9iORJCGE6/Fle1BQZiNWhPTyASxDIYkYlVAE DlK+4tP8J1+ZMNppKeDM9fkTeelNUCweIMdf+kA4XPpY8pfdDtzUjrcXSGhhw29QaNKkmMiATCRM V/u6QacPCiy341SkHrsFFlYUelTtTAlWAqTXYSulzW4mWKUVAtfq5asxyP3GTwJbhprQNWmdDxO7 G0vEbmmph8CaSdgr6z8rcng9CYJxxEUxqWC1OHlSQaDqGOwHfT6hfaEdZHKGGbumpV6ZS9EBRdAZ mlGBR9mpVMGguUQ1Quz2xeaW1z4rDSFk34IqIv+ZOvfQWSiWD18DLiqmTMIqEr+dNuMh5vAofNuk uCLdtnNw39q/vtgsM3GNww8VX0uB2O0CaNJIqsx0fYIZaFqK/em92IHmu52ZV2i6DQmv5Oyu9Sd3 eGxgOPwExAc4M6ijMJ7GGizIbdQ6F7GGMLPaSZmkokgVvgYRW5XfdER+bLjSnt3nmmzB5MBbYe6W Fi+jX2t+SujGm5EVQ04TZKyKNrQZHhUfX8n/TP6vRX4K7YMJDrvEbZOYEb+MShs6a8b9dztKXn3v DGmpLYMLnJ8+/VJ5ndz50iAoAt8dH80WiQwh08+bMhAvBl+iucMzTZdxkZBbPyBF2Uk2hXRrSFLz 4s64qxsfszyhy/i39N5lU5ynnBP7KtkyU6f4Nt0VAC11r/T5Yaud4gA5CrMlGldM08WbOso1G6Sn fMFhokMZ/Fl3XSd6a0pI2z3Ze5gKLIFJmAYmf4XyYg56wgYhbGx5fsJgnKcGo4LvjzX8EgGswXYh RJyPcAXYwVlukJVTaoYOU9BdMpQAgrIOrnoNHsK1xpC/GKWTEm+yonMmtjsDBLG4jIE9XH3b63+p CEjKiQlsWA7lwfVsqHGNdfOO8pmwhseq8TgD5WQIHOdGG6RKnevCZhmhPzEuZfEvmDv9cZld2ErD /Ue7I1Y+nLlc8HQQFqlh97eNs3/pQyVUfkEzEC2Y1sAEH4BmvXwBF791eILF7Hjob7b/dKUDZNIt x5edAKxb9jIsaK1Ko9Iu6j6xBjWPaLcv+7xENHj97sk3ohqY42UxnDL4heSuxKZVnZNmfj84MTJN qdgrqxCl+sAYngWr3Zjy6SQJBH57vP9wTpr10e/ijds2kYzVIM4Dmu4xh1ubq/yKOMa+Uwo5CIeo YSi+35nB3hTBaGHkH0cnaOF8hI8OIGwpJbwQ9gecdcqxFc8adYVNNuFYGab8ayyJW7skh2ucscwm 20TeMTy6wJj5ZcuI/nVWKA1IuHzt1Li0NsT6BND3oBfphq53PUO3ygeYNjj08FA5Z4ui5eKreR+p Xy7flXaMogCYDQmsTjOwc8izmpXqPnW0bbXXPfYfPW9k1PqtYHZtz5TkW92Mv8KTKMssSQRFQB+6 4SeEZDLSBZ0n37lLwNSODXnn8gMtbwghkTQPBHjE4pay2XHiRRo1+sJiMEUHImY4wz9IxDLyHL7n CiTun9t0GYOiRqan7uuqcedKo8vIPyPU+/mI56GCIEBlfQSUgBH2YzMXCHNMZYGxBzv41BSbsYwG D7/e+QVP8EmL9X7p/pJ0G2NRsYXMb4sVN49fGC9I3OaBUx2nxX7UohB7tJjgXfY45cgGfjc6+xue jAvlnpqsvt/rBBYuOLHv/iQMgBT91xUkPKss8AxHpRevr4NxnqLdsj+lsqOy0Bzy76MACbWvqK/1 XxaZRjad1AlVTEv9A0Yr2iVS+CQ2/7LWQ3cVCEnBRDOE1QOzRUx8/uz6UdDY1NR+j2XOGQpYQRnx 6AMUy9DSBPKnh2R27rbWu/DFXsQButE9MEsLIDuNAMpYLxdLztG3KOQnBA3iZ6TPsReQTMqClm9+ jtFmXm7voLc7BfvXSsZAzlASifO1uLLSfXwGjk9lP00Fn5fgnGdPHJXSfXIM+jr7ugY9r5+xkXvB 2zrhJmEf+ffTUWGOjtxv/5srkGjKFd8MGBP9+OUKtE2kQl08F8IrCZgSVboHOTK33ufYrhTsgE69 Gf/LdZxpj/aa0ksXh9ZMbgvigsU2dAwyEtJHK3PKYTWgP5c9Bq9Nz8CCV2LZ+sDxmdSmAkTwhs8n hJPUEXGx07yYAeEvgy60p7VrDjd6unzVupCo3Q5l1ablNTl6V6caVzAXKMBB3r1RVQ53FlvyWOri H/OSDpEJbJV2jYkCFoA3EspXnf4qD2lNmjNBqOprox71XIIjh+PwqbvADZg6YuqCa66jgWsBg/Df nEJNIiU5eO53jc4Ql9xb50aCJmue+B/1Xp95ZftSDPH2YDxKLxd6PjbfGJ6cGZZYotO6o9XCyYfV rhORJurPSHeH/f1xTST8cvKwDsI0JIKcecfC3irPoMb4PQRziawm7IM0BE9/cV9uP+Xs09kaVlfI jnBo9JX9fAJZAx3pnsc0MH+v4NyPe8HNyU6zA2xv6N9sl/+WeYP4EThIJrx/cV0OeYvKsNSDvPOo 1RN/bzcymyQ3AEBW/8tIiI/R73mVZwLB6BJNTaBGmFjvp3LuSwCvkRw1VJQHY/lQzEvKUZUhY0ji dXOeiKv428jJMlc83p/3R2srw4w/7cKk12IeDiB1k8WVm+EX2ZIlaHhAo41vAtVdfM4FteeZPyde x11v4Q95OgYO8AWBLHy28ghV5k274lpqbmSFtCoddPc/kOgkcpVuk2mA66MeJbq7WYdJupZHWoAG x8V7R0k1Ij7+GkhgUOBpzb5+987cQTrqgP7xlSDG00LDVG5wgfLZYe2CA6cpr5YnQdA8lk3hxDh6 QOUrkT/Teb4KQBoMkcL/3FRvj+7d5b4A888s+cRC5qEje4OxouNJePW8JH4RAsvR46FYzWBW+W/g 7k+jwoq0dUKFdHBaWNvCM9iIDaNflutq+CDoojG9cblBiKYwdXAx58ejpD+JQIT2KU91Kiq8Tdo/ K0twByU3j0RkH30jPDVm5WQP6ow2tQ4HTne4qqcNIyBvJGOXY8lKhanrLTLTB+w/pvzGhDuwPHYF /2vT7dY/ocUeys0Y7ltui9k0motIrcF1znlKP9aKZWdG+a/De15BLqhZLKlmSy5h2oW32TRTZNNF nOD9+0mTo0IeTT7L4kKe0Duyf+xrx9ynvYxjaB9wWVyQAWUgqSjszLBWHvsDZfhx/Gcfgxz/N7HF wBkJT3ad+i5Zu4Z1DZKlsUOi+8KzC7/PxSeicPRdlQKxl5sHjCiQw07JC7GAN3sghDgZ9TzMeJ6Y 8MvWZL+WpBQo1mwTVICieQATsNtuL7iKhXSlOyE/JwlUCgjTY7L9i0oQUZj97DYzvREjfA/hq38X x4LKEv7EDyhlToTzZpfjZ9aUTW570pu89py/E1MZvwpr+olFQcMfSVD6jD5boEIVMPoj+Pc83UgZ E2E6r+f3VFyvJknuTGkbUp7WacNfB9AR1Yf49lnenYYRfn1oAmNqLYIBgv4I2pbEr8ZghkbAaVH+ kcaIXQS/uPUVXC6DB7Au2owdpJlO8Xmq5Qx9rPs1Oi4dfJYSX/yWqINXpOSwAzjKj8XLvVwz2jVV BNbk/JF3qYSCvgOS/z4R8B4GWyOdF5jt94b4SbV2hJq5K18IMErczymQGVE0Anl1CTgxNYqGoAcC vZNVjOczVk5pdVPNyizNaEAiR5S1IitC5aqLMIVQkeFX1C8xxEoyCvFXn6TEaF5DEckQDqVXi+BZ IUKmiZj3LrJslFqdc/0zCSvcpmgQVEZIh4qrst3TXocrSmXxn6eiI7AhfL4IDvgNOlNMFZKB1xEA 0oJIX6mdhrAtTc3JVCU5+fMs+FDqOnljybaWgQPh9f1D6pVPf0gt/33jgk+Yxn1G6lGOPgn6qsim r7wWfMtVzkUHqCZTL2hMhZ1mMc0LemXK86wzh1b2tZJIOqNOSa5czodHCy6UEvOa7VLY6QDPQuB6 KMxLOfeIoquMruxOq9Gn/xdf632blLKc6e2qdm/j41vm7uWfKQO/nY4kpiClY9dcuIj4i8pgsPva 9JTg+4Kv5bTWS/vS2vUGktQgmHjASJhUzFU3io3GLwubgEVtkOIk5jpUOUOwIZCTph4+iQYkFZYc VDk6CL2F4ikwwgwkL31ojxBFOMFTzThJ9KzHaC5FCGqs/MwSC2F3Ov0aOPQV+NgmPkpJm77KUIlI Rv3MegTRih8FVbg0sBTS0fb1nET+TgQzRKrnrrl/XXJmQG+zrCUk4yZpMgVjT0GQr0PABxEsZJMY 96ICat3dxtPlTgPDr/niK9ZPvL5ULz32vNlCYDDifPDryMy6JQ99EvZ74tJOXUKjeNW52jFUQBjy 3KigK58KcvCt1XE8LLLF/aLmWrpxtjJaE5mMyjXABv/y/llHZnEpor9Ow1ARmh9LS0WtZR0y0MsZ o2+gDCxlgV29MSpd+Ei06IiCorGCqK2IRYQ9VjJH+nTwwaACNaJmaYMhBvCjFgq0t3IIPpeURosT p5Re91jezfKhc1qLrDL6u0hsss+ZVHb4ihFs6SXEELwpPfjbeW9aQRjj+FyOKfDZ1VJFjYF8JT8h YZ2HlEUQYo+QJEZRkNn1Kw3iVWHoyzyeNTdA2hsozYcODWaKPdp4J82O03NgGHduLe9UMZv9EWih ebKFFyUD36hiV5j8vvcBzze7iDmz8WulU8x9dLjztm4xVW319ClE2lY1EmcSCogi7/H6x9r2IRBm 0AZWHUzT/Oes9eOA/T5vBYuu2xDXUVkAYzoo4lqvwR0bgJP/xsiJ+V11o5FunDsqUSkP87kqjepM NbCxNwKa/LDMchltFR6dqDcpYQhIviJfcYQe/hRScwRvuG5a3mPqf57+TiRHy9TWiSC/ScIOAQfC a+sPC95rX88nXNo19YYfNo5aD7C7LQX7xW8V31QfzlQbI5IlWNQ8BcHkQMnz2X0f1Puwj76hT8Dc 2zcyBsPN31XxK1e1A5QkMFkosbtGFJ1m8SvdGYhxSnD8aQRtWNcRUa6gTqWpQzB88zyiGfI082+S Bjg+8w+el6ZLVfLIq8aClBronBEEPFgfPgHePq4OsFUbQ1RcJ/wQcam6cMs4tu05kYJ8LskAIcFM f5swe+pcCIT6RUsmqzS1kP331i0YWi2w3HKaQh7AmXs196qPtrX2doSCVmYFbdCCEpWFukR92Pgc 7pa2gkDkqrrWo6nRfPX7qsO3YXuR803CQCNezb5qtQassUZJ7PObBYwO82wXrRAogMGaekc+OcGK Kod/+w5H6H5d4BzOw+zBkvbmIHWS/KQEfEqenkARm9QBZ9PB26RCncZW5JM2Fo3pzcZ3QMm2/M44 d2CQ6SBF5MJLnDJEukYK9XmvEsRoqaSwpsf0aw9T51hGlfLVDheEthWLEFeUU5zt7yW2hTAkc9kN bkB24p8o5J5+Jcq++JEqNO4d/mRjJQMMxzlCWzS3eUeNZz7MI6RQmX5EZIieMgjekZ1G00xGslBr HKWO3H7+uIxpsNOYoAfgyfuG47kJJFNSDIG13F5XPyw2HV688b2R5dQFDoUCoe0rdqIFri3VttEs 97fC1tI0wu1fQt4fqL75G3eOfJvOtgfKsL6qMez/2gbfizIcd+ah45dulA7FXueHMM09BUsjI2b2 oxMrf8RZTbjscKo8Ga4NGzKAvGsiu68FSnRmuOqi1jUdsLatLFcpRhHesU/96G/Ism7ikwUfW69Y 3xHqPi4b+o+2kRhfSrtXy8o7xjFwFyxsfxzQkfSLtsEIMkE9AUmYUqPuHUhMyxi2hh8oCm51i9h3 2Neo6OWlzNaXGE+52aPWSelj6cwLMrNNtlBAXzALgDqYgsTZUrQfrUr9GPvpZZBwPOAoE0D61dHV ihs6dbQXO5FBXvs7irbAnpHWx8rJ5NWqWjbg0M2cvUw81LMRBy1zyJnU+pC92FdLECv73Khd101I WSrjZGzk0aDMrfxtGgwMqP7fLFOPAgVARkqTVwVzA5oZceHPo5PPWImUaAr+Pu6qXqutRgLz22yT LySbbAbgqvLzUhWjRVMt9eg0mlQ6CoeOv7W1hy05KbkrEyFJ8wP0zZtWOvF2rVprtuSgHRNulFst XSuD2A1vXJolpwEYQiayOkfiM0pMQnr6dJ+JhFfx2MW32sdACXH7QyJCCZekagj9phpxUKf1Db/e ILIHz5ENNe8zrzGYVzGKPXt8//YnRusFAtUR/AeiiqDpOCyEsfGZJ7FrICo7FmKcNDUc/Fpfx1el 2YxBaNpC4RBAqIJM52SXmS2b+CxqiOum/rlqKL2JwyBIkLxmzgLyyiawNGEFEKuLvCjtSa0c5ctw BB9xD/X168TcauWK7M0wxvk5lCFpbFjKbSfiSyCffokPrdXUT+92RjapLEaUXEAE2hC8Oy9jK4zY Y9oBPmOH3t5mYnFf4G9V6d4furJOFBFpILzwiYVi3IFKULRMRFVEsEHBzrl8i8XXtogrt5V1XMiq Po/Q8Oo7CrkOxS/wdtUWLKkZhSEPKN9VRIJXUyDqDYrr7aU6xnxwuRPAZmO3cGnGSmpF0h31Zkf/ vizsaTK23lLRupDly56VpPoumDjhhZROiw86tc9Q5ALDRyyZg5KhpvewClQ2WRxzcAwCD6TLXUyB 6QZRTm64eeHvbIcmBGW3CBIetqXWxqBVNnmuPT56sPqWTFTVUc057xJmuihnQHxcNJTFRewBosjM kmOD+4Hu2muD5OiJ4vSXWqECdqzcEDp2q+gUirmvxmhsXgGaIW83BxWG2/JcDqX0TxIG5JZaCJtS 1Ml2MHdozyoXudVrNdvOnHXlgxRcKKjT2NRXQqpGX84riMnSzfODh6U2N6ZkaAG0KfXmTLoAlpqt ySeh/qFdgOibBqc7NWfd46qQhDUUinBd5MwexFp7msoYg0zQt+rg1njiVBBSNoCpTHdv/ShTSZq0 Ag+ERttc8Qa8l4lQ9f63AiFa5jNSP3fK+/fxMLyfvnC9nL9DVUqNMtPGzDPI1i03iS9HYQ6uNSQ3 ZbuhgBPxMIgE49jRZ8eTWFAVIo1/zSpeicP4vQPkL1JsQhQNmv34WApAGgtqX8PnXE93z2wXzI1b vWJEO3FHeboe/8mW+4OYsU/ON+qgHTEfeIvNFOMp9L2dzwkpGea16F4dva2y5CJCTXkyMN9aRvBT O1I7XQIY0pMJoFFq4IXfzqfC4E0YhE39gfXDotrRXrJhMCNkJJPuD3aVkUIUoQjGiPwLHqQqAzdT 5SWU4PVrug8Tcs+lg0kLw3phtM5mvb0l3cgq/C7rU6Ac0BHOCj4lckjaG3iBN4EFbLmvCY2ueAHk EhTX1H11u2+zJtoqlyzWQ6k7cMtRrYuWjCLT2rL9YdJR84mji4d0wL7iYzlOOlVB39z74cgstUe0 dwrIJHBYRrJqWYtO8KQU4ZyHeDSECTloLhv+BrHk66kx1CaVLu1nlHex4GF9Y4C1QHG99C4oJyiO 3K2JmGkfCR0wJJt7dUu5rvZr99C5fzKzTTmZOBPuYXt5DUl6TXQpo0dDk/x81bsgFFfwuI5C4PIh cvGVBtsmewhY9RZod+BRx4EZMKpirCpZJENy07n0nZJGobbcacBMxVy/DAUfQvOkknjbRQxg1cOy kZPymMXLjEdDL3ZpQTAgQwvbBTuto9hpAZqKxfrDShDjMYn+5D/DO30ilVXBjZaMtTum88zx1AQD DeG9VIZETyapqsN+oO5sYxPUWvLxU2ZmW4bYgJkfggZaLnbA3TEZXtv8M5Xv9azrWMPntZaOnETy IXgTYj4r/AeGi2ZU4FEnfrsh/RHUatqfntdHPmICh+RcOl9xtfTps4PvqJ9BwgkU6x1BOzRu0F+I O5fcSBLsQLEG93ZgEQ5/anYIN0q2maf7VskcXjy1QqCGBqb9VqO3AdR/wOT+N4diMSTFJ7ccErdM NfjtRBQ6Jx7Ph0PiSwHRTOEocOwucLSfFX9MIGx/q/UX54TdtCAOpYv+H5ZS83vt4L/QRbkvDDaI A0c882E7BwGMGxtjmMDYf+RQoNELt5phBXDlNoxvKO2OnNVtLva3FwYF8whPr8l5hpuzpMo1JhFB Y2cIbwhuOyPPKAhKE0t7X1cDlZlMmjvipqsxI+48szlyvuSUz3TfRPQ6FTEFycz04uEtHCiwJkeA d2xPLpwpEBuzJ/Owh41a2Z04SDawzU3iJalV3VJQhbLYBTBJPSTZW2he5z2BEX8kD3HqBFJ05lZl 1TFC5ax9icpeTa4/Mzf5cu6GQ3mSH7cEhIm7nXHnVkK8axrJJJ2k7n3LOSFVn8CkMbAj1SWvadfv tMEZcOQw4ZqYFUNA5e3+yTwSy0rRVLpxgbCkcze/eUn0JSGvhau8Hsn3A/lXDP1/M02+2v0cnDsD 6IkWAvnfeTLW5D/mFhnocem/38UeqVR86Az3BX7SpYQNnekRdE6kV9h/mpafkxeEan7CkVkD6y+l dmccOhFRCD5NxO/Oo1uKlrZA/GxZNM8cN7xH8aJss+yC1drQRZzW5BLxU14Zl4yXDucsdKsKje6C RqXOvWBF3a1BLt6EpBlRIqhZNPmvMIIiVQCawc9YcZV9sg3JPvAAqr6b/C6mJrtO7Ag95GBDfdAr Hc+wcDCHeiB0QXuml3NDevNThpyIELxazznMCNdIHND2TBr6B/gecwOyVaxUIFIxgXZvXAAIfa58 h9Z4RPId6jJnQk89TikkBfWTFlSWfle1A96Tpi9jIKzp5VnI3En2fXyEXNy9Ybl33tdhivGxNTEI vugosLTBJuysbarQD2mLEZELNGrvOwn6gyrA4xolyb04w3oFiso7Fj+ezLZWj3RFOIxynHvNF17z ts+Jcv66ZuUQ5Ax3k6CefmO9djl+Qn/GUJixbdgiuJuDLa1lH6sKNj2I+bWviNZj8TthCxUBxhC6 LpP2YbU4qTRFWoJ/HB1Rsx3QGOex0ShlkyBOxEHv9FrCO1u9ntPz9VZxUQWOC7pOjdmyBapGFmSv wjWWxU0zgW0DR7OxkjoZsBdaHRTfXbG2CW2Qp4XeG6ZbNr4ZSdJI3LiO20leWxBzgN0QbKbc7SmF gKv+m8IwTXsPXnPsrebrSDQoqdhHjPfd5DZlni/MioLNg6RWXDkNMiHbnqVCqFFP+1y/mZn9t0PN 9mKwWrRz9sadqudDwW9vpT1HxmKp0kMh/HoGZ8zq/H241njsP+2i5OedpJA6tgE8Ak0v33Nb4EB5 iQBqhIRvaOZgwDbzcW4ah+qL9a0EcM2a02cZJ8lVtnut6cWxSBvklwneHFd4VED2x/hhFRM2pUUZ pwOahTJnJrhBL4D0xBQjp+uwwlZy0OB1CwGuu4LYtMovoagWqDfFLheIY2xUiSyTTpyxnYtuFscz Q2uJzwjfvNT+gr25jlmUmGHf+cVEHW9kzujC9Y2vVULsnmnG2USOcWwEX2SHlODljJoFZhEJPqWR Qvp3Ke7szWvnFrskNuDCSWKDE6F1CA1eXqK0+1yLpa57QCcDj117FNiKR3hx60bGRTtlTxiMQEJN 8dsipq8ENzi0KNlgcKkQpG0Z5Z/NLWv2kQpbHfR2DnfSPAYJTWYo6851kPkiMLSEFTMq0c3KQgXr escVitc9ORkbyH3sJPhUIQxlUy92xuUKlMe29HZxZDDpLDxmiPpm9ECS/R2EIh4liORhySTCQZxQ biOg7srz17Hxy44qzF+21+OWxE789ldmPuD8qtB0GQG1WIEC4mGFUQmYHxq+WEgUdWb8RpEneNHW 9S8iSO/LcFfR+YoVfIL3kLarl0L8xKi2XoIJQqF6FeS+OfL8lBsu8u19JNvVlOEqJpFKjXsYuFFI VlkbZi9ZJ0gkc+k8f2PeJmAbZDr4TwMMLaXPDtG24XH52A16TdkwsXd6ietZv+WE//9BPkncMc9s L9+f2H2EZg1qcJKfTaToe4Bh6jEqz45kes8RSfC+C3exbdcesY1IfHjptDl1V9HwVh9908GlKnHA KORLKikUSi9BD9nXY2nS5H96AQ5n8/ZQ5vhxqULPhK/u1SPZcB/lxLlWXjl/B++eAIFNi7imI28x RJEikEneA+OHMOGTwL7qfxZTmcD1v4Qol1G8lH41JMEcOQ5a4rmamoM0itgY/BmpZzIRyD8z6Q/b CCF+chDW3dWJP8/88fpoaKfyZNHBPNnYaaDi02tHMdwShzgoCqq9RgHxnGX0YIJsNbamCjmSNELJ yqTWJ1fHu0YjDYtpGyGzIZdCzmR6Wyt8t/t7EFm2J1XZMierM1N+Lukhc5m/MRnct70UvTGXzVPO ln8W68VYjFO+htPou4Eq0Kox5SZHbyki08UP0tgO495vIkw8F6xDXrHKbzeyJoHYja4VuHqMHr+j N11O+8B27bhV3vc2Fvevn+7UNN8TQxhis3IKeDdeBaxsmQ17kgq3LWCKLmv/GZtoAJHf8X7Xv9qK M3Eb2dch3Rr6rQ/03F8hYOr2e6fh94eQvYvf/Fy+P0+lr1hmXxutz34Vdiw9HHnPHFQqVI74i9yT 6usfT+lVSb2fLJUbzRxOIfa5EfTuLq7Jc2pdDik5wkeEAgZWPEtYLInwEtygwGM53TYeJEb7KWPt xd+Gnck3sVCmOjIbqmLgIrb7muESpDgqIyXflXwcvVDAVhMiIHOEFP+rrXhyFQ/ohwknjzFR1Qpn 5c0Cv3vP4FW7tWcwDjS142UMLPoH2yHcoxGeUiQX3JnYJJLvResXWnvtE4viodBpx8G/rUN1LnSP r+yQjHoPy0VpjSeTPnFgBeH8cfoN6NU0B1C+cnEeDAQhZFvIcXUXjyuLMSe6DD7sm4it2IhGfFGn k2O9giOWL8dmdii6J4OgNSN3IRLEuhvCpenRPkCMsPGsysZVpRFtSWvP/B8ZO8iuS3yeR+u/IRTB BPnXSH+iJGtvHwovyVDjok1ypXb0T+Guf1g7Mf6beaRL9tRKNIoZqzMfojn4NxjlwAps1hslURXl 6dOSTDM0igxhAH5EmXFTC0fI1TM1jsKNPJio20tiU9zCgn8q4qndKktkWWm4jweXF6BrHPSz2FO5 rA9i01CIwpxl04MetCIV/PqfjNZwBlAvYF1UiOitRmp40oLHXISaGAjfcMJhIfO3fGd/XhnouBzq kdZW+ZPyRevPkBjNIPuFH0vhdR9nOs+uEnNk25hxd9DKuaf59TEWsVy/HIgo+Gfys894ygb84DNK KiLDxf9/sK8uOEwh12GqgUpZbejZZsWkRxSJVn82d39Hu9+607lIF7rB6+USuk+u8v26CBcD9QR4 /lN4/y4Y93xunrwOIa6NHTJRzHvP8LOtevrznIZecPd65K4LcFb2eFi2w+BmDSAvTHMnmDh9BqDz 4LRpJvCAeH3xgKSt9PdBSqf00wKfX4jkW6Xkj07nlqOfnZWtZ3ZlzysJMgEu3AZWJWXrfPoxP1qo uM4TUu3ltiKeagEbMPNFbCApJvRNdmJWQ7OR3YtFAD9t6aQqXd+DRfs3qBytUIOCtWwUqv8sDFBJ 0fjzuYH/mHAPvrFIsPEjVW/PfgCszLP5EKnypKfqan5MNDPdufPF9OjskJ9F8pYpaCEQIlpzPDod 8Xw1/y2n3bDb7Kt4KS2tQKTXf+s5PjfC7xntmMsJzZC04d0U/NpRusq+2kENlE0bUrMwukDCMB99 n81lUOBhOJk3K8NzErNHxs3GQsul+D7WhQchVm23At1vuge9EcArKFI+MV/DHyuTedzg8TXTTtrI 23svgj5RAT0tC9shlgjrxRjtOlmBZNtcFzKZNWKlC8/musGfWVWKmEyN+FUjlM2RnUQTRc48HX+/ asgtCI2+HP1y9vyWmpjy/HO+/+i+2u24nbvNKLBfocU12XGSbI0PcQTVSW8YK/TMopqlnA4LcIaf S3kPTGT8BmPg9suLvc1l5CNhvXXEjKfk9ZF3TnBDKpzTtdTP3oLIdXtgkC02MCZeFDXQ35kjloDK LrgIAP8iA9ojXoE7jr4gqWXGRQ6UivaTb//yPRiyzliqOZpoiC2C4izEmjZ/1MZ2qWWDpEMvE+AB V1fkAqKGONIHgWlnKLbUENRRsncA6I3VwjwZk2oqiIrqTaggitDuz1A5LQ5jA8ILpY9czL07JN4/ yn0wzH7B6r97OZD9NXX3aCVj/GcXHGvHigj58QCmcoUYqvOaIX53VX7GioHCNywTklOi1DgXycMS 4kAfCZcO0BeYqWP9+9jwdX+6XVue4lJ5oy4+fp4e7LK7Wi/DXiPVqY5xyAslvepmASK122MSoFXv IETUkq0zJZPAKSl46w9fR7bHBEYIdHYl/89ygVc69xOslO5ul0stgujCqOUhap+iynBzkP27WYKF 8GwfVDRlrP3ajCLoZNdqj03ItAXYByeZeFmkUd4EHtr7H3lFALsdQKX7XQ1MUww5o/v3rZS9VU47 EMgyIvL21TIoFWgb67NcadaMv1a2lDMKmqLUkTd5wS9WRtYeaAl41DixG+qqtJRKZAR7y6BnPBOc St9rMah4g0Fm7uc9j511TA6rMnokZlpw1faejMwe9ySgwFxCDWKfvgDKpN7qdC0iBT1BmGfq0KqH sfPGd6agL0uj7IlM2G00kDbV4m19demBlsnRWE4NPEzzO1Tiql4n1iCh4mKmliiNcP9N3bLJmjwW 76WkOzIL0qRVAWbcrKZdEdWMPK62QWgEkqwcdYD7UPDS1E5LF4NNcIXRlBkiWbxhTL67WGD8Odm8 o7nfjqCNj/OWqvTY8TtjznjaiLUzE+B2k1Sm4WIP6ijvMycEGrwFSoGkqgtq6F0W23TwJMg5Fuay xDaAR3H3V+Z4kkzSTmfeH+gCqsFRaKJFL8U+JJExZF5AMc81f0zsuni7JtUiNbGblS9gSYQ+LvTg FfQvsR8wbp+HKY0EOysZFFY6OecDEW+HVSUKyVPCOK0J7NhnuhYcQmd7grWnJlh07TEW2K2kptSG wBZed2x2gpB7FZ7gm0BlEHjAjJjDSW3ejE1DQZHa49zxFskd0qXIQ2ZNvr0FcOHOPGBp66EbPJ9c 1QTQSHY2lJMgy8ptNNvRov/QjEkwiIihem5JWYDzLDtXM1lRaXeEpnUedPty6zjUQXBw2PjPRFfe 7TAj7XCl0mppXtymN8c7nBSwj/xX81r0Qzt+hKZ+YjXqZ7Itek23aLHGugTh0X2OzTmfHFxLOn+5 kDzXC8wBS8iIw2WBx+rufVBzix4cjkpD8VnktS+wS0712/9hPuXKk31s8WffQElSGOziwFgjqVIg K82QAuFY/tR/6FqCbvXrAsHavExEQ20bCVswhDM/7zPgchRRHqq7Ey3A5bMRTvKgl81vL70nycxK 5S/aeQZOVO8IMd6SkkX8qwuih8b1Tifbj/AYfWeTX8ckKGXs06P463eK+TBmuLBNy1WBqzgfaoHT Qbfc6xfvmIZm4R4JmeeC5aKiacud2Mh+ZE5WijhT8wvdpJCqcnQkZbiXO/nYMwE05QeVpaHrhb8B db4GHQv3Iuhi4EPPFqZaOjO2w89MdOJcO65zcXlOa9GmHHn5c55Nax+41GafGhMRPzbUD67gl65A p/CQX1/TGuaS3tkx50zX6MjC72Wdui+3N8C8sxNacB3ysjn9gfdOuGB24CZ7wwFx3FCPPmZ9RBqm FI14RH/Q3spnRnZMRBtAuyNJLFt00wEZ6PAxc6gha27RrKGHnomB5sr5ZMIooIbmVasteJNoCDd2 flQ0TUyjErxIGKEHymKPzvkBbViqKfNlJlQiMpqUv2prm9UuSjiFJT7xnV3c+4dpVQ7VEWH7u503 7ciUagIWvHHs5+zFOhfDfaD/M4PnNPweLujJI1flCJGQDmWxRzeVLZK9cYx/aVdQp6IXaXcyU9gS xMhhExaR+Y8iDvqBxii6CcpVEWeYd0lcrzXzc0IQcrYK8j7KAG/ErJfrVRSldQ7AQZMebgs/1dpZ ViOMu9PGK9ZKCTSWsVBZD9XoFFjrkjWedlIlhc7riD2yg/hio2pXG9C/Dcerr00ei4EF0sH3XafC H/DSkVQQAhmnfFtoBzIm+3QxBKZ05R+UIU13BzGTTFZBvam0ITlD01XxnpGHCgVBptC3KAB3MUZ+ QDmO6tlspMgRXfdEbM/8IRxr4i8kydA0INhYQtOYLpBjQkp1eflgFtx/XCqwI7bVV4P5HPrGQ+aU XSGk7NiNnPOUv0EKrlANeZmMxzcOJn2bjZGHa7meHevSRbOzh6FDj0khdecnn+m4tGG9eUDmqHlF 9Fb6q3VC9VFEAyV7nzwR/yzqD2ICQqPfKz9inBVKawonEzUKHVXqECFZJCI2bV5MLR15qa6oa8mW iKqbjvBemJXKA4VFSg7omHIeovd1frtl498WzJYyu1dSgVUeB/PjfyqyjciKBacjNLldQ2KNpPPA pwNjUBFBppVmnZ8wcPGZ/GjQ+hxhe8duy7pbNr6OKP29CGNfqhXGiVugv0AKXScIkzDvjYE4TwCv UFRuCMnxyfNyrTRt0grsjK2eYodk2nPqYUWigyxvIvF+buzdQu6KMmuCqataqfywa9qWdEmqkFgS CEk2oCzAsxYEf6MLHqUr7guiJ93VDnGOdsURQiy+0YuVQG97HFSAXKPjg5J9CVuhIwXAEbV3oJu0 WCbotWLedg5Ilv79rHA7Vv3VxJUohURx0/iVfk4jA8zeavizWlKItD1PkyJOcQZX+3wFEW8iaS26 UmHtrCFwyW3jxeEAzjHMzW4IOCSoLAz7yo0JwvsMNhCFAT41Nh85LRivkb9fJrZTLESovzpxCyy5 UAUzdn5jFrbIyy6zWxR73im2wUai/Kpz9NHOd1oyAMS+mkPB1Eg71Ab2/JnXWdJuhL0Yu6zyUFTc KmYHtTe7R7Aw5sQ7VlN84DU0IBfTmxc39vW5/yWiZj9RdEBo4VtzecObO8DNQCY5sEifv4ymxujv 81+Wmb/2w2mCGK16QQBhR97ZfvfucB0Aus6XqmhqIF/x0VcqjZzPwCFfgnJnnmoXm8P3sZKC5ArL BpLBToVVmHJBBSwFv1jU+grr/Y9/XDA5Dct2keeMQfXoBtR/p7+hyVrvFlqUqZxI66JeqaYhA//K VLWmPQ3UfOnAFPUreCzpi2dmbSPAeSe0ynyNi2OGml0nNw6URFM8CbIM7S3hTI61fTS1ROd+z6P2 Z/ppT3HcPTwHPO70UvyOL+UX42z6iONbnROtmFgMfGVaBDZoMJnpPF4jz/K6iXY4xKCjQsDmsDgd /CnLvmX9VZFlWwx6owUEZAoRv3B5/kIqG08bQXxJUZerd+W/gEj9117MhRg+Cukvv3HDRj26u6eS eUCjhK247xbJd982wo9Cggloi9xcKKpx5sRiC3gIcuh2ZpwOG9sY0ZdTF4BG5xdQLp0q7ThNRCTT njoXaayJs1lo+rnw27uDMZJEZiAibdqpVJvUVjn6uGNnGekacDgZs9pEuIpgNsUldvd9fhJwNFt2 JP9uR9jjaPVugvA9JaOzHQn+tbuN2yo3cuL/yT5kUcq3jMUCHieCN+FC6PHYnf0QgTA30IlrO/Uw dU0aYytwS+AB0ZJuL+WXD/GwaA7b+pWdmT/hfRkqo3Ap04uAt7G8nyVudrV2Rea3YcmcWmL+hz4/ XwhYACLp03e7oJauYTnuvTMnkiXJOyFYIJbaJFrE3eVeXmxZ9OxrK4AZmGh8xpDetqQp7d9x3zAE 7lcDy6uhIb8Rr7WuKI/pU++WM4AjSt5Bhq6IdVdpuBHOgsI+rn/+4o++v51Mr73n+sBPzeRZQ6Vo JU/XG/6qFfitBjeEGZWJ6XA0YWjNkeZi4rVpyk+0XqmeyjyjU6nkHdnYvjS5TeBsXDOq2384MO79 ikoBCbIm4fHApCVezUQbtTCzvnkukzZzCu22DOOXo5pE6q1uAgrSfbWAGRsSjglnXhkUO+07hN+N cBsgxGd0S3T+jN7KWsO7tCbcyve0JEEPys0UcIVfh9O+rLw+NDPTymTNZHgqYaRdDVo3yqgFKpnq huLoQ85TFxibWR2Z9ADqRI03cJ9WWBEZPxV1Hr1lxVGpMFCOTwvhyYOHn6yq730oM5qALWIMYa5r +ZLg35uxFVCGRO1YkCfxJjx9sCJ1OamD2m53zjRyxG5r5skOu3a2ExjIZjrAYf0IlzPr5JETXW2w yI0wSf2j21BgB8eywaUNPZw5zuRfa3MxmyVWh0FxaEN8E6AkM+VvYNrhC3gc7iVCapZzHSOk6/zN lc5wQDIiJsDqJEM9xcGjjsmx7xUu2aavvrJ3eN/hFgSNNTkx4RVQs82ikwSTUFIBuodbHsyD83HS GMmJXxNJ+aB6brWCv0/0yBkVlRnREAFD2xj3ciBiUpqBG2F/UGx8DAAKUh2TKF0EgM4B1l3XEP6I QqnPlaMFU+HKmhbth4WKMb/9KAWV8DV8QF47JebRUyFkKwUZG6GFmKjRW/ezjCwTsoLhF/O8GMyI hdujDLrzbx2riMUBaU+HB1WMZR+Hf4HwAUJG1wOnl8bSrn/RvckFY93me6gCSusATzja5w58VyQ0 Djy6jaV58IBfKDi2QiIJ4slzBhr08IvumtlzWb/6ABXoiGDCtYQlEsYHkNNQlFJXGaYPjRftnHF5 SRvREeiBbp1OsCx/uiPPRgojWSdt6FDDCHxN8qWmLpBixG1yRZnYTYLMthTWWiEyvV07ccnzr/7T aSAvNNWeVGPqjjq5B2TRB1njCIvrXnNEijGvfkgh7AEobDQQV3CNJcZwy4PwU6IJBH3Hd03XaEd3 k7W2myjrkBuO//YBY1HSAcqg4zCVRxxr8TfcidSzmoTgMcqsfUSwgeqRLBwjkaDfBPCzhbKlv3qM 2oE13p0h1InOYGBAvsQAYSoIrh+3ZPiBg+dRzuTIiC5ugJ6PFZRxGCgcVkZ+TY3HPdn2t5k2EnCy MExZysMdSNZATkm9Z9oYr39RTShvqR7hF8tppu+sRTzjig5trMG9ZT/zBoZ3J17RYNGmv05CUILA 7ajUDg2JR6iJcS86SEVLlZoIUE+1peP9TIEXwfQJrTzzkCcsy3oYsKxui8CO+kBOFoRDR9glYoFi Weg3QZHlViarzZcrTKf5JDg1MUv5ofpTw+fQUxnCHTgqBFaQkrid0kCZB3KtycTTyYn+K7f7OK/1 aRJL4FDzZtjfAXJsUIwh3sbuvs6mA28DGR/hEUHtYd6vliklaw5pVzOvBvTR1S+X/rVGFxc7CdlB R6Ow8gdJr0DxDh2tIhiz9lSC0u7yR62ZhgvxjLrj3WhXfG7chppGHCXel982OjnpN1Qv8bLCQGnz eLb4E8dxfTWSE/6r+nwKwsE2Z+NABBkqYT1rGMmaGSlQDUZj5C8Ia5YgF5QqGulU08sSGc2iSTCb LHwzX13F91j2Y1eQXhM+M6MMuOezvPtzCrekhm57GB50yYvIJiZPEl0OUYp5ZML5t4RRXkwSpC8N wr/zxMpgCToVu2inxPdHMmbCGKvaH964NjmRLf0pDEnez1SzQWuNHO8htIZLDVxLNQGa1gr+tTQ6 mBiLMujr6BPz++kgvpW4jBK3oi8xvprL/2Yf54LSqel031/MOYgc202kvaEVVlIDL5dHGjseXnPR PDzuGvjXxS2U/MpVmP89fhhXIV+gQEvppWzRT8X0H7JJD06ViT7xEiYB4BTt1aXP6aLQ3/EFyg7d P9ElV+sw4eBq94wWMOG3DUTSqQauqn9F1My06LrH8iplJMZh3abk4evsjH/cG/fczKihwiGlmA43 s0+x+CGJri3z7WYjyhe5Sr6cy+sZdNFU4dWpdeVsY4O1u0eJcP7LeEXPedDTlLxuxzIbg5svlCS+ 4wqaTe2FEBIqpj9xu3G12J9dE3vsw1vZVccHSWxnBHEcQrC+IG9MAQUd9afWWi0OLW1YmpAhQ9fH /MdOilCJ/ccUTwBZ8WWmzN8sG1zKnsOB7/OwwQ9VFbwsJP2HYsmcI0gkeENNny8yZAv+eJE0cb2T ymt/Q3gOZTvRVmPslZAxXSyuGg27/NQ+WxRaoBNYcS65SDmZE9DqW0/yTNU4bVmCMzoxZAL8VtIk 4QDNcBuEKrR9erEqbfr0yVplXcECGnSubD+iyKqkUYi5BY1m4pRwFO3yX56cKex9hX4/jDjwggS1 QbHJHZePZv/+G1+hlT9WQW8gTuVcBUHHzmZl8FdxEmEu0dzJ7sAZrq8kmAY966xS8kEABcgtJXRT RwjdFxzft/0TjwFWKx37jIkQ52FjIMK5ph4pFxvtT+u2aSPtZ8Dhg/n1Gk3b6EGKVYecpkLxGbDK EExkPv6y1ZmbxQTJE0B6WBWKvu9Iuh57OuO1rXeD17Rcw8doIirjNOIP4mwe6Sk/z/RxKnC5Prxd QW8lbn1O2mZr3oRZ81m1lVgh++Cu57OhOiXWd7UR85U6aI8P65f/PRmDdJ0Kn7J2a/43ngyEkoTX d9Zt1VDQfjtBNSeC18ij0MNg4pgXSjugZu6UQ0/TMpRLLxO/nS7KNuuMrwc54hMGz3vGiE18q+AO Pn51U3gfqJNr9TWbngx2f5VoEp+bQRx3+O3Q0I7zJdZ6GPRRKYUfdMmRPIGGZCn2ezdcT4U90Y/E IL3CgxLePj87k1PitmCTMce2wFGXaHjLTjqTAYR1Wmiv0qCwvMQo0twfNiOlxO+jwlmZ3+2i+TjJ m8qeiL4LaQIIp/W9D245rd6KofjaPQuVurrG+T5893/VUuwXmc8+jrlxf0cG6J2lastv8TrxtafZ IsKbo/GWJpoVyBNK6h6ZIGy5uBmLgmiAda06iJ965IcpRihQ3NgQynnk2tcgrmSjQFg1Wyj0ssrN ZFz+AJ4lAHWrGK5gvVkC8fd34hJ850GJJi/unmPRCfPJvu/Bc+s0GAt5eNqAbEcGnsy0UFZv3WI1 LqEB3XoJ4AC1kOu8AUtYQqVtLoDv8uhjSm2pi1VxRJr3s+Daaa5Td3scg26WM0xDOFMG8plVZJAw apYAqR17x5QEtU4jzNZKqN7C9wjNiCXtLgeHxPnzt67rlqPK0e+OMx5O0Kf20/k68DxwVVeICRTV MiAxGEsl76fovlkwTE6fbfWB3/lRD1Vvk7Q7uxbHMm7HR94TRgxdbGXpIQwhGCTh9tYyt8Ju2dI8 /DD1N9bG10qrupzAxxYn0eClsJ5yWQ4EGRLQyHOmHnbBEmM+IUHwd254dDCKP6S9dbUOYxFv9THR uvJMta5SucASgQsqVFG2MHc+0AuZLyAvpXGoSQOySWHmMhCgBH6WLOSE1CdOmmODDxCYV1AOvTyU fdPVs35j20S1uN9CwIlKny0Zuv+qUJpGRVkSkd2zM1C9EJIYIY53rjjw/dVK7gGqQr70uXq0nLdN 6HFctwh56honF3clXf8EBIq27ROAEaoE1ec5gKisMWXkLRiM15WyDXwR/RBb4xa13KcmxsfnVlTL FUO1WlUC0Dx7qT51hwPYZkbGMzTGpQVC88fbzJRFHPdA34OIZOl4cSUfwpiHkpsD4bZPC24nokN1 wLrn5MHPn3d2TOUkUSOSY8n5VVbhd3ED8TzDSaiwIJXbjtrjzYCgpf4QdNuOH7zxWpJk+DOmxxnm v1CQq/KITY0TdLlX08BhGGHsMp6qZ6NE0kysdKiWXkeswFX68CX7ITWoEfAkG3yJ1JP0uvEAUPav F2Qframk/UUcigSFFDhGi1PEwsxS9wRAt+dcRljOKiszaswjONMPRmel0Pvha7RctLe29su4GjfS wjz3a2ZjPkmulWwU10jl2dGtTTdrX4OxcZvz6ZFLGC4NLj42hfI2fkJs0aIfZItwTabEHenv41ZC wqm5llwZtwsszBypfI+rW6sGQy5iOs6EvC9QP1ZDW2Cs77vTCmH1kzElk7aiIsVykxpAZ5LW2o1R eMLPtvJVQ1uZdXrx3hNscQBsXTYafKBB7RGK6txZJ7uTyMb1lHNUOibhU6wLxwaVXdg7QywcJGH0 226G+chPugC6LAwfpm7I8PAtmzfbvL121p9bwGgN42gK27gaR7l/TtOT6oSaLmNytRh5XFPrxFwZ cHOXQUIBKyzR+g5yUPAQsg7/n8o/z8QnZhYahbsd/oRqjCRTIjaFwQ281aWUj6PsaWI78jIP0Knu kATtSb1vXsmKHO3kFq48Rb4WNIFPLi8gmb1kldKw7CZ4dUTkpto4/vOYIkcRanVI7JuRlFQeGCIE aSyXarPSMvdY3MksMP/yfoIAlbj/OUJrWu9HXUtxgCgIL708I4xr5CP1MfzsawllKy/VGIWd3uoN TntrvoNNCjXXAaG1AraTp+1UrJYODIiRZ7geJB0x67TRjFnTnZeKxdB1jX9XC/IkXUyVtv9nqmia I8U9xKp+4aDgxjQD3yFcb4TV4QOLB2YhQm+VfuDEIuYPRZCSpbu5G/Acz1wLmWHqtVrlYlK/nsgv TdwBzqyIaE8UiJcbY7WKwhQlztS/BiQDz0Gu9DU3loakU4EHyot7P1C20TVCCFGqoxNBRoMrx8Bn 9hirOxy1DQhkgrKnH9iy3pk6jmKfklpVr+rDhog8g7d9j0msmI586cpdc80fWdgJX2zvCNH8wyrq KkeaTZ+yF5L2gdnmw63h4eTmS5mnRP3UN9TdphT5Mcrrkct7ztE2wyz8EzJxYQUk43ja43Vmznrt fznHxWKiwYlZPCEm3sx/K9/1bEPXU78/kKiwLuxOPtW4X/xgjJqKVE0JkGj2qhCy4T22VIeJq0S2 ZrEZdkUgxa7wruuZrVMpH2SMTDXc2MWJU5j9sbv6O3mabQpdlsPXWNR/t+uQC9w3HpxkwXzSKmYY mA9DBhSfFl6n3XXd+3j5eKlC3YOxyHPr+nLKRV8RXnncnrBShVhX9PY50rAHoem4f9SZDjkVg6Gp 93xSp7yXrpEaDU9LM87Azqxu9fldomvbfpkTWXGlLGUnrzlmgGzikIuue1Wxw1zsb/dCk1mALjTx Aeo074FGPo0TYI+u7sB+q2M05DvbHzLDPrfcbf9bp9kIvaNe8cbJMrW44eLJ/zTd0Ztkj26tO7Sx N36JxXb6ZYv0dTwxb+nXtuaRXqKuDmjZsTGu8OmOuO005R8hEX8GQa0N3hbdAqNDjYaLSR6+N0Ym LQMOZQn2U6uHeBj8d4TEu03l4mWDy7LvOQl4DvwtkE9WMnI+6Xx9GsodLgjI7NRdfw3oQVuNAr4k y/7jS0S2WA1QmRzvTG5ydh520Z8BRaQM0fXLMVkHqUjgGlOuoo8eQsyqsF9s7t5/TiHRQRATOEgd 7RIFTP9PkhtOgcM9s/3iPeugsg9MSrNMN4khTcfYAW/va9y5ST9UPFoisWgn55SsdHXCFca+FF5b aH/IZ/BuEzkdWbA+zUXhwtSeebJgTLkYfjIKP20Wk4idyjcDQj8kv2fPICTregpKG15GH0QIGwjv 4D5QNF2iELEfEBrFatZLi7ebuZViR3km1r2s2g1WtHoar45wcnbyx4cLkzU682Jdy07jdSorGHEl QrSFql0qH1ggWjcYNHTAlAwo5oZTvoIfs6zDVoJvpk9CCa+l697Qwzi9RS9XBCnmI4qnzntuKOsv vGwbs5D+kFgIVse2C5wwHWwOwsMOC2D2KFnE06yBNj2XGQR3i5VjS7yaY0sFQ6R94SvQkbKHWy/1 kQcnUBEGo0aEfziFPQ9PjzwSr5fGNsFQZaYf+HI4066V0e4CFGQOedK9l44CPuV23eVemObB/C3t 8Vu3FmWbSr4YUt77JTESYaqbipNfMBrmq9gLvOllD7NLF2qoVhK41qIKl589IkVGHTiAZTdhzAri qmoe9NJRv861GHCl02h5yIynjmEZOjHS9OZo+s7EOiGvjnpDIyGU0S93n+RyqYZEdJKgwovCEwwf FZuBm58gz1aETYHYM/wv/hap0QFKbTI7t+lRNTIY9v5p7pPtCUHuC+MtYRlfUHTJOuIUecYdl9gY vgyn1wz/oIU6ZX7QsWluQUOcNjYKBUv2rYxDa0qFVDEoJr2lILQHN8bVlerfEWzJ8kPwiHO87WOo q0BTClK+v+QXD8p3AsqP4ZkNXaDurC++9C2+WNKyWw4F7vtDFF9US/71x2FKncv7N9axaARqEqMq 1BCnHYEUDz62QUuL+khFBwVhRUNemZtt3lqwU0ofJcD3xjtjDbX8GJ1OLdxiNc3afA/cZnbMOhcu kfNXApnQeOae+577UyP+euxRjSvAg3TYRg20/+Lb/hsQwSWqtEbSru91bj9nA23eVNCiBUc/nbdg CH+kKAhGw/iNnQC6SCoApiUldubN0cLEf13ucyeDfmm7uUZA6YAf8rcBGmg5fQAj5q6Y2VrAO8dk i2l9kvcgCxzG/lLWDWS/EYk8+a+9RWV5t7H+47uj71B2Cj4fyocy+oNJ1BQ3prtUsVdXQ2JU/vG1 BGbxDJO+fwdKywq6O5MTnW1AAkwoczYpGg/QPUVSFq5PDkJ3OmJQ9FMmdYx2ggzH65vh6s97RSjI TKfn+r/w0cdeZIl/afC3GTKMdRAQ6criXvAuV0lQDQLJXRdv03nBzAriYoHaztDFLvNekjR4MpPN tHFBbo1BKYmdn0HS0ujR2J1mwL/crqJFR1CdiuLycSgIxyAYwc57XX8U0zLeSnG4hkvf59BYpgln kcDDIbXOoxD3y6l0AGiuoeM2I23jIKA/7gXyXVJYoRNWquFntd6ELLQZVGII6LcrRqfqN1exZmu7 cSTl9OK2a+sGshpkRddxbhaSv7rSPBn2pcZ2KQud5BC084lMv4/Gw2Sorde5bjSOvbqLZRuSbehQ Xdd/CmqltRRIK0BgepzOieZTtLJk4aCT9d0zGqaoYqvXD9HFXUS+jz9kqt7u6vGsLPv4AI+1BfVO wvaoHpKqacA3GOgD24Owvk5dGli8J+JAmplcaWKBxpkScic9XtVJkMf/PW9hP20Ie2k2IOP1asir zpC8E+ORChVhdxbKWZBzgQc0pcY4/aEGg5U4jH5tT++IMVdClX/lxr+u4XshLr+IFdxSAKaJpo3g 3c6DHKE+d70ejWN298DixdgaCl8999/HLuIwRdvdLesOjTyLGFBhVJo4FqWB9UfLyBk70zBkZAfd UnlwuNN5zUnqOrb4tPkLYAORFeTFI/mXmEeI1TmEDrUjf5aJI26mDDG+DjUtayD22ZyarKZje0sI n8MEevaKfYqC7hshs3ATTyEJ7BxbK6Dr7caJP1/zAxTACLSpJ6AjCwOgJrKXWJvKeOVOD1Ys4mMk 8XWTyjWLEUroxsLFzQ/ncAmKtyPFRxBgoi5p5e+TbTYVt3lBBOG6s5/d9lnBT10fqC3J6j9GByge Jk181LjKm7/qepWFLUDKefnnABQE9s9mjNItnK7MV5XhVA3mpj8CJEhHvWhSgNuCFPaVFsHhOTsB iiWicfI+qXUkV5m3KLm5yjLu2Oq/l3hzFd0yyoNRRIIfpx/q38yIxPCKLGsh07fyuZbM+SlXAXQK FdD5IDIKGJ3zjIdgzWX9IQn3LbG0dl6VnSB3rvcawRPcwdPUSehT32RLozVUl3JjnjxipGkKPPh6 xDQtCbmi43YMbyzZtSoopGH5L3Gbf7JMFQU1imiNK/We6fW5OVRG8XTvP87xq9EBTUBOj7kL+nRr DtRFoyzBXsU/BRGqKeCc7O14jUGG2PH+RATkzoq7zq1d4xFKeINEwq/qUWe7f6il+C8syaUnTPi9 TKvmVVoxQvEoQxoqOnODKiZh7cTEodCdvqeCYUXBGL5B3xXAo016ffGlGwXqKljY1b1e7aYN1086 kyGLVTW0pePr5hLWJ0F7wyT4+Jc7a8j78aLmI97BIGxG704p2+65Ii+pHJLAPijqp+2nDNv8wSnx 9FteqUMxmrw6LS1fnOSYX++MSdVY1zbb6LdsEZKqvBqsT3uOYzi4RnypmDaNku2t14S13G4b5WQa pHDRkRRiBKOlSSI59zPbsxtpfNDqG2a6fGUfOYX9j3f5ekCCwvOY6vNIAGx1xGPuv58B5cjjCm/0 zIZt52xLX2ycl5lElvO5UJz5OKWYRLTxyYhOCCuTvXJeYAOh4/L42Kwa7Z6sMs2XP91SfdqRCCPX IE7sChRbqdKGXBJOTV1AE2fylo6EIqWOaWWshg8wD1jf0jk2oGu2YQ4RaVML82c7hHNr5yympcj9 YLKiOLSgQVoPxEqZsBx9d++yZMlBCL9d95vgSngjMRd2XAMoaUfp2e6ivA+N8wSyuY32FdDi61pC 0lQqWMwCWie4M19AbOEhN+opuPR1FMj/ggrToR7AHFvmvGsfmZYxts5/8ujYefrkH7qBys+g561d LOsjkxoxQvNJZ6W7AW0dHuuhZV/P7XRFUxFlDRVzNQLpkTHYlIR8DjipWp77ktMl+9amsRWv/dBC uySeLaA9PamwkpB3CcgX2Hp22OfrW27fN8F/+X58b6wCyTBdgex6vFx54be79nJS1pMqIgj6dNwA kKnI5fxk/iWwSBwbGQQs7P+jF2AFC0eOOgywnLdkl6SSaTYDSrCwYqRj7ksN8Gg41OEG5ZawSU96 /2gV13S6agd0ErRqLM5KK4FldNS3jdBMJZdAzkwJrBprpjnfyIHuVJnaHjhN7fcs8AnVnhdBB100 PHpqQ4eMe2gA00G/V9t5SCgUp853kHlP0N5EykfImovtrRDUZgEz0hnfZqEyeMD+hDJwvLDv+CTe g/RHDWlsiWBXwtZQeLpv7QIOT/OprFoAvUqVd5NqAaRl8NOYNrlKApgl+YDLtAG7m6rAxnbxiLPB TqWi2xR2V7YQ5Jcq0xrcGczKibXdnfOfNmdOPwO6S4rW/4FZxVI9gmubxfvz3kP0aDABCpoiS7Xg fa4vT4SyD7IRLkVTZign2fWXlvjIj9qDzNs6uFqZZ51qXDExJkVO0WnJ9+bozYZhF/zGVdIh4/9Q EE54Bt1GoMClDAC1MnSz8JFOB7alpevQNphoJeMNaDc2ZFgBYbrhZeKqvDUrHIdKUUUIdVJi+0Kq sWVUa5uSAzDrfE4che9969/4zfofzp4UFw8Z72GmOYdfFHyy/1OsHaPFop/n3MG49hHIaHixnigD EGaEun3nSgKgW6DFl2oQGRm3cOQ+rzOte8IzEexo4XVLoINgplFDAjGV2jBWaOeVI7PuD10YuhGL xJ7dYsoKKAQvVl2PYOcn2XAmSIvFChTLylPGZYzMOnKTR4hA+erJFPHWD890r2BvYpffwASDcS3a Wg6o3zdvWEod9oP5A44fsY5h+nzeNLxP01oXOKbW4KbnybeHDThsfdN0VhvG3/QX2ioQ/mIetLWS ivkNxSWFUvaIi32ferVwaVJf+cN+nlfEO8akA/VOK5K0V0rXnG8nVTgudfGEUwUH944bFNUhbA5/ yYHCaxdU7WRs2ZZm+8W9hn7K9q+/GbzC95n6avya+JhzNHhb2wj6FM7zV1cDwZXyfBbV3faNPHsH Zn2PCHGQhJ7JhsRfbo4dYysipEJ+ILt4AvoPhlOtoYIj0aAPj2+Ge+j9TiY2no1Gp+CF68dBBcrz QeKJHeadGx797Hc7MbEALGJuX5rBLYyFd4UkMwcIYimjZa2TI8sOPNBy7bVV/uIX4q0V/qtt0nlH XO4WlxGMckBVPZ0n+aC4ZEBkSts4co7D24ZuKXqwaDiOpN2cztD8WzzRLcJd9cNsPe67KnsE/q4V LYD9WSyH8Dl7lY9hGIZsAl2GnaBO6K70xU5yzJQThBcDJA8ldamIY0NG1IpsTlrs9zk/mvGtZFzZ og2uAlh5V07/5gxvSuAeCYMqCIX2OEemOqKjyXHDAaDzng2e3KTFTKjTVTlm6woaMlR2oeVBLP2D lR2HMXL7siieyi+8i2JnwiK7vcvobxXnUOvIxNFfHSo5vkDXA8kgFfPCyWlngvcjJ95oeYsK0Zr7 LROYqMY0lD9mLgUkr9s7vbQlOqryywe6LADNVUp17u4SBpvJJi+l1yUkDJvOhyIZTJ90sbuf0zNQ 5+7FaVc5+MESkiXs6kAOqx5eW7dalQt1/Uy+NR9js4anvJE54LjbQqfaTFqgEXvsjk8VK1RihICo 3oBDhKFqcjnBzjZbC/mUvFg6Wyvwx9mJtZe4Z15GKT8ThtlefKXY7/bJSxev4T2ci7/rFvIB3ylU zpWxD167MKYlptowVHHBNu1Xxs2D4ARCgQqR0+yEIczSogGxyU7S5pHIxpMLZfwXhx/n+0yV1gq6 bC0Pe4b8Glp4PgJMHz4f1CNZToLwxy6lmBPhBC+IPTBu862JQ9nWIjcZHkutejEStIBn0NTbBevf Iz+IYsSSSdfoxRPkq68wx4ofpK0uQsldnigHYzR6KA+U4Mr38GfhDmKh+MjsDt0jhMxDSELbKBzE tGP5110oIlhvxFkuom0/f4neFUH64GmZaz4bvoxQl5pGFAs6kyOmvq2x/dfUkxcPs1oWZ7cCIa4F mp9HYhbfd7ZmOkVV7wtNEzXlYOAYs0Oji0srmVAatrjKB6jfPCGTAmFRvmifGCBcytn+wkHrg0Ff 41JhUS424k8YqpjzUqx2Z4S9M7DzFtxCcoDtkiG5Vz25izZ/sOe2ogcifDDM/mhEU5pfYMKOTKBf 3w09ZeYARMC+tuTtsj5jWJls6MZzyHB9BHRgTvoAnB8irRo0I59JHFwr73WCUIKu2xpye2payFIX qlZEb613MCyJroEPocjqMIqJXzp8FW2hRC5wtkEW4sD0osOcGZODF6hW4vwwiZ24+GylThxR1ZhR loCscbiq9NnO5Z7zcOvOQeLXSjf7nGaRXoA+7hLBmRYNqGkYDtFeuK0CZkkqVIH/zEB5C/Bfgjbd dEEVwLc1J5ySBaLolHj4pFFAgb7Dt5q+YWKPpOX1xA+MujIFnvW+51oJCTmR0MWAxVKM6jFDyDnN p6Cfis2FpsCY2XRSEpKMK/r9i9ye0TBiDXB1el7rLeHc3aG/icTrT9HUs5gX8JHIR9SFtqt89ZZV AgMLsD5yRjJHQhUg0G1igMSe5k6CNZQZmkYFtpoWsu7KSyOjHrNnyQqqiQhcwDT9FldSQdyTXks2 oCMpg/6G0IUWJOYo7kYKirosLhjN7IkEFM83+NUQsXDAIbjgYo8XWDP+Wbw4fzzpzPjlHtmRaOLG 8lrjZ5qK0WLvcPYbPueD5JcK8OiCc+uQCNH4biUvhFRfxqu8peR545miWB2dBS2jmv5ZAy9U7c7V 1Bbb5v+e9dNPFVj3Lv4aAoR+Xvw6QGCVsIZOmSKxFnzm5rw3iyu0BN/2LCKGWvdRiL7qmtpnjUoL meNv/zY4mYyHiS7AtuXz4Wx7OHK+KXvkucJRoramBRrwmIdagaPDfR2y3athvZDZ1TGh8vaBi3vW IoVmJfHMLKnpazQ/pOMaKsx4ym2Hmgcg3/JncLAjLT1RjgWEhYbiJV+FiM7w8NDyNSLRnY1R3r0t gd52IJxbuaNajrV/iCOcxbhQ4XRy1poAx+vfxjR2x3Fg3aOyO1h3gvD2dD9tLaF1PMI1ODG5N63M ujpcxvb/BvwwCAAaSQTF7ssST/Igyw3Vm1Wsp2WyvrTFnnw4/XYPo+7BpAPl1OTJGRySWEuqkw5m o7QV5RA/1kdcCMw0S8XDYMS1DE/2e67gx8UovSH16bAkGrFzpXacz1suvdeDv4d6XGgSKkgf5tsi IwZjH1aovF7SlTLifHMY4NB8kSe42tdOmLNCJHbpuCl9ftrn6j8GcwzldxG+GKNnzMgFdjJaU56k Wnplb2uzy7FbmH47Xb3E0iE3Xjov6NI5r0pWaFag472t+nS5WroEON5gr/KvEevFTloIxReRqjA4 R3SyIdTu6Fajq6puDsZeDqmwCZeoHX7MDcZ3fJ8Nj0nBdyazF1pl/yOfeozusJNzjj6qgNMQk4HF P55HKzG6vvR2z2ZXgSYLbq6WgBnOU9BjXLS6ky81xZ246wYMRAlAbCU/JGXhccjHqletsVhxUtPK YvSYjkuC7IdA0cw20t/cCSFXbvHAQQzoFHj+1uFfl+Z040Ns2jgcUfhxL3i8G1EEHTrM/dhhtMrr GjjmxA5ZclnlW7tXZ03SMOJpeelGP04t+rcPiM0+BXmoZVrQXy7Ag1ODBlAxHuLOmQQCxvGXoXFJ Jy78FK+xOS6UWCCjLX1Bv0j8rt1OgqUG6aFa+lWRQweF0pnzov2ZYGPzMxEZ4RqDOPLyPoVRw4GX ycIFVZckByOmOKBAXUh3E9agLvgqfYWkKUchhYa1anh/5UQO9NVtinG+KxaoyS/A1s6409TAmyMJ pVeaNCWpKfJTEkWP8IqLzxIeKERdqlNLVN1iHCR6/wflcVXKmAbticPfsEpHedCXfaII2roDSb8Q xWXxC5fYwWnOLmXXQnyCmyn+/29hN/gW0Hi+GrYuO2lda4FDZSuexsf0r4hEnEaYMaMkp7z8Q3rT YORFUCKTqVX2iUctoXphQdedqtaKudrVVPGdkPj6xORliUudc5a2YpnTDCGp9QiKmCLLgBFstCS+ reOEy11Q981SobzRjTKNh4UXuXfgHrhP9sTt0Q6Tm9aJoFemoWp2n9kdN1gzwaKLDnBshgM3f9RS y+Om0H4ZumNK7X3OtKweXDdUbX9QIS/htOsSuBPRBDGcRspZI2broa8SlgK8tGCOeWcKPKI2EwM4 sgyLl45zOk+izg2M/mvvHQ9Xn5VD2zeJC1NUJ4jpaMpVp3VUIDgMqZF0QB/0bt/CxawylI/InF8d 5G0O3yDpG3VO7VxYBzoZr1wk6vj93BDgc5hvhu1eh/VRtlMeln6R7o1kCImGbkEqxnhjN3GshCBD +MTAO/t+LwiKOq48Gyg8sjZTxKD3kmj3oTRY3+OCbnQvK4S66l2znawRAEuoptEVmFtp4gCeqRoY ne8nQxxcsJJVXYMxIf3h7NhB1t6s5RIuZpiZ7dAoUdc2S4c62rRqpZ096pF02CHjKyAReHnNqSdx NO/vGJB7C1IsibVL/YWpBSRcDjyp6IJ8j2hCapHzS7Aq0JbG1V54XmPMexbNgnglcj8iCMwQNwo+ G5IIC0090BYRE6JOnqKMgozlhvDs/PtPCfB6yG5eG1ZW1kISsE4ggGk2QMGb4cPfO7/+oHqPOPeK N3MFga8KBMlsna/JkAjRp75pgHxDtlftqWa1yem+W3cjw2y8vhoE5B1OAsCCt8n/wLD26lD2fghu 5XIVp8Svir/2Vj5OXLZkyLQLEC1Gu1wMJX9bZR4iw2aYwP4yqmOzqRt6QDJY8GYwSpMHiy7Hpe+f CL9MMlLFYWkWomTBfFjCqgXnBQJ5UHjKb8nPIDyE/nWDg6wJ2HfvVxR4rYQhhsSTw35jpycIfoEW 28dmxyqTHSqqytMQFsfXZcKKeS/rzysWVoBbWpXkcLaghtHWoFrExBsZoljlLS2RbNxbFjGk2Vgb /MYECfyNcmeDw3kCZstEZshnyFX2f7dmzYICn5T5QNCm5VXLzjTlPfuG5JD8IdTZggPjHKXBlkX8 dkpKUxsqBiR5BBbMs2RvTJCVqMeWMxqPB13izfrGlca7unXHgM+b60mGkLDNNpXVzwMksUcq2qfU 65NGoJW5YwfyO7eruJihENYtoB72xdYV11GIooMiHc58WqbVr3cVpfRpVlvNP3Tz49iN1Eg4bwJ8 U+bW6VTRwBoZEg5Fux4jXhaBGdQIjlBYjqkLt+3eFiPBEfh0NxNIUoADs7FDbAfwJ0uwbXSgv8iN 5WbdPNajIc6IOvGRii6e81yEyr9FmNavfTyayMx/g8PTeqQgIXlswC6VSz4QcSHGY8FT+2dD+apg 426WNEQKWIjqbe/Ftvc4gn7fbOt6XMlkN2jd9/Y7OCPBvyCpXnnXV1Ziemo632VeJyyxupI4atBT EOnLjX92skyqPncx43clw5hlQEV+qeHiZxAkEUrnp3ErHApm6cytU6WyMj9OWzAzVUBRY31HQ8Js QaUnwBW9v4Cv9+PU8s3NZv6D+nk3C9IgtsQcXMeWMLQ+oQQVIV2tm+hkXVB7nvnk7Z3IS7NfkiZi ieZrEcHMloPKQGyonV3yA3PEeRu52vZNu/jP1XYBuOIWJJcM8oXRfJuA7lMOqEk+2mlwaf4sQWS8 p/TXWCrj1tmBG1c09Oh3Map7f+hByAR1lsEqckHhGlKp25CiygMFuBy4CtC7cqqCleQHuvgXFO5m XlGcJd19CF92m/WEliAHjSXCyyySjpDFn0md05amRwW7empDvSjtVjYjULFKvSlG8ZNZPhW73sLj nswptQuLhVL8TdbHHv22vOVizj27/r8dsUJbJtoS1/yJ9gQIEmwQJBCri59w9VsNRkylyXacO1fB kEOOiDI+gGl0pqv6pGjCqvgCn//xaQX8llj5mOWMDNktT5/2nDY/bY62Pnd+LHJoAE9IJcl1VrI7 sGSmDllvaWttWEKSAWGEK4OM3dqzreY9tknJ2Ul9O1nRWXoEuVyA5/TSusFRoU43tsV8uUyTVe6m YwhugGCXGyFCZngQDeQu0jIIQHJNOtBxjKuETeMXd/o6J+2WXCtw/7mzbdKooHGH75axn3JKA/Ow 2uTvbZ4/8grgT5CBPgxbyCNCnmGsBQDLzLOuQo0+MOrkKlzGNuhIpxPuCD6fCVz8ipKrumqmVKq5 JZXaHIXo0ayUKeSfp775iXMxdJK2518CjX71qa9ImsLoFMkHT0VqqDgiwb9krt18nPG3BWAe0YYY Yi+jw1lfVIqGxfv1LZFtYBGvJYicGNnr79wx12DWTpX8SzW/zJcJ3mJtJbRbAwoRkBBG0UqwVEqc 18uPfl5hmVlpW5sTCaqxRaQERXY+Btz6NXvvr1w2sbk1yxFM7a5zgVN8zBInNlpCPUca6EjN4riy 2NSbNg54mtDwlg0UqdMQ9zi44JGBcfyv0ZpVlM/ow/JUek++uMasjiYoTC9+GZ4qjXBAqbAhfoq1 D3iMeeduTnVvaI+T0yuejkywuVN956cfyjPHd+4pNZXNAqWRHwhN9lMhCN6bOPCqPQ4ZVeA8s8Qz vIaAXj1MAy5kZ3UIQTsFRZjtw5FWGIwu8dvVa4uQ/KepwaCV8KjAqPW68JCHQDmO2z6RlNRdEeOo gQbDeyOVcejq3GkcanEl6Fsf9z2Ujt2n4RCszQ+kky9AFOqxCoXWh+vOiti1MRmm4pVqLUTpFOW0 UlRtB59eQp7di2+ft6B51uG4+C1nSK0uM5FhlaUULuDuV3gOembBB2n2/OJkucnbTOPVZro4BOPP L3ckZikzxzX1HZ4nUwp4yXqU7M3QQC6N3Endq3roR2qt3p4+/stZ3Z+ttLMqCG/zSrHHVB2BL6+T DkxttgFca09SaQ8ndgq8Hznh/SFYPOuaCtebPlTkxA5jnaLsXsh2fEDe4xNouDUAF+jQpBOfwac0 JFaZpMxSiMKF9ivIhCDAdO6p59cm5lccOvXrgb3xQhSencJXh24BjJA+7LGgfU70aAZIFjjGJAUD BGMtMM4tSJxuiH2Yzlt0NxEGRDHGZr47jwGsUGcyaJiEJUfxLDJYdb49qc4tw0/1wwgi5WNLMF+H oX0vald387GFPVvB5FMqr3gXJRAI3tIC9Llv60/NpRSbXAlBIUMisxn2jk+rQNWE+uRxHBzEBn1M kurFVlEg5m/2FojMAFqib6D5vuHsOic8XbT5qezTA4VEI/BQE4oAHTm1bsnaA/GwCFiCdJKByO6T wB2J9ZrRRGV5h95cd5ebuhie426ahKu/hT9sOqE2MSGGIvjbBM8U5E4HRK0Pk9uc5PwEi8MBVNIP nc50mXZ7vaNP5EX5hWWpyJIEmDEw7pRd0GLfVwVawGv4ik+5rFw8hitag35BZ6NDKMC7xq6rA6cK CTX2mksk/GOwdbmhG5IRFPiSZDH73FyRzOxNlmTC3X4GRKAVgQfexoJgjXule9yZ1D1zeBn3S/0H dyZH1RPsiQIHNdTb4598Lgx9gl5Nu2n8SYcWv/TAk8YwyUyQv8yhvau7+QD5jv+KSbgdbsEPmCji ydGB0ounjCNoHlLhgUfGxPLezx6BmXOzzP6JvsI0MrurgLEFn5acIqH/ykAkVzOUFybWWv2gDrFc KSoXyF6Aku6z6wfaw5XoFv499fIZEbdKWRCKU/WdELxt0kJxycD06RABUYiYYF4eSiohhVvt7v3d Atvnn6pAaCyHHzRZsBJTmYE80UU3QK8OxTqKUpY+LyL6F+0UC2RhRcg9je34hHtShws/wY4k2FZJ URU8WcCtM6+zjPQiP+kMUjoMYxGPiuZTvYGDi8P82T/s+xZKQjYVXNI3GwDCy4KBBWllYHaC/nJF +ibobV1HZ4lqYbRn8l5ySf8deIkIeWXZyUknJKIPEJBSbRUWvkEmM8kVOxkvI+vqpkZGOuOidnQv iv3W7U0LJDYPhlflzBu7kO2vfeSsh+LLK1Xyfxw+no3s87SIcc6PM9KKriNmRNFeycPQKV3VEJhW hRuq49Fvi5IzTEjm3IiIXzzfzdGMdOrfFuwgonP0udp3SqhG+JOP0sBGHjZg5zPYt9XYjK09iD1X olcQt9N4pf4jqcr/pq9qbrNFw1T7xJZyWHeCoXCRR/t8KUolz+I4Yhq/VOXHWmsjXBrao730HTI8 VK4vDeXBAVZo3+7Le21ZUyp7nFik6xFVa0x9f8MsfcJTaCyfP4C/kbhrNBkbtKDAThsC4pPjHPCN CkNqCmL/Bz6dDyp7qTSuW6dtzr1We4I8MYHuGEevDT5johFneBOr+87lgCJ1zneoR1fE4W/P3djE 9y9fgYHDvYYlmQAjV0w3KZKmB77gko0ESJVqLdPIDSG/0wHsciLD2NLHZx76JWwH6cniSmSIAy2r cKCuxKGW++Mjy7FAPyMfwbIeOJ3TGJxVgP07hJCplBa0KyBvpk3qj/gp8lHWX0x+wxkP4hJjF0E7 qSj+iBdMXO+r2wYMPW3E66GNWlw4292k5RTRgFaA0QfdjmvDT3kEvpy3UNUyn2IAl4LhQQ8jkFGc hQiMydQKgkhVE4Wac7vGwALyExstQ7ADUshbXCWzRtsp0EbqvjWbJUySPeRVcmd0PAJSOJLh448Q A1mPbu9rQiNtX39aVuJpbD2NU7oyMagu2ux8d2XRGEo7dfC5182Es1+7QO2By1DELT+Yr7j/aZvR vfuLFL6l4NmSJ55Q/KEGdyiA5KMqD7wg8VxXu6Vjxi3m2mGazu4m5d5Gl3zRWebGIH+DmQ8iR9aA xKfjPX43fN8BISz1xVIah4VNQtTlbb5AC2mB7XxspTyym1wJguLM8D7De3h4qq9t7Xv86s+n0klM /Pef4z32U1g83SmXoOcq/CkCLc3kAT6TRt6sH9gJ+A7xIRu8cWnhH0sop7n+LJWkQYEOKNl/7GpM 2G5+Ce6rFce0Kn6buv/GgtadYkkhzjDsGD8Gb9/2IfSDpEOtSMtWWgHWuuHTMnWGKd8NiqEi+B8r uvQs0njoCCF9nVdaeZDth3lesZZUKd4Xwb3Sm/t4Rp7oKRiwir1AkgSfOm+yl5IdQd48+J5kEsrF E3uG8cNGIYTpHG7Xg/0G18H3eHY/F2Q5C+2251TbfpoXuVpU90WGLJ7bMMITo83t+tvpeUFzUPGU bvpN+QHZ5dEi+8mGiJ1lxPfC69ewumnPf1B4e8HeKmpuGn28Cv+ztZfkj+ikWLFL5lTNOEiELy44 NX3lW7W82SIbh8BijIKZC4bfgk1iXBeeDgBRdxnU8BpsVr9nTRl/JHMqlJUAbTQhAfT0d8unkCQz lz8hsI7gSddNqa1etuSnbrhj/iGyKbIrnFn0Yq5FyHenqCtJPHPv5ou9nnAZv+CIqhtzi8biFpqx /P7EOb6mTddh2vSS6GgL39+KND8DqCB1Fn9yA3ntk9t0mXKtaS0XRsYz8R07movy/a/VI/j+Xk5W ZfA2yomB8EJyqGQI/PgUk4Ctc9Ve/Oqhud8iFZ3yW0mP8PT3TvWAuQjDDn42lzFkOUn/4/YK00KI woZQzHJ1zGhbzAyDtr6IKwOSlD/ViT2IrH23d1SyRoAV8a1gvBJBntQIvEJlU1oxsx9qcHeJzyau J3xvghlWTJFGRXCEntD64/4ZwvxjtWp+a63m6MXHpae6Kmh7ivyU/CioS+frdEe4PZ6xn3uZ9mcP p4SkU2cFX3tf0Ml9lEtYEXGxUoboKgMHH7h+C3hgTFjiTWaeg42VcYk59n/skfpa7EoUMxGd/Yq7 LbgAKvQ+9IX2mwKdxO4gCq/KA5AHeSV0NQyNARAptqNso2lvqkF9L1ZydD4vFPvdlu2Mt/bjcaCR X58YiXNzfoQNNzrGopbXZtH/65Uc/1X/2MZ2zO2S3KZv1sta/T3nL8YdPtuTQXBPqrujOWCJqtSs jQmCNtgGEn4eWUfZdLseunYT2h+/FUGY19ZRD+zI4KsK6VLPTNvo0uHaNsJfQ9NGPiKMyBHxlfxu QucuoxA//DZ2CwEIhrMSg1DyUrmpvLj8eluQy0lmb12YQYC6twZnGyU2SWYWQQ8KJe9/asJDaktQ /Nxowq+KvQKylSnFkki9xd2CJjEFqIOfPvqLGcXwhUj75hwm0sBDfdTHhGkdH/KjtsyZp5yjGZr0 rLzo5QSzusxr8TP6Kwzp7FQ9joEJj4dipz8FOvuW9kzNNDbGA2w3bH2DiBEX2T/de2WUz5wjfdFv mJe234JdvlvknwAkjmt2m5XJTzV6GGe9+Z7l5uhqluVUU394O7SThEK3qsh7ZB/bJ8B2sja0siIO PVHIjDjHFTxGqF2iDPlDCZFzcREPs0xjrO4DmImEH2PtkNybuLbTXrK1+hdcNh86kZaeeGu7ce0d iQajsbfTJxJhgXpPtMcbe+1qrya1GvLKe16SDNhIqWSghBg+jt/ZqXAoBc5zgJcJJsd+ty912Fve Ovkikx8Hau8zMRJM6Cd8oOlhSMrRMDe0rPr/gcUPNOqB73ZWlwj6C81rgc6N5la4W6rDx8lC+HJZ P1ygnmt/av3hfauLh1+SD6OYJDm1u3NGZYU4hZ+M4vYVb32kQN6ATFSLctueDuj5xpYfxQZ5g3yr b7I5ZHcJMxwn7kixPnIllTH2eOisNqXAIqsJGX5LjmokfEXUuCkZcVhNYRmF73D7ZdLJSiqRflia fw/XxGBP4BlsVlI2P9P9MObRv20U3oGzgWDnJoH6z08AahPE3VB/FOQLgBy+sSql/iF7zoFcXN58 QRV0WcmnCcatXVEdjhGz/mz5Bl0qXHvyEtW8hokl5s7zfrdO82fZXoeHa+OKpiR5TvGCT/trTA/g cbBuLFQViXx5ZHyU94JIgaebfmQzQ4tfvGkT3b9x5pClK2XwIrBd4g7pQbxqzQ4tiEn92ebqfFxl MgyKkDApfQvRkWpO2VKeqRagHzuuR/ZvB9DfdsV4NmztOTwngUeDz4DV3Hnspo2S0Chf58jlX/UU QG2iMQ08BMQFRicmxx8ONFMwJzk23Mmo8C5F5MGGCvYuDR2hqlFXYFVtRmFjI3KuSZ1Nshz6hAbc twmaATeqwzfnj4Lx5YhUlVsezj1EcjHTRQeJbFxUj/6wpFTxynYY1hHd1KuphJC2xe2g3cXFdCZL r6tL5I4TgT69xuyc7fMiwRXGmA4HgeJZ6ueW00HF3h+2MxB2vH/d3PuwgpJUkhCoKQU5DkSIYuJk LHKXmTNj3KOsLTnXVDnPqdwoEgDpMyV1KTEx7sklpoThYxqDFnCMWDxj8Pi3hhhgrPsA19QGWcnW YRFidNLwpaAg9Tf0mEtggmpQ31eoVMBBulp1jzzleArpU5gZ0q0a/P0eh7OK/Q/CGl4XI94iIhmV a3AIvObYv/RtUbK30Mp7IvDN7dtbmV90YSgS8+arngVr4oxTue6/laLhRwABJjhuOyQ+Hw94tyvB Va3zHzaUlpNQS7FHrjVpN7Ip0JrxgqVtYvBixJGlQC/NHUE/Jwb8NOEXMKgbYI7Om9ghqjSnaTr5 MWzZRY3EEGdsw+3u4cH3AKwbez4kmaLtyg++8Sv5MQ79jC+YdFd7zo8uilEaGkCApkpXC7oHERHR VUE5OpKc6yFdNKVUZY5rEslDPnNavZ2Bk3+VzMLtJnNws9VDgo7cCSS1IXUP1qJSx6NXKXI0fFON CSroEhIcQh41K1rqLcR4o1yE5Qk1swZUcLJt0iJ2O0SRbrp1fcF/YIHqRReZX38UpgCPexwExYEw ry2NP0N30Yz6BYBMs8x57ybv77CV7EvywP+LjXRyH+X5CilIHSSlF5Ajvc4c12/RE3le5uRhahSo FZG+mOi+b4m+SJTi1qpq55UguJRTL/vXieF559dGDry44LAbVTXzuwoaLWFVOQKWNVTliQ639IeY +RgH9Ot8bEP6kj3xNvpo+Z0gPShNGg3NK4rcvjCkY7MOAfffZbOMFG9uJkmBJwrmf3bx+7Jes5GM XcvHu8X0lugGiKpeMaTlk2+5EiWXyAokoWhV/TLCPflA+0DQ37wCJR+tT68F8rJkLdrluAVJ1434 Tqxw+EUZtc4vm+jkvMPWE8cm3FuvHcyee/LoeY88FAgDgaer/WAG9G3VMuYOt30Oab29zDJWSCjH uC4edPdUgzxr/7JBOKCI2HHeTjjSaX6q02buCF44BkKqhp2KCC3zFzjoqNT2YY3pmOh/7q7TdLqT EmJWTmHX2Zi8pd6vFslmwCq259asgJflcu43PeId8KMtC03UdBwGYky0BD7r/xdfFOqkN1ouPB8Y ozWygoA5lS7isM7V78alXU52PPUGfyxWd2XxSiARKtygqGkWfB1JoODqnjVXS8y+A2wb15wPdKZY EAZzP8ni0nFDArfhY9W/PzcGFfHkiNP0ntHsh2fZxrFfFXyi8jdQLfPXT6WGdWS1J2mjA6TJUVms SFXlPivR8iela/8NM6dnkRCY7dA1R621slGLk+KZZndubkBHfE1bYnYlgUe95uf4VLIF6t2lQxF5 X1T5s1Zw2GQnN/r/Ie0+xudcO6xddEUT/G4JU40WJn6wcomzqZxUcm7lSYoYjSZi86iCtYND6j4z pG2+qzzsy4UPEdkdgq75DLyUdVxFuL4qT3xEduXGll9KkcdQGn21RYbTbB6h+8Myvwe8S2suOknd MyOeWCDHa3ySbez6mZ4cEsKGsyJf1Jjo39l2ucl82DoOT4YgSyh7wXcsbz2cXin7qPMfK4/WFOUN WBiN54+UxHyM/orlHrNkolV9W6p/vJGWrfbN2EKsU1G86hLrrQgk54rjB07Nd9UoRR8PMi689H6I /QMTUsWHKLcuv6cCsQP3uVS06BxgPurNrWBlHjVwSLX501Ke9ch57DC/fTHxORwfQxzQ8cVEMSv0 0Z4UNbPuglpwgonbksKbAqje29n+c0nHw16qDdr30UtVuuwLuuQsPGEkPDLp2vKULWgBDIs3x/Xu /XsaSvTI2IdHcoqmia0/lg4/s1IsqwZRIZdXPxAYxOUvsUtD95spo/64fuv0nvNwN6tVpjxbH23L FFyOonIsNfSRDckbi0HuhEb9wbkERoOZY6dCQcQeMMD4bJQXeqUYCUDZPsoPEdU3i0yMARe1MCYe 45Pm4hWSQwSz0YpyGdc+g2pK2AF5ViEGFEu2IkItWbTKQ+G3UST/s9ccllXCNWQ0f11g+Bo9WaiQ 05jM+1zCTSCpIKjZoUa/oE7hQw5ai4Q5we7ru1n75e6PZPaFy4TTRYwFEcWJh4MvyGA6UI91ASx5 evNo+2bqUlqqrU0WMGEF6OiZjLifcm5tIu9x6um6LuzLpQbQR9QUNgJb2ncJB5remu9WONbZM99q ZWOYPsEYM5Iyg7S2j5FYaW1eSciK7Ebe4wE8dGLWR+Sc9vlViyPI6moRLTIsAvjH4hMxhwJQXq2g EcC28Zl+/cJ+/tDkhjAJBy/YHgQmb3MqB1QboPKisKxTFKfglggBR6Sg3U6OWR9bvdHa7WsODrRL 1kCFGU0kWFxqaIeHu5D7MB1IXfj/csCMV+03JM3yydvF0pQxK31q4vEEA9mHCeXILH20LYYX4bKz 8kmSjYAT4zFuQenLQe4puT+6E4AeaFEyzLpP2m5yiN5cfgWjWYJGIMhnFxYztW6I/HJXNnoMNGth 3u6vN0EFgPIC4GZ6kvO/LArxsZHtoBlh3oWZ6vrnfFxisbGv//8p71H+qpLkn9Azq6bQhsINjs7+ HwdrhBhTpj/QXKIvQfxZMSnoi+kAndDNYCSFcyIe66cUTPbpQsSo1NKNWoAChkLCmxMKPJvMv6fX d6i/MeUdo8Ay2hwtGtHiVyxxIvQeXe8DltWbv3Z6XZ7qw8QRIWrdDtbFmYArrrnRCrpVTdg0Ruut wQbRTa1HfcWaqP1X5v6vm4chO2Lt6ARLz7v45CkHUYecoHzSaqW/MEmpJkxTuif1siJa3WKrDgdo QBa50rYlfBtaBUU0uTk6Q0g1/pkgMzyN1dw4ucqB9OlDOrexXynP3BhihE8kzYczjg6eVcU3An0n DuK4Ta8u6rCr1AhTzL3RfQO7xdtRoyMGr4qltA1gL/H+uhZxN33LEIaYdxYD4t26hCUaSIZfkFev SvIAavHxsacQqOtEDqdJQbZVt8zJjkmsBt4x16ux0FWPQlR2A7VrLb+GDhKRxOGvDCZnCkZGYN3T IsSjEwCiR9P45gBePsR5+T74eMeIIGlYzV9lJ5wMpRvdw/g9SBQV14OU8dpRZGdGXMMiO2lDsciC 8T2R7S+zRKSF4cHNI8teiLF7TiHW9vMwlP2NdL9ycZRtDDFehDKaprsqR2cZq7F2LGYS+RLhCDvb LGl9kuJ22w7cv22yX3arKeheyaGUGrQ6ywB0fFfR0r9Y5jTuCDN6xs1tWUM1YTiy7+dJKLszBId+ 8GZ7g4zmZp3Y+25DCoL5HegV8AiZsSabNIIxH5NMARH3FNQnXbg9HwrUENAL+E7QNuR9f3MpL1lI sKIy0miJDuu6IttsPkMafuzYoNj+65khpr7YV3y5J10RQlN1Xihlg8sSU4/rc5yBgTyhf+y5GUFA uwHu+HcttmxEurzHyTR4M6+1bn6BT2k7UvdSP6+d7SjIJW6TEWg+w9ktHBLVCK4seBVILN8oiIKw oEYwHmWVUtO+HAdYz9xXVbTwHeH7TkuCX5zcgznvH5A83OwsscWE/beIqbI+NdIOs/XVyuDbIt/+ wieW6ftpZqYa6mBqRs1sSsp+mSHs0d+0SGw23i2bHUaFccUBacg/6GPJ5j20HrNUCVAN1DFXwOU6 yc0SmnBOt2IJtkLo48VgO3PgKM7fKZG9jpxF6sBIk0m8SA0BzVBJzmwauKwqEo472cdNFII5crGs 2vRopY7rmCbVbMP3pLMgJhZK79m++63APeyLoFeO8sWOv/QM4zloLqVrcenMMy8YM/TfbwlSp9sK Tc4ClQhblEh6LIPpTnlK2AfG6Ivskvr3Anmn8TrOmu8JPNx8+1vKvhi1rIs7oFEDew1jEzweSkoO CrwrQU4ZYZpJUT9vGoZ1oQgeHSG3REqqwDNZ7Y+F62flfhlsEIcVPOatRiyRbW9k2nRseLUbamCL DnAzhAgME4p27McMMyOTiXwHheY/hFt4jbhZT1t8YOKOFQzW3+feslcMCvSxNsyAlRJtXeIjST5J ESK4woByiMYHjgRyvVNPa2h+mz9LMAx0hJfWnTKtiTC61iniFfQ36eda1vgVIKGqHKuSc5UT2pEt 7Sd8pBElAtk95fzQR+oXT/ZA+4nGqTClLohrZYxpNjquoNPa0z2HeJJxXaYwmOZ6nnsj4oOviWS+ 17MBVBl7ZbJxWByZGSN8nGTJNAy8kb/9+UzsujjgjgmtBcb+tPdM4yP2RonEE4OFpi6ySTLIDd6G 6aEUVP61gQaLcq/lU/DEPL9NOhpbBr+2tkC+XWH7UZ+ZQb+AL0mio+qnrZyvhevbFt9cg//rYuNf pV8IioxLs6E1RDoYkZLWnBRf4cG6yE15Em2GyAf5Y09N6kqvn9oz+fZQoW2og7kU8aBsHgeGheSe RF3coAd63I94lDfsd1ytG62ecaP9A6zAT45tdihEohCiVmR0iT9xUXNRDhTrlqyJNpooqiUZtkIH EaAMNhHpX5rJeusquEyabnf0dTMHNTbRXtKgjQBD6ZTbp0u5/3VnptHYA7P02Egsc0GkfT14NyIG fF6KqZZ2onQNozlWt//2onJ/nuNIrtnUO/5CFme7WLZQl3cPmbEK0kAK4b4KW2TOh0TwMIxUFg+S WkCkm7SMBJFh+gd4Cmr0wkZ17uXJXEV1P49j4qj6yqngiXbSiP9Qw890CULRHF6cmIw02HSKMjBQ klQr4tYLcmWLA3LAhaAeycwVMGhf6aWjU/lx1OKhLHZlu7dzVk2JAoA2ZMtUwGEbdA8qNfEHvT3I haXTsd6WOxbEh/q87TwiZLOcOgLbuBB34NAtSDSxQbEJwA2J4/dfiNjhRUOhhAUY1Qx6W91I5qMG QaJ2g/xys/IjW+MHW01xIibj3scv6bfxHNhr7gHHr5QLXOYmQcR1w5B63GqooQ3y2L64QBmA5Ego z3piyQ7dGEhKFOa+cBfh7b0/CjAhqTLZeQvB/wOb8oISq4eX5/qzqypsYI23qBgAX3HoO6zLwcsH q0ndSnhWBwJA11+BgAgCis8yJSX3oPIxY2ACVZ3yOX4XJmqlOE2x4e1Rm26BSdShNu4XgujLgidF 0kxGT/J/AIx/wC5e0jqOXwIaUCiEaG+DPHxUZ7BZsdHP8SBesg+AqmkJYMa6PqcSHJLDsoyZVPJ/ Q/BhuWAKNyk0n5t7XxLrYpHXw8b2r/KuCbWgwiWodGS1Q0oF9mqxAun7v4LclsV6zIs/Z7F9cmvB WGV+a5GU7f5M/hjBgh3BUqVU/B5Z0GMty6gzrTiFx1Y/qAqhx/AAT8pOh15zlPX+dpsmm+5imjt2 SNS3W8cG78MIzid9lNzw0VV3cfWFwyTgVYYcyiv1uNNual4Kp2hbO22HQfXcuz4Is/JbqWnSCs8b jTUHiktW4+t+8LT/E2GBy1QHdgi/qt0++hBCTJL6cTvLKGZaADQZbjePO9tw6nvHuT/qhPkpzqkI +KiKbxVYu9ChrWSSnsHkQiTiVh8OWL05A2t7rzy6ojexHLSeVtZahnH5cecG6Rw6DwtYqlyPxSDK CDGMKk3NBYxUAcMQOfzqQJ9gUM0NhJj+4HE/dETD2i0EwPUbcWpd/Axa/Tph1vi4gGRyprK7rwng QYUvVg65WSULSoobhjUt7VPDmPGdalYAi/G5llZs9f2Y5+N1skHhmL4bPn6OeK7q73EnGvvZNeM0 ma5qiiSklt8xJckM1ENd6AHYt9bPHyob5Ai0XW1BFIewhirjQXV5Dm5YUSyr7J3Hy/w+EXdmRCP3 UYSzVqV7QpCQoz4q0VLSoilWMbKWrT1LCdAP4nL7xmgJPL5X+COkYu+Ld2N/3dqI+X9qxE3aIKzX /uHacyW4eZVWxnGvS054erxZvTLl6r9o7q/2KYOJwtGiDQQDU5RNm8pj6xLjqvM8MbRMMqX+v9Cn MoMoayXgMWd1Jd05Eoo2GZv+kBNCUoX6r7f5dQKtaZwd1fVy03Cx705jPPhbvCMGPFh9diAVZiB1 zsqt/iXBX/eMiSanUOYcHC0ZJ+0aQW3p9qOiwbLYUBU88xkVkibwBeoYEpQ5RGnkG0paj844i289 KT6RBBj3mKo+7ckVVd3YswBHBkKIUlSETL3GrQDMvLZg+ct/OyfUN5eMo+uuR0BVxI+e7tCo57/H 6T+WCPXHBMMaXHeuh77CmYoEHuD4/lHNyHiIjfQXUwtWbhIkUzL9fPYEZ2XSSRfse7nxYKFzYdTE OAGK+8r8Oz4dB+97Eqim8CrMRt6g96e0A5qBC+trWFKLfU3iA94c7hIjzE9O8emFbRevpH/Vma9H 9/GAf+/yvX0HWuSOoBn3srIsqvrLBWCfTWqoLdLKVYcwPo/xbMKLzojd/3L98niqM7FTN+Ikdg0z ilhdTqhGTIWH9gPVWzehkEWIi5H2BaOLooyOwV13ybQyf/GsynovdCgh47xDIxqE51WHnI733jy4 VIrUZeA18TjaTlqb/e3rrJnVjHMSNgKVCO+kimsVoE9+TL3e8zmqzAGI8cszx+x//AFYtWMi6YVD N7++MgYDMEc4qZnTE7Y2rBRGOE0BS1zC3x9ZLEhTopQyz90TSy7txDKhxppfEan77pqskDDlvhCQ CjmLFchk1zz/v/x2gxGVoHGyTcdKpuuJ307704abS+A/n93AWHCVQuxcM9HnHV9ctNAK2agDhD6d xdi8uUGVh1wlrjk24C+E+z49sZbB+qU2bhDXWLtLzvc7V0Y1U4z5pSBEFbCJnJhn5ih1vw9rTBC8 lazlBgcbEKQN6XyTltZbo/7i8/oj3Wv4W6d719OP5yWcbkibJcBhxa9lEdGw1yZJaDKiRgyOoI3U vKuJUE/9fYF4cNsNYWJ9L9ViJseEmjbK5nuHYakJo5LmYxPpw8oRt3l4hl/SwNAc1S6K5LFj62cg rU66qN3qVve6YFjQamAZLAE6bT5khfYyJxR35AAeLID8UWCiH4VVRGjy5uToK77zY+EM0MXiddfI OelyktVd7ADSjvFookpf800PWETd58D59crxxdvGPjaP6/E+6Z6qXnwcCv9pzEhQXa5d9HYC88/B 1GmgWEQNLr1AN3hgRhvhcnmBxUbTr5UR8iFl79HdRrUjf1QjnAj+CG8vHsmAuglpq/MYjb25H+zF WzTHcPTnvRkAwvCtJnE+w5QwImyiAGR5cn2+I5io5O/Ws3AfHuM3l4fOq79P3McXaisHXZvglKqD fj009VnwdrnXo3/OHisltS62QBult6ZFD8kxgOujtydRfV2bayPC7kJMNy5vghgXAt/m/5YsEFHl ovstUTkQpUfwOWmKb2+8qsk9UCL0PRVRTX/gVYf81cvUyGHMH/YJ3hMYEn4XsCORIhZFX4PXulni fKrIPd9xLXNbPg6gRs5Ds9bJgOEmhQhD2r4bWhN5n3WEVdzh7OOJjCYogSjs07vBSH63Xihb2Yu+ ZCpCezQN+JQY0EUBLqE1vVG21N1XWxOnF7cAlXgJ08uBWS0JCTF195149ADb/1NHZfCdSolPSdqJ DFwHCqERIB9Xph0DGxvHKe0r3rWThbapC5cX0V7Mq3+8TKZ+6DVHayyt/Hwbm4G2b7IZ/kVwHTO0 ShFMI+/wxAUEJUUcmjO5t41k8n2SxJQnS+7DULiM6tvjhN3s2RkXZz50KeqzCyoQQiZEGujWkfEy 0rrhOQtvMPCZzsPJZSqyCuSbzw1nO0lBSvGbSIBw10l/GdgEdf8u4Eyyd7R4hCWyEfZlzOt6HNOO RLjfz4HHmEKwWeHwzReBhr5RHQA9r1h1mISibQ9jgeCgheZu71ubqO+Qm4sCOnkTwgvYAPLODnWT Kl3Z58FbU2VLxIb7LXF69lrjiliFBwHHLBe0FsJPJ6+r94oBfKCTLqi5TFgovIbppR+SNJ7CPrJd FCIxopV+G3ufIcivmtSSuV0Y0FvQX/LKE7WSfB/fuXe7h4/W6gARHWv9R4Wht4aLREF5C+KoKamv Mmd+ruB+iyHalDFiWw4DFfL6zeaSIufFH/TAUy9QJ+1JRPRAsS7afIqypj2IUsXUbe7umK7MfgJY ATVxNoqK2u7UYMx3H6sSIAspBn9hSmiiWgJdWMJTuMGMMDfjpuNoHPK16eeIq/JyDxjK+XS78VFo rbcgK9sjudU9KcJ+b/Xvw8nOTIybG6GbYuip16+OzRX+E4javjRZ5m101p48wW6nje45GfDkIVwA T3tHCwVTh53QvxraVneF8HOcguxv9vZ5i7dIPo58cJQNt9q+bT4wCYOCe9u6+uXbJCfnkXL06rhC cYY2s0bSN2DgqlajzdpOCMlCrvo7eUbu0ynkJ4+/SZJyyAI0Ni7KkpvMrDOc2jOWK+DafG5bcPa1 xBRFuM/VtHfPP5xcDkejXSdLlkKHg2DZxN2N+W34hdNBUu+ipSXetI10zR3j1XpzBREHUV20m4BV hIZST+sYkNDXjRYzS5DM5QBYfRn2Sj0cMepHq1MXl2DPFrMGzbHuAarNx3SuG57bVq85B4wNN+Yz P4QgEaSMxMQVrUwM+rrADe4h+xXeUkFEeyXNCQqkQP70jU3IhqpZOr5Yfj32HddOPtSKBQGZe8oJ TaMSwSBMziRxl4U1jMmDxngoc68fcCbWceClELXtiAhiFRiZ5Ds8GonfKbzvMu2A2BgflvjYaNW3 /VXvW0dlsiEqyElTMEwAYgeGg43l1X0WBE14P4qcXcjPCl9IBZC3/HJUWHrJt3vqQ61APhp7h2Ge y1grZpjDJSrZgXcavOB8kmZAZkphXU5oALNLtg6a3JYaM86oVoUqYDO7HRtElyiau0iNNcjDrQMb iu5w1RRzI38yvFDVgDJ3dLehPHk83XEZDTLG7EDk7PWdIaYRrfOr3uc3cvr3NvEVzLtz+uczGRyH hCw4MxKv6w16qnQ/fS6oFWVw3E7PPhNUybywhmVi5Hixn7IxR/pP4Nru/Dy2N+fxtbjAlT6Fm1nd QD/Meky/dgVh0LGwdB9nCXopKljcrb05Vl5UenKy1h3TZgDx/GAJpumv/xOppjZmlMqmtHOM+15X lOMw71oPB2RCIL3FFetiMrr83xbGy+l0dBK5rYSPOzzhfvdLQw2UwqfSQwlQex+6K+jBr2ebmqAU EUTQVNb9PMXqpFmgcb0EKGPwiHkbNMaBVmpk2Q/8g+5b1sIWaqq8gowNVIElfRQ5MGpiYWbSnSIp rl+UkXVRGLmwBkxkXPKOOEV4MYn+5oMriVb4QakgfiisbO2W/0sTQyYqd5/q2phG/3JrJHkyKy8+ K5j7f25y7DXZdxiTScjg1yqofdMNNmLDT/ZYkogLED7DmLnYbXNNfTluCFYB5hOA6WHxE0bzD8ND yraLZZlTrjDxNrgNiNGb/t4hkyRKpfYkDIQXWEpnIVlWkHwy1uqh8+STOOwfZfaPhXv69nTsHW1G tjv2SyUxzdaKlwJ7vFNVDVgSsNiuueUG6AZV5LFQGZqr2RRfNSD9SnK35u6iMjkJjFxv78XQlZUF SRZMosn7Hs/1sycwMZgx0ZdzZ+w6UrF+oKmXR05Zuw76aCI5SRAfC784HT9zeTRdqy9qX5kQh9Za 5R2KWg/yJ6qB0rRThOr9JVcaoYZ+yhdW6iFp+SGTJ1nIc9KwnWrbDxgaEhDULq89l3rzPgqVlAIf ky3+r3WQh6LheSmVqEne9Zycc0XoJZ1zPgQH6BN9g3KMslp0mdxBFdtl43kg1D2Mu5E2sU/DJXmk o3Xq6wOvtghtX58vzQTEfI5uqc/gxt0+fzRAB0AETqMgJJbX4euR4Dor9q7RDnokXf8LHt/sfo82 ik1OUiEi/uEuskdDvYofkdO5fHQbZcm5VjrFsSkyf1Ksw08zNykr66QjuURqcnR7MItFMX4vGzE5 rYb7aYDwuqhAW8xIAL7SZ0gh6f86jyBaUtIm48bySwg4BZbK7JU9r0V+Bt5fKOLZIEmMzIbs+X+U XVDU2luNnPV6cOnL064txcaX/cB2w2YO44brIHnXFejy834SHC8QEAwfUJvzvfsxt9MCG4Ww1p2a cWyDOb/RRlcnJaTL6RLdM6DgFsmVMksDSTHwS0qy7tuQyzPwczCnT6WBSeZO7QQmMTTLxo0dvT9g KPXX6PPx2AqMlU/pPIjz6hOmje0g5X4N1vLEXFzbt7gzC+Nw44aeMLMIk2fDGBab1JNG1ihpkgTn VAXGy/IqPhwIh5+anq5lC2yTIkeAsLsGMXLL++THmWJmxn6Fvc3pxo2wgmltYYDZ6mCt3WpBhpKy BbbyJmhMCXOKBzQQKhcZaMGGfBOZ+lvUx0wOMr2qNtbDlr/mBzDChwcJuD6lehlKhRP8nFO4HEZg bkMmJ1YKXlNA3gGDuXwmmRvFnuYwbLa7aILWGboOPlaOD7avxaWeY4OPkwoACzzDPxpc7B9Y0WQg B+oJAzdEDFMbAeHeFy/z6C98l2Lc9XVOG1RW6kqUh8QHKzFbrKOFeD7Lcsc2seJYJAz+3a+fUeaf MHgkeTuKgqXx2pnb11Ng4lAn1hEIvG2udBMyaSDuE1EgnviDrXKRIKAX7qfYlpjbA+XAJN39tvVk KJ2tYdSfJklvW+AFob1jB1E4S4GwHtg/7sACKkntABNjhqJVo0sQgxzB+gTkX+4f7AglFfpJGgbL HodWl0/MOzg0RniijXWTSDuR1F+EIbXGBVy8O4u35VOMtsIHkgPd6NN6Fp2aoUq6Yzuk4/QYsL20 c35N+fwXbSkhliztY6rQdXCTx7fziUT2LbNt3VApJNWC/8WdhsHaHngiLr7O0eGFq74Rxmrf/MT2 O4hkiPwSVoWtleupP31kTp7zwfUCjNByJBc9be3xcFA77AxNkpKvue5Ila7xdPkhylYutNDERbnm eoz5LbHMRA3FPKhBe4o4ig8SkRaeqhEfJ9WDoXpJUaKpF6xfxdgHoT8BzfZNLVU2+HiCz2n5um4L ZUm8ljhCtw2g07X62P7V/h5aTqNVQu66eEbcd21fhw/CvYaSXM00TjplSzndn0xLOvocyTcmmUBI DJklsAUHW/4T1+BKgZGJhIwF41gp+A3/NB+HOpoVfDqrqv8DkjbvAVR2OqK+E2GJ8SYi1NL4YQ9a qjLa8PCIot4vSaT9nqYXknb9LAXH2FINE6utrFVioSaM4ROJjaXaLC0TxbIvI1hOTeZeh+1cSgbM cSMf0UmMuAsV7z55inf7YGERX7gjuyCGzNT7Hga/5jNUR3dSXvxvikJYddlBIBmoSZHqH8gQA5Yd v7f9DtlGDsFUsHBFMpfE19MmdkYzuxgRb7ZwhdMVFCgUE//3E+Yz2GG2gY3HkhcoElIMwExzzuHA /T8lS7Wwy5GVZNYEHQ5J0nAPFBspr9YD3sZreE2BwalBgOWozysourlPkArnZKuz0hKtMcHQmQM7 BhVlM7cAmylyeWo8IRxFifVRxprogd8/ZNTUN493E8TyHrnIsaAETgcFki8tRU51x/zXKw0i3xGr D+pYSVyVOzC+su0afcffhI/AflJuSy9Lj7e4c+LrR4ZzO218xaTXuf7n3sa/4u3ybKOlZ85olNLA qNJb3K2jvXOoHC5tfws9QdSUoTIaaYHJFjAd0/r7XiguM7hQqP2SdHsrshlEf2hFhTJzUhYaXwaZ LWdhbmBpwxbiMdeWmKm40KaFCHYIfkRfszwF450E/EfkeJxv1oSY34wMO41eImpj7M+Nljw2Au8x 1jVlz3MhiKHmw9sHnwLpbLny+FXD+0UqZWDlFyCnMBQzWxy4bYyEJ+tZj2aCEipyrXxfKzrlz54P S9TVOyQMhgkZzauz+2MOsjVNU4M6TlsiI+Kf/aUrJX3lcwsG0yg4db3kEBH0a2/44NzOEWliSihF 65lPM8aFsan3Mf5wOuSoAvlRGkqMs7Ta2F0J7Men2wP7lwAzWWDxMKhNde87oZtKA1GXlXSMOOIB NSovj4CLZLKyRi1EP6NkRUvXmsFcW7jQc0sBcgNRpvNAhVhzX0Xa43nh6f6Xswi8fFPIvkQdvr0q e4mwDbIGjcMzfYw+cG3IsIs9vPoLIDbikiaKbd5OgciU3Nrne1hXhcugKHA6R2dB7B+78x/KvlM7 7sHZg0ht5HLtkLwTtk7TtDPOX+9OwrA4kXHTticFZf4fgcumiyEpkCsLLlp1oBsgqMF9AZ3BqEsz DkGa0xQT3V/adfdMyjts7Mz9OdOmxJ9beOrhY/PXlPh3n2yS7JCB1vu6pDd1BraJ83lkvPK/VylU ckBayT4fBSIRoliy3dMLQLrOYkKriGvQYSXkU+m32uwfPPJKc4sAG/mIouFqbcNvHiv5sNh2Phaf vdMsdLK1wISzJoHfTFns3YXomFXp/0i5yhDVQLCzTQRbjOBYY5Q/IAr+44m1psp0/Y+oahsOAExT k89LZ79PVakoFf45wlQ8qIPu+EZIB112T4u15viS9WCDfVvkAzbZxzeCPRPdrDuSU1MzI3TFs63Y gTHrwX8fhJHpaMarXgJU2o6I07RSpqUoswWWutKoqi/W4AUvSTryBp7Yzif7t3nUSJCWwwgv6qUM GNZ+xN8wDZNM0A7PF4FKJX9sOO87ohOJHFzzuRFNw/qf5VIgrJZqwz1+YKbedcA/7knmh/8myOzy f/zFfhzqmb2cbgtnJLw6kNhY1E6bwW7XjAjFubP7xD5cuDRnq5lCrd2UBjfk/oC0RJEmnWQ/sGts QE7xASGs/wRKPTuaCluhry9TbV9laST1lc0prwHxjpkECwn2eADBS7/wfsISDCoA7a5XhveDF44p WB3sR2CfPofHdT61Z7JsUvAIs1sWgRcRFxc7oUr5CdkHH+WxKFeC9MXjoSO+Sse9i71ZuxGQd1V6 3rWP30WuEZ9Ileo/fvXVjqBoR4ogWR2+gZpWMHAkZo4TOPG16nL578GjkXMWd99gxxxr4qEEJEC3 AV0svY2Qr5eF6WjtVJUiSTo6jfhcAbSr15mHd1SAA+9eDvQsalaS+ex1wNcjuB1b2AH/31whE2Eb 9VV+S+pkxn+c1S0YBtlM6k1vX6p6yhTPfP//UnNs/Z1r4Daq+EhS2tOv9iaaF5v93rPew1dXRBUH Csbu4//mmlAoVksWW4SqShRuv7qydmD2lsxNlXLvc/r5RcvVzqfgdcbQF6BkzN+IxQrhEN9p3D3h 3QRQy/6+7Cmbgs80QdLK0iQY8wUlfRkCQ89/FEz5HISeH82ZrVsDbum4pgBok6y0NH9BVqJbOeog f3vi9p8HthFheZPNRbKTJsWil/IyT6Nkk4rH5k2l5zCROop0wLmzeFJa1BDQZpf4PZcOd+nPaX3d XC4e6G0xBxp5bcUdk5QcTSog6e4E6IUrCOnYSS9jYkQdftF1nGqSjw3RN12yU1wTEAd+2Q9vh+sP tlIeJNcW/y1uJX8mA1FVKfqsm40/hElONCZcAxJ9ZRVmsrmaNQdAO1WNnmnYASr/dNiH05iSz2XP O424u8MqmGkfU8kAqkhmcVMVlvGOpHGxq+LCr1UFYIzrWfyW1dUqteHmIlWqKX4fcio//tD8KBxI NQb+OupOb1jlM5k5/vi5G6M8pHEVtansy4L6iBwiKCf613NOwGgWd3nE6jtBxqj05YH+TYg1wFpg 7g0uLKdxCKOXgNXzU4OeVYU7dpY/7OUIhEfhkaM/tGrlZrjCAHWIOB+tjT4R9f2r2aw+sb5br0lG uFKuVQvTSch2ChA7Z0DzpxRhvmg7P9yiqF2oLIyWMJ+OKQx3Ybu5k7Z319OkJD5MaMwKiPua+DX5 M4wLzGa7jU5pgpYMe6Fb+6hF5A7G6zjmhwis9c+y45vsk4xbV63mHXsxWVU8gRqig5Sxb4GAuEGi gYJnx7h/rK1RWEPkRJafGzAGV8opsGfHDgc62vZFVJhXxo87I0v650JZEeXI4lraWFrxHADz51B+ yip6nq5DqEA+JrXuEZQUvYCwGcTElmQLdqO8SMC5F/niGabjrl0iENdO4qMd63I3WqPdjGM6rhFZ HcuVF4qjtGV/5Ad1jzDR+FQm2raL79uC9gc7gOQZ7M/vWIF9Yw1FJ+qF3LCRkY/uBZK2wYNRWMQr G/nqOJYAjzFFWnIMvE6uMCmH3UfL6WcGCMkA8Wg3mf1a4G8ec/2K6fQBSsKbOR2NbAvsdL8he4mL gWSTHFlaNOXgVeq2Yb3xTrh/+/gPi9/JWVnNb0+aPgvLixUkS6BrXO1M4eV1DOArwn2TRwOjCjJS FqotlnbSijqAqJuas0Pc8X1o50IGlAi/Shl2cADvDbF95cJKT0IPKqqnKCu8a85+8zlXuDjAq9wz rZ43IkQ65tO7ntdWoC7X6vI9Gly3O+LDKeXJyiRNzrDlQYIqvya+Bmm2FWUsUtuJ6dB6Mp8Cg40U HlN2jJ3GywULqQYL0N/WOqob7cHU2ajmtX/Enx2BkGkbERe1sB7/QLTGgGsWlnGDIUdxK/NehoZ0 1ayClzG0IuaCvXcDNfNni3eP0NNVwBqSLegiejbtz649yQMVKOVKmFjgm8dyAL8DBMmtws+10Him yfmZMfSIvBBaiW1DrYfI480s0oMfEXPUJWTWP0yPLNBZRfq7cgnkFaWMpH18MCxSuyJisVmoek8B BwqJbhvj6kH3G1kJiyaUoZ5wVbognuSNFUwY1YIpwKlCNfk169YD2CpduOxFaXEl8wE7wkI7cUL6 p7wf3rutmfeDBlUZ/tuBD9EzTbO5RIA51jxpjLCBipC9ZxezMM4Zfs37KtlkTGwuiOeIlmFa4igS L2hiZw93W0WmsE5/6zPu1sSilVE3z0guUfa26NFalca4O+BDbgVL0zmL4wsxJrFsNlScwNdnQ+qI 6kPyaniVYipKW3vmF5p7tkMIroN1f6Gd8ISItSdrxJTlTVS2MPJ7jJdPweDjzvHQfVXjLtdwkKpM UwiDmuH9uNWN3bgVgWbB1c/MzKGwjU4QudzbMYKfgbI0a0Pk/nSdiymr2P4kXxFpdHxXnfBG5o57 imdA3e1MvBUwOZXLctyVAs+B5fZ+lMdRb14yJMVmcgwIxW/pHanIRWo9CbH3IobWIcBiI/00I9Bj f7X72hIHdPlJqCoyBYP42DDqeylHNHS9Y4vtylhz/b6TECZywKML329jPEzQIoqyH147D3A+enHL BaWTVWssuFTe5oTdR9NwsQvbK+AHESRerxNRGuer2L/L8qnPebBqKzprekyih/xPs7Pj5mS2E1Jz J6BhOJlzWd6pGdXp4goe+iSHvWbbBmJqhiUewQ+Scy1ZSsci09szJrjDVeTKtNIpJzrId/a0e00i 8ktfqFrLY8Vrvt1TtVGCC1gqTIeVEn506AyY4XeEk+rGwbvqRyFG16lA+EXTazC5bxNz6ScRZHh+ Ma4pxEZjYZssJ5Uq+dc3JO49OhigmeZ4eN0c0LJdsRkfDcvNpkFhcoEoNtdgTlwg9J9lye8+06SO DLtjcieqR8iwicvkSLfOy7tp/pq2oEJpjHzwfGq2vI8j+ynHUMdkk2JH/Zem5n7O/8YAPD+Na4XP 6s9/r5S0JJRWD0oM/K5jZlLwQ5+5gfHaOamfXbQhh6VUcqhBjqDO+IyuFTD1Pxce0lCGO+LmsU1h RuvrBuweiyyt5cNawkmzL3PLy6C5gugwjkQkOqhX/2cUmvs5wrfkqhQr/iclLljlNuhGi62SYjyh 3Ahxs5XYEUVXNEqODY1dlSC1wlAz19u0wJVbRV3+MgtjryRSwno5LJIRBn/xU1qMr8mabYKAucRB CSUt91rS1gB1vPZf9tzzt2yOhr2rTwo3CCNS7CQp9x8NIJOO0MkoLRL9mjmPRfqLW8A4FlTzicaC 6PxpGUZRxDq3E2D+HkiSeJtoVGC+2vNtbuVDnxmBVcMB6Z08edfkJIlL6P9fuXOsghAiakgr9rVq 59tq3exZjW52baGt5eO4geNJixyBi7EixZMXUaJXSuVisu7gc4p+LFyEy0yPbBqRO+MVK1/aVaKq 6hrDAbtA/E+RDx+47y2UrgzlhYycC/gii/CZKIy4mcvxwDN06r200NkETo/Tnu8ZgdhFvwFB3B/m DTGtu8Edt8oM2R8Zsh/OmLD7ziTKvyfGmb+6NtKBYyrnMI+5eLMX0X0Q7efPQEpVMD7oHDwqzRCI td9E+iefYFM22TrTWEPqd3f6BsyCKWZWPYG55PXd4UDxXWi8+XMm7euxrEeklkoufNlGkcBRoWGj y+UoYZ7lOwsUBaeufi9a67PfXOAiaMdngP/FptiaEQGPqzTZaFB3hUaiOkjo2fGtPm4hpv22Yhb1 KEqpH3aHfPRtGdK2BPTok/fnvVkIGg8mpGbbaxzn70OeNZexgAy1qwHkpIGQw3SzR/fCHwHthEr9 /AiUAia6YllE1MmVwPfwLpVnFg4lprJvm9NlHPwQmkgNXdMbTcMzZcfNAEK1Dcm8hupXKUCW1zaH CFCJH1+WKv6QJwKJZRkb23mM9eePKGdMEUevGZxihBZvDb7IvsBYOkHy6k8GD50ncB4KrwBq9ijo 9kgsyaD6VoBJ2qe6XZVn9qfBvbZ88ZdChnI7hjva08kIX+d7mSNy2GHCFRTC6n3JBjZBRRyzmOsA A9Gt+buOCNSHAQ+TX1lxT+6L5jX9lkpnvEpme9Q0GqtRrdfKmPIDAJ9BVwuMEaHqZsgYQSAOZC3Q fn8hLavf0G4nmA2Cg1saJHwz7c9PFd/qZi4HX2sW69uuqKEoiqwz161CO+e1eolUras6GWeQRNqc cE/1tLRaLlKfn4wO+L9ncqoACBZK1z774yG/k7OxIPwxI+a7wdEuNfZt23vagsbxcF+k4VKoZFCd SuI8QTdy0BV3HssfuJ1hCe8699bAYfcRUZ52u/MmujCwg0dFh26Sq5vspBUZg/r3nlsHg7fR88o/ BRlDDinnFjQhUlVSCgO8zS3jyIUFXSrp/CZh54DOOZJucER/iWi8Pv1mTqVQJ9YR1kdDalfdvUm+ ZHWO0QLjTdJ5FmyN2QTqj7n9NtmFP195ELC4PLsrzea+q9WvIPCUs/wJHDYIMu9zPbZnHUDbMH3R 5Qg82rosfozju5RXnzT6UGR19CefQIfuQ5dfeE401FEDDlgiw2v63usbFEi8cieH9MT1mse1thWM MiO5//A07ZPglimAVl5g/lPzzaggwJ7TBQv7ebG1/duqqqMdQvcQS8pxnAfesZPbjY+9SG5RODNr Ls5cgzskyPKfGq8Iqm1dqDf+RLF+9omX1oM+N5mmGUCLNg0w9Tn66hcYma10qilrUBYfCQ9ptyfB 8MHwBX3ziRp0W8xXjlJCpCs5ZHP4Kzu/UmS0CR3jjm4xVhibuyB6EFQ6wG0Rf+0pD0HG8vZ/Yy7Z rlTis0ZEpNnUXOVFw3I3/4+KBb6Er7owKLi0B4yTT8Yt9IeBAmr6x7IjgCj9B45mTqySq5/HUZQj xz5QRAjLSI5K2MKgQfWLhNWlRMfewFV0ZiB/IXCDj+Ex4oRtkXOnBzGWRQLnR0pS8Vc0ZNzwmmHX Nq5CBUQETINRW/AXSIf6s8eZJmXIMlPOGwyc5vj+RyQQs0KrjPHFEto/V47IAfKSUzTxAEXugb92 IL2xosVYsQNF1ar6DhNjdoh56l6DsAtzEuoW0ElEWOnb4y2/4CnLlfUs/a0bEdbX2aZ/WieXhOMh SnAOEI5ZVzoGfHYxj41ds9a+hwQ3GP2jS/XrqeyE4O3qLuVam+mWZ5SXHgNHHNroJFka0q8SGN8M yny0eXCTiq/xQDg9DvUjWYz3We7cXmmmjIO4svryFodtqZO2uV4KIQoKGb5FyLXEMHNyqRYv6jT8 hDx2wH8LAXrJ9lo/Qp0IesFtF0f3kWzH1DusYkM+F6c8T9RPpj0MWYq2NwWrahPJmWRrnj2mf3+d 5tvfNZ8Mlb5p1EVUPG/CKWyaehg/hqlVVEmVZPg5zpS78HViT5kqv2wwYhj1tdE1UAFTBDw0CGOD ERrUlKjJIey15W2frcvQ/mxgaYLEYe55Z4wJCuDwJ5E/H9vTLlrAcf7CclE6iLWi0YI0NSxaoeWA YO48YidzK9hgXH0ihd+f7KSwNKmre2ZTGZOjKdD3LivLVtM/Mfovf81/j+13JWCytPVFyPcnMxLy KB+EC6qwelyjFmkoo8gieJwdZLWEO76VqK/EI1es/AICKXgJR4+N0RShSKxS4qCsteIdhcaLjvfU S9a5JQ7MLv1kp7G3CE8pl2QHgPEL+MgA7Y1jTY9A1mHAK7GD5Tl1gfI5DcELRt0B5yv3mtFv41vh eNVMyZjpzpnyPWiREHmpKLO+TBCZhX8YGu50spASV7FUYp1yMv8Psy4GE2i6AHF/VJC3JpYzOmQE TJkDuXFKjEzFdopt7uDhufl+EYWXbnm/GUbg+uQMIz2r/neYwsuvhtiIV9V1nowlrBwQyz+dPGnR MZufKGUr7pzf+Kinp0DzG+8IYtVI2T0Ip3Ab7g9ZcDAVcU5knQQovbkXutoVGxLWQ/X7AvUbW5SU kzhEPX4W2hAwDI2DJt5ggAZkCxwBqBRfdTJ5a4386Jb/2vMVszt+SsfMiRhs9+xuAWqfUl6y7OT9 CuL3TCm+cBo8JQpbZWg0x5ldmM7TwF/CRgO5kTeuAP3PAHHZ1gFPDtcLx6tUeNh9QErrbfcuUsjM 03FgotC2LDRT9fHCdqxk9UtPcxlSsJAJf3lIKXSCp0npLXsH0R+kkVpFoSgnIqlchSZ2l21QJH1W eFEbcHWylSlGaBP9/Q/TEZBsHPb2Df578wfIN5FsCUGaTWgfLgKw4xaEikhzEklroVlC+/QZ2nVf nDxYobmYrweY8Bltt4OGm1kPWrBOnnPY7jfSVMToh32cExWgKk8psMjsHV+YKxvO5LkWbfIgdW+s uGyUN+Z6vZdM4Xx9xfbeIplep+fDsv0w0zH75QiYbPyIb0ELfn9K3A3QAfwNum/akAdppIZe59L3 nDGZcvxOveizfBEsG2lRYlC2FWvZuxR2CVMm3y2rrXp3YXiAasw3vFTzlUbpHryfBtWRHexkIpw8 3uu4WT/DGAyo4UOwqwCNfpTQWZ91hO903UfHxgeEEpBBMrlD7Z9xMqH8HFmL96uwcnK22q9F/0i6 xCAl7uy5SLWxqn0FbjEw+0B/MAnx39basXMEG9afJrX6NPv1+qJ6aj92Gg0mopwSIATeOEb9sata NKjivXyRFIWrmoNElU5GcmCbXogzP5bxZhgI56uC0/3bt+Kel9kRJUKl5Bfpc8gbMCMlok8ItYEA jqdU+tzfkLpXtSq/o6OQGvmyLuFlonkZuGgEjZ4g2KieWoWGU3by+YIZQCCDBLnO6zxfQh/FKsdV Y6cya0Fh2axjPsSjTqA0S3pgvKTpsqU39xCXK+uAd3uWabKn4OyQ2EDIvbul3sHqPJeR4TilsfbB kG0TQa34rnk8d7RjOnyxxRCV99avIMOQZnWV0608Akyhn+jKt0oKhR5YjKGYtKNVzxHyKYeZ5JRB vaWc6umEWHJ1hV+KCNB2fbqzNoxWjTEuksiRi+spgD+5Ubzs7REMjaRFh+RgKcVF/IrgNl747RWG UnzuASH/KkTmEykUG9JiWJB1KWiJnTe9RbE0HSt0YOi2Bgzdd1i2hjAtJnVO2nymHI0AmRiDr42q xWOdQIq0hZAE2V/rTIZtZYJ5OmHfPAiT+gcQ6k0hbO+OJ87I8Gr0j1puzOE8/jnBmwgnexQC0eZP LnRqPH3c7KUdKVDwdIqP5+E/vnVTbB+KGWVjGEeAGKNwEBPyfRrG6vQnGLlSpVZJPBNmE6R6fRAA /IwheSqD7nSByMsG/y7YQbeQiY0rccd3+u7K9XrkwlJFFDhRxqvg1Nx2JYrgWY2YXsLLuPS0Fbu4 NCnXvqIKDKTsUEzCs2jcGOd4SeVKdE5DUysGJPp8BNsvpfSyAoFComNXgIZtz0NyEY6OYnUMLaAN mumk5Nuj6V8UwRw4QXYYKSMttTeuXk/ES85DRqLtu3XmEMpqZMuIO9dSUog62lVMQ8ELmQ/01QeI 7cmLhlop2sPxr5TUP+JnWG69DwfB3L2MYgfVPaXRUcYW7RlOapw1PLk5FT7glDyIHd2ijtuM6/SF 1bKbACVoNlJtMK3gmGc43KLkZuQg4PlVILceANm8oBOA9Bx6Tdx98ohSPCKjoIH3qy1kGemNcUg6 nnEJAiQNoTmKEviunKbtmd8+aG6h/9+bPznSVYIi8eJVXhYMLebr1BJOE32l15iXVw43hlVgDqc+ skOydKtAu5yM7yoVPEMp9f73FqvWDLHtNc3FQbX/0WY3M65vzaxpPJA8Sn/47Zv7MzeSVJKfp844 1g/G1fel0d0fvIuPQm6klO23t0NSrvdHcKnCvfhhU5uGjZc0RRaEAjaB5pxFPJLW0Ky5cI8zCO+l u8hqDlcjHxIP+wOjWrmLOnJkCmynoqq0ZR/q1r1fSdZlbNZQn8W4Uypm396aSNcowEMC3zmHgL8O HVk3t4UUC2RC06eqDwnIDrEK8mLyMkiW8GeOAJZY7Vaygkb/t6xwJffXVkA3N/3OwtH9dExnzPZB dtUC0fZJwdvG+RIWJjrsG/ZS1LflSwfiEx/ri/rATpzAQ9IF0+y+rYL8hiV18Tx0umOPX2DbKtqO 7ECa2LQW+68I9uPnKE8B0nY94zcsbgPuBo7fBfts3vIN0sthk95AAEkLZbhDuQCRywJiSCNbghzb yz/HnAz2+PX9dGXLvlFPNnsxVCQjDhfrabQ+xvzKdOnPVBKDDvueRp69aUoOpWlMQgXqBRmopXf0 YbKSUfr/q0TE9QEjsCvLqXzu1u+WTflFO8PKldG8X3HmSar8g00VX0IKytcv8iOYu7GI+i453S2/ Be0fH19meW6tbGOPDoYoUMdMUG1CtG85XHA8OyOThEL+p4miGNmcsYUIIhXr9wKHR79d++iJHHuw A8N8gXersjBoFQmUwCX8b+2YIABkwczHsceFGe9PyTDWc2pnCCUE/EdIPVL4nwmBCwaFDB0HWbxR WTtUeib4nrzhKQQwnlGUTroYIgp/5RZYltE5DeK1e8SngJ+u3W+UYz/70CbuaCdslXbCokqk71KU ltuE0pJV91nVVErsfywJOHKnMmjDgqOcwRW8lGAmgQ+gv0FfHnrKaL7QdcIlh0/+Aj50lSlgTWRZ 2RXIV2LYWG5LAHSOIJ/3VV/kcaYReDVoFzJSupberFU5fjw958/v6xOaUmfJYNhP4+PD8DmXRQYH 1VBamDo8FsuVL+1+WsvOnBEZ1sX62v8aAHKEvMxqAaPKGslMgKzKy91muz20BF/1M3sqLE7FgkGJ AkT0F+bgRqPpVTEAjKXdvN19pQ4uwv7nw8VuvAsAi+Z2XI/zcKuyrk6Y4VWCimdAfG3jzdElX9T2 CVZ4E6MxjziCTI7KhvN/luytrqvGG7VqJevij4EWM49GjTL5TOozd2eUcWy692hPnJmpYNjxfgkM wAQvz5d16rWFbK5cqFRycBMpCENTVwuVfZd8P6ye8KwyGzTicbpo3rdqk71iOnBxQm0AMOwqfzoo iJjZAJLU40fULOCrYaxtjGg9RnFp8zKPNbhGW6ncvfh/mlSY+RwhN0pe656Q1sYCJP2ykOaMy3C1 U+yw5edtXli8XQVRTfvIW21fsyvBkJWzoE4e7j2QrqotcSLWw2LN/N9gRmAx+srBQSQOTldqdqF9 /YEiG4tc7eHuuLe9eMeSUCIaKUMiRUoSngeQ9EAMAadJsw9BdNG7mH+briA9sOJXpUnFxt/8ajUq p2xB1Z7uFmKrrqmH7jcx+52eHiw6G8rLt8sQ4haz4ek74EBuhZNkvPMpv29NWYANJ3qSGBMx4fwL igFiPOppYPyXCpIS4kKKDn4pl7vRmORYn4hj+HCUSdygF5yZSKw4Gg9J19g7xw8OQpQg6XQj3ic7 FkzzZuXYhR08kBZMLM6XH5+jiztvQC29rdTdMVTCOBwHpLqoYvQ93ktWGzeiPFE9xplnzS2a7aeH h4j31xjm6+VN/gHzC6o9LISwJaYvHSh0n1xDuNhTIW4+2HHqnMNr+LcqYdXCxDGM8wXP5/Cdzuwa qGmXwkwapnyI5VDONqj1bXbybIv6BViB9Yhxl3K6qzpUhBhI4LgBh7jmYkIvHyKzuBDtGg9TMuO6 H0StchEuL43vfkUCTjn6bdxRsGj2o95wfUKIs/Pl8c0cRCHb5jZKpENeMDb8WD2I4XjOWbqksdg7 Iqk48n04T/21ZC89DA47r9eIKX+6hjP7P44xNfbHXwApogN8U0AaAxGAfztM73uxzLpt6HwF+jTF bXGXSmj6SxKpTF4ry6v5iOGdOKejQvcpGaUcAiE5azCMGse7NLCNjtf70AUcHlvWhLFcZzOEqvZr CdrltVbhnI6/WFaM4MWgFgexGerAJ726OJ65qtiOqvX3Qt9CEmLMbuYcGPJ4XCH6NZLPWKruZR2u BRKBQjNwn/e45fGb24Ev+2U4z6BlhtFcIe3yhwQFrQ+k36MGT7Vy0l6y0P91MyDRGrVOqcb2Tn/4 6Teg0jteoX3nJwCD2pDxxe4q1MGmxzSkd5MJkJt6Bf01mj/uxpe/3DSMOeh8PYHbPV9lIaPO/hPy TOgehQPP+isiEP5+ofeSc77KryDC+N7TSPDzazPZ9uDEd8tPEYkN3G9oo8zK0Jtcm5wkZ6NVnau9 CMgz0VhIaDu3TFyrDzFhSzt7e4GcIPlezsrHR2SlfiuuV9d+aoWi+gXxTOCM7UIn6V1xSyzCRYaB jW6jraSon6a8/pa2RX+L4BtYWSJvNoOoUx6hU22jBuAIW7/JTJrj4OUjY8VogMeHOuc+Znb3ZO/6 bdKqxfkyxVj3eHcyM6n/7FPxArXB1otJ2QYQFbhr8XjMs+z72Kdax9auLZfKVRwrxzySwyAjAjL7 591h+wgQze3GYgt1ZKgjPUEDgIOoK5e7Y6iBsGF6tXkMmcAdvDNj+cVPVLllYikpytPN0kmWw6PL VjL1wzbjduUbIPBFtc8cbBCTPzi57ZVUtBLTV+jQEmv0vTQ8pGHEcZdDeCxoSeePv4voW8EuF0kl cKu9XvXg7ulzM9kDIVCSnwqZ5f+bu7vWVcnMMM/XR7tQ1fFARJHbZCZrx2t9uj7K1Iu0UhZyaiDG xhoSACUKp8N85S4BV0sk4YV8sb03np6wfL0ZDBxp3PK4ycqz53ldcYaXIMpJmBYnrWeEy+tPa4Rx 29M6j22w8R1kGkikj4GFvUgLjmqtzZ0fFoGYpM6SH1qMuOkJw54ggMJYNskZlN1ZsrM/IxRD9iT+ k1BsGIb0ranWXXKrQTmaFDQ0PA3jVGtG2ery23Hnxq+rmPqm2ai5KfnnwI5q3f+3h5xu36h4PVsp LE9420wARYg1dstXroiw2dw956plf70UrIMMlUaBSll9luDZTDdIgMyY8rLH841Mkus3DkxKIjV4 2U0fBpH5hFKBihCtrnF+jSmFRJnPyUsNCYbWcknKcbei5mg87sC2onP3FrZt/oizaF/LW82J+qTt 3T25sywYiPd4p5IOfJ9I6G5+A2wMlk7Ro25pBD4qw07LB7+n12FgBLpCXubGafMXgFdFaGTkYemL Th4FsZDYwhME13re3TxugHpw4dmJI5K/s9mcfWDlOWzS64jqMv7jQTGDo5br4wKqKyTEdP6ECvLf /obt5L4KWhunOioTzzW+EfRucK1TizqvhVSRc7mAugSLJpCeiCnGvqLxgQ4XRfY1JVKXAEIYuSw/ Ajz8WPy8y1ixlaEKtgcgV9edltlfb59BsOTLPfgmSZ1lx/5dgzyE0uz2Ke58xE1D5G+57dRcsqDN n5qjRVknqeeRCOPucp2Ae5Mf0qYR7lqO0YNIjUBPmHE1Z2VX7zFjSF77hlUac7WMi1jCL8pa75oc Xay91/+fcUCG15+Ym1JGVMvZWpEERExTYpvs2/CwyeeoTD/P1GTFquMUsf+Rf6TwU8Hhy3udkH/s iyjiaroXOyL/r14Dl9q1HnFMHX7gDMpPBsE3/SgHpOp+iti5Tn0UlofRqYQ7B+1ny7Ag03nb/ZHp tFKjOz/iBPNnpO6MamjuzVO0XGr2mQiTNWA1l97xGR6mYax+IoJoB9JCpjKIt6iQ+Vp81I4heUS0 c4K+s6F5a7JiSjRzihDBS4K2yRHORE+WO15n461xWqNHyYAGNZ+D8PUvp/rLQyAKPojYxVVAtiOE +oqxAOv64SeBW1VR96AhSPRw53sKE56X0BJ6lJIW2Ky3ZLSQJ3pQHWiQdm2v5qEcTT7PdsVkY0hH jw8kwjaOPmefklcoJ0ncb/JlsnBAXwJRm3KFYYHzZxfLuhIavceIJQ+glcVP8OWlMNer0eK9+nPD 93L5yG3BL4os1qFNeM0acfY7eeYo073qAfVDXyG4CUR1cmlC7uIU55YtFwJC7dPIDV5KV3JrMaXm QnVKERrNMkK3cH73ZMyrzUAM3sx0yH+dVvimnoDtUq2OKET3mA4Ki/0VsaSWXMK5ZQl/jJSMvtRp HI/zSx0wXi4YDBzdydbj1D5hKKucJ9mljWUgGOmbPGgIfdvO9WrRy/9R9scW+wl8zhk94q7wRJie rih2RbF3zOl9E3zaveJRlw6S0RX4T54HhJE2R1WNZAmwUixF8kEuUou1mEOqx37mfGYXeBLiQi27 lGWLDGBTPJkW5oFfS/hCaApXi/ojCAzkd7roCfSpCg5Pl5sTOTV3Pip4rWYLnPJqA+hp213ormsE nG4yTz/F14WUaxLBcoeU+Xoag7SsnDaI86JYkJZYoR6P/UQtYTqOe7ShwGbcZc5l3qGP2VmGcH6C MD3eDSJB6Yxv8oRdHniK03PhLikoF7zZto36xJfgzFyastwtp7+eqb5v21YCFmpa5T9BDOtapc7l ijgihDGiPnxnt53wafmj5UZ+3fJkMmIumeiI0xDVTPaG4glFKe2cy8k7vRGCeUftPjBafRshNxq+ nHeHcbAKDVfvOVYQ2DpMQwctCM0jZND7knTumFwQkhqy6Gtopp0VpoCAJg6fIR1LWGf2/CgBJXGF K7+ErT3cjjjBOIsqfwNjigKMlO035VjIaAc3nxI1/Jd5ikfKisNWSQsrJ7xCd9LXNUKWes5HV56x P6/5GG4Pz5n7kYJbap57DFQpdRZeBsBM4PLIzQL2X6E87bPT51rs7ZFvB/hjNFpWqaV5SJ45uWdq nygWLywZFuynYgBR46GtL4YxyrGYCG6pRsQRAphlTt1ErLjLJalT0XOtZfwCQTtYK5RU2jEtwI+G ALXXUOwc2snUDwgWwfss0SAV5NGMTdxY4L23TFEA3Q2KZoiDpeNWJ9CgjYvdM2aI0veIIlpjxMkK oIuQgmSdtXEuHzHgdHs/8o5J7WBK69+tm6b5XW3dHebzsb55shSBz59OpddERdhbe67+cv2Z4+LQ 45paUfvM0DBRCXe4LCgW1dbnayAxMYOf1j0EFtzvzbIl4HlDoOEEDbn/SDh7+KPYUSQ9s060q3bi Hika8ZDZ/VEihr7SS5KhfW6pysOMIkwayMLwIQ4F5QYZvUIu6ujkAUObDyNxh0zJZhleHL8gpbnC b5cXnadRsQJf7qkD47XFIYxMAf6GAeGMCER/pWxmwsBfvVmya1FCQFVwQgy9vXtQ3JkJivWt+RGg p37XH++hmZYtHyPiDPZBBEW19buPU3bfMRH0iGK3zxs7jwhwOgZBNrnFpsxnQfcmEVgBgepLLevL a+II6Pmz+8greFix1GxE6yj48BuDieVWYtQoYK1EmREGHSELeoKW0uVTddH/a69sTlbzheaV4+rJ asg1yCS4ziNWmh8ovMMGfTKjkVpnUmyIxk8mt00fkVUafvCQGrqhtzcwGwNj7qAst4szN2Mya3kJ VczAlJJ9re/cf8V7l5akzmFeGyVPILRDT9Y228W1Yq+il7zO9x5WtlF9E+evvwzTwAdqQGwbo+/O uiYYtOmHJVy6godb1A+L22u0oPXiGXVnzfwcfRMricVLqfzYV76rA85I+rHRoJkBKzKNakbpF+aU 6esh+D60WFap7tYy0QR2gunruL1JL2/EWPVDkHbFYSYjMPrGn1p7CHo4Bw+uGs3JukQszg+5zqDf Fw/Kd77InC6ZQQwNLnsgyXAmZdKB/jSA9o+divnekIzKH0UT79KrIKkdKUAUP24WVG/R31B8GdPx yJ4e8DH1kliyMhwfZ783kFKSGdRUJJQPNODhdefYNm1G+MVcnQx9MvHnZVF8JLqkGMjaPMr38uTj t0oD2RHdHfCmveGyeDkfRL2IzA98YGL9jFRxOI9Xokqvzec5Yl8DIo9xB5gvHyZShOLUPtvXDURT 23FTXCvQdGdUet9qztg7fSBnILCHGjeQaMDVY2XTIlp4xDTCPaRxlfaWwv4Eg28XqAHSQxu3nnto nT6C6HzW+1PYMb61HXtsKKqcFhxVLvFlxOzMW7fqspXCyyis0b6/Omj3vZxeFitB7VlSzyLH9Z+E hYwr7qv0lw3KBhHmo58Bfn9/AN7FMdAl1EscwjxJ5a0cBd4L72Z4BUdxs/4FFP4Yp6IYdNbzt3YP camsN+A6rbNnUavHMnG2V9JDvnDrxhA1HJvf1gB7ch+AR7LNDlUtydXqiPfM5NtI0A6LCEr1U+wN cWSxYaLxhE5mi1Pxt6v8Vg5ncINw5rETBc4IHPL6VeDAtDGUMmSbDYjm0Ivh54djo2wad4JmqYfV 8dh9JVFjMeunTBWQ4kBIA034lC+bRMLjV5rQbyS/J8HdoXYCJ/GOPI/r6ey22QrErOfyM+igc1YY s44XUPX8x2eC16yuZx50e3PqO1Iww1IYdh2eJFoaLCL5k1wuNhvEmC40ejEaj8LirSXyGavHeBQX RafORzt6mzHfi4/BNkQxBa1vcc5vnDmI+BWfl9ifXjKhwgXVB9wZTvzd8HDZfAjU5iHbsokM4cXe 5U2dc3fmEoewl2z94Hy+Ip3rAS+zy1H+46pG5fMVUE/OQKgStPiPd8y7FfUE7BSlDqTBfQabi2I2 no5mGRle+sK/L7kqbPX4tyWmlCK3j33NRjwnTrKYJnfE0CdorLUDjyWJq6maA2j8BD1rOAfrXwkp DBZlzpv7pp96Uk7TN2k1AfwsjIW7dqeei6V6je2ngZbep+l9EPVZHackKiaqdsymiTDrPEDGHrHc TkfzxbQ8hQ6IjGr9YXfjnloEUVLvkgygjz0QrLOu0giGQe747aKUzU3Ip2XvhNqvOHv0HRT0VMFw 6vYuRRYtBdRQoRqsC5nJNhEGHdgnw5BQ1lchPjj6B+NZyp9JY0cTB+aXEJnFDJQhHTbPkz6xqb/F u2232IHrKMbXAxDzfyJd9PnjAW7Xwcy9h2OnFNhBwGHKBDfOrlnmMJTNRwyMOTAbf5Kj/TbuemHT EZuDCJLndxrZ01jdvBQUzL+xrLn4+y3vmPRlHNiwz/a3Z+IgjIgR2PSp8sMRhhWJfV28qvK8DjMd NDRyUL5NJq5qB4N/j7lrmneGKEHioK8pKq/DX3Bzjv90YcJSw6T71G6gVvMKvlN7j/JyGWVqf7MT OXf4C/yPW5uLyb/13DqKutyug4RjZCO/2AZhzbbST8kua6OwcJV4A1Ysm4yAY1STdNZMVWKEM5sh vfWHzXBE3hy5yg6MlIeVY9gL9NXzP+k41eVFVKlYou9Qq6+UXYE6/r6nQYf77+/tWhD+I1NRuCo0 SnsO6WtENnaApucJmjJZntw6lEmuaGrzX3KN1Z3pNE26v5Un4Wx9ZXDx1RNdHJX7jRPjYFVjizCB GOB1mUq7+BZWUAtmR4umW2yhDiTzDdoHcfV9pk6700c9a2lfEQhTvj/T8RQRLuh9eRhTVBHtEExO iAWKM7H6sxu/UZJYcxlv+TnVLJwGcbPdPYj4BSctEZMfxoX/jZOr4m16Y63Wd0ksqNzS0jD4wT6C owAuYZ6uFUrstD+a9JUTBVdq5Xo5atqQ1fixwgUhIht8DaRI4HUqhGDhDN4a/9tBi8c8dHHtcbvg 9/gpPuikWJxOsWraTDFZ+u13GItX9D7Leqw4WhtGS+b00adrwrnsuuNINANJpFBGMSuSQAEdep/y nq/e+se5rWVwzTV/IgTqDGzYznV13Z4LhxTQNdJgXubHKPfrPK5SqDe5sACDdHnafdHvRRqgvX7F AFUFEghkPtSqr9/OlILcRGuQyxw0ndmBFQgiosZtLhyUMe9iq72JzbtNl+eBkvbtSja9G6eA4mJy 4z7M+QQBEotASzgCzGMtTpjzwm+FgVKJTiVbIUkUbtEI5Spp43yItEKp32Vo51eo6eEptmraBb0g DkS4CqasvE2LQ5exiMt0IM+zZSN5q/Wo1mRq0E4/m0GRyrqmcM2nrnt3XeBctqFOHZOQECfX8spl aZy80K7yCG1BfMP5f/nlkSwJqNqNaDg7hYsvSluzROlBv6t87o4iK9Ur8mp28iOCKiP2zbVT2Zt5 5CvpqoQR2iyLJhSCRFBsJeebO9HEgaqeGHOFFlt7hFWvz/eMOYbXS+lAcPuQHVa90jgNIKA7aGf0 Tf4BesN6TSSZckqiNgxCSVdu+CfyvPkD7afP6oi7SaSDMKNqoE3b4OhJZ9TfsZOmdvIA20r33kKp NENjbceJYHhz0dbPRfzJ4LVPXVczenxeXoQfFTkrLvRJb4Vs8FnME5KwjwJu2IPxfkb0O9pw2/K8 AGp8hQgMlzmftZqPjaCO34a1F7doG5VLQn+xdLVeBoyoMAiOG97WrVtxKdctK8SiVckSnRLjdWZO k9xQ/7PMDz2w/4+N0Cphe7VH+/4a5XX6FPgpCVDNj2u5d9RhaUs9kpcvsqRDp70QtXfMNTxjCGM6 A0SHbi6Nspf7metOPjd9YlzeeqEqOTZEBPM5Bue67U2ylHeretB52LWZkIVvd8JSWXj1iKa+T1ab Cqx45X0Huzs3WYWrNPaZfL60dlYmu24ErOYNZl3JR8gzBqzAry/GTkr/Kp9X8oHOzJHwJfP4okau +6mZRQUSq2QcmKXc/cZ6pcDKdE1iILHKXg/rcAwIStKYskBGOo3puZQBbME1M5K/jmW+Fgf0GHBU WyZ694Ji/eftlFpd0/+2ZJ0/zMmSf0OWZVkYCFiiAlF4IKIJfMjncs8w/F5KZaDi7MgJlskHLc74 W8D/5L97qe7A3Bn/R2sC/MNxKwrBl3watpJKyFvJ7R1dWYHJEe3FZddBJBFFiIYqWxz3yN2Hawlw f9HEte2nK95eEoFvC5bvq0bsxTG4Bwz30LR8GrbDt8ZpBvQyLgcFkCukR0k67jgFOVt4WEX0GD+z 2I1sK139tN6zL3KYURQ6741WmwJLa7UCF5NuK6f0D9qReP9VZRAig+8O5lIBCtUgvZA3OcueVxcc ywOukTriRWHH7P6SuUbSH05JjvRDs5PYjLKn7zCHvqG8AVTwU+BcPj5/FisCLN+dDpzyrdoJd7kn BiCi6H6J2p5d4/jysq+Im0h0V0mVB8aVRXncLYx734P35yeYJa2QlynetgJkyGDcTiI2Yf8mlCpp YXF+rKDHzUFmIH+RUN4lG6e19tfFMTKeiMNQRRz/gNajrMVJdbiv81B2mUf6SPBL81XVRZ6Wfpf7 PtJF9YS/kej1yFIf5CsEBglDOf+03U7F8JrFXzOzXDvyVKGsQXn9Ol9hvXkT366YEtHWe8oScS78 9y4C3hLR5lCeY7eOukzHK48nfGmo//oTvnkvmQG0al4A/5K8L8pSAB184pF3M+kda07tOPsKM6/D 24OdatQQ5dJYkPMPS+kGPpRJqVCUDQzEMUTv5ZWj9uhZu5W9m5od2pl+qRFqLO/Yx1S6dX9aIkk2 rmYn23Y3nEAB6iLYRQeEHmLqBSqC7YGNx9DvoLIwNMqHEG5XN9+9dDUB8/VtAkRNr25uN1DMrm3Q 95dYMNPrFbx2xc47/inkHL1DixLCuSbZH+kZO2lMVMIGtsUQlunaMqF6J9/02ik6XxMzYMiiqCiR Vjv0NntLsWu1Np9BGDxjR6xAOPRmps/AOFGZTO0xgPmTTOEdIiyb9BcSf1HNGLx83L+ZOUgmTFRv Oxe4CzBv4MUUBcEgWKCIeKOXmFudhRzDXRAwvreURD2Wf9HC3Tu/hnFwfNeUNaDOR6MQHqe8WTac bDDKoq7fg6m/i8XvbK9FVKHtkGgxvI5wwRdxJ6XaBqAh/FYYVVYqW2ZpDMAguqzVsn0091HrDp7Y 5D27/P5jDZefA+sdk3DTkVAyZC7yJnOx22BuCPICFTzqP7wiT6yQFUhHON/aLp8zdkKErw6r7zH/ wRhrHtrpmwxUbecBwTeZepRZJSkyqxrbZuVzYleZ61oQ8GspTOaeJbMBqB7BdaiMQJzoOQBkhfHt wY9b9iYnELt3J/sJzPKtQvXG8VThwb6FQS8PAaSxZ6szoj6hBJXtNFeHuLHj8AzpsfqFp13jjtWR xXKd5dOGuXCKX9jhGckTGIzwHQYaH76BJ5ltqouueujuBBQlpfKHu4wWLdVy1iIsXgB3b9NIbzvl XvMAYSwq+NtspABNIhWuel9hbJoBO81fajUmQ4gIXPZqmvmdCLrqAjLPLAUkIZHDFtq3HjBPK0J4 oawRl2ZyYPtpqGeScZFBL+lepIYhjD+SDQKoXVTuCty1voNzVPvJHokNffQY+IPXDHxUVkxGZOcT DLxts2q968EKUwItbCFJyjK1GW3+q5PCcoS97I8mbt1HyAW8DzUhuG4//rn7BYjE9MiLPH84itZC r6gqGmDLeMAC0kvowedxGpzhA9N/bMK8pn6omxaJI4ZCIMwcQbi+9gZ4ReuoAeVugCiKuMsA/xvh TJfmLZD4bNuDacyEPqFu7rOGGang/VS9TYmSgv7i8KQwcQi6wwc1IM7RJUojUZyJuEWy16KeYGwa JO6SoAc9BT4b97O9wh+4t18tonoPZYHPXiasW3Lz7NUzue6vPlnb5zlH8xyE5yajlkSkgH77J7Cp PFBztQ5o1KlJD6DQp8J1AcBXyu9LYevtng7KaIhW2W4Qo/OeuSAFMjaLDEur0gLtTKA8Ypa8JPkv fCbnyvBUWSO3CaT3vIeMvx6O5pRpGZ3PROgU8rAoQvrQthHg1M0EKs/OU7LmbpopYVF2jXzGHOGs ol/5YzVgvmRbVS7LQ7ltN1qiEyz4V9LWpfZPj1C8kf+RNEE7iyFIIcEyCWj/ddg5ebKc/YbX6LBS Wfbq9bpftCKBh3HBWfJXdjGi21PY5ETJRBxNNQnQ9tc2JkkK8Wq117DFZJmczwn8S5WaCF4sYoj+ Zgl1ZjKBzhbAOSK/tCdhsPu+OO/NnhDh07LKQEu8SwpsRaFfhW1PY1SwJZz6KsB0dpfGKQqZMT/Z DJhzTEvExxpnNs1HCONcbw8v/lrGJD/1WM3NbW4FFrJZ+eBuwSYDYnWtr1oRbY9g8+wbraoyNJRR kWX+zaG0KT2hm+ebKfdAYiKRItBW+4utWa3Snn9QdHXTw5UCJf4L3sMrHfxdBxuhKVoWAk60CTV3 MvdEQBOBnXdgGOYdWjo8fZnlzBEQITlaOMlbkIHRrKex1tREQm15LYKPvdhelDsXurQDsiInMGBW tkZZwUWWVuJQzbBAA577A1gApOgH+Zvk1uQFv3d/xHt3gITTWg7kxKqHqqN/vc7W2IJasUrH1+p5 MGUZVK0Z1Hxd3ocqZ6Vbtvsvpz3M41aijc3GB6RIc0vVLf0qG9njKo/u4GdCpGe57ciHJqXVRYB3 NGY4unGlEvixKhSRSNT3M/fz3fzjRzMty3CwOBAMjunMOPDqBkL0eaEXKG0dHm4tIbCeWqRjFYGf t8/7YZnqSPNYEi7oLxKa+SIBYVmDOzZmbHMiFk2u/Sj4inupW4olbdC0GYnkaRRB5GZiWsJ1+d9K DoxvIee+XwlIZPsFGD2gzrkSZYI6rYBhGH294+9BKfq8HnrTDs0YpUpW+hJVkyIdecOHRXMyUhN/ NAvCfaWW8xkCKlM7xHuDvDO/VxqJRpJZI//XmM+Up2pinKZqOtXnstfJeu5FDS0S0KiA9bxsT028 dv3nhbEcY8gb6/gcuvLvh51tB2yHEqkjcxPSjdYuej6LAQc43reAsE9CUHnkV03L/BLIjKsnry4w F4RC/VLRUtNlURY3rLN6Oa8pRMBogiRzl6fF/aXa7yHfcCcU1tuABE019ku03CVfM498B5OuBJyl kTM5L85KvHLn7wU55253Mk4MkjkX0VacdyfgBT6TGEZXtjrd08dXM+TEt3NBmqbTyLgDyKtkhTj/ OxHrDizksJyfYCBkZbm8FUSNuBF3X9jnN2S/O45jfmrA1qNzlY4sUSJsXkSSF9IVRJQzT/tXCDlf xCZ3YcxKOR8JnsbrBO/nc1h6JMIcbo+rdM0jtIk6NK89FAdfrB/O47XFci7PLFi5Z7ZqDzJ2yp6N WQbVPGDGWCp0Svx6YpE7+qQqmnQyAjPY42rI5nDa38OCwJ5mpfKi4nZWqYmQzE6Pivxn7FiRmCX2 qSkN1l6eVGhzM+F735vKLiKszKuHYZT7qgJYLfCoXmjjQ196BIpdGAo0T2po71N1Dm8AcUDe9Bec UsQrNl+B6e7N6URTU06z2qtSaK1M0CqxsZd1rnva/Rl+RnCaaatCgmFskQfmPXfEtqvgpGsJKx2Z VgbFdzCEtP6EK5BznVUtFiHtHd3J4u5rd9Wphz6ofAITPGxh4pqxscAsrBqrsIFHrFDT5BTkmwuu RT0uB+beHXqCT65yNegasGCmWPW6ByxKMAc3HjVrlSYUoLx1Y6afR/MLttGXDSSaMO9qdTbgpyyG c4V+jLhAIBrjOC6410pO+hFFMff9HsX3K15nd30rfgc7R2hXkUyS9P+5iEvB2vaPnpBFXU0QmvqT mytmCYvTAggIpaKNMozJka/ePIvtRItMXVA4AoZ7c4vUBzRNQKV74hy5p9mZAY4mn3TWPC8cgeDp xPvXdzVP0tIOA+XtU444lhHAaWVK2Injo6GnHOLLG717RtmzwRv4Tyo31F7k1ncAvPNprZBEJ/F4 CJVgB/GtkTIcMn90CB7Vo6zScHPfO7CxtUiUcVlVrRSycuHk+pNEL+z9cO7a+Yo5YjMyjag/hKIm 1QgVpohywJxMTP20fN+unpDGv+zHF7ol1DEPKwzAdT7LfHg/JUF9q1cyFciwo5uYw7lnIVRx4Jd9 bkirFnLop9yCvQTJqj0pDowO45p7io0gl2Htop8LRpeD1kwYxywcbzezoatoi+j9FxVutvuqjjs7 tDNoqItqEYieUdCM842LUF7c78opwgaTs7a4K3krKqo05b4Q9JlO+OH8CbMJJfdv+8Z9ZuAfDzOZ riLCs6o43Rn+xo8zzgW1ugq52Y4UcncBSSK79kFCcueYn6JdhThrTAeLgMAvL3d2Fw2M/W428J+g Oa2qeCHKy01FzanDr5ULQNw8vrK12M6XSAJUAdBUa9qyoHxv06azOYNJIurc2nnPIMHKO1ZoLoeX m1x7C1YKt0PdooXXkMOH8t5uoDN65bIz+Nn3gUhOa4QzAFKb80bHabKVnreGHGX9fiE0TR8hdOZn KgKuctGFBspRWUCLdoWOALCIA+9532XHOCKopp1a9wgEgjOnHfaxeaD0Cb0kJ6MXk8QGnRdWNOvq n3BYM0TWrxTsGxvBhbh9OuZWtxF2P6FNRA== `protect end_protected
apache-2.0
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/lmb_bram_if_cntlr_v4_0/816dc01c/hdl/vhdl/checkbit_handler.vhd
1
22877
------------------------------------------------------------------------------- -- $Id: checkbit_handler.vhd,v 1.1.2.2 2010/09/06 09:01:24 rolandp Exp $ ------------------------------------------------------------------------------- -- -- (c) Copyright [2003] - [2011] Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES -- ------------------------------------------------------------------------------- -- Filename: gen_checkbits.vhd -- -- Description: -- -- VHDL-Standard: VHDL'93/02 ------------------------------------------------------------------------------- -- Structure: -- gen_checkbits.vhd -- ------------------------------------------------------------------------------- -- Author: goran -- Revision: $Revision: 1.1.2.2 $ -- Date: $Date: 2010/09/06 09:01:24 $ ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; entity checkbit_handler is generic ( C_ENCODE : boolean := true; C_USE_LUT6 : boolean := true); port ( DataIn : in std_logic_vector(0 to 31); CheckIn : in std_logic_vector(0 to 6); CheckOut : out std_logic_vector(0 to 6); Syndrome : out std_logic_vector(0 to 6); Enable_ECC : in std_logic; UE_Q : in std_logic; CE_Q : in std_logic; UE : out std_logic; CE : out std_logic ); end entity checkbit_handler; library unisim; use unisim.vcomponents.all; library lmb_bram_if_cntlr_v4_0; use lmb_bram_if_cntlr_v4_0.all; architecture IMP of checkbit_handler is component XOR18 is generic ( C_USE_LUT6 : boolean); port ( InA : in std_logic_vector(0 to 17); res : out std_logic); end component XOR18; component Parity is generic ( C_USE_LUT6 : boolean; C_SIZE : integer); port ( InA : in std_logic_vector(0 to C_SIZE - 1); Res : out std_logic); end component Parity; component ParityEnable generic ( C_USE_LUT6 : boolean; C_SIZE : integer); port ( InA : in std_logic_vector(0 to C_SIZE - 1); Enable : in std_logic; Res : out std_logic); end component ParityEnable; signal data_chk0 : std_logic_vector(0 to 17); signal data_chk1 : std_logic_vector(0 to 17); signal data_chk2 : std_logic_vector(0 to 17); signal data_chk3 : std_logic_vector(0 to 14); signal data_chk4 : std_logic_vector(0 to 14); signal data_chk5 : std_logic_vector(0 to 5); begin -- architecture IMP data_chk0 <= DataIn(0) & DataIn(1) & DataIn(3) & DataIn(4) & DataIn(6) & DataIn(8) & DataIn(10) & DataIn(11) & DataIn(13) & DataIn(15) & DataIn(17) & DataIn(19) & DataIn(21) & DataIn(23) & DataIn(25) & DataIn(26) & DataIn(28) & DataIn(30); data_chk1 <= DataIn(0) & DataIn(2) & DataIn(3) & DataIn(5) & DataIn(6) & DataIn(9) & DataIn(10) & DataIn(12) & DataIn(13) & DataIn(16) & DataIn(17) & DataIn(20) & DataIn(21) & DataIn(24) & DataIn(25) & DataIn(27) & DataIn(28) & DataIn(31); data_chk2 <= DataIn(1) & DataIn(2) & DataIn(3) & DataIn(7) & DataIn(8) & DataIn(9) & DataIn(10) & DataIn(14) & DataIn(15) & DataIn(16) & DataIn(17) & DataIn(22) & DataIn(23) & DataIn(24) & DataIn(25) & DataIn(29) & DataIn(30) & DataIn(31); data_chk3 <= DataIn(4) & DataIn(5) & DataIn(6) & DataIn(7) & DataIn(8) & DataIn(9) & DataIn(10) & DataIn(18) & DataIn(19) & DataIn(20) & DataIn(21) & DataIn(22) & DataIn(23) & DataIn(24) & DataIn(25); data_chk4 <= DataIn(11) & DataIn(12) & DataIn(13) & DataIn(14) & DataIn(15) & DataIn(16) & DataIn(17) & DataIn(18) & DataIn(19) & DataIn(20) & DataIn(21) & DataIn(22) & DataIn(23) & DataIn(24) & DataIn(25); data_chk5 <= DataIn(26) & DataIn(27) & DataIn(28) & DataIn(29) & DataIn(30) & DataIn(31); -- Encode bits for writing data Encode_Bits : if (C_ENCODE) generate signal data_chk3_i : std_logic_vector(0 to 17); signal data_chk4_i : std_logic_vector(0 to 17); signal data_chk6 : std_logic_vector(0 to 17); begin ------------------------------------------------------------------------------------------------ -- Checkbit 0 built up using XOR18 ------------------------------------------------------------------------------------------------ XOR18_I0 : XOR18 generic map ( C_USE_LUT6 => C_USE_LUT6) -- [boolean] port map ( InA => data_chk0, -- [in std_logic_vector(0 to 17)] res => CheckOut(0)); -- [out std_logic] ------------------------------------------------------------------------------------------------ -- Checkbit 1 built up using XOR18 ------------------------------------------------------------------------------------------------ XOR18_I1 : XOR18 generic map ( C_USE_LUT6 => C_USE_LUT6) -- [boolean] port map ( InA => data_chk1, -- [in std_logic_vector(0 to 17)] res => CheckOut(1)); -- [out std_logic] ------------------------------------------------------------------------------------------------ -- Checkbit 2 built up using XOR18 ------------------------------------------------------------------------------------------------ XOR18_I2 : XOR18 generic map ( C_USE_LUT6 => C_USE_LUT6) -- [boolean] port map ( InA => data_chk2, -- [in std_logic_vector(0 to 17)] res => CheckOut(2)); -- [out std_logic] ------------------------------------------------------------------------------------------------ -- Checkbit 3 built up using XOR18 ------------------------------------------------------------------------------------------------ data_chk3_i <= data_chk3 & "000"; XOR18_I3 : XOR18 generic map ( C_USE_LUT6 => C_USE_LUT6) -- [boolean] port map ( InA => data_chk3_i, -- [in std_logic_vector(0 to 17)] res => CheckOut(3)); -- [out std_logic] ------------------------------------------------------------------------------------------------ -- Checkbit 4 built up using XOR18 ------------------------------------------------------------------------------------------------ data_chk4_i <= data_chk4 & "000"; XOR18_I4 : XOR18 generic map ( C_USE_LUT6 => C_USE_LUT6) -- [boolean] port map ( InA => data_chk4_i, -- [in std_logic_vector(0 to 17)] res => CheckOut(4)); -- [out std_logic] ------------------------------------------------------------------------------------------------ -- Checkbit 5 built up from 1 LUT6 ------------------------------------------------------------------------------------------------ Parity_chk5_1 : Parity generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6) port map ( InA => data_chk5, -- [in std_logic_vector(0 to C_SIZE - 1)] Res => CheckOut(5)); -- [out std_logic] ------------------------------------------------------------------------------------------------ -- Checkbit 6 built up from 3 LUT7 and 4 LUT6 ------------------------------------------------------------------------------------------------ data_chk6 <= DataIn(0) & DataIn(1) & DataIn(2) & DataIn(4) & DataIn(5) & DataIn(7) & DataIn(10) & DataIn(11) & DataIn(12) & DataIn(14) & DataIn(17) & DataIn(18) & DataIn(21) & DataIn(23) & DataIn(24) & DataIn(26) & DataIn(27) & DataIn(29); XOR18_I6 : XOR18 generic map ( C_USE_LUT6 => C_USE_LUT6) -- [boolean] port map ( InA => data_chk6, -- [in std_logic_vector(0 to 17)] res => CheckOut(6)); -- [out std_logic] -- Unused Syndrome <= (others => '0'); UE <= '0'; CE <= '0'; end generate Encode_Bits; -------------------------------------------------------------------------------------------------- -- Decode bits to get syndrome and UE/CE signals -------------------------------------------------------------------------------------------------- Decode_Bits : if (not C_ENCODE) generate signal syndrome_i : std_logic_vector(0 to 6); signal chk0_1 : std_logic_vector(0 to 3); signal chk1_1 : std_logic_vector(0 to 3); signal chk2_1 : std_logic_vector(0 to 3); signal data_chk3_i : std_logic_vector(0 to 15); signal chk3_1 : std_logic_vector(0 to 1); signal data_chk4_i : std_logic_vector(0 to 15); signal chk4_1 : std_logic_vector(0 to 1); signal data_chk5_i : std_logic_vector(0 to 6); signal data_chk6 : std_logic_vector(0 to 38); signal chk6_1 : std_logic_vector(0 to 5); signal syndrome_3_to_5 : std_logic_vector(3 to 5); signal syndrome_3_to_5_multi : std_logic; signal syndrome_3_to_5_zero : std_logic; signal ue_i_0 : std_logic; signal ue_i_1 : std_logic; begin ------------------------------------------------------------------------------------------------ -- Syndrome bit 0 built up from 3 LUT6 and 1 LUT4 ------------------------------------------------------------------------------------------------ chk0_1(3) <= CheckIn(0); Parity_chk0_1 : Parity generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6) port map ( InA => data_chk0(0 to 5), -- [in std_logic_vector(0 to C_SIZE - 1)] Res => chk0_1(0)); -- [out std_logic] Parity_chk0_2 : Parity generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6) port map ( InA => data_chk0(6 to 11), -- [in std_logic_vector(0 to C_SIZE - 1)] Res => chk0_1(1)); -- [out std_logic] Parity_chk0_3 : Parity generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6) port map ( InA => data_chk0(12 to 17), -- [in std_logic_vector(0 to C_SIZE - 1)] Res => chk0_1(2)); -- [out std_logic] Parity_chk0_4 : ParityEnable generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 4) port map ( InA => chk0_1, -- [in std_logic_vector(0 to C_SIZE - 1)] Enable => Enable_ECC, -- [in std_logic] Res => syndrome_i(0)); -- [out std_logic] ------------------------------------------------------------------------------------------------ -- Syndrome bit 1 built up from 3 LUT6 and 1 LUT4 ------------------------------------------------------------------------------------------------ chk1_1(3) <= CheckIn(1); Parity_chk1_1 : Parity generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6) port map ( InA => data_chk1(0 to 5), -- [in std_logic_vector(0 to C_SIZE - 1)] Res => chk1_1(0)); -- [out std_logic] Parity_chk1_2 : Parity generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6) port map ( InA => data_chk1(6 to 11), -- [in std_logic_vector(0 to C_SIZE - 1)] Res => chk1_1(1)); -- [out std_logic] Parity_chk1_3 : Parity generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6) port map ( InA => data_chk1(12 to 17), -- [in std_logic_vector(0 to C_SIZE - 1)] Res => chk1_1(2)); -- [out std_logic] Parity_chk1_4 : ParityEnable generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 4) port map ( InA => chk1_1, -- [in std_logic_vector(0 to C_SIZE - 1)] Enable => Enable_ECC, -- [in std_logic] Res => syndrome_i(1)); -- [out std_logic] ------------------------------------------------------------------------------------------------ -- Syndrome bit 2 built up from 3 LUT6 and 1 LUT4 ------------------------------------------------------------------------------------------------ chk2_1(3) <= CheckIn(2); Parity_chk2_1 : Parity generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6) port map ( InA => data_chk2(0 to 5), -- [in std_logic_vector(0 to C_SIZE - 1)] Res => chk2_1(0)); -- [out std_logic] Parity_chk2_2 : Parity generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6) port map ( InA => data_chk2(6 to 11), -- [in std_logic_vector(0 to C_SIZE - 1)] Res => chk2_1(1)); -- [out std_logic] Parity_chk2_3 : Parity generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6) port map ( InA => data_chk2(12 to 17), -- [in std_logic_vector(0 to C_SIZE - 1)] Res => chk2_1(2)); -- [out std_logic] Parity_chk2_4 : ParityEnable generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 4) port map ( InA => chk2_1, -- [in std_logic_vector(0 to C_SIZE - 1)] Enable => Enable_ECC, -- [in std_logic] Res => syndrome_i(2)); -- [out std_logic] ------------------------------------------------------------------------------------------------ -- Syndrome bit 3 built up from 2 LUT8 and 1 LUT2 ------------------------------------------------------------------------------------------------ data_chk3_i <= data_chk3 & CheckIn(3); Parity_chk3_1 : Parity generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 8) port map ( InA => data_chk3_i(0 to 7), -- [in std_logic_vector(0 to C_SIZE - 1)] Res => chk3_1(0)); -- [out std_logic] Parity_chk3_2 : Parity generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 8) port map ( InA => data_chk3_i(8 to 15), -- [in std_logic_vector(0 to C_SIZE - 1)] Res => chk3_1(1)); -- [out std_logic] Parity_chk3_3 : ParityEnable generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 2) port map ( InA => chk3_1, -- [in std_logic_vector(0 to C_SIZE - 1)] Enable => Enable_ECC, -- [in std_logic] Res => syndrome_i(3)); -- [out std_logic] ------------------------------------------------------------------------------------------------ -- Syndrome bit 4 built up from 2 LUT8 and 1 LUT2 ------------------------------------------------------------------------------------------------ data_chk4_i <= data_chk4 & CheckIn(4); Parity_chk4_1 : Parity generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 8) port map ( InA => data_chk4_i(0 to 7), -- [in std_logic_vector(0 to C_SIZE - 1)] Res => chk4_1(0)); -- [out std_logic] Parity_chk4_2 : Parity generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 8) port map ( InA => data_chk4_i(8 to 15), -- [in std_logic_vector(0 to C_SIZE - 1)] Res => chk4_1(1)); -- [out std_logic] Parity_chk4_3 : Parity generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 2) port map ( InA => chk4_1, -- [in std_logic_vector(0 to C_SIZE - 1)] Res => syndrome_i(4)); -- [out std_logic] ------------------------------------------------------------------------------------------------ -- Syndrome bit 5 built up from 1 LUT7 ------------------------------------------------------------------------------------------------ data_chk5_i <= data_chk5 & CheckIn(5); Parity_chk5_1 : Parity generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 7) port map ( InA => data_chk5_i, -- [in std_logic_vector(0 to C_SIZE - 1)] Res => syndrome_i(5)); -- [out std_logic] ------------------------------------------------------------------------------------------------ -- Syndrome bit 6 built up from 3 LUT7 and 4 LUT6 ------------------------------------------------------------------------------------------------ data_chk6 <= DataIn(0) & DataIn(1) & DataIn(2) & DataIn(3) & DataIn(4) & DataIn(5) & DataIn(6) & DataIn(7) & DataIn(8) & DataIn(9) & DataIn(10) & DataIn(11) & DataIn(12) & DataIn(13) & DataIn(14) & DataIn(15) & DataIn(16) & DataIn(17) & DataIn(18) & DataIn(19) & DataIn(20) & DataIn(21) & DataIn(22) & DataIn(23) & DataIn(24) & DataIn(25) & DataIn(26) & DataIn(27) & DataIn(28) & DataIn(29) & DataIn(30) & DataIn(31) & CheckIn(5) & CheckIn(4) & CheckIn(3) & CheckIn(2) & CheckIn(1) & CheckIn(0) & CheckIn(6); Parity_chk6_1 : Parity generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6) port map ( InA => data_chk6(0 to 5), -- [in std_logic_vector(0 to C_SIZE - 1)] Res => chk6_1(0)); -- [out std_logic] Parity_chk6_2 : Parity generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6) port map ( InA => data_chk6(6 to 11), -- [in std_logic_vector(0 to C_SIZE - 1)] Res => chk6_1(1)); -- [out std_logic] Parity_chk6_3 : Parity generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6) port map ( InA => data_chk6(12 to 17), -- [in std_logic_vector(0 to C_SIZE - 1)] Res => chk6_1(2)); -- [out std_logic] Parity_chk6_4 : Parity generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 7) port map ( InA => data_chk6(18 to 24), -- [in std_logic_vector(0 to C_SIZE - 1)] Res => chk6_1(3)); -- [out std_logic] Parity_chk6_5 : Parity generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 7) port map ( InA => data_chk6(25 to 31), -- [in std_logic_vector(0 to C_SIZE - 1)] Res => chk6_1(4)); -- [out std_logic] Parity_chk6_6 : Parity generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 7) port map ( InA => data_chk6(32 to 38), -- [in std_logic_vector(0 to C_SIZE - 1)] Res => chk6_1(5)); -- [out std_logic] Parity_chk6_7 : Parity generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6) port map ( InA => chk6_1, -- [in std_logic_vector(0 to C_SIZE - 1)] Res => syndrome_i(6)); -- [out std_logic] Syndrome <= syndrome_i; syndrome_3_to_5 <= (chk3_1(0) xor chk3_1(1)) & (chk4_1(0) xor chk4_1(1)) & syndrome_i(5); syndrome_3_to_5_zero <= '1' when syndrome_3_to_5 = "000" else '0'; syndrome_3_to_5_multi <= '1' when (syndrome_3_to_5 = "111" or syndrome_3_to_5 = "011" or syndrome_3_to_5 = "101") else '0'; CE <= '0' when (Enable_ECC = '0') else (syndrome_i(6) or CE_Q) when (syndrome_3_to_5_multi = '0') else CE_Q; ue_i_0 <= '0' when (Enable_ECC = '0') else '1' when (syndrome_3_to_5_zero = '0') or (syndrome_i(0 to 2) /= "000") else UE_Q; ue_i_1 <= '0' when (Enable_ECC = '0') else (syndrome_3_to_5_multi or UE_Q); Use_LUT6: if (C_USE_LUT6) generate UE_MUXF7 : MUXF7 port map ( I0 => ue_i_0, I1 => ue_i_1, S => syndrome_i(6), O => UE); end generate Use_LUT6; Use_RTL: if (not C_USE_LUT6) generate UE <= ue_i_1 when syndrome_i(6) = '1' else ue_i_0; end generate Use_RTL; -- Unused CheckOut <= (others => '0'); end generate Decode_Bits; end architecture IMP;
apache-2.0
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/924f3d25/hdl/common/synchronizer_ff.vhd
5
8637
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block TzIiEMtvO6aATpAtL7cifRYecx7zo8XqEtOIGl2U8qAWu4EPO5yX9IJNXk72IOS1ltnUXQqR69f3 B6QpVjfnPQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block DxJZUvltyEq6qJ3C722l3oEd0ejNiidFAUUWEZRjnajRyvuRKM4LnkdgMjQh2z1Z9JnU19tU0Sh1 xsX2zoJhW1PNZr3YdKS7kREU2ZaIrR2dYK7qVamHmjMmsyAYqRESuxPXEsNLBBG9bizURmqkRCSm Yrlp1QWTnXwxQ5hvnC0= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Hdn4/V2pUq9izJyUhDCyZDTwujvykX/eyFQ3+UnsybE7V475vPzplMT4cOPeFuUq9BYQ4STnr277 iDaaRHFzLn5ct7Tn1XLwWw0gUj9ktqROMa1Pc64mnLuJXtw3JUM5fVEaZCR7/HZpGAtV+dHw/fAI 9Ddt6mZ5FSiEFgui0xL/koc8zo1jac4MMeBaHasqb0T5WRUws3n/yBxyACXsUpNEiEL5UNaGu5s3 S16xeAuK7SqIE4DtgxqBYYWx6eiy3Ws+k5on7TW9LFRC49uaVchs8B/AiYMXmx7Uk3R0XySa0Jla wy3MR+rjV+p9WbTFsR0Ia+hiTyVluC6nuQ8T/A== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block g7/I+Zv7t15xDNb79Z5Z0LdkVeoQuvr9vwEyA9Gz7P+XXaL3mKtC9ba6fHja6T4sIcW9smQKlrpI UIbpE6b7wC27IdfUaVenB9eSLp1oim6Ym6iOVaHIzIY7MOyUhce7HOsye7kinmZ+2UrLH/XU/swZ CdXbYeuJcBbKnxo2e9w= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block OEKn+Zcnj7wYOTFSM6WyEqNWHcPmFfVh8vYwq3uT62xdINEZ8kOtiTDz/W8P+37aFQBu392Ro2Dr Dpt22eyk8hM6CwjpHmO6+pJu8gMM/Iascx5fxY39tNbZJTPdvzF3IlurziOuz7a5UySS55OmbTSW WhFJ+dquq0sO+XSnH0q3dR+FSboyYyg9SpGRn5PKwD75+8HK8M9Jnxd4fsxFvgoCNmXBNng0XbX3 AcX3/VkKpWTzD2/EdVc7lqcH64jbK9J5vqeE3+wIlaS7tPDigA5VeWPK1rCcOEO6FTvl/i86DYIO i+IdRlrGcK3jiZzWJo95VidfPqrycYocISMknQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 4656) `protect data_block WSb4NJMG6tDDjWuGtoGDDfKJTo5PrXaspzv6esWhmkP1vGrKF6CTAmC4qVCCDf4MJ4WgSwdNM5ki fiiidiYaUn+oDj/tbwuG8QUzt3WO/HILmeEf6ObuhlsD60ijhw8ByEtRLrorvTdQpiaHbdo87k5w y5m302HMWD2lCT0HEo12CJLE+yAyxZCYNc17s67OcbW2t0aDuzRYAiOFbo9NgUMq20qqDorQtoAc Y5MPw9ZCgudWCB3TBZ7VvnuvX285JWC99RAnuqv1Qxl4hZpnoE5R1tykpZQTwXfukKLMFwPFxbzV WCPeO39n3bFZa/VEX9grbmi7HEO9l3TSuA9f27YFwVZ9PxPUmA9IZjyUGgPJGDLXBagY/gWe+ngP t6UyujhbT5TlFHxioUbwL30m3kt8XpRXDiHvu68VnHmun/zA/DwSujw/wBlQIpEMq+gjcU5bKGF6 X8WPiT85YE08r2ABY1y09QFpP3R1BTjfZfRNc3PZRC6ID5Lhw59XVACLTKFihsOG6QAIx1CCLtM0 Og3AW7i3K5C089ykfVDvcWIU7iV6+0Uk1anl5REQ4n69eelsaS3MZHo1HPnjh8fNjhLOQ0VvcLDs hOoA4twQ0S35GTdFuyG0CKPivxEqpkWLtodYtwMT6TjTfeU23CxdLrzbZ/R+ERDpyNEEJ+9jUIZD 5HZjkLpwOVV3fHv/Pglmc+NURNEPiGj4Im01Wo/21HV7xprz3hW7ga9eaJxZmYliRm/kF1allwzt lw1cQxVPlkoDI4MUo4iMEwjhZ5MbIFgStUOpEVyz0gRWesrQJGEWoXfww1QXo29aIxIOeTzkkigI QM63Qq2Mm7+lcv1nTWfYP+cTV92Sl4rrAsJdaj0GUE44f0Q67mwsed9rEEqaBWxIQA8fEUY2AO9U 2ATcn13tlWv944Qd1vAyNm2/uqVofRx2d3dpvgEgIfw5aXQEx6zi3tvP3RmImDK2EL4tpLGKUevs DCO6i+eTjB1sSf/Dtk399YZl0kaDoh0c0zqEfHlgbsiEpsBhk8AHBkXZbXY8AWrwXa+VXYarICII GHEtdx/aHrUR/RXeHeYQp7cfOLM2eCpmWJ+2/KBJFtylwh6kzur1gGXLljDa3Td70GzRK1RjoET/ B1TMtHawAS+VAEmV/RKWjuOKVsI10oqbxEdYKFQq0SLn6c6+kZC4AevLp0n3IeHW9X+0X4ceF+Qr StUY3BscjfRhBpVSLCPtKoYCXOApMqiwmZIxaeVZ/KWJoi50HB6aexfsUXo9ekUilC7Mw8jSVAhN JmxA+QozVWJQhPhRt65GaMWcn/pkCK2n4tyQ5Di5Oa4t7CcwYYzEXZMIKw4D1iSo+RrcOmAArvYA 20AQyfQ7C5RsSFv4ZexQ+5pW6hPlbIkJOfn/YY3m4igIvfYBFbnE3HV0JFR/x7i5aqFw+GTTw4eM +h1MhRZFQLDoEUoMk17F58GxJ8G1JYVI0ki8EhRGTWce/f4eLMTKdv0/JjDIUk9inxD3GeTAll7+ AMyl1iKVSIgD4moN8xwCfunj+PSULyrJkKGyohYicZS0YOJ3333chcq25BAEYPGj1JqN4xc4gjbJ E7Mf0+BPIpRhJ3iS/Fqp+v6QDDbP4kTgSdO8wkaWOw4xJGh7V3De7lvOAUXIbxWHGUveFn8yPLMp vEbF1tfDaumkiTvMCrc8R5rbK0IGlfzzbdUHg9ImpZRawRwtDYPfIdKXEUiEPgFBSDF7k+wvi+5l 9ofXCh19oRU6wJj0mfNPWpgXS/pKoAuAuxRuzJQN8UlWQ+i7hld4nMxMBG/xDl48gx8uArmXCKoG lBg5WXYMzGRWc5CadnsJ6b60Zh3qRBM3xNns48gT2F/oWwhk8K+eBKSk9vyVy66fRuAzAGOscHJZ k3b43T9ASyteJ9rsuoJAuz3vUFvrXzKyXPK0jvITDvg9/XEqIbmgePV2jCIoLJxeVxMXSWOUcAMM IO6J4ew1E6N7ju4MI1blppHXzH8uzs0fXqFND9F0nQD1xC2wTCsC+mJ2UhPAa2H9v6/PdBYhvw1L fE7bzwUBJoyZMfTI1X07qiD+qh10TiyZtgTQiSxi4iTs3Zo4JExuh/e94QNfekyKuKFOy3MUo6iR 2SJT63vbyC1gyVr5olRO86jWDmEYNA+xr9KhaiiuF4ZOxx0Uw/1MWkHVazA5hP2V8ENX3co/RVso wBcc7XrcS9am7x7huaE6v9dJ7QRibQCPLOU+3YwNsvQXym/uQHA6XmSwFQ9x5GKGTL2EezfH3ykI HIHJMxejQ7UI8ZHCsRgl73YhnfepVkCqpxkhRkcxjzglm09s7CMIK5bvWrAqdj6W6/IG0YJdV5RI c+StMl//FZgqDkGK333NXv9nzpQHDZAEQ878tgpwTES8hNySuoaDjEfx8ju5v6tV4CA9Himhmqz3 LZPiOEcuJD1V8QeD0LrngppoLIEXMjXvnthJiTscRYLTH8zzRXMSLnJ/7byCTJ9N4rH1n+l/08Xl hH4yTYxdyCLu3ZG1m9UarxrItaN7KRY107vsdB9bzklVcKtUgrbU2jQQI9Ls1ujaAvh/ksCeXUN0 vE1JpC/zqa3eGezBJBf4URvrXMMk478CZV0A54AMfXwyse2hl7wBEolr2I4m8QuFL16NKDMUwJvS LJDzRrjaGYjcJu7rH+i6K3VrHH2y2SpUJEzRPgEcMA+xv0poix3ZwlyM3hyUvOYTl84YfZRI79K7 +hfyIAP0UJ8yUQEx95EKF2Qo2GBddQ8RVcpk4HvqCZRUC0pA7IyQ4cisYNcKXSARjIlR1myQA+KY Z8i+HwrwsfO0lzrEdhmjT8s3OzNjgBmICKLYO5V/35BdaDC+ompU1GmdpF3oiOq7z7kDPUvELQ6r 1BZAV6zO8RBJbWHsEVtKdgVZNnD69drjJieN4XtInNVLu2PC1/ui3mDk9JegPDFLLq4YF+F15Y66 FFvMcqKFc+r13tTGS2PWArLt8KmVFuJ1EtlEO8fIbdaXaVYbpcwIQl8+fUBrL3rD/rhcY43cSFDe b2NSckOcIsXAcUNJJPmCfkfVHOPNNjbENAdrf12waSSmK670lasJnY1a8hCC7WzOnVe7vOrcSOwT HnRDjxXID7uLY2RtBrkjtzyBjibw4XuHBqwGRWxt00cta1uzi5f0Qy0rTwCDhhk7FfGAwaaISwT9 Sxu4iOlUTQ2TEZfM+fr73UV45IQowMACfzS1xtOT+2uFrynG+upDrbMPB5fTnjyYDUP9wG5CXZWN Ec5I5gg1nE3LltKqQcpt3VCiYMjjdSPhgwKtO6Ay8d+xSHOmvNYMbEgrzrcFxl54OqKnD0CsfOo5 8i+/+DISf+o4bHfnxan2j5+L/Vr75GgGdOI32Lr972g850bfj5U58ZBXCc4R4hxQrz2HO57G8jb3 0qMN3Tu2bm/oEEKqiUxuojQtX2jUrK5utpvjBIs43wzi8pbDPxgxeQYEMQ/buPhqmvYuw+nwwFBh p1pf+zpCjgYrshauC7o0wSVw+8pTKv4aUlNstgaiL8TbdhvAT5scs+s2JWfn5uJIHBMf2rs7LBAp wSiEb4HRXgDY7/9EihVUgozSwiGssrNAxlh0aTwQw4NZu03zXlR6BCkzdCQ1pcCIe6z/GBn/mgJ4 ySaGW4HrUa+5mewzcnGe9wwfS8EdS8+EaL0bUOzB5QvYT8Sur9eeWjuZj1n43hSa9BZ25ySv8mUK 2DdTNCjYVdzWbde1SjB0HJDLZvnmylDN2Rzx6ZrFwJ9m7UXq3yYcfL12V+iZ68iwGl0Zw+7KuqnK vwHK+jWIv2Jt+wizLBrk2cebRNplMCzyKinvOB2Y6wO5NpVC7FjK4H7S+iPsRQciZXf8U8/Dzkj3 RNq+pBor7AFzEkcDsHrOZQgyCNBA7kP+DCy78E5FhibSZj5iXvocNnj/FK2xHo0a4WXQF8CAOAQZ DPeaLACjSCXTCT7z1tG7/7c5qrg9c1wvys8TOWevtOz87ZzKcYRrRjpVdgXiI+3D5phxmPP7Je/S KbonLMGzi6rBVykfZ5u7e/viZHxokpfUrGgX2dz8kvOG99HaVvuwDptyiTLzf3FY+8K40h4gMZTS beFqF48i6BC2JaGGJNDakx35t6s3yfEklyHJEuLdbe+UVMUFxz8bxSz81eAQ3RQF61B+FIxMyN1+ zZST2oeugh3Q+gs8yReBvkJmz2HkZonRKY43xtATdLgq7lGrKsueU2qh1WYvf87bo8ICLg9kGtyZ tDwpUH2jAzor+tyv3I5XRGKhvRlHr64nltU5pWBFU7dbuXSBJmHRYVwg6v0qsY6aWp0MH5RNdBMW tY5mpxplnB/cZhqqFnwt9QpjmIRo4ylZoWY0qzdlxRvEHJ88XnxcpIAbdX2tgZWqEsmW4dwVnfQK IlXUNupNEHMBffUd3UpXBk91gNGPNymZ0SSIpvz2X37JGJBxSTEGTzCoKNgT1o8a4gpe6+Gn37UG Fq64mD5E7ag4j9U3hSNKU+skZmtbaBr+1M3xCgaMil3ecnlde4YMVjLfEAwLwb3EdLrcshXlIgHY cBy1TyNET0k3eLMN/81hTc2tnVDuYcOYBh6VGvJOHbAGeL5ZpGNj7orsYndlXODESTCyGZP+IKec TBrxLxGp5KIuKzAG06k1EgfO0vP5AfOu5U0msSPV4hRycqfjgVKBRqD2Yh9S0n/KKbn85EWvbEIm +QkYekneJHX1LKH692jOJ8Ww2RrNj11CTjjtkN+nuV5MYWodHuDNQes5RrPEVbWrI3CR4jD5lRR0 4fE2yPeb/3SWqtB9M997BrzQMAT6TRVPEhABSbdp89HcUz6VqsIguY8teF/z6121ZqCnHPycmptd DuX90qcYDGX+pWfKMWycpeGteq7k4lg889ndD2gnh+KgoUj3Dokyn6Cf6RYceTXZovxxCu6Itr/D lbqyoeKolkxFCslxTUbhtF3LYMF8RflTZEzMUw2eSfdQxIGGIT4zhjbM3Z3LLH9w9+6mtHiu1Ver hfqyYUFljX3at7ZweTpaFYiAGoAN3wfHm4L3sAgrptGdhZojXaUeB4B7AJzmJl7mxzHlNXaa9fEe lJxrcxf8VnS3rc52g8dFEDuQv4/elcXevYjPHZanAcvQAjjOHwDPlIS04xIrcmW7Jh5nG8n1kLF8 0VAUtD+psgl+EHMbNhz7C9RLtCbMBrt/+3hZT3A2Ixedds2o2jGjGVB1uaUH6x/Yaaf33FTPl4l+ r4bOd4YWv2Wn1Bw4KFvqP3np9wxhIfE9pFwHlXZM5n/YE5Rhkr6UHQwsLrX3NwV17FH3eEEpyyJ1 O55bPArqhXZ1kAYdAxBxljtUKk8LJZKuBU+RL6bwkloDa5cTDRwofRMaUrGp19rQ6/+otAgo5hH/ YFoODxqMuYWiIXHvdH150q41U/g/e5EOCMmQSnezv0jyPh7/5h3R6HiLo/+0vSJ26DBhB43niQ5p 4L3PG7yahWax1678ASiKia5DxkeeQzZMbo1WLwoKhA5ihKSMwsplkeeV9RN0qbGbvwR09pjczQTN P78OQqEoNSTh0kfUFL9h+ljyzeI1FA3x5BGW0w0sm6oQL8jh4KPEHVqjhmMFZrx3W2ElXTwvid0c 4acTL/Ce+wwHfI12WDYeb5zYH/2tLJqVg67hUuObf6z4dKOlex3m48lIgyPWtlBQp3jGSmdwSJdP hiwQ/tJLrTRporHhl5W0Cd5zY+Q4R3eWtHOMdMHYJE5UBzqCMAd5xbr19TX/fnAbKqSBy0U99puj MOu3ENRx8jXc50x78BbZvnL0WgljEKKprs266I/PK+1/5VZSXcat3VAGEt+Gl4cAKnF5KqHuOW5H hVgm+I4mrjV7YK6PXunDBFq2YObWRZHTX8hqEaAdEYLheqpQw0SAyuSCIHGZnV+PkqYSj06uVO5c ia4lOUWYSFt40aLeZ+GO7ejhOnt7/FXSkCS2D1s7eLo8uf42GxRqc79fKQRzEajsj3ZXkeSLHY27 xOO4ZT9awc0YAZMyZHYqeSkULVfskKsPUpdgSE6Ebq6qZNpYD/ZUKD8sYrGxc8xoLG10vNNs01V8 fCZfixI1v1Db32WQLnc2YKTAAkiH+o+IFjLL4FoLUPKiEqUTpMwIOa4J/i+eUm/Z+QxNCg8sZZon m48Tg24MSVJ+WCsSlO1u4inYDO6t6F2Ja86O7Y3OZJi8TigkzsQM `protect end_protected
apache-2.0
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/924f3d25/hdl/ramfifo/wr_dc_as.vhd
5
10866
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block OcvYd5i0BcKeWB/Jp7nnNElW4m5b6NeYExc0Bwhjzp0FEC1Bh5YNMJ/JK1EKaBwH7+Ish06Dsccs JkSXdGML1A== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block o/UjyAM0GBjGiZFYR89t4uZo9uyf8umitBYRNI2RNuveuiL6SoMIrSXFVzCPTq1wz3UIKZHuMDcn t9K4nwVnfoojlNoIB8QzPBfcfs07YR0tOMWu1zNwi2SNyTGPbqbbBlnoPf9QxUV5KFZWWP3AaQ4u A2Hsf08+3sBH3itm480= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block nlFFAJaPniMh72vyWFgO+S+GMy707MUAvORFUP8hgAblTDJq4v3E+DBxlyzYCKJq+CQtrOUn4asq dydlBNdOo//bNpjGacC4H/lO3WbIs5qBbdYgFmXOWuPaQDZKa1zAnLgFo6gwTZYux0Eyce1qpO8Q e3N9M3PIASrkRYE8lZIcghBs0DRsqSCkdX07zmogKIoSNzeZocfr3q6REi2TvjyAPN+pveeltSWZ b5QFuBO43G5CO5S2oYzaXTt9fsLs/iHJKHGoF82HWx19M5fDajQJGrnssrcSB9Dv2/UAnSd7UwFM HSHhCHR5BPJLXNZ8OW4cHpnr3XMSIlXn7f33Ow== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block qIff327NTNs08x5xtfB4R7EYUcKpPpkHncae9xEsfgWhQ+Lg2CEV09OZXvIa9XDwWBtWmdxd+WdN aIFjt5FRUGLcXr3K9k9EYpbDftjhwuN+v8cbZW5TVJFVy8Lq40bL5Hi+TBCJcRgUJx/r6UGP+Zhq 1iRegRw9P3oFsr2j6vE= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block qRUL7l1F7/yBshvPneLXPL+TQcMvMDzs15L3qdQ1ylp07VeTLJspX8TapU+nI0+WlDf17rMDysSe yJweoxo8GMGEMTBv+DsEpEPX3uxzV6OCAdofPdnxycff7CJXo+t1mHteo0YSIUJiuTG2iT9rmCgd TE31vL4Va7NANbP6CCDCSZcNsho62mWXco7u1qy2H5sxa14xH51EAFaCUbma9fd50KU9JCFdCRcK j6ngw3TD8nqdb4/ZJ9kJ6iitmDayuv/umjz+yGXnV/VjHczkxlc02C/gObytf7vYFdsohzpy8kbz EGdRxPGgVZTJOBa8c3mSMpv4fWVIH+WcxgyH2w== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 6304) `protect data_block cQ/94dxCXVWcV+humrKVAscEajdM3xL0w3xtQLzqMo268AU4vU0esJTjeDRx/n5iM5ZCmZQK9E/p yMAt39FgRmsctO3h5zugg4CC7GI5hpqwaiV1lK3z3RlRMQTFuU7BzH5k++EU87+v6d86rUDYqg6U 75VLQxPzDjNAKH6XCdxbeEy75a9KCcrsW+YVUW4KG9DparbZ0PtojjaZ6dya+X+Lb2EBMQvhD7Sd 3IqE6XKPGwMD7AISblL/UpxlQgruae9lSQiT/8E98Xc+9Bww6oQCzPx1yK3N0UAb87LaMIdgAWpE ah+wy56Z3WpmmU2BywtIDfDCRjabb24vgeGqyOpx90BI1+qkMUm0AKqOcgxZQGTqOWPYhoUlVdTI 5o9PpErR7HpJj+URJpviDs1U9ZGakvpY9h91RHJWJTrg1VS77NsjMNUKmESWUTVFnKwwiwOxfH9n sIeHGCInNWKhVEyv78EOcaBALt3f7fkKArf9MmRFz01ur/2NzYjoZWP4VuCuA6eUPEZaY7skFO31 IaK/HV/7krsTXYleq4wvFTkth30EanSPoG2Xa8oogT1xrKKynCr+KSS1LB7D+ql+98huWhbX8q9k AB67hDtwaEciEYyf+bgdbSNDQ7kEpJoIcFCW4YOeMhsF8JZQpz8T+9P6dYkAkdt5Jj3OC4i1hrNR NFM2ZDOa6BmwwiDseD+ecI/d9TkJy9B5QwhcLBsepzAV13RBiBsR5lttDQzKCODWeVaeAn6jJGwP W+YFzRGha4cberOL8jG/gCFAye/1FR052R2uG5luNB8myiQWegCI3QRxfNpNHNS1GYFhEwPOD29C XHxc5hY7UG/5j+kdgZubk0bB/qVAQG8NxDzP9G626EmESQ9JRT6eL9p0nzsRXUvpqKb+GUq4DH75 +YualUaWYxcLnSDAGbRNqk+xSD4e10KG2NdcuqZY6hN8iBtY2mv0hQkTTAYIaS4vxRWg1neHrLAg gkhSZMsTCr52Lv2WJ9K5rbwbjLC+vAKY7ysp1I0jrzgA6ftOZOtf9VtSE2WSanlBK/7xFJN5emj3 PPNK0oF8XloIjoQ4bsDlUBccCgY9bWTaf3aYqLwMgqt6YR7uprnXuaWkR03HBeA/HEq1tTgUQZcX nWQIzCWUnlEp1Q4KmqbvZK1IGBu1BowlxfYD2eN0+y0IaTPF9SoylDxN59JHPEBB58xXo1HQMvkL bA7ds6qeX998EBMu2SwD9e4+YDQVbhI1jU90e5oRTC04IAYJO6kJUL333NvqKXtk7KKJF2Y9MMBY APK2swt1BGUxPXG1scj65PNClDucugjevE23eagAoqdkwDP4HMj7146w45w1AbFkg5aDIBvJs7XV Ll4dOEl7BlvsXRu0wML7ADl1cvJBMpkSYCSxak6a26nSuw6SnistRsxRRrBrVkPsgLGyJAvkuSec tavXDaO/iUQHeVvvNARCTZzTVhVntnTBnc9FTeDyuME45fjetvmL8WwjuISfqcDmK6HxSvELzyuG YwcHToEvnua8wbQQ7kB8fbRFhbSytjUqEASAdmXrGJb7tP+hV4/NB8aeh1/3tl+LLtmLgeM91sdh H23BMaaAZ6LsyZBE46/8CEN1+6eGA7ByXV8g/bSScrs5nuj6plXYCvmT5m528D+fsTGW+giiT7gT bR8Bqa/wIoyvEAMEZqrodl56KaW+uPcYvg1uulB1PwEmxGM++6sN8Dm1Yzgzyl4QvVuS5LcwtNCI yEnPwz/J7NvpzMb+4tEgBBex0PQ3MauYOH4xPqR+31XHIx3FkGqFTwnU8hZD+3XIdHCkaa1u1TVO mIvzhF3pHtLaGp0WeflsPg6zGlJhT7mIg0Fnlc470gK1Te2gtnjEh9RWNxxBgcEsMot9fc2UQ32/ vcNz4lCA/kV76mHazIAwBvX6nzsEfFsGlqPzrf4CflQLBRUInLw/uLwP/3T8KEkRDVKfKQmXut0X HlrHdWvbIZ0t3yGIaaSVP6Z648CsBFPwXxjaSWuPd3kGqppg1D9JqbxkE6mzUOdVbyhOWM6hH77i 9tnLN+Q+4QSVNOVeCgd/1uctc5fi/4oc1wUq1XKOqcDHBp4WKotWnCJvlvRKC124dKmsPGJcaWG5 VgawvHbwy3OqckSoPH3qnvsszK5pbxvaR0XtMJVQojShJavdBEInzvDDkA1erlrCgc/YKv8UEA2r iotb4zCbwKj+LlAI5RoVhJtHfN1ne0rZXJDv3l33eZU3WTZZf4+ukHzT/SbzEIvSo3eyvfgQliaQ SsyOXLExFOtr0eJKZDZD2hlq9ELOdn6bp9OEsEapyNP9iRxRzuEIibkFGyjmGEnYZ7O+oEg6xlb3 7wMqViWLchmlyABWun4Fx6QFdFovl+ZXY4900OouMtr46xjCJLK3NauNLOclamZY2ILA7hHzD36H CbARM+9oFA0wu2lix4Z3p4UVlCD5BAFvjkkBn0eUgNKQviE64LQiQMJyz0rHLHt93Bt1uxEWvDD2 S1CEAtcHH3jfYxVtKvXJguH3Yqk5UU3bxRxe9YHvQO7xX98OLokj9vcFUd1/4mMSTJU0McfkcoD3 1q/Q6QwXVuVWABV4cNNF0lLZFJrHxf0R0vhMLiLNGHe8e/hf+mrMvY6cS5H1dFIR02HV8CaqXh9I xsHRjD6hEcNPT8zo3kGwAzn7Ly/NGEZB2QI0LSJGHMI2ME7h+pMc/iQ1nxsBLJRynWlaGs+cxyli Bb9Uab/MHL7KxythPAEv3tR0o3g6AbzArYZWD8sZRnq8opUOQLQ1h8rBMlCf5NsDpDikJjdJ7BQH 5V8JCUAvu0mzAKWn4nSLgzy1fz4lK8phSFmFRNOGVu+OF1DO1bJpeD71niddcstzFzcYp+vescYk zwHTLu25CcomD/LTXuwvujsHXksDTVJcNyj7L66xx8ymV6CsCUuck37XZx+6NjCL8LMXfl6oa9ct eHwREJvyGtQVDH88ymKYnNPhEzUmBxpXzDx0AH5kEBlFhm0X+2g3Lbx7JnDaq/miR0o04F7nva5f h00uodwPurpOI6EolSHQLuh/oePrG3BFRdtIzkFbNVmjZqjBGwWMWZpHmS4OCHK7YNQcfAZ7BFyH mPBPJ92LLR6byrGTGUX0OdReNORU8FXuMbKI5v6ooYkGnMRjVvBP43nA1vaE/aRsJjJl0rZ7EYHN 1f7waqJ7e8w6kV3M2aENzO3poEB4L/P6HjRIPphzw51fhuk7AftNy0gVoKmyLVoaWcIU+2fPbRwy Sq25i0liNgHC1/lXN0EPwlWbeaN+YP/evu66LdIFJ5J4YXRWxQb/RtN/aY9r1y1p6sqMvn8xXF+M LFKA4dvOCaDMWKmBC3CZ6m3y+1S7FTK5ltlsKfxQI5g9sLtnlSpXVS+oUI1C6fKvdSA2qatQ2bYq BGnqZiB61EuCwZjOKjM+WMsiwg4qT5ra/mWuTSu0H2qUKHpkJqZ8eVyCtpORHPTgJ6uuRs1Cl+gF VZvJpUr1oleCJJ+zjkfh9aKNQE4o0h/tbUpqg1RUtbQjTTxnixiuDxnWBkFuRniCwsdZOXkqxxKY uUD0AGXaVJeleEPzjNvppLnaZgRpAu1+D3Y0QcdqIuECOdroj4sqynmS3Gdnrn6kYGRKwlyOnLhM Pm+J40YgAbICTNn7gbME74r8kwky/WSlzxR4eMyXNt3F8g32dqh/K70SBehGnaMedZbWbzFwpcEW WPzxu7J5nUgy0XlYpMEbjUBkETjg+vGlfd0ZhgKP0eNuYY5oBH7keH4N50kkruRX9EEqWf4Iwzij 4eZGfR2h9irDuiSMveh44UXsGrpELF4jiUzT2ZbWZ6gLPqpEfi/C+ZOlxXTtE0UYn56Waxj/qUgh HTwoVSD83p4VlH+QCd/ioEczbfAe6qSaEZpaakbqy8t/IY3dfpJiLQ96F1G0suM9nP0xyrFBnl1o 1f+PRwqRDqgAdYgx0xf1D1vcRYMp5uTRKQ6sfprESX+w2yVOIhoJJ+tDAPQF9cebRIx9cjmwO+hA JIgAKVefIvsE+pEZzq/6cDt0M/eqTkvIOziQEzzlfbpR0Dp81VCsOUafptQ5YmDFf50FF/pPyS9L dN1mPNoO98R4pazIs1qVn6paGKGQAX2EZY7Df4Lu6lMehJDedylEpRsLj0buK2CNNUOmNSRuGTkY SA9zxiiYMGE1kXctw7kNC4J6kMOJ5hzUklT+hCoxfpQa+hq6TwJ0Qw8f/17+9vmRgtl/wxBGFUcp SFW67CB7q/xnQSPi54QSpqutIYP4/iW7/LGYlgpEZpYJKkNJKGIeyqDpjl4vCd1nInGaXFKZLhpl tRqKAbZPKIuq/9WX3jMHJVHNbi5mUYWJHclBLiVPT3J+qABNZRDCyHSZe1vCuZxN2BUL9c6GJgQ1 I+vJhi7IvM5khX5aOJAk+uJgDGWBS62Amj/5BlmNF9CCYPhjr+kwgJQm3KD/yMixdPRGcl/tqXLE fcqiiOQMCOQ5DpbF+d1dGsPh1xzzPPEvMyzAqwbD5qB6cN92RmH9kEFidRyOtmjekOoIHfTMcrdl StEcjJusbUnP4AE9K4ASAs3vcuzahdt2rhTTdiUgFOgYB+QtpGichdTwwe9ECjSaGfP0YySK7qUz x9PXrC/aPiN9IXPcRbFvDGEWLNnJTyobSTQPcvXBQOtpP5RZOZo0OfH6P4jBtXfrZejcWYcuOtAz w/w3fBDEek3rlbaJK0WpzKlYO0+DK6HS0XLYfpKmVBZSzP/wq36I2HL8FIZtsT6w68H6NpaGstET Xy+cyZ0IDNhVVrVShWbqOjNGdrOOd5IlXiQuDsEh2TQD9gJoFPMtBRkF/R0DFMCH7Q06zBk2iosI y3p1gqGpG6GI6Y/klHD/zYqTDKEi/Qv3M7ZRXI1HPjJ8v4iQJPei1FX/4eneiiKmy0Ykll6vfu6H QfA+zljI24J/SpiXjuk4XemWmh8ARQSfB1dHMoh8Wau4+0lFRoBDL82p6XjCoE86rdMPRO3Ddyj1 IMN7LGjUVAJq3nfERjq5kzd75aXPLxwZMXNkbgakVVJl2h0a/EEffXBie5bywT8re7zHN62IoCi0 Ult1ugWc9OxsmhR7DIe0rUl8MvFhxmRJ9Q7u/L1EtEPHUydLt8KGsTv0HmUCEk5FdwxYl0c+rAt/ 6V4IHK2sqvy5M5cxWrzbjfnMqJ7SPIy46HWnqRxCqOah5T5nivdN66R1zm0Y8sqOEewld7wjatMN ZfHyXyvpS6vhnnF+8jov1wKOyoRbH7RBtmrkyso6MTOVjG2x09VMb8581pdc+Qqq/7A/v1PLapdM 3UgncfPgievP0GO5DfjMmKac13QpDDmjO4M4Zkmz2P/KdGw4d5pBnv7xUZI50dfv9RGyKrYKT18Z pE3Eyk2SqOeU9Qdv1XwM22wLfqS8pKHxITMgdX4a2b0G9TWwnaS6hdrzmvUW2PjYKWOuDDS3Vk9a DX7ZIvCU3IKPLXxCdOh/KS3KwnROo5d1EBaPvqs0yC8+IXDxEqaepIjs5Lf7unVUIf5mQQs3GTjp snT7hi6tiiE8HxtLxg4f2gzKFRAV0+AfIWFZv6MxDCtc2jV5abKk5PD9ebUjFxbtmDo+cEBL87fK N/Y8hcpCNQ0QIQ4MO6zGYk+Lv0q2yKbPSKsbcvUa7KWZAizi1paqptzR4DGZZkrPhmtkrzvxLZ8r i2Y2LzBr9WmVr/2gYCvcDRMRQphNbZIYQKeFMeiuEVme6a/526XPs8P2I3VCfXRWKVTb1CFKmxoe 86RytYAtCvmbgbia/n1LzubVILpw/ujhe5uEv49ah7pXA+NPr1SdtnUBVxJpMu2W4MBBjrLHs5zS Y9ARRoB2x2QV5xYHkVoChAUyPe9dwcfJHb5QXlBi4Ty6F7r+httzhwR9Q/OqOPVyfwHRfXHhgDLa IvdpEY+n+YqwOIjJk3pCm5voaMvKnji630bS1xvN2QbEg3hQq4cUrgKgPONbHNQl10kHlwOO1b7u UfOvqqWkW5ZEpiuk2rzUxbl5yqbyYHB/vDlTC1FR0Km5tKHWbJNHojRkdzlhHIsRRXgQCXaH/TxK l2+BPxQ7YRs4B+SpgTmOFQ7i/1/Vzde+XrW6YAJ5ESw5aKudPloRh+D2lJzothbbp/XvvplKXAAk RrYvbc0GPkFGoViv2E/c4aMgWsEosAYFPqPkiZs+0IgvnNpCVok4mGqV3wMVQjj3daAge1n9+WWB yhSAbGds0GmFBDQ+RTNvxWO+pw5Z3HwYCWkta+B0PonjY1hnuq8gZWjpcMxb/35a1lpYMZyMhVRp 8uXbK7W0M8QfuLUFKmoz2hBhsaxkMT7qTp6uBGvBDOQM8hpA3D/kGnJqafYLEpK0nPjoAajBUlLc KAMIpEdpXnR+6groQIAMviQ6UpJPBAeYJgmrcJ1TxnrzbxEknXJ+wU01+no2svywXOqgV0ieGbrq xdIvuN9hX1jPx2fIiYvQAuf4SSsifObJNpmT4Bft17hdjrcRsTNedxm3X8B3fL3hRyvPRyXhs22b CWYCkmocISnSNvx3XWlBtveznLNxlW5lfFaOF5QaMdPeMei0swSuwMwy1V+f/ZLv5xgkq3VB+otI +1Jx/al+F3ZrXn2n3pPpjGiq/qHv21p2cDvT5dmFwJZ1fGmXmV4enlT92Zinu0f4T6n78JegqXaR nhvlJvsPL4aSB18VxQA0BsWE5fWurkqCUE50pl9oMXL6PSt0jVWrh1GQYGtXkNQkwfCNxfEDU/eA hc2HQMfauAR4IUUDVDmxZwUf6aPuofOaM4qEFuRcS3gusWoMBA3SNfYGFVYJAJorp72jj/Ac6SS9 dvYwswh/MQiAoPoHqvf3YO2spZKUn4NTRdnn2bVMnsSFFyDAD0SImHQhZYYFKqIEXH7Iqh9TkPag 8oCt60aWRIqOeBa305lUA4LZ7OfXiCQwlD5aZGx7OhDfzS5gNCnQ5hKmrlAIHexjBXIZDbkAVz3+ j9KrUJLGd3lYYHfXoCWrOW06A1ukoMsl5ss5peis6/ZeTWZy5eJ+b8+xJmvrVZz7/T2a+GfYh+a3 /4RuGGxOSLgKOZ0Gv8cPD6v8kbarFSR2z6MRw+eft+Y889a1J7Olo/WDZdBkdexFfVjM3hunzTYQ 0pvZM/bnNK7Pw9HfdlfY3LA0Hi3R6cE2FJ78WnzZFo26XDP/PrP2SqSPczIeVauPImlfTdGbwnDr K7Z3YmL+3qgcYROiSUdYIhRWMbsCmEKpBos8Uo48NLgUC2+aeCERO+RkvXcxv/Ou+lS5CDUkgN32 lbFucx7vO/h71ZU7p8f9Ud/eDq3EvxXGt9DMwWBOHCqU1dLK0ZLu08ytYbmE3EEF0y1/aYT5co0g oDnBIclgEEWmvniddP2RvCeNfIFiz1SwcjSYZ57P79jfF9E9wMYDMY5EM7wh5toEcnlqREI+jt2I PK0tcotZwlRec8KahrBrkHJW5grp4u8ud0Qn01AnMgddrUoGQRe1PSQtg/+PeVcqNUp/dftp0O/H 25CosH19pttIY8fLtKM5Vj9VAN0q8sT5NFxV9DrqYFAbGhXMWr8mgAKm/adZisj1ofp7NGJeY4DG 61H/ZbSCmRaBsbQX8+NRZbF1pXUMOYiuxjXycbIFwaCeijqzEONjgrqOFzlGeaoZ8LZXg6MIayof GVGedWA+7M8KgJKNbeCVJ+/Pn3pTLIEzgdvpq+z8pww7pdr7qD74key4q+rG1CxjFCLi9oLxqwYV f34Hzvys/A2LAqgMBTMrJhl9gI7fD/QVFUrm9FG/tNvzIpjOo4/V/IRigbirurs/b2HzrKJA/2TK YUe6gKK3uEd6uc407BAvPuulcpUBHkroPKbfLkxeg8flFKA6kZc5Kflh2B8iUVC7dJKduY5po7nM vGih4vl+VLa/CdoSZuv7uF2fpi2mnODte5bQUC1D0xoIw2sFPtXoHo5GV8uu0wZuJR9NNLrhLLhH oQwWdE+gmR/SyJ6rR1Pn4OOmCpD/g4S6ZcGniPK3P4GgJRGcEZhKmX1yDJAEc1BQEAfadZDk7FyI rocUyDaVSWDM8lhksqyz/2XwwRNaKwpRrE+7rTjcEBnAwro8bD+V+NjrYbDd8MVX472x7keCdPqv 8fFQo78Ybo0eIM4q1OTJYzmNHYl9H1s/cBEmeeSwxMij5iut/KVDSNxjq47Bzq7KhRBNwbn7Itkq ducZth2uoQYKmZnqzi3z2v8zsx0E8CZjnQblwlEm1+KVtetPDVrXlkH3AYjwfBQp0oayKykBXx59 w/pyAYyixscUB7izmjkCgOOujbVlt6SZffb/EjvKgDMXYy+G4cjWtigrPKR2gMm1Yl925oqha0mg +r+Fvh5Iy2W1W66LGyvsPr9hQqOxExHzYmx2/mekqyODKQ== `protect end_protected
apache-2.0
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/proc_common_v4_0/bb615326/hdl/src/vhdl/blk_mem_gen_wrapper.vhd
1
33899
------------------------------------------------------------------------------- -- $Id: blk_mem_gen_wrapper.vhd,v 1.1.2.69 2010/12/17 19:23:25 dougt Exp $ ------------------------------------------------------------------------------- -- blk_mem_gen_wrapper.vhd - entity/architecture pair ------------------------------------------------------------------------------- -- -- **************************************************************************** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the users sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2008, 2009. 2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- **************************************************************************** -- ------------------------------------------------------------------------------- -- Filename: blk_mem_gen_wrapper.vhd -- Version: v1.00a -- Description: -- This wrapper file performs the direct call to Block Memory Generator -- during design implementation ------------------------------------------------------------------------------- -- ------------------------------------------------------------------------------- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- blk_mem_gen_wrapper.vhd -- | -- |-- blk_mem_gen_v2_7 -- | -- |-- blk_mem_gen_v6_2 -- ------------------------------------------------------------------------------- -- Revision History: -- -- -- Author: MW -- Revision: $Revision: 1.1.2.69 $ -- Date: $7/11/2008$ -- -- History: -- MW 7/11/2008 Initial Version -- MSH 2/26/2009 Add new blk_mem_gen version -- -- DET 4/8/2009 EDK 11.2 -- ~~~~~~ -- - Added blk_mem_gen_v3_2 instance callout -- ^^^^^^ -- -- DET 2/9/2010 for EDK 12.1 -- ~~~~~~ -- - Updated the the Blk Mem Gen version from blk_mem_gen_v3_2 -- to blk_mem_gen_v3_3 (for the S6/V6 IfGen case) -- ^^^^^^ -- -- DET 3/10/2010 For EDK 12.x -- ~~~~~~ -- -- Per CR553307 -- - Updated the the Blk Mem Gen version from blk_mem_gen_v3_3 -- to blk_mem_gen_v4_1 (for the S6/V6 IfGen case) -- ^^^^^^ -- -- DET 3/17/2010 Initial -- ~~~~~~ -- -- Per CR554253 -- - Incorporated changes to comment out FLOP_DELAY parameter from the -- blk_mem_gen_v4_1 instance. This parameter is on the XilinxCoreLib -- model for blk_mem_gen_v4_1 but is declared as a TIME type for the -- vhdl version and an integer for the verilog. -- ^^^^^^ -- -- DET 6/18/2010 EDK_MS2 -- ~~~~~~ -- -- Per IR565916 -- - Added constants FAM_IS_V6_OR_S6 and FAM_IS_NOT_V6_OR_S6. -- - Added derivative part type checks for S6 or V6. -- ^^^^^^ -- -- DET 8/27/2010 EDK 12.4 -- ~~~~~~ -- -- Per CR573867 -- - Added the the Blk Mem Gen version blk_mem_gen_v4_3 for the S6/V6 -- and later build case. -- - Updated method for derivative part support using new family -- aliasing function in family_support.vhd. -- - Incorporated an implementation to deal with unsupported FPGA -- parts passed in on the C_FAMILY parameter. -- ^^^^^^ -- -- DET 10/4/2010 EDK 13.1 -- ~~~~~~ -- - Updated to blk_mem_gen V5.2. -- ^^^^^^ -- -- DET 12/8/2010 EDK 13.1 -- ~~~~~~ -- -- Per CR586109 -- - Updated to blk_mem_gen V6.1 -- ^^^^^^ -- -- DET 12/17/2010 EDK 13.1 -- ~~~~~~ -- -- Per CR587494 -- - Regressed back to blk_mem_gen V5.2 -- ^^^^^^ -- -- DET 3/2/2011 EDk 13.2 -- ~~~~~~ -- -- Per CR595473 -- - Update to use blk_mem_gen_v6_2 for s6, v6, and later. -- ^^^^^^ -- -- DET 3/3/2011 EDK 13.2 -- ~~~~~~ -- - Removed C_ELABORATION_DIR parameter from the blk_mem_gen_v6_2 -- instance. -- ^^^^^^ -- ------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- synopsys translate_off --Library XilinxCoreLib; -- synopsys translate_on library blk_mem_gen_v8_2; library proc_common_v4_0; use blk_mem_gen_v8_2.all; --use proc_common_v4_0.coregen_comp_defs.all; use proc_common_v4_0.family_support.all; ------------------------------------------------------------------------------ -- Port Declaration ------------------------------------------------------------------------------ entity blk_mem_gen_wrapper is generic ( -- Device Family c_family : string := "virtex5"; -- "Virtex2" -- "Virtex4" -- "Virtex5" c_xdevicefamily : string := "virtex5"; -- Finest Resolution Device Family -- "Virtex2" -- "Virtex2-Pro" -- "Virtex4" -- "Virtex5" -- "Spartan-3A" -- "Spartan-3A DSP" c_elaboration_dir : string := ""; -- Memory Specific Configurations c_mem_type : integer := 2; -- This wrapper only supports the True Dual Port RAM -- 0: Single Port RAM -- 1: Simple Dual Port RAM -- 2: True Dual Port RAM -- 3: Single Port Rom -- 4: Dual Port RAM c_algorithm : integer := 1; -- 0: Selectable Primative -- 1: Minimum Area c_prim_type : integer := 1; -- 0: ( 1-bit wide) -- 1: ( 2-bit wide) -- 2: ( 4-bit wide) -- 3: ( 9-bit wide) -- 4: (18-bit wide) -- 5: (36-bit wide) -- 6: (72-bit wide, single port only) c_byte_size : integer := 9; -- 8 or 9 -- Simulation Behavior Options c_sim_collision_check : string := "NONE"; -- "None" -- "Generate_X" -- "All" -- "Warnings_only" c_common_clk : integer := 1; -- 0, 1 c_disable_warn_bhv_coll : integer := 0; -- 0, 1 c_disable_warn_bhv_range : integer := 0; -- 0, 1 -- Initialization Configuration Options c_load_init_file : integer := 0; c_init_file_name : string := "no_coe_file_loaded"; c_use_default_data : integer := 0; -- 0, 1 c_default_data : string := "0"; -- "..." -- Port A Specific Configurations c_has_mem_output_regs_a : integer := 0; -- 0, 1 c_has_mux_output_regs_a : integer := 0; -- 0, 1 c_write_width_a : integer := 32; -- 1 to 1152 c_read_width_a : integer := 32; -- 1 to 1152 c_write_depth_a : integer := 64; -- 2 to 9011200 c_read_depth_a : integer := 64; -- 2 to 9011200 c_addra_width : integer := 6; -- 1 to 24 c_write_mode_a : string := "WRITE_FIRST"; -- "Write_First" -- "Read_first" -- "No_Change" c_has_ena : integer := 1; -- 0, 1 c_has_regcea : integer := 0; -- 0, 1 c_has_ssra : integer := 0; -- 0, 1 c_sinita_val : string := "0"; --"..." c_use_byte_wea : integer := 0; -- 0, 1 c_wea_width : integer := 1; -- 1 to 128 -- Port B Specific Configurations c_has_mem_output_regs_b : integer := 0; -- 0, 1 c_has_mux_output_regs_b : integer := 0; -- 0, 1 c_write_width_b : integer := 32; -- 1 to 1152 c_read_width_b : integer := 32; -- 1 to 1152 c_write_depth_b : integer := 64; -- 2 to 9011200 c_read_depth_b : integer := 64; -- 2 to 9011200 c_addrb_width : integer := 6; -- 1 to 24 c_write_mode_b : string := "WRITE_FIRST"; -- "Write_First" -- "Read_first" -- "No_Change" c_has_enb : integer := 1; -- 0, 1 c_has_regceb : integer := 0; -- 0, 1 c_has_ssrb : integer := 0; -- 0, 1 c_sinitb_val : string := "0"; -- "..." c_use_byte_web : integer := 0; -- 0, 1 c_web_width : integer := 1; -- 1 to 128 -- Other Miscellaneous Configurations c_mux_pipeline_stages : integer := 0; -- 0, 1, 2, 3 -- The number of pipeline stages within the MUX -- for both Port A and Port B c_use_ecc : integer := 0; -- See DS512 for the limited core option selections for ECC support c_use_ramb16bwer_rst_bhv : integer := 0--; --0, 1 -- c_corename : string := "blk_mem_gen_v2_7" --Uncommenting the above parameter (C_CORENAME) will cause --the a failure in NGCBuild!!! ); port ( clka : in std_logic; ssra : in std_logic := '0'; dina : in std_logic_vector(c_write_width_a-1 downto 0) := (OTHERS => '0'); addra : in std_logic_vector(c_addra_width-1 downto 0); ena : in std_logic := '1'; regcea : in std_logic := '1'; wea : in std_logic_vector(c_wea_width-1 downto 0) := (OTHERS => '0'); douta : out std_logic_vector(c_read_width_a-1 downto 0); clkb : in std_logic := '0'; ssrb : in std_logic := '0'; dinb : in std_logic_vector(c_write_width_b-1 downto 0) := (OTHERS => '0'); addrb : in std_logic_vector(c_addrb_width-1 downto 0) := (OTHERS => '0'); enb : in std_logic := '1'; regceb : in std_logic := '1'; web : in std_logic_vector(c_web_width-1 downto 0) := (OTHERS => '0'); doutb : out std_logic_vector(c_read_width_b-1 downto 0); dbiterr : out std_logic; -- Double bit error that that cannot be auto corrected by ECC sbiterr : out std_logic -- Single Bit Error that has been auto corrected on the output bus ); end entity blk_mem_gen_wrapper; architecture implementation of blk_mem_gen_wrapper is Constant FAMILY_TO_USE : string := get_root_family(C_FAMILY); -- function from family_support.vhd Constant FAMILY_NOT_SUPPORTED : boolean := (equalIgnoringCase(FAMILY_TO_USE, "nofamily")); Constant FAMILY_IS_SUPPORTED : boolean := not(FAMILY_NOT_SUPPORTED); --Constant FAM_IS_S3_V4_V5 : boolean := (equalIgnoringCase(FAMILY_TO_USE, "spartan3" ) or -- equalIgnoringCase(FAMILY_TO_USE, "virtex4" ) or -- equalIgnoringCase(FAMILY_TO_USE, "virtex5")) and -- FAMILY_IS_SUPPORTED; -- --Constant FAM_IS_NOT_S3_V4_V5 : boolean := not(FAM_IS_S3_V4_V5) and -- FAMILY_IS_SUPPORTED; --Signals added to fix MTI and XSIM issues caused by fix for VCS issues not to use "LIBRARY_SCAN = TRUE" signal RDADDRECC : STD_LOGIC_VECTOR(c_addrb_width-1 DOWNTO 0); signal S_AXI_AWREADY : STD_LOGIC; signal S_AXI_WREADY : STD_LOGIC; signal S_AXI_BID : STD_LOGIC_VECTOR(3 DOWNTO 0); signal S_AXI_BRESP : STD_LOGIC_VECTOR(1 DOWNTO 0); signal S_AXI_BVALID : STD_LOGIC; signal S_AXI_ARREADY : STD_LOGIC; signal S_AXI_RID : STD_LOGIC_VECTOR(3 DOWNTO 0); signal S_AXI_RDATA : STD_LOGIC_VECTOR(c_write_width_b-1 DOWNTO 0); signal S_AXI_RRESP : STD_LOGIC_VECTOR(1 DOWNTO 0); signal S_AXI_RLAST : STD_LOGIC; signal S_AXI_RVALID : STD_LOGIC; signal S_AXI_SBITERR : STD_LOGIC; signal S_AXI_DBITERR : STD_LOGIC; signal S_AXI_RDADDRECC : STD_LOGIC_VECTOR(c_addrb_width-1 DOWNTO 0); signal S_AXI_WSTRB : STD_LOGIC_VECTOR(c_wea_width-1 downto 0); signal S_AXI_WDATA : STD_LOGIC_VECTOR(c_write_width_a-1 downto 0); begin S_AXI_WSTRB <= (others => '0'); S_AXI_WDATA <= (others => '0'); ------------------------------------------------------------ -- If Generate -- -- Label: GEN_NO_FAMILY -- -- If Generate Description: -- This IfGen is implemented if an unsupported FPGA family -- is passed in on the C_FAMILY parameter, -- ------------------------------------------------------------ GEN_NO_FAMILY : if (FAMILY_NOT_SUPPORTED) generate begin -- synthesis translate_off ------------------------------------------------------------- -- Combinational Process -- -- Label: DO_ASSERTION -- -- Process Description: -- Generate a simulation error assertion for an unsupported -- FPGA family string passed in on the C_FAMILY parameter. -- ------------------------------------------------------------- DO_ASSERTION : process begin -- Wait until second rising clock edge to issue assertion Wait until clka = '1'; wait until clka = '0'; Wait until clka = '1'; -- Report an error in simulation environment assert FALSE report "********* UNSUPPORTED FPGA DEVICE! Check C_FAMILY parameter assignment!" severity ERROR; Wait; -- halt this process end process DO_ASSERTION; -- synthesis translate_on -- Tie outputs to logic low douta <= (others => '0'); -- : out std_logic_vector(c_read_width_a-1 downto 0); doutb <= (others => '0'); -- : out std_logic_vector(c_read_width_b-1 downto 0); dbiterr <= '0' ; -- : out std_logic; sbiterr <= '0' ; -- : out std_logic end generate GEN_NO_FAMILY; ------------------------------------------------------------ -- If Generate -- -- Label: V6_S6_AND_LATER -- -- If Generate Description: -- This IFGen Implements the Block Memeory using blk_mem_gen 5.2. -- This is for new cores designed and tested with FPGA -- Families of Virtex-6, Spartan-6 and later. -- ------------------------------------------------------------ FAMILY_SUPPORTED: if(FAMILY_IS_SUPPORTED) generate begin ------------------------------------------------------------------------------- -- Instantiate the generalized FIFO Generator instance -- -- NOTE: -- DO NOT CHANGE TO DIRECT ENTITY INSTANTIATION!!! -- This is a Coregen Block Memory Generator Call module -- for new IP BRAM implementations. -- ------------------------------------------------------------------------------- I_TRUE_DUAL_PORT_BLK_MEM_GEN : entity blk_mem_gen_v8_2.blk_mem_gen_v8_2 generic map ( --C_CORENAME => c_corename , -- Device Family C_FAMILY => FAMILY_TO_USE , C_XDEVICEFAMILY => c_xdevicefamily , C_ELABORATION_DIR => c_elaboration_dir , ------------------ C_INTERFACE_TYPE => 0 , C_USE_BRAM_BLOCK => 0 , C_AXI_TYPE => 0 , C_AXI_SLAVE_TYPE => 0 , C_HAS_AXI_ID => 0 , C_AXI_ID_WIDTH => 4 , ------------------ -- Memory Specific Configurations C_MEM_TYPE => c_mem_type , C_BYTE_SIZE => c_byte_size , C_ALGORITHM => c_algorithm , C_PRIM_TYPE => c_prim_type , C_LOAD_INIT_FILE => c_load_init_file , C_INIT_FILE_NAME => c_init_file_name , C_INIT_FILE => "" , C_USE_DEFAULT_DATA => c_use_default_data , C_DEFAULT_DATA => c_default_data , -- Port A Specific Configurations --C_RST_TYPE => "SYNC" , --Removed in version v8_2 C_HAS_RSTA => c_has_ssra , C_RST_PRIORITY_A => "CE" , C_RSTRAM_A => 0 , C_INITA_VAL => c_sinita_val , C_HAS_ENA => c_has_ena , C_HAS_REGCEA => c_has_regcea , C_USE_BYTE_WEA => c_use_byte_wea , C_WEA_WIDTH => c_wea_width , C_WRITE_MODE_A => c_write_mode_a , C_WRITE_WIDTH_A => c_write_width_a , C_READ_WIDTH_A => c_read_width_a , C_WRITE_DEPTH_A => c_write_depth_a , C_READ_DEPTH_A => c_read_depth_a , C_ADDRA_WIDTH => c_addra_width , -- Port B Specific Configurations C_HAS_RSTB => c_has_ssrb , C_RST_PRIORITY_B => "CE" , C_RSTRAM_B => 0 , C_INITB_VAL => c_sinitb_val , C_HAS_ENB => c_has_enb , C_HAS_REGCEB => c_has_regceb , C_USE_BYTE_WEB => c_use_byte_web , C_WEB_WIDTH => c_web_width , C_WRITE_MODE_B => c_write_mode_b , C_WRITE_WIDTH_B => c_write_width_b , C_READ_WIDTH_B => c_read_width_b , C_WRITE_DEPTH_B => c_write_depth_b , C_READ_DEPTH_B => c_read_depth_b , C_ADDRB_WIDTH => c_addrb_width , C_HAS_MEM_OUTPUT_REGS_A => c_has_mem_output_regs_a , C_HAS_MEM_OUTPUT_REGS_B => c_has_mem_output_regs_b , C_HAS_MUX_OUTPUT_REGS_A => c_has_mux_output_regs_a , C_HAS_MUX_OUTPUT_REGS_B => c_has_mux_output_regs_b , C_HAS_SOFTECC_INPUT_REGS_A => 0 , C_HAS_SOFTECC_OUTPUT_REGS_B => 0 , -- Other Miscellaneous Configurations C_MUX_PIPELINE_STAGES => c_mux_pipeline_stages , C_USE_SOFTECC => 0 , C_USE_ECC => c_use_ecc , C_EN_ECC_PIPE => 0 , -- Simulation Behavior Options C_HAS_INJECTERR => 0 , C_SIM_COLLISION_CHECK => c_sim_collision_check , C_COMMON_CLK => c_common_clk , C_DISABLE_WARN_BHV_COLL => c_disable_warn_bhv_coll , C_EN_SLEEP_PIN => 0 , C_DISABLE_WARN_BHV_RANGE => c_disable_warn_bhv_range ) port map ( CLKA => clka , RSTA => ssra , ENA => ena , REGCEA => regcea , WEA => wea , ADDRA => addra , DINA => dina , DOUTA => douta , CLKB => clkb , RSTB => ssrb , ENB => enb , REGCEB => regceb , WEB => web , ADDRB => addrb , DINB => dinb , DOUTB => doutb , INJECTSBITERR => '0' , -- input INJECTDBITERR => '0' , -- input SBITERR => sbiterr , DBITERR => dbiterr , RDADDRECC => RDADDRECC , -- output ECCPIPECE => '0' , SLEEP => '0' , -- AXI BMG Input and Output Port Declarations -- new for v6.2 -- new for v6.2 -- AXI Global Signals -- new for v6.2 S_AClk => '0' , -- : IN STD_LOGIC := '0'; -- new for v6.2 S_ARESETN => '0' , -- : IN STD_LOGIC := '0'; -- new for v6.2 -- new for v6.2 -- AXI Full/Lite Slave Write (write side) -- new for v6.2 S_AXI_AWID => "0000" , -- : IN STD_LOGIC_VECTOR(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); -- new for v6.2 S_AXI_AWADDR => "00000000000000000000000000000000" , -- : IN STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); -- new for v6.2 S_AXI_AWLEN => "00000000" , -- : IN STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); -- new for v6.2 S_AXI_AWSIZE => "000" , -- : IN STD_LOGIC_VECTOR(2 DOWNTO 0) := (OTHERS => '0'); -- new for v6.2 S_AXI_AWBURST => "00" , -- : IN STD_LOGIC_VECTOR(1 DOWNTO 0) := (OTHERS => '0'); -- new for v6.2 S_AXI_AWVALID => '0' , -- : IN STD_LOGIC := '0'; -- new for v6.2 S_AXI_AWREADY => S_AXI_AWREADY , -- : OUT STD_LOGIC; -- new for v6.2 S_AXI_WDATA => S_AXI_WDATA , -- : IN STD_LOGIC_VECTOR(C_WRITE_WIDTH_A-1 DOWNTO 0) := (OTHERS => '0'); -- new for v6.2 S_AXI_WSTRB => S_AXI_WSTRB , -- : IN STD_LOGIC_VECTOR(C_WEA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); -- new for v6.2 S_AXI_WLAST => '0' , -- : IN STD_LOGIC := '0'; -- new for v6.2 S_AXI_WVALID => '0' , -- : IN STD_LOGIC := '0'; -- new for v6.2 S_AXI_WREADY => S_AXI_WREADY , -- : OUT STD_LOGIC; -- new for v6.2 S_AXI_BID => S_AXI_BID , -- : OUT STD_LOGIC_VECTOR(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); -- new for v6.2 S_AXI_BRESP => S_AXI_BRESP , -- : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); -- new for v6.2 S_AXI_BVALID => S_AXI_BVALID , -- : OUT STD_LOGIC; -- new for v6.2 S_AXI_BREADY => '0' , -- : IN STD_LOGIC := '0'; -- new for v6.2 -- new for v6.2 -- AXI Full/Lite Slave Read (Write side) -- new for v6.2 S_AXI_ARID => "0000" , -- : IN STD_LOGIC_VECTOR(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); -- new for v6.2 S_AXI_ARADDR => "00000000000000000000000000000000" , -- : IN STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); -- new for v6.2 S_AXI_ARLEN => "00000000" , -- : IN STD_LOGIC_VECTOR(8-1 DOWNTO 0) := (OTHERS => '0'); -- new for v6.2 S_AXI_ARSIZE => "000" , -- : IN STD_LOGIC_VECTOR(2 DOWNTO 0) := (OTHERS => '0'); -- new for v6.2 S_AXI_ARBURST => "00" , -- : IN STD_LOGIC_VECTOR(1 DOWNTO 0) := (OTHERS => '0'); -- new for v6.2 S_AXI_ARVALID => '0' , -- : IN STD_LOGIC := '0'; -- new for v6.2 S_AXI_ARREADY => S_AXI_ARREADY , -- : OUT STD_LOGIC; -- new for v6.2 S_AXI_RID => S_AXI_RID , -- : OUT STD_LOGIC_VECTOR(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); -- new for v6.2 S_AXI_RDATA => S_AXI_RDATA , -- : OUT STD_LOGIC_VECTOR(C_WRITE_WIDTH_B-1 DOWNTO 0); -- new for v6.2 S_AXI_RRESP => S_AXI_RRESP , -- : OUT STD_LOGIC_VECTOR(2-1 DOWNTO 0); -- new for v6.2 S_AXI_RLAST => S_AXI_RLAST , -- : OUT STD_LOGIC; -- new for v6.2 S_AXI_RVALID => S_AXI_RVALID , -- : OUT STD_LOGIC; -- new for v6.2 S_AXI_RREADY => '0' , -- : IN STD_LOGIC := '0'; -- new for v6.2 -- new for v6.2 -- AXI Full/Lite Sideband Signals -- new for v6.2 S_AXI_INJECTSBITERR => '0' , -- : IN STD_LOGIC := '0'; -- new for v6.2 S_AXI_INJECTDBITERR => '0' , -- : IN STD_LOGIC := '0'; -- new for v6.2 S_AXI_SBITERR => S_AXI_SBITERR , -- : OUT STD_LOGIC; -- new for v6.2 S_AXI_DBITERR => S_AXI_DBITERR , -- : OUT STD_LOGIC; -- new for v6.2 S_AXI_RDADDRECC => S_AXI_RDADDRECC -- : OUT STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0) -- new for v6.2 ); end generate FAMILY_SUPPORTED; end implementation;
apache-2.0
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/axi_intc_v4_1/28e93d3e/hdl/src/vhdl/shared_ram_ivar.vhd
1
8750
------------------------------------------------------------------- -- (c) Copyright 1984 - 2012 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. ------------------------------------------------------------------- -- *************************************************************************** -- ------------------------------------------------------------------------------- -- Filename: byte_data_ram.vhd -- Version: v3.0 -- Description: This file is a DPRAM which got used in the design for the -- endpoint configuration and status register space along with -- default endpoint buffer space & end point 1-7 buffer space -- using the generics (C_DPRAM_DEPTH and C_ADDR_LINES) -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- Structure: -- -- axi_usb2_device.vhd -- -- axi_slave_burst.vhd -- -- usbcore.v -- -- ipic_if.vhd -- -- byte_data_ram.vhd ------------------------------------------------------------------------------- -- Author: PBB -- History: -- PBB 07/01/10 initial release -- ^^^^^^^ -- ^^^^^^^ -- SK 10/10/12 -- -- 1. Added cascade mode support in v1.03.a version of the core -- 2. Updated major version of the core -- ~~~~~~ -- ~~~~~~ -- SK 12/16/12 -- v3.0 -- 1. up reved to major version for 2013.1 Vivado release. No logic updates. -- 2. Updated the version of AXI LITE IPIF to v2.0 in X.Y format -- 3. updated the proc common version to proc_common_v4_0 -- 4. No Logic Updates -- ^^^^^^ ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- -- LIBRARY ieee; USE ieee.std_logic_1164.ALL; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; use ieee.numeric_std.all; library proc_common_v4_0; use proc_common_v4_0.family.all; library axi_intc_v4_1; use axi_intc_v4_1.all; ------------------------------------------------------------------------------- -- Definition of Generics: -- C_WIDTH -- Data width -- C_DPRAM_DEPTH -- Depth of the DPRAM -- C_ADDR_LINES -- No of Address lines -- C_IVR_RESET_VALUE -- Reset values of IVR registers in RAM ------------------------------------------------------------------------------- -- Definition of Ports: -- Addra -- Port-A address -- Addrb -- Port-B address -- Clka -- Port-A clock -- Clkb -- Port-B clock -- Dina -- Port-A data input -- Dinb -- Port-B data input -- Ena -- Port-A chip enable -- Enb -- Port-B chip enable -- Wea -- Port-A write enable -- Web -- Port-B write enable -- Douta -- Port-A data output -- Doutb -- Port-B data output -- ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Entity section ------------------------------------------------------------------------------- entity shared_ram_ivar IS generic ( C_WIDTH : integer := 32; C_DPRAM_DEPTH : integer range 16 to 4096 := 16; C_ADDR_LINES : integer range 0 to 15 := 4; -- IVR Reset value parameter C_IVAR_RESET_VALUE : std_logic_vector(31 downto 0) := "00000000000000000000000000010000" ); port ( Addra : in std_logic_VECTOR((C_ADDR_LINES - 1) downto 0); Addrb : in std_logic_VECTOR((C_ADDR_LINES - 1) downto 0); Clka : in std_logic; Clkb : in std_logic; Dina : in std_logic_VECTOR((C_WIDTH-1) downto 0); Wea : in std_logic; Douta : out std_logic_VECTOR((C_WIDTH-1) downto 0); Doutb : out std_logic_VECTOR((C_WIDTH-1) downto 0) ); end shared_ram_ivar; architecture byte_data_ram_a of shared_ram_ivar is type ramType is array (0 to C_DPRAM_DEPTH-1) of std_logic_vector ((C_WIDTH-1) downto 0); --shared variable ram: ramType := (others => (others => '0')); signal ram: ramType := (others => C_IVAR_RESET_VALUE); attribute ram_style : string; attribute ram_style of ram : signal is "distributed"; ------------------------------------------------------------------------------- -- Begin architecture ------------------------------------------------------------------------------- begin ------------------------------------------------------------------------------- -- DPRAM Port A Interface ------------------------------------------------------------------------------- PORT_A_PROCESS: process(Clka) begin if Clka'event and Clka = '1' then if (Wea = '1') then ram(conv_integer(Addra)) <= Dina; end if; Douta <= ram(conv_integer(Addra)); end if; end process; ------------------------------------------------------------------------------- -- DPRAM Port B Interface ------------------------------------------------------------------------------- PORT_B_PROCESS: process(Clkb) begin if Clkb'event and Clkb = '1' then Doutb <= ram(conv_integer(Addrb)); end if; end process; end byte_data_ram_a;
apache-2.0
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/924f3d25/hdl/ramfifo/rd_status_flags_as.vhd
5
15251
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block YdPUHEbVpmrRFMy5BbUuH2UCjaSpbPEMVsts+v5dT1IndQ5NejbBs0G8vAg4suXtRslPbLBR7cIf wqWT5hRIYQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block BcP4tuSTd3xtaikzwM+ec/FgaFt0i4J29OX8jvVFWjBcPIZrDC9wYh4ywYH46KhanKWRt99og192 DEd26hPC5iQTxlRFqSniYNrlye1zXu0tDWJQ7FNEnwZcG9ZoN/CNSctpQr/SGBHKUggY+qEfb2TT Dwp33BGM34l85OZ5J7M= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block dJU6T+Y9BjYjcKJ9W2rDB82E+BAYCn6cDj7JjtePsn9pkOKZj+9Q18BV5+xQPYWEDQwzxvihpow4 dtVC1JTbxFDXdOBMWpZmVgHFYHmpq/JghxAOE2rqE+n4wln4Unuce3LdpDU2qFgI4z/fjBghIaxh txdVZZtj5LAJfkttjRmUJqU193mSFL9jiUQzXixjv8adwB3Dyqda+zWTSfN3AuPISk71PtG4fTW+ 8pg9lU/u89sVuNGjVCQGgtDug0P6oCsOBcxY59Powb6ZMX0bTwoPTTy6JNnOKH52viMTSsK7zOlM y7CissMXOQHwhNc7ecaNVb72gCyjd9TFs7cTOQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block qfqMjN0toCr5CJMTnUHTxN04rwXKsRTHawjKpNMbNTALGpFlWbMTPvxwHPNFZA7exGvSSX1F4C22 L4ggInD+HWrpf49QAIUpPdWr08kIH+t2+aTpHfVCBRgpcBkHDlUiFgURf/EUOsSRBT6YGf4DlVTX RwllEAS4P1Yy2dxot/U= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block VLwYmT+2XPUWzKZMa8XZG1WNv2NMkuaJvFq2LAqmIlsQucdlMAQ0tsJAUN96C+sE6vq+1xxe5HvW CWWPoaxlbLnwT2tmfZ8gASgLYJpZ5qAxVhY8nShFxseKx84EyoU/XXuPuymaDWvaSx1APXAEI8ZK HtQjejDxM8I/vfCE2qJziAalNXv+F2OwzlKnVnbAG40VYUzh2Di4GEpTr2udiono6DIN/CCNPeWz 1szJRQ/LV0TBuH2TZe6cLTB2W3a0QqCTuEe2nm5ZjxHNGt20LjT9SWY/zkvCDF1MPKHCVRv0IMIO VRroCAZv4P4sLmgfKaNPQjizswst6c7iTfLQOg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 9552) `protect data_block Y4eZMYcDaeakDqp+0Ti4pwUSws4racnxd3P75//oW2M93tqCAxx9sVtHGgpcx7HBJZYdL6ueP7CL +LfWQFxXvmUGqxfuou/oxriKJFael6GaVoAClJLRRtHUQjoJd8pXqpuRnvXU9dgrD3C8NsLFLGXx JFccdaa5PXMkzv3T/DbH2nzhz4QL4PTh0JkBrHLTRh1bsTg0wNucAO+81OE48daZu7mt21qXJxzN ErnuRjime/t50zXz5Clx4svX9ETzQttYRFaY5eLgryEUfZDxWw0lL4MJ73xHvHsIwi/N6AESZ9Kn pos8HvcvKPpeCF1uijAajXZ/NA8g1DHrufKstygb9DgsANmhQZ6Vr2fN8CnOa1sQsHyUdkgBYRVl H1SAcKthruTUCHcm2uX5Z6+LSOE9DfKbzODIa1Z6KoAAXKH9vOlUAVhQ756Xp+R/H593bP9OPRUP LcXRuVBJVaZShBDsb7sEIa250d5Lzs74FHlBSq+2aSS6T6SkgV9cNtTU8hypUkYEm/+IRZRS8xOW 9WWOzf/g9x+PnJVl1WkNAoxH6JYlM153TcGgfh9fc3n4as3Gp24Hur3886hofG+RgT4JqJJf2wAT wR4Yv6exY4kP9BJwyDBg7PU2Ulc3tLWFGik2dtQSBghW0QrNZMCPAarHiBq/gHJrxGEi9agrrO8W b7WwZbFfwmRfqa2qhMAkhvDeU4kX2dVzPMhyxcrhgeV6e4Wng0AX9sr8o55H2t6gPkIVMfCOcCSG gSQvtzWLGGM6CvxUIsmcB0/crJ8NH+rkI1wpGgpySz0a1maKpqbn/9LmeK1IzRqn46c657dla5LV 4bCQdgmHDvl8XtXRtrNWz2BdaBRnjW9Xzmwk5eF6NXFKICC6H6924TVZMgI/oS2WyB8PfgNrWf3f v4fUR0SEHisznuWcHmIrwD+GBDFMJ/PPcwPyGE1NgUqVoYmoKclORvWrSsSCh3tG8/rIEfHDS+Gj dRvyDDqFCbynR3IXADjiMWBe6K9MJj48UbKYaexiMFfSWwLg+eLWkV5tltPCctrwyEWCeYHGHh8k uA2nDS13hqiu25DlGH5YJItSWN1uiKqV/7x3aWxkWB8mia7429gkvffhUebR/cSyF8BnZCpq9ilN eS0vnYKWpM39msUKmm8TOQ3Do8jvBjMJ/eqbuiZcQOlTJlV8XJ6YlBCAXKAsTx+2vBKdMjQFcz7G 54yqunu71FUK7eYQ1AOKoMTtMgEfrqAIW0iOV4reEMmPfbbZCnxR4doLMURbEw35pFRiA4PsMMK9 HSJqntAUgQNQvOh0cH0TYeWRkFTs79HyjuheFVMJW0eggQwIh6f9MlrTJL6qDrb6ZgSeWCTV03Z5 /5M22l7R/SaRT8l1K+Hh8wwQWUjqxNnkE2YY2r7DBjUJsSVrdSfx78WykBiLT3esR5+KGpS0mgLn gvwIHJpMk/Bm+42oUMmOE3QxQcRJhm/mEovj1et7m1nqkYxJeuu4q1aOmYbehry7KOkkUnZCfWiZ b/vYpc1C9bMQOppl61uHFFzKm4qy5QBUgmnX9FQV5wPdBTIWeomMTaYIXehCNk+ZxcWp2COEcpaM sJ0EmN4kLjt/QqLsN3nsjRkrtAf1KewZYc8XqZVrn+dCiS3H44x1VNCN/BpxhsI7giLudYRIwcFx t+9aLgSRNVNyd9O6jJEHyGP2CoBIwSEdV8OfnVpjjZPoLeF21sofFM7B4aLC4glSNeKdcIE3MfAS Lhhe8dzSp9gKa1TtJsAOVUcNOyrLZPG+9d5C+FTTSft5/BPDnqNw3UjN4xXuXhdBRWij7d/0kPkQ h1TeUUhXHDpJCvACrWu0XspmZboCIXPb639k1EiDnZk7wZwVdOiYmNwaTiNDEyAKwqnwtKiwtkkP OkcIdu0A6sxWDfNSTNFJt/yI0pQtZtixvBtyX5JmmFYJj49BIPYiHgK7pjDIY7RPHbecGoKt2/DL pXgW+O5Dbs+ihnGMvFYa/ySlywCE4+e0MNuE2L4wLlaUQHhoHOWwU3ataEg5oqbTYfD0SaU8MS1l exCl+YPorvWLM09tG9zFTW9pJA/LKlQgal/u79OX5vQbfBhYWaJmTdlItWBeDDXNo1N4PZH9KX+Y yQfWvND+1m537cNokVQ5DaLjTKYhGoRzfx9HoYHKxaBvUoQvTTeUOZJxXGBNUlHcDPb2ZykdXFpw 4AYYi4qADyV/QMs5wAaII5oQzTD2D2agj+vH+ZEzFFvUzgvvMBvOOkDA104xMvzYzIuGv5RXXZLf 7x71CZPCPCNEvFGSdmyjWfmSFBdnebZxMMBOENciWG2ioNopYA53yLx3WVkd2QXzKvdBCRXBq/6X G8lADkKjAqJ9f1aMvoiAgLBF8lufctJvusdcO70uWULlxLy6i9TN4mo9CSdL2P+2AO4sZVuzLkhf RD99GrJFO+TxGVEz+zfWxgjj8/itn4oWnLEoe5/C1E0G+dsBrrps5Gsams2/Rf49b1TieuxFgmXl nFEYE1DDje51VyBVKBCqCBGauxd0eUw6U4FgC7G0jPKd+jrwdLSnAZxGiCampGyQA4IU2BAkJk60 8Np8JM7sEOUgJSrY/ItEkFmw/H5D+sxza8jgRTSi9HImA7pS9XOAA6STTdxyfWHxM3hpYIzXt/5o 9QhHXnp90XTgnU4Ee5X+TEY5lRNF9kLWhrE0F1A6w3eUqe5WVlId6KatWnqpMVu8jGXserp6kLsr rb9iGOaSFBJ4Rm10PW06ic9sgzuyXBRqnhbnfwTOQ/u03SZo4F9W/gBJvij094EUbaE/ca1yIsFi dSB4qNWLkiNdLqPsex6Xd+vBsosbLdeK3XFYvetSTVIGA8NkNwhFyr2zS6Pb/R7hc1MHrNHTQ2kW CK8uOr2QhIG1NCz8tUav2a+VxyEsLedxZJvczDE/Hq5mvenSTALUNMtJvTIUjAy1aY21Sq/YqCgX Tz9z0CqXcDMIC2aIvF0Icup0Kmn+IsovudAPuM2sNhCxf4YUQYVcCW5PzOjKOlnS72vNVnFiK6/B sKYeuPwUpVYK1BNraxD1Xks0jJj1F8UwNgNebhCaLAw5o6/WN4zP8ic6VXC1q/OlFyfelAbpulYQ e91mgg4xwPy3nKmRn3xxEoxsfVkmJ/c+4uhUoFKKYQ8840fjsOGHeFM0LJ9y9BZF2qYmhSltY/8A jHb8Q3xrxX8aXOSrPwoQ0umK0Mlgs69RuZ0krPneOIm9Kp1X23ri7qJrs09PEBxiG281mhBbH0V/ M/8GF41kCjsNbdkNxenskuQy0rGOL8bx1o1mjjZ1WdzJZDod20hNSmG15qWfjAaVyfLiqBanRy2Z cfRkErP3xmM3Y84puEtsj9juh86YddGZXZ0w7tWq0DoFT7JmUCfbtBEei2kyJ/5C7LAFW92C+E+S 3lFRLxLSET+/j4rGZ88JC2UuXZehuRNe1Fo+AvfMx1oAz33G0DHhHVVZvQ9p7xodgLzCNpi1C9Pz N2tq7rxLc5fRIerG2XQ09zbqSszGj1hhQaj17zhFx2b2HpO100FAw/eGj2ph1hJjgH85HV2DNRK/ eGujTXP016cGdjrjluUYRtcDY7FfK/KoMDjDP5jltva/AvjLQUZrREOBWYHfBLfq9aWEwis9AnxX GZ3GQpWNpCtVIdziFcQ8QNE+GfGL0URef1J/bZ7R2xZgJcyyeXjNY+zaNH/Oy+Wt1enCq5eOSZQq 2Hev4LGb/AE6RJQZCIJM4MS4qs9wyQZardXGI9zP8yT1p7w6BfQqDmTJYTTVrwZT1+hoezMqWuS5 CRfZJMrVRl0vO6zitLheydeyFCewX9L12JHjBcYBKsye3YUi8KlJ81AR0kQiUuqoHUtZSHugMYR6 hk5u9ZPJmq0R5uj7RaRNCIj0CrlXGJXlD35A8jBlSFTLEuxeS5GQfz42wD/PP6sX1Wg++P8ZK2Uz B+UJrAeDt2AQxhPLTiNSUMJzOPxtn8nr2VDq26cvdf5cuZiv8F3TTy2HyyBmo0ktuJ/3GCig5vc+ dwBqxqKWQbPY1GhTLfepdHDaCS8RzmJQ+1QOQrQugAMLMaxBdmW6ZBqLtzhOYxy6Zm5O/nBjN/tX tzz6dN/+kgj2xGrQe+xufHvgyiZA+32pTHGL/FBFds1Ty4xw2H7vJFPTs9Fown0RZuryz9H3dbgS 2vHf0AKIzww5qEBjGhU17zaMrtI32kKbjqVmLT61idc3lZ6W3yt7tgejCyLlUgETm3SJL/J0Fjn9 IpHyrwYVF/7cjSVxjh66tup8DWa9HyvRXidt84fPxzjxvYB/9CgGLZIDK6ozoY386/HmPsjENUHR ND3qoU9JqAcwokmVIM/JVuCA2NREFVYOGS7R3onfjhSgcGrcHXzuXSDoued6a4H8mvY2NNMWSamo 6W3yCtvGH6ELRCYUTeuDapVLC9eGZPyh5uZPYo5ci8RDvCN7PW8Llg4c2Y/LNR4geyjx8T+Dhebm wf6IXxjhkCwxEReWOiH8gwSN7NCt1B0K79yUkM83Y/M5BfC+KCLX4UhaUD/oA0cKNbZ6M8fIp635 O+X5S0jgbaevyRZmKpbsrvDJ7PHyxejE4d9ygYTPkhFSRYpK15+Vd/knx9zEmakAf5tElb+s71ST hfqbTsf8zh4eITLLPdD4kIuF9RezVSjhkkipDZ12Lp8PG7ampOLXkZxLZheoxl3ujXV6gD+D/4/G +oiLSJlNKVGZxAysqCdIF9g27s6BXk/Epc18R3wZU5SyFZZ7n6KydinRU2Om8n7pL2pBgF94ub3R aY9ydxz32NlUSpy2y8Jv7/rAsjAV59W9xBoWP7BfVkD0RJJxXQDdywD0ypVhHawrR+6lliOOTcVV kSs0eBB5xhvZ0vl3s1h814OcCA2/ncU56gPvqAyK07i8GjXJTaf2h5cHhBGprH1ngviW8RNOoDlo yoU9dttHyJknpOWoxJMh0/fBLyCR9yRtg8bFIWMohJ6TXzKhgi+9DWP+bQ+OGeyraBMQh+z0HOD7 riirFY2UaGMt7GZM1+LbUlFPvsGMWcq/iEuLMijLRmdCDgftxYOOyY2Bx84U45QT69h1uOcDky5Y ZCnIVbjnvHkEsgQk9h71lQquiFjnmf3axWFH+FZvgEYwPHsobbi00qobaU+GHHM8QEzAbUPSLVDr FpFKjgRz0YKwvx2MnWP63/tA7doHAXrH/E8GU3FTicnpKoVNCnIImlOhUDAiC5St+yxnakLT2T/l nmNQLO28YST9oqn0JyvDtZ8MHI4TT5XXQaVARc5sO700BOtwbup8lCp8yj0j49Gk4TMap5VNJjcZ b/naAU54uda4SLCLob/UnC0F4nbiRyY06vhYp0fxwOoXsxh45ZxYKFkO2cljV0FrQ2T6vcK1n34j h5CfqU/HLH3T9O6MjVjV2v0vmOlNaFfkpBbjazUCqruwCBlOTEG3FuFBwMCmKdYWmdV4Wke91UoT yMiQ7c2a9/4xaTJ8xPgWqgKs9EerKB/Hfmw0Uf9lnnqIa9j4XbuEu4ljMGPvS1feHxnZ3USwmFu1 sLOuS2zAA7eLDmd5sCt38zRCg2fa3EH2/drqp3dsNivdNn1bci2IVOacpcAJJ7NzPyDVDwvzXlxp 1nlS/GTLvgNtbePV49jTHucYGbCjP1TPfL+9Z5DRGr8HevOKUFZ/KxbNALkjZMC7ZNFwtL8RBeKd zT3+KllqCoud+KV48J1ED11BdhoW4I6P70DRtF/6ZMEVJlXxrWsINrTSdcC0ZWw+/f9NnZvdjMO/ CipqJSm9DC7np8nRBHfhuu8KlPhigw1kKqb2SVZkKVeItMQG4zdSgrlH13jdTfo0H3L10wFjqI8l yRKaSdO6aip33NX0jWrv6dzdW6Mh+T5cvKQ4tfNxnKzZMbKcmW8PoAwvD9zWaDYLPsiWEpwYHgo5 EVY4NBV5Vtth29m/nsyF5fcozIHnblLAsm2gTdcO/hQYzO1CDJmFeBj1c7kzAtBQiXZPpTO/RBGn tdAiwywdum6IYWivnIupd5mYfqR0LBPSyhcwRDtx0gv2ACJUTBPnwZpK9Q1iPwPtVMiq9gjHVeg/ VG1bCK+EXUrwu0neiBWj9jQbQcI7POGdTVpnMphmAzKnGke/+2cwElD/zs2h8382+jpG3silMN+1 itPwYb36U7irCeRyHM6aWkhKOtXeRNUOQRDj/aT2089E9XDyqilUwCPVRHubp3iNNqV34teiaRzU MFOs1tqUx2SYU0dNM462w4xNvEiFvnashvozGdQStcPcV0TgTwACeecGMSLa+OfGI3eUjZ3/p7Ub OJXg8rI+OBGYO4MvheVBcXQeEV38rzbaCMrETG2ztAsmr7ygsY2KTh4+p+Zfa433FDrZRII/9jvE vSzX/5Idbjl+wDiony48MhVt1PrxnT9T234eMcRmWMJdT8S41KJ2AeW4hJLuNCFFWCCrOYDr7uf/ BaQEekGoWMP2Wv7PpD2rF2jGF9JzDxKMusOihTbQYWv3+cHFBb7UqyERNNt5woONnx9nmQCdDkJc LtVoaMCTeE0iqhvphARkqmLy4nERQ/uJFOB7Cq6qAZXg9KvzeFD1wwc2b4dViXZY4GyfwFKnkwYE 4OFxbBEKoawSW0tk2OB0uQ8NqsRY+5xqFG0QaRfp7LC76kJW+SZHp15Q6hmuQW24QmeFs5efN9YB v7zvgB+TGOd7YcNuxJwwAuRxPTWMKEFFqVfPOuKe8UD3YGbsK3+xq53BCN/fOM5tQ6ShJlMh6ThZ IDtuD01gtdLCoVUlOFsl/o/WrXHlgnoc8LAYx/Hm0r9ShLnv0p2B7ReTm54YV5oHblRO8gM82I/z yI3tFWj3eu5kqQeCGggDQ9g02LyzErRso/YjKYckoX+46CEgT/DxYew9iDUxajeECPdcE9GSsxzt w5PGAOgwyha5e1BFxMQwvYziKVGX1N8RciiJaUzRrLK9z3sO+lKzZNIWlv0fWy2CgdjvbqeqXwmf fxG4FR4aACHi/beq3TfH7dpWnItT3yDbXT8mdjBrIcg6kfQZqb3ZLG9vCDpeHMruP0eJMP/WSpaQ c4W+0Y+nU6OTIF/eDTesi2si9iDLvMQHBCvvw+JfMBPRU4OZDV490AtpmVcbUCXbY9mKhoASOB1y /qlfu6gwJXn1UTZS5Jng8vcrhZy0j+EOLUJg4+Qs79Ebwuo5W6N7oxzmMJ3I9DS6Hbu21bUN46sH BcdXaa3WrjP2F5QT/i92UKE0lSP3POAHZIVReM5tmGPtoW/trmC7qSLuTmcX5SRJ3Fm7sT6eFKLH ffo+TeYrAwYytYFsFrvS8GWad8yNgDwD9WB0DMHFue65bxlQJMDBbU0DA0jx7gtIGoeNQt2U4Ek1 tgAX9PNzHq7DLFgIiunCeOe1fWVJLfuiRNAvocHOVCQrwQQ7mwsJARnRIeIMCjQyUr9m9kleCBli XWsMJlvBlmoxeRQkP9yGdrM154Zl91J4fQEdkSGe7sOoyBB5Qvk7aG3bH6CQVnWwiXcsCYtYeF/R qf/SnArAe6rl1LtboNPcCy7UT0vvY/f67J37YGGWUa+2zTmRYsz1vLV+dm+bn44M7K6zigtqqEkO 86k1sRsLs/LbNkr224KA88Tcgr8gu1Ws5Ysc1bYiO3/E4lzvgtzgHRU78dJyVt2b+VFlH2JBShR2 ViLVe5ZDEq9WDwAqtm9eF9R882tlHRMvk5LKjRixyj9adPeknyYzAhOIszlKR9TlFaLG+Ey6eltY 9hkmyrZyEzl2R7pYHzyO9EpqzK/nhEZKPYVnboRfxsBp+V6kfiHnATh6LQkoV9H2HuFX2P1egNY2 UOH5hr06zRloMUyrjt4dDBjmNqW7eopMt+I34PxMDEKK70OlX0oWFw4p/M9LVem/ZDJ9SPKE9/Cz qsJygPP2kHQYhymf2vuPoDpbl0d05SGQnhir1CZx9uQxKrVOTx/nfpKfkjVkb7YRMWN7zyPJZ/M6 SqYteUKdY610FVL/Nu3SbVUbgn4F13nQFzF5xCEBSPZL4Z/oExLHb7i/L1grMi028J8syqJ35kPT CwvdoG382QaH5vc/SO+tp+gh1IXJXlUnchu3h4vVkI4m/pAVqK7lOburL8DxDUxOmoLz7oI63x3E I0bVlAKeLsZlMnSUYjVqUqSMQsd9js6QNUeM+nnbWX9/3PvoPd3T3uGu+E/vKRIhlmojklTzVIx/ 0tQEHkPk2vIafRsDafuyYlx8MG3yK5Vid0yFrtH8j0P9aoOmTSGhUC0/l/yns9W5c/RdMreLTiqG ENpLNU8UKwdyBRssKeVvoGxSR0bdxwZDCNvL3XmoUiMmySEkyKJZPxkob0nsMilSAZzmx49nCH4M eN6regEcCFonMJPNiDhd+LQ/FiRLzYDEVG9cpOZxbv0/UGKi6eE+8Ct60eNX4Vy6WlydgyK6aGGa Qh9TjL768GbXRNqwrhV+ra7DvILJUChSguGDDuliKLrJKDVJDnJcpFR9i6fbubClUjJH66kENm76 7HL6Z8WdTaaFmzBPvBiHVtomsJ/dOc4y2Tl1rZYn9ogE7zwy0yIQ6fF907Z+XaejZ8UP+X7ZhMUQ 00J4y2Ya7ghlWKdHsxyTkbN/Id/TN/y1ulQd/Q8PkdC0yTnJpVcmKwdoxtQpsk9FlZj23FpJhaWC GNadMowpL4W1xQI58ltK5oVsq9RrTea9Tist6CSBigsXbN78reSu/fhVNPAYMIpxKNi6BeVKU/dY Zx39IpZOM8pbEt5yaTx/ti3jZpbIWs4RCz+tQOV23t63M0Wb5quX6Xulvgsm6+fvn77befR1SneV OeMqBoV0drOf9D5kDBteesNVdMaKxPLZMeYo9PPbqTI8AysZb02tQ6E8gPsPIG2gRdImYLk72GXs XJ4pE8HHTTHxaA8BDvhGMh83IKZMbof/j/+eMxDUGWjLfJ51BjxQNVBrJ/lrcoz36E7pZoZZNVPL zoIfYlxlPoEt9ffUsnzFJUyKt2gg5Q/a0pMi257d35XkFoKr5iMENn8JzJ5jpC8Rn2MuNhpr9dco OFBwOh0vhEH0BHq6RMwPaIikdvOegto1NR5idUMr4I0xxtyb0OlyjsbcSwMW1xu0BKRbcxOqDjVO zKx+zGqDXdcnxg3VQbRTXSLKENs7dZzjrsLxbQcDI6nt29IDIO+o1PClDuxDtvgJYV5pZFeSXqqu qB4E+alrJ6XNo/QpcBuXUhC9D/ZKN6810AtQrieawEd3SbqcefhKbvrXGFbFWF7Xwc0Kn7ke39Ev l2pm70n2dUwaR4LNr4wGmH9nvXF/e9HoUo+jIFbN/v2H7cZF1DodoHCWFYYsZePfc/5dEKxnxkry EHhX2Rs7yJFxUE2eU3i1MeTxz25klN8hP1QOMfd8LtvpE8hAK8ikq3VPuMqXbiDBYuGNp7vENrOy UeCmmQPteQ3hd+BUXWOQ9AvT5wUQd9fUZij9n81RoBKqLVrsTfc/CKxOjKo7M2obLfXmInE517lW 00qLTyjFmOCu8oEKyXUtY+5ymburehXFV42IqIVV+aUe0uyegyIlCJO48UDBu/P5ENsjLQPWPdM+ f/h/5Jsp4Srfy7zORfv7B/rQjjkkuanRAD0LVYVgz36LmlvUkoq+sEgUoq3a6HkMoWnftqrPKnbe 7I5YeAz7S39uZJObTM+qjAPHp6UfXWwvyprGtwnI8UrrjMX5X7w+4Q/IUDpQKD9jm6vpaTol5XKB T6sXOzSWJr2M8uT1bzrUl5oNAxatVEhTuyJIwxtadUu08u8jRuPCC4Ffa31+nmQ/+sRMCmHDeT7f c8zag7d5P1ut+zbt0xWgBi48YKubIDPLYCloAHbnOJ5AmXQJYsNM0TYXVTteZtV9Fldj9tL1gzaR NiXrBobFUBZnxsEYBZnmTdugPMwez6Eq/QJpdcM3rDNk3N/h0DjPjIekS+ePjUESVClitakhjoGC kgGcIZrYs9yAKGqwofuaaLSlMZntxvXQqXw1CDEqlxE18mYJcYvgQwmrJVMT0mjiYckp1Jjw2uST fPO5hzX/JJv5hJgiIVl2QSUpyj6pZE6Goodj/v/jrKoFP4tj+4yvM3njOye3Lv2wLJCY2JotfDXt zKeinkcFDudC5N6tmrKwMdaZ3Mz/3Yf9mRIVrZTMXGNv9ACtL/QyiUvjZpoQYb6TbaaLoqiv1Ask M9RT2FVIFn98RwjTvUEsgzxt8NLXIGMSoU0SByEFJoa2YObpBT/7gqgdii6p/NGbUn7DmzjZGFm6 jU0P2KKB9d97CoBVCMvrDgccibPLtTO5NEmapO5kZtojl/hSiu676fA1rZvJFqKgKCPIVPI2GIli cCCr0XKkpkOHcnn388vertcxk2yEKzqF19FEDU26rF+uDIhcuIcy/V8NYeABVvGKPH5k9xwsgRmy ugrHSbb4qtRSREt1VwFaFUHaV2w2qcuM83V2s1wOI1RbFo+blh0bsl/0WqBSyIwx+dQz/7NnKwpB 4yJA2YZfbbJr2jfH25eMTr+X5agjZwsg5TZ7IYcClWdPGiOIlivvWCkb0XCF1Dhh49+ndBFyFeHy k6MI6M0rR44ucmuUELqzd6dEHIuDDNQFDxmqJSjTMX3nmLfL0ovGN02+pbEjCqUcDcSTKSfVhyH6 VKI372YUclsWNNnL0K4++RcPxT+a6uCM0F6Mkm6Wd7/JYX0UVLhy/y4e134CCTixXqIEVfWqvq6i GhEIGixG9FioOkPwwVAFVMD2Z7NzhN6JMmCOHrBWQXZG5MvCEDwClCQH7rf7SPpS+4bPGmju4jkw gLKWmNlENUXQ1DPLF89mM0CgYsqkGyMQrI5CBEt2eW9+jQMTAnKOqCuef1MUoj3IXo6mr+mXqYDT WGF52dYYfLwSlgT8OQ4EAgfJROCU68QtBbNN/RJ4yItjE11q7n3YxWQg9F4D/IjPENLwB6rsyhqN hhY5l4OfPIAsjX6ZtwmSSJ7zWVqFbceZnpY3KD2uxG9Lz6YX6gpmafW4Z8Kjl3usspFJxWdWWV7G danB6xdhBPUKwIo+FUMzsUuOtqnkqjgqwCCaDi+8bZoSPdxKjPmyp7eh0fmJWizaRd43elKQFCL1 U05wopvUCJtSbH7ybmV40ImoHTjkGgeQMQGvi8Em73HxqVXja2LXl/tznuglxRNaguH9afoMH7ve QgXcNmAdYAVGVRdKlwF9Gc/jjWEGUui5EW12ZkjdGFENe24GCUTXd0fmPlUrHd8Es3/PIapUahM7 YDyNBFgiStx4Y9WmgnJOEw+g2/2fRdXwHRBhAvs+XfGoDxm9h/fwtEDOrDzgJVvjAYiWVeu02x+w usoe//57CFQcukN1/wuU3MfBgKLQVpu4hsio8pinpuoYPgwKt/YcGSvG6CtClUL/3JnYBLYaKFfl 10AU/4Rwgg+kHKsDaNFOoopA7ta3u3+hhwBJWICm0oRqPPM6nfnisOeBFghVRqI715XM+tMHh95J IMFQc8dr3TqJwfDeJfJsBTUu/UhvKoefia/VaTmxbRRdpXS/Sh8JUDUEFQSdzt7L5/1jUfiEg1Zv NW6wQFNz4jocqRciJZ0oBBY0IYt1Dx6UrHJvTgYQYJ6EaAfrhnzv4xbXRt8br4fvVGcF8pZRlXWX iJvdVIvQITJPSWNNpGwr9Qkcgw9lPsXBwxuvJlw9btJN+K9yfxop/kIshbgtAE5Vr5zm3QmTS0Wk MVug8/C2mGUTTv5ybnFCzkElA+GKdG4ZiCvN6aGLW3+fi83Y7rAoT8CSH2luJzWQCYspWjBlIlct nor+W8OWPh/jKf9Z69VCRnIYAITshux9/CWxmGB1i8slJlOtAwMXWYjbqH4TRdwwekAGIJN7mW2I MxenJ8NduLu3+X3rX4aZjvoeN6BBLJIEmNE5R0y21xGPZMbhYylNpAA+Qtlad3T0QmQw9O289FS+ l8cbjBCbz2rjwE9SMlTv/1/BIhTkF4LDCl2Jfc3jtvwNu2iCasN4ELT9q8/QQVlg3LkLCvDMEW/G eDQwnEsvwxkNLNX6Xk7P3cUTj60FcNlkmcfQanbrJsSJVMLoN0u9+FIt1ZIvCFWMBRQRpOyVBD76 1oCAZYv/jDGREHCkDVwIN31+QN00VY8MtOYp4RdsEoT0SBvNCBe2/+a+pRxXbskkpj6Wh+uoIKI5 0UzH9kqTeOp/Dv3hLK5AbFOflEEJI+VxqgVyeB8ulgM28z68bZ5v/vl3TmWJlRn0dIMLcX57iST/ R3BnKMMpx+U7Aw00nXv8G7ZEziSNIMw3G6RkTUJCMqPXoeT69/5ftPKPzlKNFzzFeiJiAjEW38kk Qug5w1K5BNoTAPDqxGtB2341Nj076ufdJDm7MnQ8vOaEZFIcOdspggFJNOQok6CLdxy8ZCL9xIWh DG/xoNMsyh8VcDte9YckRJTEmxaszQKC/jSwnJJw4XLV+XgRgXPvocgBrHhP2u4lPsrlJrV20vtx U4JqC4Gh2A4RkBgSL6aStgCwCq5WQsD4fgSPXUviDF3yrYmT+mzpkecmHgrL76Nr6dabt88qGJ7a htTairZu2uexcsMo+3sPDZhbHPV6X0zu+RMYTt4Y4p5zQzsVXBVOYlLU376xaEm3aXs23+axZE15 wpVR2/Vbp8WHw5ltPeeO2wKeWSjCGacky1x2Ipx/fS5wKXOEb6r2EPHdLBpcIqo4VPLNl2puon3M 54zDA54fgLDSaX+mN9T/CnXU+mAnTF0s166JVTFovr/3 `protect end_protected
apache-2.0
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/proc_common_v4_0/bb615326/hdl/src/vhdl/ld_arith_reg.vhd
15
15091
------------------------------------------------------------------------------- -- $Id: ld_arith_reg.vhd,v 1.1.4.1 2010/09/14 22:35:46 dougt Exp $ ------------------------------------------------------------------------------- -- Loadable arithmetic register. ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2001-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: ld_arith_reg.vhd -- Version: -------------------------------------------------------------------------------- -- Description: A register that can be loaded and added to or subtracted from -- (but not both). The width of the register is specified -- with a generic. The load value and the arith -- value, i.e. the value to be added (subtracted), may be of -- lesser width than the register and may be -- offset from the LSB position. (Uncovered positions -- load or add (subtract) zero.) The register can be -- reset, via the RST signal, to a freely selectable value. -- The register is defined in terms of big-endian bit ordering. -- ------------------------------------------------------------------------------- -- Structure: -- -- ld_arith_reg.vhd ------------------------------------------------------------------------------- -- Author: FO -- -- History: -- -- FO 08/01 -- First version -- -- FO 11/14/01 -- Cosmetic improvements -- -- FO 02/22/02 -- Switched from MUXCY_L primitive to MUXCY. -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Incorporated new disclaimer header -- ^^^^^^ -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; entity ld_arith_reg is generic ( ------------------------------------------------------------------------ -- True if the arithmetic operation is add, false if subtract. C_ADD_SUB_NOT : boolean := false; ------------------------------------------------------------------------ -- Width of the register. C_REG_WIDTH : natural := 8; ------------------------------------------------------------------------ -- Reset value. (No default, must be specified in the instantiation.) C_RESET_VALUE : std_logic_vector; ------------------------------------------------------------------------ -- Width of the load data. C_LD_WIDTH : natural := 8; ------------------------------------------------------------------------ -- Offset from the LSB (toward more significant) of the load data. C_LD_OFFSET : natural := 0; ------------------------------------------------------------------------ -- Width of the arithmetic data. C_AD_WIDTH : natural := 8; ------------------------------------------------------------------------ -- Offset from the LSB of the arithmetic data. C_AD_OFFSET : natural := 0 ------------------------------------------------------------------------ -- Dependencies: (1) C_LD_WIDTH + C_LD_OFFSET <= C_REG_WIDTH -- (2) C_AD_WIDTH + C_AD_OFFSET <= C_REG_WIDTH ------------------------------------------------------------------------ ); port ( CK : in std_logic; RST : in std_logic; -- Reset to C_RESET_VALUE. (Overrides OP,LOAD) Q : out std_logic_vector(0 to C_REG_WIDTH-1); LD : in std_logic_vector(0 to C_LD_WIDTH-1); -- Load data. AD : in std_logic_vector(0 to C_AD_WIDTH-1); -- Arith data. LOAD : in std_logic; -- Enable for the load op, Q <= LD. OP : in std_logic -- Enable for the arith op, Q <= Q + AD. -- (Q <= Q - AD if C_ADD_SUB_NOT = false.) -- (Overrrides LOAD.) ); end ld_arith_reg; library unisim; use unisim.all; library ieee; use ieee.numeric_std.all; architecture imp of ld_arith_reg is component MULT_AND port( LO : out std_ulogic; I1 : in std_ulogic; I0 : in std_ulogic); end component; component MUXCY is port ( DI : in std_logic; CI : in std_logic; S : in std_logic; O : out std_logic); end component MUXCY; component XORCY is port ( LI : in std_logic; CI : in std_logic; O : out std_logic); end component XORCY; component FDRE is port ( Q : out std_logic; C : in std_logic; CE : in std_logic; D : in std_logic; R : in std_logic ); end component FDRE; component FDSE is port ( Q : out std_logic; C : in std_logic; CE : in std_logic; D : in std_logic; S : in std_logic ); end component FDSE; signal q_i, q_i_ns, xorcy_out, gen_cry_kill_n : std_logic_vector(0 to C_REG_WIDTH-1); signal cry : std_logic_vector(0 to C_REG_WIDTH); begin -- synthesis translate_off assert C_LD_WIDTH + C_LD_OFFSET <= C_REG_WIDTH report "ld_arith_reg, constraint does not hold: " & "C_LD_WIDTH + C_LD_OFFSET <= C_REG_WIDTH" severity error; assert C_AD_WIDTH + C_AD_OFFSET <= C_REG_WIDTH report "ld_arith_reg, constraint does not hold: " & "C_AD_WIDTH + C_AD_OFFSET <= C_REG_WIDTH" severity error; -- synthesis translate_on Q <= q_i; cry(C_REG_WIDTH) <= '0' when C_ADD_SUB_NOT else OP; PERBIT_GEN: for j in C_REG_WIDTH-1 downto 0 generate signal load_bit, arith_bit, CE : std_logic; begin ------------------------------------------------------------------------ -- Assign to load_bit either zero or the bit from input port LD. ------------------------------------------------------------------------ D_ZERO_GEN: if j > C_REG_WIDTH - 1 - C_LD_OFFSET or j < C_REG_WIDTH - C_LD_WIDTH - C_LD_OFFSET generate load_bit <= '0'; end generate; D_NON_ZERO_GEN: if j <= C_REG_WIDTH - 1 - C_LD_OFFSET and j >= C_REG_WIDTH - C_LD_OFFSET - C_LD_WIDTH generate load_bit <= LD(j - (C_REG_WIDTH - C_LD_WIDTH - C_LD_OFFSET)); end generate; ------------------------------------------------------------------------ -- Assign to arith_bit either zero or the bit from input port AD. ------------------------------------------------------------------------ AD_ZERO_GEN: if j > C_REG_WIDTH - 1 - C_AD_OFFSET or j < C_REG_WIDTH - C_AD_WIDTH - C_AD_OFFSET generate arith_bit <= '0'; end generate; AD_NON_ZERO_GEN: if j <= C_REG_WIDTH - 1 - C_AD_OFFSET and j >= C_REG_WIDTH - C_AD_OFFSET - C_AD_WIDTH generate arith_bit <= AD(j - (C_REG_WIDTH - C_AD_WIDTH - C_AD_OFFSET)); end generate; ------------------------------------------------------------------------ -- LUT output generation. -- Adder case ------------------------------------------------------------------------ Q_I_GEN_ADD: if C_ADD_SUB_NOT generate q_i_ns(j) <= q_i(j) xor arith_bit when OP = '1' else load_bit; end generate; ------------------------------------------------------------------------ -- Subtractor case ------------------------------------------------------------------------ Q_I_GEN_SUB: if not C_ADD_SUB_NOT generate q_i_ns(j) <= q_i(j) xnor arith_bit when OP = '1' else load_bit; end generate; ------------------------------------------------------------------------ -- Kill carries (borrows) for loads but -- generate or kill carries (borrows) for add (sub). ------------------------------------------------------------------------ MULT_AND_i1: MULT_AND port map ( LO => gen_cry_kill_n(j), I1 => OP, I0 => Q_i(j) ); ------------------------------------------------------------------------ -- Propagate the carry (borrow) out. ------------------------------------------------------------------------ MUXCY_i1: MUXCY port map ( DI => gen_cry_kill_n(j), CI => cry(j+1), S => q_i_ns(j), O => cry(j) ); ------------------------------------------------------------------------ -- Apply the effect of carry (borrow) in. ------------------------------------------------------------------------ XORCY_i1: XORCY port map ( LI => q_i_ns(j), CI => cry(j+1), O => xorcy_out(j) ); CE <= LOAD or OP; ------------------------------------------------------------------------ -- Generate either a resettable or setable FF for bit j, depending -- on C_RESET_VALUE at bit j. ------------------------------------------------------------------------ FF_RST0_GEN: if C_RESET_VALUE(j) = '0' generate FDRE_i1: FDRE port map ( Q => q_i(j), C => CK, CE => CE, D => xorcy_out(j), R => RST ); end generate; FF_RST1_GEN: if C_RESET_VALUE(j) = '1' generate FDSE_i1: FDSE port map ( Q => q_i(j), C => CK, CE => CE, D => xorcy_out(j), S => RST ); end generate; end generate; end imp;
apache-2.0
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/lmb_bram_if_cntlr_v4_0/816dc01c/hdl/vhdl/pselect_mask.vhd
1
7196
------------------------------------------------------------------------------- -- $Id: pselect_mask.vhd,v 1.1.2.2 2010/09/06 09:01:24 rolandp Exp $ ------------------------------------------------------------------------------- -- -- (c) Copyright [2003] - [2011] Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES -- ------------------------------------------------------------------------------ -- Filename: pselect_mask.vhd -- -- Description: -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- pselect_mask.vhd -- ------------------------------------------------------------------------------- -- Author: goran -- Revision: $Revision: 1.1.2.2 $ -- Date: $Date: 2010/09/06 09:01:24 $ ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library Unisim; use Unisim.all; entity pselect_mask is generic ( C_AW : integer := 32; C_BAR : std_logic_vector(0 to 31) := "00000000000000100000000000000000"; C_MASK : std_logic_vector(0 to 31) := "00000000000001111100000000000000" ); port ( A : in std_logic_vector(0 to C_AW-1); Valid : in std_logic; CS : out std_logic ); end entity pselect_mask; library unisim; use unisim.all; architecture imp of pselect_mask is function Nr_Of_Ones (S : std_logic_vector) return natural is variable tmp : natural := 0; begin -- function Nr_Of_Ones for I in S'range loop if (S(I) = '1') then tmp := tmp + 1; end if; end loop; -- I return tmp; end function Nr_Of_Ones; function fix_AB (B : boolean; I : integer) return integer is begin -- function fix_AB if (not B) then return I + 1; else return I; end if; end function fix_AB; constant Nr : integer := Nr_Of_Ones(C_MASK); constant Use_CIN : boolean := ((Nr mod 4) = 0); constant AB : integer := fix_AB(Use_CIN, Nr); attribute INIT : string; constant NUM_LUTS : integer := (AB-1)/4+1; -- function to initialize LUT within pselect type int4 is array (3 downto 0) of integer; function pselect_init_lut(i : integer; AB : integer; NUM_LUTS : integer; C_AW : integer; C_BAR : std_logic_vector(0 to 31)) return bit_vector is variable init_vector : bit_vector(15 downto 0) := X"0001"; variable j : integer := 0; variable val_in : int4; begin for j in 0 to 3 loop if i < NUM_LUTS-1 or j <= ((AB-1) mod 4) then val_in(j) := conv_integer(C_BAR(i*4+j)); else val_in(j) := 0; end if; end loop; init_vector := To_bitvector(conv_std_logic_vector(2**(val_in(3)*8+ val_in(2)*4+val_in(1)*2+val_in(0)*1),16)); return init_vector; end pselect_init_lut; signal A_Bus : std_logic_vector(0 to AB); signal BAR : std_logic_vector(0 to AB); ------------------------------------------------------------------------------- -- Begin architecture section ------------------------------------------------------------------------------- begin -- VHDL_RTL Make_Busses : process (A,Valid) is variable tmp : natural; begin -- process Make_Busses tmp := 0; A_Bus <= (others => '0'); BAR <= (others => '0'); for I in C_MASK'range loop if (C_MASK(I) = '1') then A_Bus(tmp) <= A(I); BAR(tmp) <= C_BAR(I); tmp := tmp + 1; end if; end loop; -- I if (not Use_CIN) then BAR(tmp) <= '1'; A_Bus(tmp) <= Valid; end if; end process Make_Busses; CS <= Valid when A_Bus=BAR else '0'; end imp;
apache-2.0
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/924f3d25/hdl/builtin/logic_builtin.vhd
5
30579
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block ZaIDYQkL9fQwO8milqwdrsg67fOLp0uG3CcXzB7xhmynauRDFpSMeLwaF9WeOUy+2qHOJLta8q3L TJs/uACyxQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block khCdPx434gR6tFZjkXzNmrh8TB3aJmQPGJ+zVQtZHaHP2R8J0ou47DB/UGjvEstd/qN2LDHSA8UV XTtxj49dwmEOEbaMF1MXG12CYEFhAj4DgnCMsgt4FfvSIo5tLz0ZDCfWjOPiSrDd1LW/Aej0T9LL r6chvTfQNPW11inAWBw= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block nn/n2JRoMmNkmcFKurddLbjLiBKxSjzDogDR40dxSYkyBJooWa9HcvIVzGpGjl1jg1ljaMtgwWX4 YqBQtyh2J8PuMMLcWo9gQLA+G1sHG1CpmSw4rnftZe+Rzf7oiFKmY+M66HtAPjnFQOXwKdKpTPLH k/HvxW8/je/E2wsyA0F2teSxCXxYit6hG97MPiKK6GJH8Jb1BW6sSE6kLGhWfvIwFEIGyAWtthoI U4n8fU8trc6o4H0SM/9MJWIVe6CYrORU0CnrksEtNrGRzkKHpyIjVpUBAx3rX8qiR1IIjaXjqZBf 6QKq8LdXksO/bdDyPS7y4vPv97m0HV7uK4Xsxw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block w0dmpTAQPjjFEWkh4Rl8NC7hd+oKdx4bvdiu1z2Gg7BpVI8EKoQRKkrUmRIzt9amptZVctxhK+tF DqQUqifkL2nvGEXf0TgHmp5E/xqOExSsY+nBHVcN45mrldHoRScIT8+Q+Qwag+TWRb2iAOA4wrZX ulCFaafDTf0bpWPyucg= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block muVlZmArXgh6BRq/5ITO7/Sb1rGC8i2InoA0XD0Cb/wRK9mQAYpwiqaK5zDnG378rpKyl3UndlwT 8iiLiWnm+jTGZnIUrQwD/dZ0FUL5Ew2JB/tfF7ZLbe/g65DWUmrnAqjiVCkpIn9wbzFwj/2pkGIP F7vE20Q8jl5wAKvZKx87ao3HS7WeNI6Ga+BSug8+djHwg3DfU6B6vIxWAl2wc3fr648766U68Cld +gNgbTL0FQWc+1KqEnBK/EDESLGM+ouJBmIjXv26cTHxb4VckTvjurzS+H6CKeJ2Np83Sunjm7ur t3fzWfNYnphpwchLmOyZtPCVKiMWqSptRpL+SA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 20896) `protect data_block pdiviNaT2vLBDRtmj+ZGskb/s+ZuGCApajqdGKdufWDyUCzSgn9wpogG82CKLWx2m80NVpJ2RzwR CGc0EegMSA717WiJzv6pHvzKUJIp14GiboH6N0foOoDZXcYZxZLdX3PAZD8VHhOXWGrHRuqBnEOJ E4m+lE4qlr12PiDWPQYz0Yg6x30xLALdu2zaKoJ3jkjuIZl9LLO1Dk8p1alX2wn9gHkUm5vm2+lo vPPBtJCCuUKyU4nAaxEGsT+7o6iXsxun8dYumrPhICNpRkJMvGbJj5Biz+gW5JSXJoOHKkcgdXdb Yjaj1QoimjQU3msHng5x9D5OIIp7HVb0Taw/EwzKsAIVYNuK2OA/IMFvIEccON+nCKaqmMzVpS7m /oDOS4xd1ZHqe1OAViuCal4heBeD61vySaahhW8VT+06xi7Xl7YWE5xyOGmAQ9WBta6w6VmiG4m+ UzYHU61MZMhBbvvANWVRnsRLv9tq3+ZpJTuow6pBbITR3LrIpH6UmSyMQV/e9ZxWsK9h4Rxw/EQV hrA7C1k3k/ZZQ8orlmZUK1frqOmloFwqA0ZDvNPGli2ex5FTjp8psLB1zg2p1YGvHP/CBu5wiGO8 fGpw9Ug6SwmSDW0EMbMPiw1vE2r+BvUtJZTBzc551sJ+EvhPQCukAgw0dJTGvILpDg+4a0E9IRfN 9mNZBfT3Uj56rYblPLXBg7siicgS8sKoZnd95vEQdDPQ95z3Al8yEwoaOWNYELT0Va1mRsK5z/US jNSGhgyxvcGpLGxBO0E5J1bU65q+S1TGymCZUkX0nWEQ24t64XJ1AaTUU1OahGYqJaX7Qn25jVz2 2S+WyYRs4iQpd9DVaWIbeRoQYngaFYHVZGJZKm3xg7mNoZSsSVPpXofVaj3iOUAHuT3N2eDpJbh2 hz56d43ZsPoUgChrF9yPpCnwGflzLsgoV7eOi1ploCeQ+qP/BHSuS1Zq7vYDnxEpIyl3M9VfHT1w R8YRb3cSolNkrqfNCSbjCl9X4Wrp199snNcsNwbAwz/OSqOdr5PioPfnF1pV3i1iZxT7VOwAsDOE VOi7C4ZkrfZR0U5id2b8lBWMk18/PZmRXXDvaFaD1bpkDRL+HT6TZXyyH2EwMmwTu4jF0m6GjAHz 6Cds+IrYWEPJQ83eoRwL+kefbUIvlBV1HvSiHlkkkOQh/4PYLynLfn4bEWV7bln/3Zv/H/DHXOG/ Pi8suAVRhUl/gug1QQnUH1kacAZq8E8nZjM+cMBqMpb0innH7QgPqKRBRhaIP6zP9M+4UA11Gj/8 n1ZycTD6lgPC9MJVuPT7BhqvLp+UePPGUikS8n3zoHIKnNlae/bmjR622Yd7xu1N8Zp1UoIb/+hq Qg11LL12WCF9NzcFFns3mvHlYDJKTVrnTEsdsG/Ue67iRs+zaG4mDw34OvNL3HnIhtwl/GqxoWrL z1Nj45eiVKBStv5SZIWbGa0gXctjm3bTbAEKe+JUDZMQCr012QUTrTq3254V8a9ESkMARuLptzpZ 7jbQ96e95Xc+/aL9z8uT6jGFNX4FeFha/9EVyY5NsbDbNj38begLK++iDQUVjY155AkQw+z0WlL/ lpLGGufhAqsSYM9I8S7A0taHwblle6AXoHbIjm9ykeEsfNipAcXM+3yKgu/B/xJS3wvjbADwV2AS E0KMznAh8nqNCG1X6ay/2KxAD0v7tOTcLxDLYr9Z2Mc0O84GcqP2iB0V3C0LzK8Rs9KBMc/YZGLl 0l6jbLnklA5UVh9FEMxmlyLIPAwL8kC32JM9v5GWK+eu5VEh+vUXauVv0oj9aBQAz0nblif64tFl sTZT/0Y6zsGqdrAJI/EWYPu5ted4QF0sFrBtby7pkObsbj7NDAr0zHYJr0fxgs7zzUss5FZhO9Cp g//E2Ry/c7xHZbYfYyUdqp2LbbYeFAJiA31WC9an4lexHpXh13Xs1VfVi6pYvplq7TwrnCDWFjV/ 05SyGpmrKkg5MjLZ/JNeqqGdqjunn47oupfR1XFMWmyjzf8fix6ZfO/9M00VD4JBQEwwrRAffNoZ KgAtXJVEnSfNbYf/04HURP7I10oN0Imiq3vaSF5ZKZJIebbMPejEugFQR6UoM2H15+rVuU7qUnI8 YgZZNSZZjRFAHq7QX+6D+pCnk36V3QVC/O1wONMrdCeLdr0IrW/qIFutQnO+2Mxw4Sd601xPIhUv FyMxF2IFTc1K5WCEEUlQoG6Wusj0K4VYIn1BxzSSRS/8MikTDGYto2mRluJJ1jazx/QfO82gyhT3 51wFD6jJ0AUK0+I8vItVl3QxGfNP7CnTbBvWib5fvzM8LRS8AnaT7M7ToyhZHG//9h0l/M+d5Qo2 a4/tf422QCo2k4iBzGTQ0T3JhRhrppNFSw+ADTiUihrbLgoV2rfIqdmDNIGzDPVr1/QuTuHkRjvz A0x6S5Cs6vRFAXjMh+BiwPvj1ia88Tj0GeaV9qaMgD+RYy1O07hxJhrvvSvXPkM8KPf3ERJWaX8v qeUVvibTgIHN/u1nUh5VsH2Mu114zjBgc301Ci5LbEBTUNlE2/MlPK9Fg2crF3UbblOJUxAonM+g giCkspoJQwU7OZEgh6EYRRhnvJnbHNPnMSr8/Wl36zV9isTQC42u7LOEx2UsLsZ66kPHjSynbb5y o6xDNftumZ/WdHW/7sH6gA0ZojYwPkLv4vC5+bDx4I0rp/RSl8xOXeS4l3hIwOByVVlixNQmik3D 6V2alPxc8RYcICtgZJuz15rwo79eWn3VyeHou8h5ezFGU0q2Ih7VLOzZT0miRRxEcFQu93xErrmr 3XQH3qEVZSv0yUBZxbjQNUWulioiOm315p2obeUoXht1mrPe/xOS3rq/VmLkKEj3gy+j2zoU+wBK TkqSO+nTKCsko5++hRAWXI/scZ+RIgCCJHjuG9xLLM2cN4W9ayZDfKgM3plSFB2xXanqKsMcx4Aw vU3Hkwf6TIPYUDmsU5hCs6wirLqba3jFBrJ+R3CyaTvZm1Kl0fBmnPMdYHuJpUGrFty4NwiNkqbm IEhd+nfqGwlJtEmP3I+UZLtcp4OE0F2IqrknMrgcZQ5y9sALL7TkrtnVs7gs3/vvRzMNLLEOtJuJ lRk+fQL2VZRTsh1t9Qat7GpIVWMJqvswGcYTz7omnLM7I/fwQTbFc/7JYBYiSZt2CNYlUAdPtLAH Ui6FO7tu5XipkxaddJyOdfk8Y3L54+MtGsxqMYbqu8BzbcbfK1J3++J2TH/9FnRdaBFSjv8GmFoy cS20l4y57iisJbo0DMBa3RO0LBCNyv+tJe02SaE4YqlgA+EawCKSZrnN/ZrFCsL8AY3khXLAwtmw OLqsPyaXK+cSl2JcarbNgzge1H3Y4YxuBMiEzmnxv3aHKVtRVnbnzaTRgetFDxC0jyO6at7vSaOX BjBa0q6TKdJWSOJ+h+JFJ0HD8cNVU6qasPzQ+qd4BJREkB5CBLq0GixcnZCLE39gP0me9B09ZA+V bFuYI1FhmMuzg8OUUXsGDqH7UFfAQhBS1bZ2dVH1x3RFrypwV+cNCdWg4D47cAxfErKULSglhUwZ tFXIHDnWDqGxXbya25YpIil0S7jaLL4GQgk1k3hGERAqDz/XOH2yeQ2RpO8Mc9kcdr8SyJ1+IN1m LCE72Zj5+Xdo+OnldQxcfgt+MvqamBxKJEU+fJfiWf9dYXz+SKXx47hY6/JQRsJblnGEFsIkgKa3 Ka0ByF1PU5jvCDB/euGQWMqwEgWjnRPTM6cr3PXyiweqfFaIhTZLxVQeCTjOm2njEP68V0TSSl0C SRLhn2IhyvYeO7D6Q8nNAWdSHW1HjrcN78+Yw9itNj004ZUJPOc3LI4Qagg+EH6B9kACdjVBUaJT gQYcj4w1fJp3StCgCZoZnsJIkYt6L2k/6WB6I4MghAbd6GTe2w8xmC4tA5Hy40CDdnlt5JT828rf Mflkp2QJ1PKJM21s9jqaF0TTIyjKhIAqI0O9Wv9gH/miqzF3FilvInrDJb97mEbq5AIZ3iLz7OOE UnJMjRhR9IWkTPUaKGC9UCKKob1vAn78gdXPUjz9GGIKwwAmm9EWv76zT02JiFUry1VdYgHootI5 efdPfOYE/0Z2Bp3+YQX7Zb68g75W6eSYPPEiuBGHHoItQHubTt6rPiK1K0cBPOYVB5ax9P9XheLK i22TPG7TMrBJuGSaXqC/73WHziUTfQ7idnuUqDswT3kf8dHJj1CFHqGNjBWel+vSiTDk0412sOhJ RtSql0d8OS66DUoOlhP57E9Pq8nOjq4gUCEjMts4cwm4686nhVZu4JFOKu/WFxi4XZI0oRhaedDL YyMcZqZHrnZFizreDE2MMxv5gkxsmopn8QnlIgBY0EFC1SpbAib3tfTBYRwQqsf+vZkYNVVKk7lE lgHJuOfyk26u8iyF2vt126wYjgu0jsqCdQ3RzVbYug57btwKRsWtj1PwniezpJxGbJLRroQFjtty LWzTsaPYvVNBbivZUv9KBCN1qGd+a6HY8r36v6YRX60uCSwFzQlupCT0YEj2gz4vTsl0Kyl36c86 9s7o+JsaWkdNt2kMaqfljgjCN/+nMzS++ywIvBt8qTE+xwb1VJLqiVtRBuIq8JTlb9RPpcmM+GW3 44KX8kPzJ7k+ogfiO0JyRwQIjunhbn8s0O7qD6nx3XqnET0mJ0srytvVk6O2voTKheD3OxkXlHBk gqheoUP/psFPQhoXcy5JKyxxk19jLiZR6OF4lDD5qwdvOfKbM/G56kv9fRa/N7YmWbCAIlWNG+Db vTUuBl4WeXqbPOCF6ZY3Y2CR11qLFUyHpU0B9nt/EYHl1xLXYlGZcbeN7oTmbmgbvuzeMhG3Pwk2 QjhinJRkBdS+NnYg6zhQCOxVkAsWZPGRf1sBvb5aJBrA5IzrKmMTeBg1MDIKQPqsjQRIXelJcGKl RHG145dU9Hnxl96iQfHrs8N07tC/9X5Iw6JSyb/gLUws0eOzE3ycv3AABTeMdpdq9HeKTXEexohE fE4Dkrak/4SDQ8+EJo6H9AEu9PWfJltiPJzBaT1HOcgfbTzBuMZTLf/3iwN0sxaxAbRFdADJ2hj3 w/bLil5+5iAnbF2lo2rNWoDZlKVIJpvpnoxRxElU2T8bxGc+z7iumOVIbRw3vnivB8i3NAlKWZRT WYMM/RwdVrfvWVZGO90AJhbb8WKVWSmKoAk0ngOchzPzb3EBO93sZ6msUJBjku1atOAUJ/KRKxOo 2QIjcVvjHcrTmw4eH1tL6f3t6XFO/Gn7Qp6hCSUz6MY3icPlYrac5bPsKby0qdPc5ZtlAbz1rTIk 8UjuK+zg2382KlvsjiDcclLlNgo2FVdqRxhX7j7nptk3mSuwOyoaTnJL2kELkPY4viWxgjGkwiig ECx+azb1ikrmm6DNfjETxBuelgawKBB2YH6Sj4NW8+s0Ds9bGxAKVOdw3JvN5B/j9M946DGQusvv HnMBt9ySnzQIo2IlFaSfYb6i8q6V91xME+/8SyITlmV9jxjN/vCu/cMh2jhISqd7yidVtdNRWxLh KnarUOLr/n4k1D139WMWOsQd84SxbokfGPCB/hr+TkEsNddIrErMjxK9TCceBleAAbE4xumTJ+zd j5dfRstGmAPmLXDL93RPgnxbbNd3HZbZyGC6aTJzWywTP0WHsXOhpw1xrQYiRlNjjdoezIU1Jn9x uXUZ7naZH/RY8Y3JWjjaHjCz5AjXxEE23n7391aXVsKqQY47U912Yh7x2Bwz7WybupKs56r44d/Q nbanSFIO+QVi9DPCS/1UIDasmjvN6Eygkp50P6GO9KAmrz0J45sccuPz/PAGXjtgtQhADP8e/cl3 SPNxdBvGCKPA+gibsM8ey8cmXmsQbEfAVW8hJh4Ng9mDc67VElLa22OPy5amU2hq5+/y+FY5vBaQ 84QHymErNCRALTYG+rpvlRT7bQ4XAYq4g7YcGeAmf/LGEgl+A1w+HvGjohZClArSbajBLgZALG8n AYDVohKXs6868OqMWhbtjQwSxAF5M3RtNn6qioPwDWrOAB4cg1Lwv4zg64mfIN3M1bsiIfKzd7AM 2B73+HbHKks8DgBtfDpCCC66SULPfuiJsuym+14Ni2PnjmgqduKwviYGD4ouwn+QZjmeh6Zoj0UR pNzxzI+f4KMW4yyuWkzVCEFlSRBMB7gi4ZWPQFrElV2ekIMfqUg0zitewjRyuv+r3pO2I9Oh2rxx PjvDtf+01+9jXQa6YJ0fmgEOyLYOazo7udtGXTbARjrNnKLewpi7r1Rzt43wt1abULzeSgctKaM9 DdHjBRqimDoJ+pr8wpOu29HKtE5zvzdxd6ZdQjcrin9anbDV5U/qhtdg2socy0SpSvE/ld+InUjp TiEtMxRprlwtf/rrrZTcoQumXOF/r820hdDgixs+kkiFBrhcwJHsc9onERPhnXdGPiuE3mZqnD1H YUcF4MrBTasEY0tWuj8q52qGl6uYIXfYA2b+EVoMpjzpP1P1BAGzqCkwj+V26mHXKxaMLX8I5i2x G6tWqepe9pchP5eyjxnCF3Fj35f5FSif434Je1q3UnQdnxqLXf3I2GuV8lKkvUk3ms4Q3127eA62 e9VaiZ7Weg/Syl1Bhh60Zd2D0huX8M1xr5VXS3ZbFk5i8HC1P554DVNeVrZCmKlRQmfsY/Liai0j 7SDJiy/ttiLK8xm0mZbfVJJkwq80gncwi2LH/WEH03YDH40LzF0md2THryEDQXasSWOht3iS3VzQ Tt0R4IbvmVW8teGYabXsNbeZMvrRuYhWa9rZ3Q/lzHipseRHQi0ibXGPMAjnRqSwwHmfajR8hBFO JU3UgwoKFmUVq9mo6r1XTlFgIhKF6Io+Bk/bzMxHzpu4koPfeRiMRJYWhv8r66tKI1IRKZ9GRPHo LeJ9eYKObVBtDytCeyB9YKxY9yugcQiQcGWMpnLS5zwpRyYvNlX+DAfEeCnO1vcjJM4yNzJbPoyM FpBVQ4tjLZiQA3bMfxYMmh1xYmUzjuwCorDK/4WrFBchJ4858Uh+NSlaEJF0M+kB4wU9trV57/yJ Q8/Hg5IWYVMl9ikaUd2hOFNsSpcWwcEw15ibfRGM4EOwxL+Myc73kB6pNKuMUvAgZADBJDUa1w2w t8L+8fvewHFajsQH5MqwEYv0PVjHEhiFCLmATWB72BzIOOyVMGlXVR9hXDCrX5Pq03ieSCU2g5Uv 4akurrDh9WAvkmwjUrkvC7QB4Ls5ITuGvFNcghUTvT9abKIxd9NVcb2EoCw3Sh2oAOuPGjmVdq8j IyZQ5L0hOGykISi+kAmhBYrDGqf6EGctoeJVZafPAl63q5yM0nEoZU6uiAoBJlvZaicBad8ZRPJ2 qqAVS34fMXyUR297Sn3IEjDFlG+4MVrs3whoobmf0Nf1MSNROBiCPK+hQsEr0hmhOG3qyu7BlJBP OyWAOpSZDTblmviq4PURUHNARYpT3wmQ4jHJfEygUj5jch2J58Lta5mRLYdMrxphygT+pPXNe0Fr VwTse6oVwiHCa33KWXPXtekz4rG7Hsno13+QXTbSpzbq57bSDG/p44YLOwF8Jfzwa9CftffeDFcd TkYKXXP4OV/edWeGXLm0YZkMYf7Ie20m0mPn18e/0z1wrCoNrVxAYEO8EExXDIo6EgdVqrguOHuV KErujXZUe644Cxmel2elKW1GqXPWlTSB00TWopE8ditocUZBBR0V6pLDaBp3Ty0Utz4rv5sWvYlh FKPZcQ0KSnBy0Rp1SawLs+cEA7hF8V3r7POaY0bfG07HoDjR49XHuedKINpgKdQUakKj4jtH/jmd awEr20CKSRW2oBDjt2+MYUWEV4wkyywKcPmOhIT0tESCGICQa1ZWL7abZInwSWvARuJa/gCW5I1W QVc/P3YWrrCfp3sVBlcCZEBUUa//7HL6ljrGe2WSoX3tgd+j3WrLGQIp4oyZIbXgGMlYrew+Om7l z8InHrn3n9i6gk1b4GmtWHgWxq9G5nWq5hVpVSd/CtHwS7Il4stlLGu/GEZRTtNFPDzhmXuVoyJt 5MMmW/Gx0AbdmxRYY6etERurkzzANZvIsmFjFVtTbn/o/i9dQZtiwMzSheHwy5gK7k4GqfzX0qeN ma+BArOt8X/9kxh262CxxY+HnEgmnLCh2TDtY8gC5zn7wRgnHSb6JLJ4P5UxwaCS1BKipvKfnXgZ vtum62G+VeJ1ezYdZu//1cTOQqbkALjQLpqkXcraghxfJeWAR27PDllJ+y30lnKMIMP4We3D62lM Qbph34cV1cApEMAzz1a9Uft2g0e8rt6jJyrvob52Jr0foFZDX3TwFJWOEVyUBAqJcfXbrsILUmGX JhtMMAzUq3qk+1eIt9Ct+avAp/h11pH3AxlJgC3BIOz59vz5P5uo4m2eBEqn6Vs1/89dNbo/ekAl ZTzPhewhYry+wOJsnyYQvFrzgVLlahGQAhipBrpH26c4uPGqNR9Uf9vRH09bPzQcp8XPDikFWu4k rwChihWc5ST82kwO4rIOOglsOCulkaxM2FKk16qguCR439ELANvmTlPK7LBS1vbAUqtRhCYgFha1 Z+fkiAPM4SwuPSWrmIpa+D5Y83CSLMrZfbSrNDMNVB4a9mDrVL0lyNZ6ClPZPA2C+p9Cv+TNT6rF 1JZSt/GmlynJ8lAThRaXJgeCxFbyCdj3+9EFEy5RKwOhCgqLTiFC0ye/CxmUf2qWyk8Yo5tpkmDf CW2h+QP+b+afAJimS/A5ry6ra4fOKlPQxiLQE2Qq6AlycvX0aMyRT9zf0Hmac5hG2+qOPxumKbdm QNgMmKAc05tLJT+oecbwDAkx8j3JSQtRG6q+N2sKTGWxETDoAoLbb5OQPo8MAKPT/9u3UnUFejUv jIXkhn2EGArlw1lsRdnfK7uRtSf51QZmMyVFcf/+MJWWeplrZjbv2SA6BFs7H7Exr+v0Q/hjo9eU cfm+hDOZS4ekE4IyDzzL3D3vH8U4dPfyfJbGTVhfFm15EO4r5bQ4Su2rXzMKj0CDeXXseB36yA61 /AbYdzh/tpFpgVndAH3YGgPVQMhy80W2r0k8UmIhIEkKrjaPQhtVRMNvYbnJbo5qTuNrTjBpEzyt 5IZUvedD/KklnnsYd3FEDh6CttJZAG0il7K5f2MfO3aKvZQL+GCaOyVv3lPxf0WyEfJO4zqOjW0g YbBeSW5caySxjoRr5jUi7Pbf9SUKIsBPjVzsJNBtoLBlxA/97B6mlS17GX81Y8dDiX+up214EHN0 Zjpbo65hqmfZDb/vzAYSI0Y60NiGPjyzo/23O6pfj1StSUoI2VuWriOsOFODM+9NHeB+pYdomE9q ajviqOB6ptq/W8VhlJ2InwV1ci+06kZQ78l6U+2fsxD7bWWK2DZTi2bPUkOsOMjmcM5b8AUpEB0b aOAHWtkM2RktTu3YeY3nZiANAD7jfDsmRoFx0+gblq2jqJ+ak1QrfioAVOjr4XO39a1NYE2CXRBS 28R72cI8of62WJ1KgzC1JpRJqaRNqLXvN3EsvNu7s3i77dGfgXDEgxaXmEj3DyOF7HdZAYGLixJx gL61FgzmKDVs7pX//oaF3qjXbuTb7F6vl6EZeSl6qmhAvczNN5FIqLNhXqzhrpkWjqsH3vqbXIvJ 2hPZn5vDgrDwqGY32aj4o19H320TShs84xps/AaqzLx80vznOk85CvWmaOc6boW0i6l/gL+6zGbQ k5owo0pfBlncIc8CQrP8ZocMPeEaFf5XDnsfBdU3v8RtK7uIPY0KDUjOFKJJQ2RXDpBL0OrNaiMX S331zn/dwGj1AiSgNyF9E2WswPQ6+W/qUNdVCDsUPHmQiz4SQv6NfOBPvoApZie44RQ1lPvwkR6m 68rvnq3e3vFBi+r7aUPQG+FgDU0ypXwWqVzHRf3gsIY5iRLkSgIbxBEwhQgVkDz7C8gP2TpM86jK 0flwq4TMrNMihe96wYgvSFKBT0C1eKqKMBF//0LQoNwBSNw+SSOIzGoSqiSx6RyWZTfmZKZjVt8i 8uyIe6Rh8VUcAS6Q4tu2QKUtwII1cPXYSGvSiqhjyJ2y/U/yJHTl5MiCMIOZICF3hpM660L/wTD9 RK1Eek0DnNgUa7rleHVCtUIGdEYzS076atGvvKl6yVz7X7LKIinfVTHD8fQHxO0HOhH+i6HBc49k RuCZ0r6FuNzTqq35ow7CgUJkB3CfvQfz+IjGoTBRRIu8Kb8Ys8+YogS1qAGDJA6IRHdBZ9s4LKIP 5M6KDwXUyzd7r3pmApdYb9EQBZAy8FJ8xVXuZX0puGJY0My6rL3jEe3uhL8bYn0igDtSFFNB2qTd T5njEAvh3mY4g+Su3Lja0Nr1XLoNU729Ze62p3r7DFiAm0rWoObo443rYm9jvHAc/nfKXgZqcGKH AgT0i4rOzqIBTEIypWleJVXW9XlXvUYxWAd90A9MWS/XpAMPaX9kOmMseXwARET8RofmTLSIGNTD 4sXuLOa/Fysbek/0y5n9jiXZDQvL3vQzvAVKn6u4D0+6X0WKA5rHoC00E+VftNDXLMUpcm6sCv9M fTquxfCL48y5sIRwNxZxSuqNEgCS8cooEfXBuwv7RvqInJ4tKZioIfFRZ19lTSWhaIr55HNkrlvy qSgWI7/phau0p8c6CzC3Xremt7IUSB5E8fOrB3MUBdI4+SCQdvKZBsLIOiqyNdC+G8iWzOXVf7eo ks9VQ1yxWbdS6DlT6k3sDoEyNhnd3nlupV9GxhB0OsYUYAk6FlJTYYLGDSIRvDriftGx2g4FLoU+ z6Snv3td0lfxUnrYsh1+edl5i9ZfvO8oQwq0trp3HzTZB+aT0mnnn6fk3cJI4dBT1ciH9Pw6pHVr j2Ek473uJzdaiaoJEjxkNQcg8013bzKN+aJADJEWOg9Ktyyjg8j5mFZ9S7OZv3/4ebK+DKx1N+5P JvswU7+erMdp65I5wUOINCKbBAgATx2c2M6G7HPGPfPHY3yyvJ6m5/Vv1qs33xgHA4XoYjWjfpK4 vS0NYohMsODzKg6sMSa7E5dH7gqP2W1MR86kOP+4ry5NZJ2VhlRxw6HgcPHDcXV8ZRvRidu+X+D7 I97W/7nfcRZFTuYx7y9907RHyyo3fmhJKai/TNzn90lpv9525qMiJzKXaV/D3yvRTvPoyQRReJHF wEKbsGULxO57IAb2GOCsBQXiHiMcbfOyHgALB/IVmYpPHorvYiPFDcKElqooiEl8asbYS1Sbvr5A hD3cpTqoqPNHhSPYDZ0Asj8S4HRTtZG9B/PmhFhtlxiQdam9WmOtDuhpXV/M2RR6MTMFBbQ3+r01 ChhckimKrgi3Ksiz/aoc5QPsbIOTL4G3FqiA/Vrn99ZVHkjhsRMHzj0WuYazEZuicX4Q/QTzY1Ei ci/MSVsGsYyr+M7MCZARQnxwwKgKwAwKx2Q66p0ImwIDrTqd7wJdbBjvrUflDmvVWEtmdyaqJjCe RtyZHXKXntkPpBGY7rKdrTvQGlYATIVLxuzK5WPm/eOc6mr+mC1uS4fMJXmIOtamBrj1E8Qxv6vT 126NzrWd+wuvHLZJnm9tlmW9pxLhzjykuTkyYH4FSRctIhPTg8R6EqmE/td/DNaWSwEx98HLVFrW tlGaBxdsdg1FATDm6v4sRDwuHsRc45epFhjEeA/LfcCIWGBHSqLNBjxDRI9ueFrFviVdJuzu5saj lJXRNcRIPh57Ff2GYRoUdFrS0lSKqn0B0uNXTtGtFdxSiMOIZdnTGHz3YmTk4hglAUyeMV0F10Gy RmZH412xj3LnYJc5qgLmN+o0zoTDiCjVxuj7UJBTgJfeWoQ+Z8MY45lZyjklHCQMlvqiVJaLkD7R DoUtvCGkQ4DEFRH287TAtqKUAas+m9r5kaCeOuGq5tuB1oBQOR3HPOyAFylH9APgd8sLNVT45/eC eUjJ36iDJdpQJWxXjxf0MG6IWlP2upt4YZzP2fwdKcEQfkmWz39kFPDmXiZ88yX9oDLljiPCKad3 wU2SHiqRGVz6DpVRHOngKh+4H9JQuMuRdINQW20iHlrdOgkP6IuxNSCqLTZBW/bgugzBkUBHc5P3 ArSRnNlUlc6xMXQVuBbYqaMqQ/7fYZisUHMIP1Vs1K47kb2SCtjfX01+wL2p7NJpC3tmaRmGdYNe sPbpWPFUV4BRCmlS/bxnCOTtL2UsEzDNCkaOs5neBNw9j0OETCTfHQnstGqZQprX6iQc5OxtLFsr TlG41BGpLfCb5pe1cHCCcYrwcmlvhdDXmuObQG/lzOmQJPzd77h6WV0G85VbKcyyUQwbxgwutHjj l2uBD37rHly/6VlmLkdlN2RfB+BtZBRCyUKH1dx3hCOzH/QgeABsw/t21qatNdlzuGnA47p4I2rM UFByjld7NHU4B3jvOJZFGPilcq44GAX6/9iz4zyD5F6xuwoQ+0EGXdTK+EmM9gYEf7aVdGYQvQ1y 8HVYbYPQ3s7nb4zzSmmNt83Qx7KYsTilNWt5R8doAkNzlYZ+jE7mcXY5dQgspz9GL+T0354GKTMu MzM6sx9hcw5BmlT+p9jFhQKaKPhXbUWAAkV+WPGGgEc3PU+9hpe5J3wSytO94v+grG36fD3rVQIV vItJ2iwto5kOkqnSaLXbTVCj+pDf4ssF5Ws5jVars9nb6CeZ8EVHN1eo36Nw0moT3FySMCNIyfVm S5O7gjnuHqOeZmIFbKwiJqH6El0UrIvSJG4ZqxE+6YbNtWDM7LfOXE3eFsnS1wPlA8dv+QhbRS3o ukfzM/fWlr2Eefv6C+CS36Gc2lPFZyObREt2I2uj4wETa/2SbOmGb/+3Ujct9391YXi5ISb9FVK7 Wy7PPrjXC/YSLUgxck5tMN8a0o8TwaNrAJzTzK20cAtA3E4FndhNInHyBQSCX/OFax3W0jGx/BeX v4szbz81/ZQql9TP83bShXyrWxQPWqOJgT4Lhe5WZVysQr6U3DwbDXm5mXXGD3eTL/UwqJLpa0Ws rylFjf+MguTUQfdKNpzCXs8KOBQgQXwk952zG/ml8XeDCXYFkxfiZUo7gsY6yAt9A5gd9TvR1z+l 7PrvLB3sUkRjUKIDoOypPT8Vp+RHvHStJ36iTfXJ40lMsGyhIiIz6IeIkwelh0FU8GSHUI8ILgMH jpA71Vcdad0H7T2O1TE+nXRmHPa7syqUhuoWwBcFhTg0QFI9tpI1lAku8+6ausABQkIkg26gmAPX hYIGjpNVS08G79JWKQqdw0hC6W4Fkb7KkOK5WkRdWj85EnbNdSRFUJjIi8b7F2VwnqW2VuGcYWO5 XDe1KsEHpHmS8utFFhNOcAibQtjgmeDnubJpy8YBg44MWfgsc5KW6j8J7ihZwR+Ns2ar2Eh7gbzh 8nHFhKyerZDyiBUNmoBekZmc9Ze2OGChDA0EpGui3pbOA/05gl5a+uDzzoGKbOvPwUZluK8+rPH5 gtK50QGSHhBvSifEabC1weLXqxRcwmSkKcRRA0Jcb0e1KnBAc+5WlzqXKxL2hadVQ3yiGR2rRjBc TO6wmE+zEua5I0aMCjc7GtjwdAG8l0jpqoVnX7FEB8luhEXvEkIZMuWl3afxmbW+uL8mdgAWK+9S Rp+KJKaz8AiMGmy7skpLvP0PMeUTSO3WKzz6UBIfyjJ39U/7mvgNyLJwJRhH/mU2LN9U/S12ITa+ ZGh+dWSA+1l0DRF1SxBybvYvLOJ+vi4acIqsH5SEp6FWfHLCGCD35AROOkJgEPagM63hDCF2M810 b8NzI+LyQiWaWszw0CXpw9gIfjqYNwV1ZWppkcEGEH5YsZggWEhkoLP7D0e8TKrxmm/RAO/YEVoF soRWajSupIj0YXpHBHY560sGY9DNtoQIt15i2C2x8P5F11+hMwYTJgZSY8EnIveMj72R9e2uj8/X //k9FDH5dr0jqgs6NLyTUKLO4q34ls/MXSkK3itqIkeLZVli3oWq+Lr+ZfxoJ7Il5LJeWudFF3QH NjHgPaLNlZyeB77gtMmPYZgXcYbhvy5wz+5hV1ywkMBvCbpSWYfZIZjezmftSZsDJUGxdUKbTsRc Yk/BIzQaHMITTBw8q6xjzu6Sx3+JuaPZBM82+hVB0vdzs5/jBMjFud9w3lnyi84tRr8mfCHjUlSs KEgcyWqm6WDbezthJELPMJfIA55Vlfcl2jzmcBRvt/nQ/vGVOvEPe5014TnoMIf5/Ga0jENlqINp 3PihOm5GeFhoNPWxUYAH3dYEZzQcOvP8ZXc+7l9zDR8UYaSFkSys35eLle5wRU6VlUBdEh5rsg1f v6LYikbPpiTzh5GGELQu0kMjIYz/ixiRF8hszaWcsyYCSs3lSYVGhqXzjbhqGi+DOC0EKdcs5qAL vooh0sT/vRfce/1/VGraJHXjpWaZPwhVjW4N1QY7oFjuZgZgWaIOt43mhWLZixMmmi9wSBfLN6MC AzwHvwDShapSzx+yqaoeYazMNdloUQwe3N6LLbYP+So+IOIdkQnfBSKdEm3xPC1PeElS2m2t2oKi b72ltbQEL9/IMxsIUUcj7oPqNzhDOzguBzGNX6evK6pCBFYNMqYtZZqGakOYx+eW9bQHJk7Gq33T ca6OLEe+O7exsqBRCq9Aq7RaoJgQ4iY5V21ys47Qa/dpjAhRVt6b2SwcwggoAM2NFU1HZAabN7OM 7DFSwPXdMFHeVD/NeHg3OxGim3Nb2p7VTMiqGDjmFva5VKNFoqqO5tdxZeRerUNwMDSL2dYWD0Ou zaZAH75MJycv65KiOIsz1Ka9Poldn3KRTpSEMN24R5XpcOEOJKO06/66U5VKPPVHUe5C/7wl+tz9 1iddlvg9EEDGVmZLZ4cAybZHfLVF06Bo+kmqD+LD1LPSdDWoiLScAvn30o1tWTrEB6LJitaowCAy 3srrr9cr03uD/ZpKro8hQpb/mFG2ClbwwWo7hX2WJCrCKOHk+EtUvMo0kDB1lrEt96RB9iCYYeh4 0PaC7CIE2ns0aoeLQCgrc+v0aGH87ObRMiNxWGofXRbmGxqAxlof7IE50eq/3RntsLRXbX1Mej4y Iif1zhkH5XLzAx2thG6tXshFrH0UoRKuShdnS8l/MSS+1v76j2GnqgL/KPwoQJ1vmsWvYCPt/hIV CApKQ90ZChMo/pEpabwgItpzi+zgfw62E+L0Z2Qiq5ScVU/FfFYtF2kVGBQrbmnDJYKuIfNsUdux 43byPycc+dc1cGMv6VTlQn2E6dJ5A4rPFlvolzJXtKmvYjF5H7q56MNVsYsDaFjME5qpU3QRJE2J rI5EDbxQXlm2SE8Mz8e2bj8KHWEGXnSMYd0TNvg6rJdwR4cOdrcE5qzg/1SrH4HGVV6QMjbrtuTT Fa9GpDQuALsaL30615UeSIrJEN3h+oPphrvCC+y8We15KlScwaS7Q+WCUUp7+Pxbv+xq/0TY940t 0z8rib3ianZ/LyDlN1eLqOFYWvB1dBDAN/Xe4zhM6tyAyzOyvYr2I1p5gd4pSjzfctvI5/wpc1SA Wt3h9r1onA78WZSHuSG2KhIIlMGbOqNVPyMCVh7+AY9yoRNhjS6YzhgZf7x0km+5UOBoVHmEKg8b v1yoWqvyys2Diu7+UoLUV9BYo066f8tpyYWhEDilZaMOterDyvHIuVpqkQlNTTYZg9+kkSL+XUgH M6KznXXy1ggggyZAdmqs4tiUle5+qYHDxefDimXMe8VnSyVpLuiMNpg80YWZ9kcTKIXrHdEwjog0 CgQORWyQ8IZnrYxcHgloB3ZH9+lqbJ40wTIJ8Zkd36ZNygO4GUE1YZjXCXNv9/LRWcfpRqVAVpWm gCArKyE0nE/W88t8WtWwr33nxmaWNK0jX1No2wKZK5JCtRhT7PBq14farvYnfMrBB2sh5D1JoBKX eETpwNlWWhhWUvZVb6MTGucn20GE9fG26e+Yx4maX2a950Z4lJvzdLBSgqKoLm+5gd1n/G3BPehg R7bx8NoRp9+SedftHpy6GiRbPDZ4xHREVZgTxGDKnbDFKfbvyww9SuPq9oVx5K7HtL6moVLvXlRr 1J8CEyaUdZvQqdPao+3bGZlI25VNYIRn6o/gM4e4vR0GKPK45u/FkrKNmfDByOhRo2BrN1qK0Bbj sZx/FL4vVR0avBm5am8RS4NnJPhAOSdx+WfxQZe1oPg8bJT3mUPrha36bOHUdvCR6+I83+n0FUub 6ZzUAEAGQHroq+QFkEUT6EJ3nWnEzHEnbA2yQ01FAPfsaux+8a9yeSCoPvpGbWes3Z0tyDkzjaIL VdlN26wOqWvbPe5hQ8foV8m5K/C9zUVFAR03cyJKR6Cg6qw8scP8tLhvEF3CoeBG5sQxUGloVEkl J2OQ1AUajF7dNNTSYn0iHt0BXNRGDHwWGwRTGKzgOgxHXoiTcIaX6bPSzfEKjy6K0cWo0jpQWU+2 XZhiOzUwYaTE5oZiaQ1Xv/L9APryl+wztJD3WS4gWaOLU/e+9XAqq3olTbTeg45a4XHE3uaCUfQ2 p/qbAvIUtenSitnMmjACCJjZD3rAppu5NHhqE18KtnsB6Ni5bDqCus8Un4wUhHg5GYAHbotpE8mf D7maOr2OPRLgBYNXn08eZ+SCYvT+aCsdzx1CSTGocwI5rVJRO08rX+rYe03HcUyxJvgEvtWKCeb2 UN5JOBWzmO7sDLBTNJhiZv1KTWVpZt/f35NVOFMenCgXFkc8UX8XyUwwx5MOBaXErR16U4psngl5 ffdNjEzt2mj32yqIf3FZzW8X3IatLaBFuFXL+Vql86uOE07Ftx4IkcRQsHBPMLV7FuZioiS/cwaB xPiXmPMKp/vPJpj9ryfRIOatCH6NDQo7kMQP8euRT2ZTZPlxUZi5XBs522TYAuaAxQ/+PhKKc+4K dAXD2Mxt4A8j14N9mB9Ntn23ZsNNj3THO7J+8geTz3/7oFoN5CTYGEPY2T8kaXqUznddbQ5u71+l vn4n3Xm8DGZGn92rkoOyDZ3GWdjR8fpUiAdFKBFvZyseWf8EvtkmOs+3L+PdkRxFZ5cOiQ+kjRRp uGje/ThEFiQy8azxKNMUa53LjwjVRA5RW6h6a9VBWc7bXojsv31L5u8ejU07W8sp45wmLscEu9J+ e57bHGjosIyJmVA+p+X/x79gFpIZLJnYy2uvQst+XIEM99mCRlM9WXu3ahJW+L8y51GPPFbCMk83 DOW/cmtSOIsOh3f5prx5pDcjR/5+EjLh6qUcsUA6/hPbbFARumxtIj/zfn1aE9auy5QGby56xL+j 22eXHxKQKIDFBRoQRD2zshj2GMvWjQgo33AZKdRdZZjRFvG/Nsoc6fUTkUkuHX7DRcfVrvDP4Fgi JEPp3rlza+ULUYF4x19E79fCVwZgnqGO0fiv6EIWIeudmAGConvq5RYyqfDgHHqPkyvFIE94NuLb 32P1d/WFSGPNd/zPnIF5wcMu2TgBF+7qLMrCVM7xZEJZDdntfXTxlo0PGFqoQQCVmwIhXlnHuRz9 9klhCa/3UQIT3k5gslB4x6mfAF0EH/qBxRfaniG6J1DvkPKRIr1nW9hN+Bb2WGh/d4P0NYofQZtl d+VgQEc1wcYYyePlJyge4Q4AjTfatv+Gc2jKqrE2w/mSy7mjuA7jJ9W81KOAMgVhs2ZsrLzMzdA3 o5hVwwCC0aKsGMjR9Zmu19R/q+IM21eAp+S1Mtw0ef3TBC0VKM+GQsJosKHAWR33cZy5uAN7sXfM QwiUm3aRCJBpNO7E8jOJXhcj1ypByRK35HMuOkdjCXBXhdpv871OuOcJCLmznkrpxv74eCxD2jzJ UPvT05a9v2nhpUR1VCy8JHREEbPMYj2mBNCtbpdhOcmCfYZehzKETI27dejPMHH4kLlVVM1MT0HX 5SXfXiqlF2vM8eNLotWFGEoY4JENKfb+GfVW5GJe9JlISm+EbTBB8fZfySZXUIx9FrNAbBTFyND+ eQDzMC+Ljra3OOf7bLoTrfvJD2joGFDRXgvk+fnVsNAwdSlRZMztT/TR/Xj/HV7Ie/5zIGV+Q+xY c8ZIORdU80XFPDSDVfQSnit+hiFgXC3bB0uooi/RB04AYs+FsnrajGdH+AWdUiGXkiXFMVyCUO6Y qWVHO2U0BqYt3pG6T3zqlx0GpDEoOELgj2rxjeisphuhri77UqUZKQG+tz3Ravuq7KlKR03UdyEW xB5LLlnVxXEz8QnEW1AZU1yGT7enEMKZ7Hoj+rYvRq7clZl62g5u1oNYyZtQLmdEyPgu9ZZnrLzH 8DNaDe1ynH4bq7SQhbs9EEWm0LoOTkjkCI3+xtmbJ2hOggc1lNCS1qXfTVKTtI9AEY8QKMvhI8nu VvqU+TN+UV85l6PhfLqhb/uh5LW4aOJ8W7WpSvmzggMjfiUdgVNEbNDNY3/ez7aLcH1fPEiyuW4x RD+garkFbEhST2jjT10ujR/Ozpw6nRMko0YGDh18daArNLfAO5ASYft8n2ocywc3QY69AMPb2EVT 1BcsTlYKAQMO+rWFNHMgl05Nus0Qfa8YMHcEed9wt2TBXkU7mIc1yo6aadR8k0stshQGCFQ2viSm kSaHIpMmRfqoPA8yWfCqZmR4kDROx64BuiLNFvSVRixqSA+yGtvmuXqbZEnzcPJ3hVGWsXO7HTSv VVVHyzbsAvsdIlE4yfv1ekgCXf27XGSy4IqnOCC0fPKZXAd+u3rwmfoJIahehroCL/3fNPOblsWa CZQo4RfkkgHIlIvX5yrXcQk2kZnTqbOV9ORURDaVKUCQzTt0fzpUHTDTQ475Jr44z+VxUAqZ9HT+ BSP62iv9kPU3WY6TUsFvxg0SKgospoLynOlD5BZR4pi1ytKsG/tsAxoeA2YhxksldBdiDmFPh1Yn 9eD+YKwjmEltgqkdksTtf2bKKahzhI2x7hHNbciieEpyvqRcqsE9/zj1N/eagS168uwr6IVsAMfL r4S2SKExzXuPSXiKJFqh+O3O+w8vIhEcMNB93sicK3CDNpeqbQxtyWXMDRL+0QWTiHB8Ji2koGzu smkCN67hpoFgrJN9ZdK2gGi5ZGZjGLeQdZLzVsJZThlwhbwyYcGEBM3ckv9zq0SAKV3AAWxzupqv UDEnEnxaJYOc5WgVz4ntqglJryZ32+NyuQStW1ebZwRGMoP96WPiWomfnorwNy7Y4x58IVtWzYUS wxrDFZBXmqOicFAd4FxKMKIRPaCRIXnl1R0DGxmg8Ud0S4kRNsH5ZUl3X2x0XsHW1l/cTZkbqVXa Q1yIxvfcKeALH7neOVIUVZrWLmC33X2N2rqyknAhwuvnwvRwDPVlLNkTIg1NSA+xj7o4+V6Gd3ac ni0RjEMzCiRXgrjtJtyuCTesqlu2RxdJQNCbXnkP/5yZAkE+agKmrHTq4Ob5WlRh2WY8OdwNU/i3 54mPwW0hzMYxlcTzqrMKzWQW/qX/ofPmLi0U2jjHXU65xLrdkedGzBK7aF2sCQOmlV1a4xOd4deK TTPZXEVoGHMkomQYo2CH/r+Eo8Tz8b3qKhaj8i+U6NpUgBZoboGm+oghtmPuUlGj4VhKmSAKVGF0 sAEusCBfxNWSIrE+ZV4DlCywl4eKzP785QbDpTbvm/sQPSbu6kn0y02tffkFSW2diErHHEKFf68z kZTJ01Luk0edQdgznKy9M7/+oN6Pc1QugBRnU2kF0FASgJ2dYOKNdepmHRUVZav/8S2DX2CN6+/d i0VtosnT88o9h7loLNXHuj/drIen6l8Can8FvxdHRBGy6P2GSGhKLkEfkqa51d3a/FEqq0St2tyf ncaoTkUMu4HeUtkKtaruwUQhFotN6bBa+h2RzSxGGWBsSdYQrhFybgq5Ux3YMimPvTUxqwL9V0wY mEG+lLEFrC1lNQDvlMYnw6Tr+uDDY3ZnyDyVXyFt6ItjhzRRU7n/vY2KZeHnDVv20vmTWmk+egzZ uEsb3ufMIVJS6QJ0bn3kzlyoqg0uVs2HGu8LQdXcDjSxnU6auf/d0wHKgqermnUv3jJ7fPvh6b6W LtswW48yebYQlnmPP9HmkjRGZP/dLML3Oxoq9+WcSz4Rbpfbtt9rM6M1APMFKQe9/sqaJsjqUzXl 8yR/eqLSP0psQQFI6vEeiLRxNCTMnjwnm+ENfNUVmXL9ZjMhPx6fg7lFW9Zk9Ic+jwZBUHcSY8hZ +HP9QYBs1N2uC9hzAxjiQ45/11Q5NI+pyvPIKHIqyF6tr0dqgGRayQFMSYysG6/kSek4+Mn1Fzbb ZVKfmPf9QzJuGLap5xT9Dv80RnL21kAaDEx3dIh7fUSaMjpwYA5Pwc84G+LL7pWLKfu/eNlAgQIz JNU/avBdvasuAH5je9AUHhiSuDt09HWr3rBsvvS+TAj82HrVvXJQobFnWUfjjaLsRDij4WdBC34z 2B6higK+T/LIlgN9iBbaKwihTNzPugDUGi2iEUBnmBXcBBEiprEpwv21+1JgdoizgEAWwUyw+Hxa cUJdKfDFzw9qvZOqRZebK08f1PZFWuFugLepygsyOfr4z8Uqd/SFxV9ObNFCDSxlqlDzvRxM5snL uuFWw5vNd0vO/w+AqJnnl8zdBQLbPfVBsp2/5EotEwhGRVfHeSFOWbSYeAEyxntS6Dhn583yIRtt rN/KxAzVEiJamGnjgLAzEGskBI31z1JfBb32X+uoc7j5aoLQuUL/P1GElnYzTKiyf/X6XUggKNaX MCEw+m37V2wJfWDSQiQEKLL7UtwhlRMaisyU0oorbM4eEa29/JY4PZeLmDPeB0dK5JnnheoVz/or 5MQrlge4GdjrJqqlIkcLKQ2WsM2ObPC+7jyh8ZS5T0gdjdh6OjuhM1y7KY9BNt51ybqx7xbLqvGK fQuS+86OjIawwmV0M/F6aYkskuzaal4xZjCso5SsMWOGyDdWT8cMpzK4YbYN7mzkZjVQUcHJ6u11 c2cvXVDvOYajuyUKExUYQnhrfyswrcqrLGIr0juVq2OI7WIbdRm6bnW+DGX1JJbKASXRcFfcRgp8 5jjNMEtzn9KLe9yajgZRERWLDUKmhF6QhGRkQlc5v8RDhDvVjilvZuHTcrqAAKHR17OFEW8FC0IF OpYMiENLbVomjq1gsGn0qNzyg/rSdMnggIDMe9i0d8Tnup0OInVNwx1LD37kbidDS7Ma6a8QhfUo rGd6IAlgWaaRE9IHTgHiAJ7dLMRARAih6dZc6ngQeI/W4AzOcmAxYowM92sfy36Z5tRlKLZeKENH j6L7Yv18LALnGy5bvE0lWYLbwX7sW3PL2Uzy0QMNAnqSEJ5VfSsedCu7a3F+gndc6X7vQTft2wcJ M/p3IA6sN1htbhpD2lct1KS6bwNa3+Tbp1rBWxzClW7aZ7yoB6dyCE0nx8gXZaxC70HtUUEEpZN6 Z24gwf5Vw9KwD6uKdVMTYUHsnH5SJfEwEiekIeWkSJWIcrP9cDvktsE0JF8RAaoaY6Q3zdGy+0hs 9x5I5IoRC9RS8nPPkKB4a7m6ZqcbLbrUYJGTervc79O7LXmrAJUGluywl7bTGY4XQUd9X9UuLU91 2dn0oZUxxBYZ5BQ+dO50S4zoJoG4a328FmihAETEwnpouzLJBgdSc+Z1tMAKVjAmsKdEKMabP0Dh TGdX0akUPxVqlGihh7BZkFHx7lwAyXPJizsPKIEze2ya2ohFbs+DnyrmIpFzESAK1NYGwfAIIxyl 1KmInaRpH/tJZKGdKiFopJhhBTfd/LqSnbXNPHb/wuOVFcbbctgSc7YDjkd2zt9HiEf4izPNvew+ HwVDf6JXCMw5CJxhTpIfve2P9htWp1H21+eeJI1AnaVxw/hd7nZ0ztAx5Egeca6Qklo+M1smI6lM ASgmpoBpLrOGG0phRJpRS6G5hYxNchPqegva29xkz8tnw6xLVmZWet7i6yp92qh3izFglp+E1nfU AgteRwHRUUsEjrfE5u6klkPLaO3cIRYed5+m3YfpmaaQe8Ep8yGls6ToStaM1ZxqYmID1TWvV/vA vAPqa/g4K1VJGlZ33JqNBT46S+kv8gKMB0aUuGTAIEGhpVC+RBSB8iADi9jCQXV48vva51+4njPs RRCVn4c3ysSbgTdugbmPUqLqN2PESUSrDcmjUYV0cpNP7Aw3r1C7C+/qheSRQex4/xw25Rb/ikLX 6ggK36OPV9q66IIAM27+Nig6epvBpNxcqCGEvK2os75eWpkWlUPQv8yGlPHZpT0DSlTgbZUm/g0/ o4fd7KY3+NMIkVK4xuy68LIH1Wb5+9HbKaX50VDH+01SR7dPn0cJSxp03LPGJk/dpCuVxlDAc3NU REWI4jg2DRV3qMMUo9+xoGysla1KQVvwDUYzUJmnge4nsq35O1YSor41vy8vPk7ND9dpgOa1+Bgf sW3prXhksCrrM7NgCQR+wLMV/ykMVvsRJ+niKK8tjbHjvLUq8BmAoQ+X2RX8rI2AqqRDWqN1oyJn gRKUe7WTmec+3INF2R6z6zTrUJo9BbebfvoLKhPrl/zC6tUA8tw/UYi4ycxEdhFU5w7Bprtnx+Gr NVfwa2cRtdgNFGCveAXPXdWmEv9+oe/oEXMRpcf8oM+yTXX/nCHWtsuZoG5hU8BygRfWzlk4Y6qK jkkKVdBVgGHe0PnE5B9oHGMRGzMMxRx12FLhcSivhIo+KyCFM9uIzgMMyUfzDT6q8oZ1nV0WXYTc kl1X6Y+za4j1Q18Cew8mdy1uAaeLdmmI+qe65mXpXUoOFpUxrozae+H2Rd5Tt2P28KZi1wkfc1/+ XB3NupVcjGqfxMQppyVJVwIWIL0CFw+4bxa2b8ooKAgXWcXrgG1iAWlUZH4gltl/IQAP3VDQgF5o B8WPCgAsqh5TRHz4nDYz0JrV1KPLVVhs7IzwpHyQlZjCDbb3XE5RnXov72HJkj4QQr/1vqCYbyBb Xcp4Ev2H31cUqXR4B5ZnsyuwHO/wzhp9q7LuR17IpBrna2XLgmwtyKHvGt3R/1B4BV5QZmoN/NwJ JR7sUa5jljTYCcIJn5I7KeMFwTFLllt1x9TbSzwnWWCUrGBUiKN8kzJOxuVlp+6002z+F+fR6p38 dNWRUu7UiEbAlNOEI2p4pUUBtYzqMXS3ln8ct1hdFUZvazBpoRh6qDaL3ybCrhQaUNSeb0gsQtIQ LjoeH2cNUHTP4XbzF4GMeWWy6RRryLLUftTf+mxkTKEyn/BctepTndxJ8QUjLQKi6KoT6sq0JGUd J0DRhCG75JUd/ePeOwxqQJYrg08S3NMbeOQOdejleTaHgkOsuGDWnJpjdpzHcgrqC12wevP5sHEI UJwBOzES7w0WStfmvArwOrPte7sWXZbuw25ZVnWdwMj0oaioHh6pWakIMI3fcCi5OBxSNDeb5z1M UoIZgS0kbLOHqJNfAqUVWPGIbOTaVQdy56uq3Us67Uz6tjdqaBgWftTH/RMHtBzFVB3uLXpzTP0A Vv0d4g/NaAY2jJXfswInQh4CYV+qExdMrbjp0vstP0eV77t1+VVi9qRXX7mUehncyUTxKYcrPE4A 7rMgOuGB5BP416HQJgtpAju+1EBFXhXCNRBafulPmBdqKjXZqKzWyjYntEEm9T6sB0VBJlGhqjyP o3E+O0Is3VpMs8QeODyU1b4gjI+b5yUTHjiKCsm4AcNPnesdgWvz/ychS5ImgLl99Zo7V0F/BQaJ /mhi/fWz5TnZvQKRJcNE9LF07JpouERxkUW9lYG6rMFsgItLeLdYEL02G4vxfd9zrfBi6j3i9SCj N602xoGqFAQ5IxPzqLklh71jw+nDi4zH4YRIw/vdyuvuNRsuVYTAUiKAnMB+2Nb5rfi4kPonIMPB N8klL9CoIxDsHJv5G4d+3xNiSqiaGbeQXgs8bVjDVPzrFzRIXd5HqBf+XBpT9g0tjcYIe6AcQSO0 /zThgC2BuH4/1FFazY56BKN/oKtIM5ZsXdoVM04cjMlSCe1ZjA/1F81hb2xR1ZlVeksGWGue3bkM M/r0m2Hu+UaN8y7RRAoaHvrHUubgLGXIU98nGwoaiJZ22tH9VJ0XQ37kJIZoxxKPMAJmm0/S+qBR crUijQrtiFliiDiX/hhYel3mEjd/+AdMyd02DVgToypvUGX5Y8W9asqbn5HAaqmW6LPOSRcBb6vy OJ9YmaZk6sfO2t9VGVy0bikOyBvkIDJdwQvJXk/XCGz+CAb5iWfCcCeNxa7ASEhp3lNxG9O20cIb r/Xma8VQOmVaSidPTRjAvJ9rrPzMrFAGDmRHKHqcC43bNVVxDrVpJAnmvv1fSZwMFh5hx+FwuFAW 1vyEwv+/sQCmXP8xNDEVlb9Z6vJlgGF3RZJAT88ucLdS92gV3Wu2f7uQ8orj9qdMYZQcJvq72diT QnASMmURieZtEkfxKAq+dB6xZKsQvsDzfr1CL7a1MELoUxT6GU6GU4XYR72pOQymX6rzPzYKXkfV IVuGTYugBk496VdooeXaTy3VtL1WJuZpwpdWRbi4zuRDTO1xTzpqnXm0HXSJ/PWlWLyBb9FBr9Tp YbjJR88hMqGUff/yPOS3HjRbTEakChcn2UxEiMQLhUk18UrEzQa8pEFtZuUNrNjtp7mGPD66+Tlh idKBd/b3E3RMU2aFvDbV+nsI5d9t/Hj9BDHeS5+lU3GAxSWoiP1mOhOB2YInqpylJVsnYcVomtzF PvUQFvARkkLe6heRtpaytYIMdtSGMnh7QHyNWP0tHx4I5No/QjkXD3NQGbBzLX31rjykgDzfaIUZ qRjJWPvBa8fjlGtCA1OxxDh/RgxNb4Hw/CVqUwlWArsVbmB2Z+ereuDxCzKT0dOWJVWYsYtVgsko Q1vKc7MxtdJVXLHIr48k3dQ5K1+8IDfRfyF47CbHedwfx6LxcQnkqt/C/YDoreBXTiJLXUUIzYVu 6Y941Jcia+DsmB1o2MLKg1BIbKAuAYUpHYioPCT0ie5Zqh1hXGNIWN3ajVDr/oCndZh1kyOPYyBi YYCyDj40VciDdg3Cz2EM7y6frLpoFPZiS96Gqy4WckZeaLekbWsuole9j/SOYngRduD/G+ovz+Cs g+ERxajRzRFwfMxR/5m1qJkkcglRSalFfVYcB25IuNWppxKHkh92AiAwYeTAKZC/Ydbnjm1p/YGT BSd4A/ZLDANdGv2+hH211I6VDNqII9VYMQitNm2qxYCT0papm3p3wfegs+Xa2qyxuBQoKD9thlxD rOjf9kbFac3inueekE9W85X42Hp32HDjadJpDpzd7UgoDQFwKRpcUxmbJyZjBMBq9YZpfpxl0oPh i8lQ+omb7Y5hxvx5JEQRiatLg4UWLELyH2kIbMl8yvXO2s4yZy+0tzHMy4nBm8gyZUrtMz5QkjMr 1Dp4J5jle3k5AO6nTSr4AzWO3oTwi7g1fUsdt7qmztFQDyNqITVzt3uh9DkGs4GuHWKsTOfnhcvh wuLucl0W3n5mR/Zjdpgp5xhqbtGyT6AG1QdVPOPmY1P2yk6nCHSeE9gt/Djkg7gK81wAjB8NYRJC 4MrXye2VF72A7d6fQCulCe2rd4SmhszjWXP3Sitct4uWQbbiXoI2+LQdInamVpO+k62tbKjZuUE7 nVki+KenXS4UvcxCrHi6OyIidMmdP/lM1alQgBVckG5wB+BquCEXo1BqtFkKY7Myra9ZPYpLtjFF n83oWXAc6Wt4n0YDlMHrSqEZb8XLOdMWDFMs4mttTMj6w3kksZo81rZ9eXox8EbtqZRLkYCdpR1n E+4Gl90THOC8F+27aQgKtT7eih09WFdB8rxHloGDun90MOk16Qwf9b4zdtTG70tQ/X1r7jjI1vEs iG33TtXbgrWSxeYq3U9uCZDi6IoPdqEb3RytEpeh/kBt8t5ZnT72DnZHGwplzI8rxafIzg2u9pM3 PucfGYiFgQCZv3PyBd/+7ZGkTCd1vOiuXvmIGfqMckah+Z4OoL5a8zi8wZTanU0UfIlCtyPmQQ0B KIdvR41RGeb9ZpMNiTfDvF/ADOsTsmOSiuvqK9I/iJz0rR/5jadN8cTNHweNScAMF/oC+CBb0J7z PHEtMLhug/cUfyLcx8tOjejutk9QBom4H8nz0ygoIFQgFiauyIrd+qM68zXkx1XBEKlTkBXkBnan YbalQ8TvcqQubCt3h5XIdf61DUz/uWbZ9H/w5ohnXgluI7v6RxYMA0W8sulUqA6PXKRMyMXNR7y5 xgjy0eT+j+PxJ5YeC65cnMIicJof7fcGId7d+QtOVkmJgZJzDSMJV+YOA6p4B1U8laZc1bR+1K8G AuxWM9mUHc+ydhw7M7wur43ovPUG0vSTE8f6xTOjwzPLDw/CvIcx6sTl0+ZHCKNWEeE+0gp/Tgw6 xus6hmPETVAygEPTUIyTFRoJ8g/iyWJF3znmFWQPVEah3JF+TmZCVexEh9wizDuYQe2D0MW1b+2t O8eqSqglkjSkYoxKmendJYHe1MgbnOLC1Y950brICajIgUVhd33nhf8tyCpKFBwNSk+A/n1Lr5LA aNka30c9Tl+zPbiZqfNT/lI0NZwpu4RBfoutB/nYkurA17MxRZytY+0mDyUcNuQ4q3YxQlBnAxVq pA2rWcu2uaAXdiKA2xhhfKriKOzLcUGvnTwQxj+3DJ/X/zzyejQULG0xUIqx/QBD41UcgufPkZQk TmahpJ1RkxF1FesohFihW241TlOYYnsyb59Nej13l+oCc6shT0bk0xHH8zDrI0sNNwddTjj+95BY DIvKrx5F4Lp2ETr0t5HXdu6ffvHlYbY0I6Sd2Ln/yEggvJ21nEQPriEHRmcmOWElHxZyyxYE2POm FNJho1LBHKHjp+tS5k5wZuWwmqXcNkDNaWBMxEtRbbzwB2H5RpYK86R6rwesWjk5wTVE2GvEvpR3 xIZqGncJ9fFvuLaGzO5iIQQ2fYVwVEvbRXyV7aCI6r9TrFn/t4/jiK3IadoXcVLm2lCmYlVki0EH 4X9xDsY3wClN62AEy00uCyenI48N1REFcP/19CLcW4QBJlRJnI4FKjHhRdXra1LGiSUSV+zGjiR8 /aRA2iBgUlGUgmO3l8kqiXuYZiPi/qPzxE/FX71Se2B8KVFDxZ7O/hwUdIXhFFCNDK2w34iBWwiR 0wS2Vjomes9xpOXKpIziw6RZv1dc29OQW3Vu80FFdkGO0ZF8SFpTN2926r223sZQIUoxseG5IAhZ i4W5QtfFnCZ0Nu8G4m28O9S4xE40hdZ3hcF7cuN8ZyvHXIGGl4HfMmKpNSwdoXBBIrc1Xl4fP+tP rPsErqKaBjGHLOE8rBbPW6XIrUNZsU+QxpusCqejJCKNakXZLsvZMQpO9Rwh0FZVCBeCc6Q0no67 rP6oNzmFNqRTfp/yJK6jKXiJXM5Sv5U7y5O6wpu3+yvj5VFMGDEeK/dPzIMEteqpyuGiBweZVBcE R6g296wfSkIkQ6elOCIo/DWnXhZfO57+0Ajnx13vxM/lAydXPxuzqdZ1R6lplALEhNPE6PXl5iOk uaZ4yzoT82UOkNt4y390XRqa42oKWC4+olrYUFrx3leyc6nyPEhnXBXZqLvAcrweDQXKKkqhkI9Y ujWekv+q6/P7lpJNC58Cy3NtWEQhXBSqawvJ/CVf+cLB7/k3lbfgvEcB9vdFW+kOW6DbLdNVCeR2 8lwcVl0HNE9OLsCDpzN/ps2wuSrU+68vZzpyANV4E+83E3JNbD+QIfDBLEf2m8crA7UNqZRJucmt GPQuzhs9Ob4VhqJCdABTIpXTb7qY7YIZr06ET8dOzHiR5HVibVrX0u1xTwvP7vb8Lj2BZUAp8G/k ES05aT7TjNbqcS2DTKbAPo+7P/EhCqA5zX7sO9XaUexZn3sNtdhRNDKFET5e1CHkRXUSMFPmH54x 4btT+2EeVpWQG4Gul7ZfwhBKKQaKwqiPdYeIzWXyfEk0pw== `protect end_protected
apache-2.0
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/lmb_bram_if_cntlr_v4_0/816dc01c/hdl/vhdl/lmb_bram_if_cntlr.vhd
1
50495
------------------------------------------------------------------------------- -- $Id: lmb_bram_if_cntlr.vhd,v 1.1.2.13 2010/11/25 12:28:48 stefana Exp $ ------------------------------------------------------------------------------- -- -- (c) Copyright [2003] - [2013] Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES -- ------------------------------------------------------------------------------ -- Filename: lmb_bram_if_cntlr.vhd -- -- Description: -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- lmb_bram_if_cntlr -- lmb_mux -- correct_one_bit -- xor18.vhd -- axi_interface ------------------------------------------------------------------------------- -- Author: rolandp -- Revision: $Revision: 1.1.2.13 $ -- Date: $Date: 2010/11/25 12:28:48 $ ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library lmb_bram_if_cntlr_v4_0; use lmb_bram_if_cntlr_v4_0.all; entity lmb_bram_if_cntlr is generic ( C_FAMILY : string := "Virtex7"; C_HIGHADDR : std_logic_vector(0 to 31) := X"00000000"; C_BASEADDR : std_logic_vector(0 to 31) := X"FFFFFFFF"; C_MASK : std_logic_vector(0 to 31) := X"00800000"; C_MASK1 : std_logic_vector(0 to 31) := X"00800000"; C_MASK2 : std_logic_vector(0 to 31) := X"00800000"; C_MASK3 : std_logic_vector(0 to 31) := X"00800000"; C_LMB_AWIDTH : integer := 32; C_LMB_DWIDTH : integer := 32; C_ECC : integer := 0; C_INTERCONNECT : integer := 1; C_FAULT_INJECT : integer := 0; C_CE_FAILING_REGISTERS : integer := 0; C_UE_FAILING_REGISTERS : integer := 0; C_ECC_STATUS_REGISTERS : integer := 0; C_ECC_ONOFF_REGISTER : integer := 0; C_ECC_ONOFF_RESET_VALUE : integer := 1; C_CE_COUNTER_WIDTH : integer := 0; C_WRITE_ACCESS : integer := 2; C_NUM_LMB : integer := 1; -- AXI generics C_S_AXI_CTRL_BASEADDR : std_logic_vector := X"FFFF_FFFF"; C_S_AXI_CTRL_HIGHADDR : std_logic_vector := X"0000_0000"; C_S_AXI_CTRL_ADDR_WIDTH : integer := 32; C_S_AXI_CTRL_DATA_WIDTH : integer := 32); port ( LMB_Clk : in std_logic := '0'; LMB_Rst : in std_logic := '0'; -- LMB Bus LMB_ABus : in std_logic_vector(0 to C_LMB_AWIDTH-1); LMB_WriteDBus : in std_logic_vector(0 to C_LMB_DWIDTH-1); LMB_AddrStrobe : in std_logic; LMB_ReadStrobe : in std_logic; LMB_WriteStrobe : in std_logic; LMB_BE : in std_logic_vector(0 to (C_LMB_DWIDTH/8 - 1)); Sl_DBus : out std_logic_vector(0 to C_LMB_DWIDTH-1); Sl_Ready : out std_logic; Sl_Wait : out std_logic; Sl_UE : out std_logic; Sl_CE : out std_logic; -- Supplementary LMB Bus 1 LMB1_ABus : in std_logic_vector(0 to C_LMB_AWIDTH-1); LMB1_WriteDBus : in std_logic_vector(0 to C_LMB_DWIDTH-1); LMB1_AddrStrobe : in std_logic; LMB1_ReadStrobe : in std_logic; LMB1_WriteStrobe : in std_logic; LMB1_BE : in std_logic_vector(0 to (C_LMB_DWIDTH/8 - 1)); Sl1_DBus : out std_logic_vector(0 to C_LMB_DWIDTH-1); Sl1_Ready : out std_logic; Sl1_Wait : out std_logic; Sl1_UE : out std_logic; Sl1_CE : out std_logic; -- Supplementary LMB Bus 2 LMB2_ABus : in std_logic_vector(0 to C_LMB_AWIDTH-1); LMB2_WriteDBus : in std_logic_vector(0 to C_LMB_DWIDTH-1); LMB2_AddrStrobe : in std_logic; LMB2_ReadStrobe : in std_logic; LMB2_WriteStrobe : in std_logic; LMB2_BE : in std_logic_vector(0 to (C_LMB_DWIDTH/8 - 1)); Sl2_DBus : out std_logic_vector(0 to C_LMB_DWIDTH-1); Sl2_Ready : out std_logic; Sl2_Wait : out std_logic; Sl2_UE : out std_logic; Sl2_CE : out std_logic; -- Supplementary LMB Bus 3 LMB3_ABus : in std_logic_vector(0 to C_LMB_AWIDTH-1); LMB3_WriteDBus : in std_logic_vector(0 to C_LMB_DWIDTH-1); LMB3_AddrStrobe : in std_logic; LMB3_ReadStrobe : in std_logic; LMB3_WriteStrobe : in std_logic; LMB3_BE : in std_logic_vector(0 to (C_LMB_DWIDTH/8 - 1)); Sl3_DBus : out std_logic_vector(0 to C_LMB_DWIDTH-1); Sl3_Ready : out std_logic; Sl3_Wait : out std_logic; Sl3_UE : out std_logic; Sl3_CE : out std_logic; -- ports to data memory block BRAM_Rst_A : out std_logic; BRAM_Clk_A : out std_logic; BRAM_Addr_A : out std_logic_vector(0 to C_LMB_AWIDTH-1); BRAM_EN_A : out std_logic; BRAM_WEN_A : out std_logic_vector(0 to (C_LMB_DWIDTH+8*C_ECC)/8-1); BRAM_Dout_A : out std_logic_vector(0 to C_LMB_DWIDTH+8*C_ECC-1); BRAM_Din_A : in std_logic_vector(0 to C_LMB_DWIDTH+8*C_ECC-1); -- AXI Interface S_AXI_CTRL_ACLK : in std_logic; S_AXI_CTRL_ARESETN : in std_logic; S_AXI_CTRL_AWADDR : in std_logic_vector(C_S_AXI_CTRL_ADDR_WIDTH-1 downto 0); S_AXI_CTRL_AWVALID : in std_logic; S_AXI_CTRL_AWREADY : out std_logic; S_AXI_CTRL_WDATA : in std_logic_vector(C_S_AXI_CTRL_DATA_WIDTH-1 downto 0); S_AXI_CTRL_WSTRB : in std_logic_vector((C_S_AXI_CTRL_DATA_WIDTH/8)-1 downto 0); S_AXI_CTRL_WVALID : in std_logic; S_AXI_CTRL_WREADY : out std_logic; S_AXI_CTRL_BRESP : out std_logic_vector(1 downto 0); S_AXI_CTRL_BVALID : out std_logic; S_AXI_CTRL_BREADY : in std_logic; S_AXI_CTRL_ARADDR : in std_logic_vector(C_S_AXI_CTRL_ADDR_WIDTH-1 downto 0); S_AXI_CTRL_ARVALID : in std_logic; S_AXI_CTRL_ARREADY : out std_logic; S_AXI_CTRL_RDATA : out std_logic_vector(C_S_AXI_CTRL_DATA_WIDTH-1 downto 0); S_AXI_CTRL_RRESP : out std_logic_vector(1 downto 0); S_AXI_CTRL_RVALID : out std_logic; S_AXI_CTRL_RREADY : in std_logic; -- Interrupt and error signals UE : out std_logic; CE : out std_logic; Interrupt : out std_logic); end lmb_bram_if_cntlr; library unisim; use unisim.vcomponents.all; library lmb_bram_if_cntlr_v4_0; use lmb_bram_if_cntlr_v4_0.lmb_bram_if_funcs.all; architecture imp of lmb_bram_if_cntlr is ------------------------------------------------------------------------------ -- component declarations ------------------------------------------------------------------------------ component lmb_mux is generic ( C_BASEADDR : std_logic_vector(0 to 31) := X"FFFFFFFF"; C_MASK : std_logic_vector(0 to 31) := X"00800000"; C_MASK1 : std_logic_vector(0 to 31) := X"00800000"; C_MASK2 : std_logic_vector(0 to 31) := X"00800000"; C_MASK3 : std_logic_vector(0 to 31) := X"00800000"; C_LMB_AWIDTH : integer := 32; C_LMB_DWIDTH : integer := 32; C_NUM_LMB : integer := 1); port ( LMB_Clk : in std_logic := '0'; LMB_Rst : in std_logic := '0'; -- LMB Bus 0 LMB0_ABus : in std_logic_vector(0 to C_LMB_AWIDTH-1); LMB0_WriteDBus : in std_logic_vector(0 to C_LMB_DWIDTH-1); LMB0_AddrStrobe : in std_logic; LMB0_ReadStrobe : in std_logic; LMB0_WriteStrobe : in std_logic; LMB0_BE : in std_logic_vector(0 to (C_LMB_DWIDTH/8 - 1)); Sl0_DBus : out std_logic_vector(0 to C_LMB_DWIDTH-1); Sl0_Ready : out std_logic; Sl0_Wait : out std_logic; Sl0_UE : out std_logic; Sl0_CE : out std_logic; -- LMB Bus 1 LMB1_ABus : in std_logic_vector(0 to C_LMB_AWIDTH-1); LMB1_WriteDBus : in std_logic_vector(0 to C_LMB_DWIDTH-1); LMB1_AddrStrobe : in std_logic; LMB1_ReadStrobe : in std_logic; LMB1_WriteStrobe : in std_logic; LMB1_BE : in std_logic_vector(0 to (C_LMB_DWIDTH/8 - 1)); Sl1_DBus : out std_logic_vector(0 to C_LMB_DWIDTH-1); Sl1_Ready : out std_logic; Sl1_Wait : out std_logic; Sl1_UE : out std_logic; Sl1_CE : out std_logic; -- LMB Bus 2 LMB2_ABus : in std_logic_vector(0 to C_LMB_AWIDTH-1); LMB2_WriteDBus : in std_logic_vector(0 to C_LMB_DWIDTH-1); LMB2_AddrStrobe : in std_logic; LMB2_ReadStrobe : in std_logic; LMB2_WriteStrobe : in std_logic; LMB2_BE : in std_logic_vector(0 to (C_LMB_DWIDTH/8 - 1)); Sl2_DBus : out std_logic_vector(0 to C_LMB_DWIDTH-1); Sl2_Ready : out std_logic; Sl2_Wait : out std_logic; Sl2_UE : out std_logic; Sl2_CE : out std_logic; -- LMB Bus 3 LMB3_ABus : in std_logic_vector(0 to C_LMB_AWIDTH-1); LMB3_WriteDBus : in std_logic_vector(0 to C_LMB_DWIDTH-1); LMB3_AddrStrobe : in std_logic; LMB3_ReadStrobe : in std_logic; LMB3_WriteStrobe : in std_logic; LMB3_BE : in std_logic_vector(0 to (C_LMB_DWIDTH/8 - 1)); Sl3_DBus : out std_logic_vector(0 to C_LMB_DWIDTH-1); Sl3_Ready : out std_logic; Sl3_Wait : out std_logic; Sl3_UE : out std_logic; Sl3_CE : out std_logic; -- Muxed LMB Bus LMB_ABus : out std_logic_vector(0 to C_LMB_AWIDTH-1); LMB_WriteDBus : out std_logic_vector(0 to C_LMB_DWIDTH-1); LMB_AddrStrobe : out std_logic; LMB_ReadStrobe : out std_logic; LMB_WriteStrobe : out std_logic; LMB_BE : out std_logic_vector(0 to (C_LMB_DWIDTH/8 - 1)); Sl_DBus : in std_logic_vector(0 to C_LMB_DWIDTH-1); Sl_Ready : in std_logic; Sl_Wait : in std_logic; Sl_UE : in std_logic; Sl_CE : in std_logic; lmb_select : out std_logic); end component lmb_mux; component axi_interface generic ( C_S_AXI_BASEADDR : std_logic_vector := X"FFFF_FFFF"; C_S_AXI_HIGHADDR : std_logic_vector := X"0000_0000"; C_S_AXI_ADDR_WIDTH : integer := 32; C_S_AXI_DATA_WIDTH : integer := 32; C_REGADDR_WIDTH : integer := 5; -- Address bits including register offset. C_DWIDTH : integer := 32); -- Width of data bus. port ( LMB_Clk : in std_logic; LMB_Rst : in std_logic; S_AXI_AWADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0); S_AXI_AWVALID : in std_logic; S_AXI_AWREADY : out std_logic; S_AXI_WDATA : in std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); S_AXI_WSTRB : in std_logic_vector((C_S_AXI_DATA_WIDTH/8)-1 downto 0); S_AXI_WVALID : in std_logic; S_AXI_WREADY : out std_logic; S_AXI_BRESP : out std_logic_vector(1 downto 0); S_AXI_BVALID : out std_logic; S_AXI_BREADY : in std_logic; S_AXI_ARADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0); S_AXI_ARVALID : in std_logic; S_AXI_ARREADY : out std_logic; S_AXI_RDATA : out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); S_AXI_RRESP : out std_logic_vector(1 downto 0); S_AXI_RVALID : out std_logic; S_AXI_RREADY : in std_logic; RegWr : out std_logic; RegWrData : out std_logic_vector(0 to C_DWIDTH - 1); RegAddr : out std_logic_vector(0 to C_REGADDR_WIDTH-1); RegRdData : in std_logic_vector(0 to C_DWIDTH - 1)); end component; component checkbit_handler is generic ( C_ENCODE : boolean; C_USE_LUT6 : boolean); port ( DataIn : in std_logic_vector(0 to 31); CheckIn : in std_logic_vector(0 to 6); CheckOut : out std_logic_vector(0 to 6); Syndrome : out std_logic_vector(0 to 6); Enable_ECC : in std_logic; UE_Q : in std_logic; CE_Q : in std_logic; UE : out std_logic; CE : out std_logic); end component checkbit_handler; component Correct_One_Bit generic ( C_USE_LUT6 : boolean; Correct_Value : std_logic_vector(0 to 6)); port ( DIn : in std_logic; Syndrome : in std_logic_vector(0 to 6); DCorr : out std_logic); end component Correct_One_Bit; constant C_USE_LUT6 : boolean := Family_To_LUT_Size(String_To_Family(C_FAMILY,false)) = 6; constant C_HAS_FAULT_INJECT : boolean := C_FAULT_INJECT = 1; constant C_HAS_CE_FAILING_REGISTERS : boolean := C_CE_FAILING_REGISTERS = 1; constant C_HAS_UE_FAILING_REGISTERS : boolean := C_UE_FAILING_REGISTERS = 1; constant C_HAS_ECC_STATUS_REGISTERS : boolean := C_ECC_STATUS_REGISTERS = 1; constant C_HAS_ECC_ONOFF_REGISTER : boolean := C_ECC_ONOFF_REGISTER = 1; constant C_HAS_CE_COUNTER : boolean := C_CE_COUNTER_WIDTH /= 0; constant C_BUS_NEEDED : boolean := C_HAS_FAULT_INJECT or C_HAS_CE_FAILING_REGISTERS or C_HAS_UE_FAILING_REGISTERS or C_HAS_ECC_STATUS_REGISTERS or C_HAS_ECC_ONOFF_REGISTER or C_HAS_CE_COUNTER; constant C_AXI : integer := 2; constant C_HAS_AXI : boolean := C_ECC = 1 and C_INTERCONNECT = C_AXI and C_BUS_NEEDED; constant C_ECC_WIDTH : integer := 7; -- Intermediate signals to handle multiple LMB ports signal LMB_ABus_i : std_logic_vector(0 to C_LMB_AWIDTH-1); signal LMB_WriteDBus_i : std_logic_vector(0 to C_LMB_DWIDTH-1); signal LMB_AddrStrobe_i : std_logic; signal LMB_ReadStrobe_i : std_logic; signal LMB_WriteStrobe_i : std_logic; signal LMB_BE_i : std_logic_vector(0 to (C_LMB_DWIDTH/8 - 1)); signal Sl_DBus_i : std_logic_vector(0 to C_LMB_DWIDTH-1); signal Sl_Ready_i : std_logic; signal Sl_Wait_i : std_logic; signal Sl_UE_i : std_logic; signal Sl_CE_i : std_logic; signal lmb_select : std_logic; signal lmb_as : std_logic; signal lmb_we : std_logic_vector(0 to 3); signal Sl_Rdy : std_logic; signal bram_din_a_i : std_logic_vector(0 to C_LMB_DWIDTH+8*C_ECC-1); begin ----------------------------------------------------------------------------- -- Cleaning incoming data from BRAM from 'U' for simulation purpose -- This is added since simulation model for BRAM will not initialize -- undefined memory locations with zero. -- Added as a work-around until this is fixed in the simulation model. ----------------------------------------------------------------------------- Cleaning_machine: process (BRAM_Din_A) is begin -- process Cleaning_machine -- Default assignments bram_din_a_i <= BRAM_Din_A; -- pragma translate_off bram_din_a_i <= To_StdLogicVector(To_bitvector(BRAM_Din_A)); -- pragma translate_on end process Cleaning_machine; lmb_mux_I : lmb_mux generic map ( C_BASEADDR => C_BASEADDR, C_MASK => C_MASK, C_MASK1 => C_MASK1, C_MASK2 => C_MASK2, C_MASK3 => C_MASK3, C_LMB_AWIDTH => C_LMB_AWIDTH, C_LMB_DWIDTH => C_LMB_DWIDTH, C_NUM_LMB => C_NUM_LMB) port map ( LMB_Clk => LMB_Clk, LMB_Rst => LMB_Rst, LMB0_ABus => LMB_ABus, LMB0_WriteDBus => LMB_WriteDBus, LMB0_AddrStrobe => LMB_AddrStrobe, LMB0_ReadStrobe => LMB_ReadStrobe, LMB0_WriteStrobe => LMB_WriteStrobe, LMB0_BE => LMB_BE, Sl0_DBus => Sl_DBus, Sl0_Ready => Sl_Ready, Sl0_Wait => Sl_Wait, Sl0_UE => Sl_UE, Sl0_CE => Sl_CE, LMB1_ABus => LMB1_ABus, LMB1_WriteDBus => LMB1_WriteDBus, LMB1_AddrStrobe => LMB1_AddrStrobe, LMB1_ReadStrobe => LMB1_ReadStrobe, LMB1_WriteStrobe => LMB1_WriteStrobe, LMB1_BE => LMB1_BE, Sl1_DBus => Sl1_DBus, Sl1_Ready => Sl1_Ready, Sl1_Wait => Sl1_Wait, Sl1_UE => Sl1_UE, Sl1_CE => Sl1_CE, LMB2_ABus => LMB2_ABus, LMB2_WriteDBus => LMB2_WriteDBus, LMB2_AddrStrobe => LMB2_AddrStrobe, LMB2_ReadStrobe => LMB2_ReadStrobe, LMB2_WriteStrobe => LMB2_WriteStrobe, LMB2_BE => LMB2_BE, Sl2_DBus => Sl2_DBus, Sl2_Ready => Sl2_Ready, Sl2_Wait => Sl2_Wait, Sl2_UE => Sl2_UE, Sl2_CE => Sl2_CE, LMB3_ABus => LMB3_ABus, LMB3_WriteDBus => LMB3_WriteDBus, LMB3_AddrStrobe => LMB3_AddrStrobe, LMB3_ReadStrobe => LMB3_ReadStrobe, LMB3_WriteStrobe => LMB3_WriteStrobe, LMB3_BE => LMB3_BE, Sl3_DBus => Sl3_DBus, Sl3_Ready => Sl3_Ready, Sl3_Wait => Sl3_Wait, Sl3_UE => Sl3_UE, Sl3_CE => Sl3_CE, LMB_ABus => LMB_ABus_i, LMB_WriteDBus => LMB_WriteDBus_i, LMB_AddrStrobe => LMB_AddrStrobe_i, LMB_ReadStrobe => LMB_ReadStrobe_i, LMB_WriteStrobe => LMB_WriteStrobe_i, LMB_BE => LMB_BE_i, Sl_DBus => Sl_DBus_i, Sl_Ready => Sl_Ready_i, Sl_Wait => Sl_Wait_i, Sl_UE => Sl_UE_i, Sl_CE => Sl_CE_i, lmb_select => lmb_select); BRAM_Rst_A <= '0'; BRAM_Clk_A <= LMB_Clk; lmb_we(0) <= LMB_BE_i(0) and LMB_WriteStrobe_i and lmb_select; lmb_we(1) <= LMB_BE_i(1) and LMB_WriteStrobe_i and lmb_select; lmb_we(2) <= LMB_BE_i(2) and LMB_WriteStrobe_i and lmb_select; lmb_we(3) <= LMB_BE_i(3) and LMB_WriteStrobe_i and lmb_select; No_ECC : if (C_ECC = 0) generate begin BRAM_EN_A <= LMB_AddrStrobe_i; BRAM_WEN_A <= lmb_we; BRAM_Dout_A <= LMB_WriteDBus_i; Sl_DBus_i <= bram_din_a_i; BRAM_Addr_A <= LMB_ABus_i; -- only used wen ECC enabled, tie to constant inactive Sl_Wait_i <= '0'; Sl_UE_i <= '0'; Sl_CE_i <= '0'; UE <= '0'; CE <= '0'; Interrupt <= '0'; ----------------------------------------------------------------------------- -- Writes are pipelined in MB with 5 stage pipeline ----------------------------------------------------------------------------- Ready_Handling : process (LMB_Clk) is begin if (LMB_Clk'event and LMB_Clk = '1') then if (LMB_Rst = '1') then Sl_Rdy <= '0'; lmb_as <= '0'; else Sl_Rdy <= lmb_select; lmb_as <= LMB_AddrStrobe_i; end if; end if; end process Ready_Handling; Sl_Ready_i <= Sl_Rdy and lmb_as; end generate No_ECC; ECC : if (C_ECC = 1) generate constant NO_WRITES : integer := 0; constant ONLY_WORD : integer := 1; constant ALL_WRITES : integer := 2; signal enable_ecc : std_logic; -- On/Off Register constant C_ECC_ONOFF : natural := 31; constant C_ECC_ONOFF_WIDTH : natural := 1; signal ECC_EnableCheckingReg : std_logic_vector(32-C_ECC_ONOFF_WIDTH to 31); -- Fault Inject Registers signal FaultInjectData : std_logic_vector(0 to C_LMB_DWIDTH-1); signal FaultInjectECC : std_logic_vector(32-C_ECC_WIDTH to 31); -- Signals for read modify write operation when byte/half-word write signal write_access : std_logic; signal full_word_write_access : std_logic; signal IsWordWrite : std_logic; signal RdModifyWr_Read : std_logic; -- Read cycle in read modify write sequence signal RdModifyWr_Modify : std_logic; -- Modify cycle in read modify write sequence signal RdModifyWr_Modify_i : std_logic; -- Modify cycle in read modify write sequence signal RdModifyWr_Write : std_logic; -- Write cycle in read modify write sequence signal LMB_ABus_Q : std_logic_vector(0 to C_LMB_AWIDTH-1); -- Read ECC signal Syndrome : std_logic_vector(0 to C_ECC_WIDTH-1); signal CorrectedRdData : std_logic_vector(0 to C_LMB_DWIDTH-1); signal CorrectedRdData_Q : std_logic_vector(0 to C_LMB_DWIDTH-1); signal CE_Q : std_logic; signal UE_Q : std_logic; -- Enable and address same for both data and ECC BRAM signal bram_en : std_logic; signal bram_addr : std_logic_vector(0 to C_LMB_AWIDTH-1); subtype syndrome_bits is std_logic_vector(0 to 6); type correct_data_table_type is array(natural range 0 to 31) of syndrome_bits; constant correct_data_table : correct_data_table_type := ( 0 => "1100001", 1 => "1010001", 2 => "0110001", 3 => "1110001", 4 => "1001001", 5 => "0101001", 6 => "1101001", 7 => "0011001", 8 => "1011001", 9 => "0111001", 10 => "1111001", 11 => "1000101", 12 => "0100101", 13 => "1100101", 14 => "0010101", 15 => "1010101", 16 => "0110101", 17 => "1110101", 18 => "0001101", 19 => "1001101", 20 => "0101101", 21 => "1101101", 22 => "0011101", 23 => "1011101", 24 => "0111101", 25 => "1111101", 26 => "1000011", 27 => "0100011", 28 => "1100011", 29 => "0010011", 30 => "1010011", 31 => "0110011" ); type bool_array is array (natural range 0 to 6) of boolean; constant inverted_bit : bool_array := (false,false,true,false,true,false,false); begin -- Enable BRAMs when access on LMB and in the second cycle in a read/modify write bram_en <= '1' when LMB_AddrStrobe_i = '1' or RdModifyWr_Write = '1' else '0'; BRAM_EN_A <= bram_en; IsWordWrite <= LMB_WriteStrobe_i when (LMB_BE_i = "1111") else '0'; -- ECC checking enable during access and when checking is turned on enable_ecc <= ECC_EnableCheckingReg(C_ECC_ONOFF) and Sl_Wait_i and not(full_word_write_access); ----------------------------------------------------------------------------- -- Writes are pipelined in MB with 5 stage pipeline ----------------------------------------------------------------------------- Ready_Handling : process (LMB_Clk) is begin if (LMB_Clk'event and LMB_Clk = '1') then if (LMB_Rst = '1') then Sl_Rdy <= '0'; lmb_as <= '0'; else -- Directly drive ready on valid read access or on valid word write access -- otherwise drive ready when we have written the new data on a -- readmodifywrite sequence Sl_Rdy <= ((LMB_AddrStrobe_i and lmb_select) and (LMB_ReadStrobe_i or IsWordWrite)) or RdModifyWr_Write; lmb_as <= LMB_AddrStrobe_i; end if; end if; end process Ready_Handling; Sl_Ready_i <= Sl_Rdy; Wait_Handling: process (LMB_Clk) is begin -- process Wait_Handling if (LMB_Clk'event and LMB_Clk = '1') then -- rising clock edge if (LMB_Rst = '1') then Sl_Wait_i <= '0'; elsif (LMB_AddrStrobe_i = '1') then Sl_Wait_i <= lmb_select; elsif (Sl_Rdy = '1') then Sl_Wait_i <= '0'; end if; end if; end process Wait_Handling; -- Generate ECC bits for checking data read from BRAM checkbit_handler_I1 : checkbit_handler generic map ( C_ENCODE => false, -- [boolean] C_USE_LUT6 => C_USE_LUT6) -- [boolean] port map ( DataIn => bram_din_a_i(0 to 31), -- [in std_logic_vector(0 to 31)] CheckIn => bram_din_a_i(33 to 39), -- [in std_logic_vector(0 to 6)] CheckOut => open, -- [out std_logic_vector(0 to 6)] Syndrome => Syndrome, -- [out std_logic_vector(0 to 6)] Enable_ECC => enable_ecc, -- [in std_logic] UE_Q => UE_Q, -- [in std_logic] CE_Q => CE_Q, -- [in std_logic] UE => Sl_UE_i, -- [out std_logic] CE => Sl_CE_i); -- [out std_logic] -- Discrete error signals UE <= Sl_UE_i and Sl_Ready_i; CE <= Sl_CE_i and Sl_Ready_i; -- Correct Data Gen_Correct_Data: for I in 0 to 31 generate Correct_One_Bit_I : Correct_One_Bit generic map ( C_USE_LUT6 => C_USE_LUT6, Correct_Value => correct_data_table(I)) port map ( DIn => bram_din_a_i(I), Syndrome => Syndrome, DCorr => CorrectedRdData(I)); end generate Gen_Correct_Data; -- Drive corrected read data on LMB Sl_DBus_i <= CorrectedRdData; -- Remember address and writestrobe AddressReg : process(LMB_Clk) is begin if (LMB_Clk'event and LMB_Clk = '1') then if LMB_Rst = '1' then LMB_ABus_Q <= (others => '0'); write_access <= '0'; full_word_write_access <= '0'; elsif LMB_AddrStrobe_i = '1' then LMB_ABus_Q <= LMB_ABus_i; write_access <= LMB_WriteStrobe_i; full_word_write_access <= LMB_BE_i(0) and LMB_BE_i(1) and LMB_BE_i(2) and LMB_BE_i(3) and LMB_WriteStrobe_i; end if; end if; end process AddressReg; bram_addr <= LMB_ABus_Q when RdModifyWr_Write = '1' else LMB_ABus_i; BRAM_Addr_A <= bram_addr; Do_Writes : if (C_WRITE_ACCESS /= NO_WRITES) generate signal WrData : std_logic_vector(0 to C_LMB_DWIDTH-1); signal WrECC : std_logic_vector(0 to C_ECC_WIDTH-1); constant null7 : std_logic_vector(0 to 6) := "0000000"; begin DO_BYTE_HALFWORD_WRITES : if (C_WRITE_ACCESS = ALL_WRITES) generate signal wrdata_i : std_logic_vector(0 to C_LMB_DWIDTH-1); signal writeDBus_Q : std_logic_vector(0 to C_LMB_DWIDTH-1); signal lmb_be_q : std_logic_vector(0 to (C_LMB_DWIDTH/8 - 1)); begin -- Remember correctable/uncorrectable error from read in read modify write CorrReg : process(LMB_Clk) is begin if (LMB_Clk'event and LMB_Clk = '1') then if RdModifyWr_Modify = '1' then -- Remember error signals CE_Q <= Sl_CE_i; UE_Q <= Sl_UE_i; elsif RdModifyWr_Write = '1' then -- Keep the signals one more cycle CE_Q <= CE_Q; UE_Q <= UE_Q; else CE_Q <= '0'; UE_Q <= '0'; end if; end if; end process CorrReg; -- Remember byte write enables one clock cycle to properly mux bytes to write, -- with read data in read/modify write operation -- Write in Read/Write always 1 cycle after Read StoreLMB_WE : process(LMB_Clk) is begin if (LMB_Clk'event and LMB_Clk = '1') then RdModifyWr_Modify_i <= RdModifyWr_Read; RdModifyWr_Write <= RdModifyWr_Modify; CorrectedRdData_Q <= CorrectedRdData; end if; end process StoreLMB_WE; RdModifyWr_Modify <= RdModifyWr_Modify_i and lmb_as; RdModifyWr_Read <= '1' when lmb_we /= "1111" and lmb_we /= "0000" and (C_WRITE_ACCESS = ALL_WRITES) else '0'; -- Remember write data one cycle to be available after read has been completed in a -- read/modify write operation StoreWriteDBus : process(LMB_Clk) is begin if (LMB_Clk'event and LMB_Clk = '1') then if (LMB_Rst = '1') then WriteDBus_Q <= (others => '0'); lmb_be_q <= (others => '0'); elsif (LMB_AddrStrobe_i = '1') then WriteDBus_Q <= LMB_WriteDBus_i; lmb_be_q <= LMB_BE_i; end if; end if; end process StoreWriteDBus; wrdata_i <= WriteDBus_Q when RdModifyWr_Write = '1' else LMB_WriteDBus_i; -- Select BRAM data to write from LMB on 32-bit word access or a mix of -- read data and LMB write data for read/modify write operations WrData(0 to 7) <= wrdata_i(0 to 7) when ((RdModifyWr_Write = '0' and LMB_BE_i(0) = '1') or (RdModifyWr_Write = '1' and lmb_be_q(0) = '1')) else CorrectedRdData_Q(0 to 7); WrData(8 to 15) <= wrdata_i(8 to 15) when ((RdModifyWr_Write = '0' and LMB_BE_i(1) = '1') or (RdModifyWr_Write = '1' and lmb_be_q(1) = '1')) else CorrectedRdData_Q(8 to 15); WrData(16 to 23) <= wrdata_i(16 to 23) when ((RdModifyWr_Write = '0' and LMB_BE_i(2) = '1') or (RdModifyWr_Write = '1' and lmb_be_q(2) = '1')) else CorrectedRdData_Q(16 to 23); WrData(24 to 31) <= wrdata_i(24 to 31) when ((RdModifyWr_Write = '0' and LMB_BE_i(3) = '1') or (RdModifyWr_Write = '1' and lmb_be_q(3) = '1')) else CorrectedRdData_Q(24 to 31); end generate DO_BYTE_HALFWORD_WRITES; DO_Only_Word_Writes : if (C_WRITE_ACCESS = ONLY_WORD) generate RdModifyWr_Write <= '0'; RdModifyWr_Read <= '0'; RdModifyWr_Modify <= '0'; CorrectedRdData_Q <= (others => '0'); WrData <= LMB_WriteDBus_i; CE_Q <= '0'; UE_Q <= '0'; end generate DO_Only_Word_Writes; -- Generate BRAM WEN, which will always be all 1's due to read modify write -- for non 32-bit word access WrDataSel : process(IsWordWrite, lmb_select, RdModifyWr_Modify, RdModifyWr_Write, UE_Q) begin if (RdModifyWr_Modify = '1') then BRAM_WEN_A <= (others => '0'); elsif (RdModifyWr_Write = '1') then if (UE_Q = '0') then BRAM_WEN_A <= (others => '1'); -- byte or half word write, and not UE else BRAM_WEN_A <= (others => '0'); end if; elsif (IsWordWrite = '1') then -- word write BRAM_WEN_A <= (others => lmb_select); else BRAM_WEN_A <= (others => '0'); end if; end process WrDataSel; -- Generate ECC bits for writing into BRAM checkbit_handler_I2 : checkbit_handler generic map ( C_ENCODE => true, -- [boolean] C_USE_LUT6 => C_USE_LUT6) -- [boolean] port map ( DataIn => WrData, -- [in std_logic_vector(0 to 31)] CheckIn => null7, -- [in std_logic_vector(0 to 6)] CheckOut => WrECC, -- [out std_logic_vector(0 to 6)] Syndrome => open, -- [out std_logic_vector(0 to 6)] Enable_ECC => '1', -- [in std_logic] UE_Q => '0', -- [in std_logic] CE_Q => '0', -- [in std_logic] UE => open, -- [out std_logic] CE => open); -- [out std_logic] -- Drive BRAM write data and inject fault if applicable BRAM_Dout_A(0 to 31) <= WrData xor FaultInjectData; BRAM_Dout_A(32 to 39) <= ('0' & WrECC) xor ('0' & FaultInjectECC); end generate Do_Writes; No_Write_Accesses : if (C_WRITE_ACCESS = NO_WRITES) generate RdModifyWr_Write <= '0'; RdModifyWr_Read <= '0'; RdModifyWr_Modify <= '0'; CorrectedRdData_Q <= (others => '0'); FaultInjectData <= (others => '0'); FaultInjectECC <= (others => '0'); CE_Q <= '0'; UE_Q <= '0'; BRAM_WEN_A <= (others => '0'); end generate No_Write_Accesses; Has_AXI : if C_HAS_AXI generate -- Register accesses -- Register addresses use word address, i.e 2 LSB don't care -- Don't decode MSB, i.e. mirroring of registers in address space of module -- Don't decode unmapped addresses -- Data registers occupy 32 words to accommodate up to 1024-bit words in other IPs -- ECC registers occupy 16 words to accomodate up to 512-bit ECC in other IPs -- Address registers occupy 2 words to accommodate 64-bit address in other IPs constant C_REGADDR_WIDTH : integer := 8; constant C_ECC_StatusReg : std_logic_vector := "00000000"; -- 0x000 ECC_STATUS constant C_ECC_EnableIRQReg : std_logic_vector := "00000001"; -- 0x004 ECC_EN_IRQ constant C_ECC_OnOffReg : std_logic_vector := "00000010"; -- 0x008 ECC_ONOFF constant C_CE_CounterReg : std_logic_vector := "00000011"; -- 0x00C CE_CNT constant C_CE_FailingData : std_logic_vector := "01000000"; -- 0x100 CE_FFD[31:0] constant C_CE_FailingECC : std_logic_vector := "01100000"; -- 0x180 CE_FFE constant C_CE_FailingAddress : std_logic_vector := "01110000"; -- 0x1C0 CE_FFA[31:0] constant C_UE_FailingData : std_logic_vector := "10000000"; -- 0x200 UE_FFD[31:0] constant C_UE_FailingECC : std_logic_vector := "10100000"; -- 0x280 UE_FFE constant C_UE_FailingAddress : std_logic_vector := "10110000"; -- 0x2C0 UE_FFA[31:0] constant C_FaultInjectData : std_logic_vector := "11000000"; -- 0x300 FI_D[31:0] constant C_FaultInjectECC : std_logic_vector := "11100000"; -- 0x380 FI_ECC -- ECC Status register bit positions constant C_ECC_STATUS_CE : natural := 30; constant C_ECC_STATUS_UE : natural := 31; constant C_ECC_STATUS_WIDTH : natural := 2; constant C_ECC_ENABLE_IRQ_CE : natural := 30; constant C_ECC_ENABLE_IRQ_UE : natural := 31; constant C_ECC_ENABLE_IRQ_WIDTH : natural := 2; -- Read and write data to internal registers constant C_DWIDTH : integer := 32; signal RegWrData : std_logic_vector(0 to C_DWIDTH-1); signal RegRdData : std_logic_vector(0 to C_DWIDTH-1); signal RegAddr : std_logic_vector(0 to C_REGADDR_WIDTH-1); signal RegWr : std_logic; -- Correctable Error First Failing Register signal CE_FailingAddress : std_logic_vector(0 to C_LMB_AWIDTH-1); signal CE_FailingData : std_logic_vector(0 to C_LMB_DWIDTH-1); signal CE_FailingECC : std_logic_vector(32-C_ECC_WIDTH to 31); -- Uncorrectable Error First Failing Register signal UE_FailingAddress : std_logic_vector(0 to C_LMB_AWIDTH-1); signal UE_FailingData : std_logic_vector(0 to C_LMB_DWIDTH-1); signal UE_FailingECC : std_logic_vector(32-C_ECC_WIDTH to 31); -- ECC Status and Control register signal ECC_StatusReg : std_logic_vector(32-C_ECC_STATUS_WIDTH to 31); signal ECC_EnableIRQReg : std_logic_vector(32-C_ECC_ENABLE_IRQ_WIDTH to 31); -- Correctable Error Counter signal CE_CounterReg : std_logic_vector(32-C_CE_COUNTER_WIDTH to 31); signal sample_registers : std_logic; begin sample_registers <= lmb_as and not full_word_write_access; -- Implement fault injection registers Fault_Inject : if C_HAS_FAULT_INJECT and (C_WRITE_ACCESS /= NO_WRITES) generate begin FaultInjectDataReg : process(LMB_Clk) is begin if LMB_Clk'event and LMB_Clk = '1' then if LMB_Rst = '1' then FaultInjectData <= (others => '0'); FaultInjectECC <= (others => '0'); elsif RegWr = '1' and RegAddr = C_FaultInjectData then FaultInjectData <= RegWrData; elsif RegWr = '1' and RegAddr = C_FaultInjectECC then FaultInjectECC <= RegWrData(FaultInjectECC'range); elsif (Sl_Rdy = '1') and (write_access = '1') then -- One shoot, clear after first LMB write FaultInjectData <= (others => '0'); FaultInjectECC <= (others => '0'); end if; end if; end process FaultInjectDataReg; end generate Fault_Inject; No_Fault_Inject : if not C_HAS_FAULT_INJECT or (C_WRITE_ACCESS = NO_WRITES) generate begin FaultInjectData <= (others => '0'); FaultInjectECC <= (others => '0'); end generate No_Fault_Inject; -- Implement Correctable Error First Failing Register CE_Failing_Registers : if C_HAS_CE_FAILING_REGISTERS generate begin CE_FailingReg : process(LMB_Clk) is begin if LMB_Clk'event and LMB_Clk = '1' then if LMB_Rst = '1' then CE_FailingAddress <= (others => '0'); CE_FailingData <= (others => '0'); CE_FailingECC <= (others => '0'); elsif Sl_CE_i = '1' and sample_registers = '1' and ECC_StatusReg(C_ECC_STATUS_CE) = '0' then CE_FailingAddress <= LMB_ABus_Q; CE_FailingData <= bram_din_a_i(CE_FailingData'range); CE_FailingECC <= bram_din_a_i(33 to 33+C_ECC_WIDTH-1); end if; end if; end process CE_FailingReg; end generate CE_Failing_Registers; No_CE_Failing_Registers : if not C_HAS_CE_FAILING_REGISTERS generate begin CE_FailingAddress <= (others => '0'); CE_FailingData <= (others => '0'); CE_FailingECC <= (others => '0'); end generate No_CE_Failing_Registers; -- Implement Unorrectable Error First Failing Register UE_Failing_Registers : if C_HAS_UE_FAILING_REGISTERS generate begin UE_FailingReg : process(LMB_Clk) is begin if LMB_Clk'event and LMB_Clk = '1' then if LMB_Rst = '1' then UE_FailingAddress <= (others => '0'); UE_FailingData <= (others => '0'); UE_FailingECC <= (others => '0'); elsif Sl_UE_i = '1' and sample_registers = '1' and ECC_StatusReg(C_ECC_STATUS_UE) = '0' then UE_FailingAddress <= LMB_ABus_Q; UE_FailingData <= bram_din_a_i(UE_FailingData'range); UE_FailingECC <= bram_din_a_i(33 to 33+C_ECC_WIDTH-1); end if; end if; end process UE_FailingReg; end generate UE_Failing_Registers; No_UE_Failing_Registers : if not C_HAS_UE_FAILING_REGISTERS generate begin UE_FailingAddress <= (others => '0'); UE_FailingData <= (others => '0'); UE_FailingECC <= (others => '0'); end generate No_UE_Failing_Registers; ECC_Status_Registers : if C_HAS_ECC_STATUS_REGISTERS generate begin StatusReg : process(LMB_Clk) is begin if LMB_Clk'event and LMB_Clk = '1' then if LMB_Rst = '1' then ECC_StatusReg <= (others => '0'); elsif RegWr = '1' and RegAddr = C_ECC_StatusReg then -- CE Interrupt status bit if RegWrData(C_ECC_STATUS_CE) = '1' then ECC_StatusReg(C_ECC_STATUS_CE) <= '0'; -- Clear when write '1' end if; -- UE Interrupt status bit if RegWrData(C_ECC_STATUS_UE) = '1' then ECC_StatusReg(C_ECC_STATUS_UE) <= '0'; -- Clear when write '1' end if; else if Sl_CE_i = '1' and sample_registers = '1' then ECC_StatusReg(C_ECC_STATUS_CE) <= '1'; -- Set when CE occurs end if; if Sl_UE_i = '1' and sample_registers = '1' then ECC_StatusReg(C_ECC_STATUS_UE) <= '1'; -- Set when UE occurs end if; end if; end if; end process StatusReg; EnableIRQReg : process(LMB_Clk) is begin if LMB_Clk'event and LMB_Clk = '1' then if LMB_Rst = '1' then ECC_EnableIRQReg <= (others => '0'); elsif RegWr = '1' and RegAddr = C_ECC_EnableIRQReg then -- CE Interrupt enable bit ECC_EnableIRQReg(C_ECC_ENABLE_IRQ_CE) <= RegWrData(C_ECC_ENABLE_IRQ_CE); -- UE Interrupt enable bit ECC_EnableIRQReg(C_ECC_ENABLE_IRQ_UE) <= RegWrData(C_ECC_ENABLE_IRQ_UE); end if; end if; end process EnableIRQReg; Interrupt <= (ECC_StatusReg(C_ECC_STATUS_CE) and ECC_EnableIRQReg(C_ECC_ENABLE_IRQ_CE)) or (ECC_StatusReg(C_ECC_STATUS_UE) and ECC_EnableIRQReg(C_ECC_ENABLE_IRQ_UE)); end generate ECC_Status_Registers; No_ECC_Status_Registers : if not C_HAS_ECC_STATUS_REGISTERS generate begin ECC_EnableIRQReg <= (others => '0'); ECC_StatusReg <= (others => '0'); Interrupt <= '0'; end generate No_ECC_Status_Registers; ECC_OnOff_Register : if C_HAS_ECC_ONOFF_REGISTER generate begin OnOffReg : process(LMB_Clk) is begin if LMB_Clk'event and LMB_Clk = '1' then if LMB_Rst = '1' then if C_ECC_ONOFF_RESET_VALUE = 0 then ECC_EnableCheckingReg(C_ECC_ONOFF) <= '0'; else ECC_EnableCheckingReg(C_ECC_ONOFF) <= '1'; end if; elsif RegWr = '1' and RegAddr = C_ECC_OnOffReg then ECC_EnableCheckingReg(C_ECC_ONOFF) <= RegWrData(C_ECC_ONOFF); end if; end if; end process OnOffReg; end generate ECC_OnOff_Register; No_ECC_OnOff_Register : if not C_HAS_ECC_ONOFF_REGISTER generate begin ECC_EnableCheckingReg(C_ECC_ONOFF) <= '1'; end generate No_ECC_OnOff_Register; CE_Counter : if C_HAS_CE_COUNTER generate -- One extra bit compare to CE_CounterReg to handle carry bit signal CE_CounterReg_plus_1 : std_logic_vector(31-C_CE_COUNTER_WIDTH to 31); begin CountReg : process(LMB_Clk) is begin if (LMB_Clk'event and LMB_Clk = '1') then if (LMB_Rst = '1') then CE_CounterReg <= (others => '0'); elsif RegWr = '1' and RegAddr = C_CE_CounterReg then CE_CounterReg <= RegWrData(CE_CounterReg'range); elsif Sl_CE_i = '1' and sample_registers = '1' and CE_CounterReg_plus_1(CE_CounterReg_plus_1'left) = '0' then CE_CounterReg <= CE_CounterReg_plus_1(32-C_CE_COUNTER_WIDTH to 31); end if; end if; end process CountReg; CE_CounterReg_plus_1 <= std_logic_vector(unsigned(('0' & CE_CounterReg)) + 1); end generate CE_Counter; No_CE_Counter : if not C_HAS_CE_COUNTER generate begin CE_CounterReg <= (others => '0'); end generate No_CE_Counter; SelRegRdData : process (RegAddr, ECC_StatusReg, ECC_EnableIRQReg, ECC_EnableCheckingReg, CE_CounterReg, CE_FailingAddress, CE_FailingData, CE_FailingECC, UE_FailingAddress, UE_FailingData, UE_FailingECC) begin RegRdData <= (others => '0'); case RegAddr is when C_ECC_StatusReg => RegRdData(ECC_StatusReg'range) <= ECC_StatusReg; when C_ECC_EnableIRQReg => RegRdData(ECC_EnableIRQReg'range) <= ECC_EnableIRQReg; when C_ECC_OnOffReg => RegRdData(ECC_EnableCheckingReg'range) <= ECC_EnableCheckingReg; when C_CE_CounterReg => RegRdData(CE_CounterReg'range) <= CE_CounterReg; when C_CE_FailingAddress => RegRdData(CE_FailingAddress'range) <= CE_FailingAddress; when C_CE_FailingData => RegRdData(CE_FailingData'range) <= CE_FailingData; when C_CE_FailingECC => RegRdData(CE_FailingECC'range) <= CE_FailingECC; when C_UE_FailingAddress => RegRdData(UE_FailingAddress'range) <= UE_FailingAddress; when C_UE_FailingData => RegRdData(UE_FailingData'range) <= UE_FailingData; when C_UE_FailingECC => RegRdData(UE_FailingECC'range) <= UE_FailingECC; when others => RegRdData <= (others => '0'); end case; end process SelRegRdData; AXI : if C_HAS_AXI generate begin axi_I : axi_interface generic map( C_S_AXI_BASEADDR => C_S_AXI_CTRL_BASEADDR, C_S_AXI_HIGHADDR => C_S_AXI_CTRL_HIGHADDR, C_S_AXI_ADDR_WIDTH => C_S_AXI_CTRL_ADDR_WIDTH, C_S_AXI_DATA_WIDTH => C_S_AXI_CTRL_DATA_WIDTH, C_REGADDR_WIDTH => C_REGADDR_WIDTH, C_DWIDTH => C_DWIDTH) port map ( LMB_Clk => LMB_Clk, LMB_Rst => LMB_Rst, S_AXI_AWADDR => S_AXI_CTRL_AWADDR, S_AXI_AWVALID => S_AXI_CTRL_AWVALID, S_AXI_AWREADY => S_AXI_CTRL_AWREADY, S_AXI_WDATA => S_AXI_CTRL_WDATA, S_AXI_WSTRB => S_AXI_CTRL_WSTRB, S_AXI_WVALID => S_AXI_CTRL_WVALID, S_AXI_WREADY => S_AXI_CTRL_WREADY, S_AXI_BRESP => S_AXI_CTRL_BRESP, S_AXI_BVALID => S_AXI_CTRL_BVALID, S_AXI_BREADY => S_AXI_CTRL_BREADY, S_AXI_ARADDR => S_AXI_CTRL_ARADDR, S_AXI_ARVALID => S_AXI_CTRL_ARVALID, S_AXI_ARREADY => S_AXI_CTRL_ARREADY, S_AXI_RDATA => S_AXI_CTRL_RDATA, S_AXI_RRESP => S_AXI_CTRL_RRESP, S_AXI_RVALID => S_AXI_CTRL_RVALID, S_AXI_RREADY => S_AXI_CTRL_RREADY, RegWr => RegWr, RegWrData => RegWrData, RegAddr => RegAddr, RegRdData => RegRdData); end generate AXI; end generate Has_AXI; No_AXI : if not C_HAS_AXI generate begin FaultInjectData <= (others => '0'); FaultInjectECC <= (others => '0'); Interrupt <= '0'; ECC_EnableCheckingReg(C_ECC_ONOFF) <= '1'; end generate No_AXI; end generate ECC; No_AXI_ECC : if not C_HAS_AXI generate begin S_AXI_CTRL_AWREADY <= '0'; S_AXI_CTRL_WREADY <= '0'; S_AXI_CTRL_BRESP <= (others => '0'); S_AXI_CTRL_BVALID <= '0'; S_AXI_CTRL_ARREADY <= '0'; S_AXI_CTRL_RDATA <= (others => '0'); S_AXI_CTRL_RRESP <= (others => '0'); S_AXI_CTRL_RVALID <= '0'; end generate No_AXI_ECC; end architecture imp;
apache-2.0
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/proc_common_v4_0/bb615326/hdl/src/vhdl/or_muxcy.vhd
15
10538
------------------------------------------------------------------------------- -- $Id: or_muxcy.vhd,v 1.1.4.1 2010/09/14 22:35:46 dougt Exp $ ------------------------------------------------------------------------------- -- or_muxcy ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2001-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: or_muxcy.vhd -- -- Description: This file is used to OR together consecutive bits within -- sections of a bus. -- ------------------------------------------------------------------------------- -- Structure: Common use module ------------------------------------------------------------------------------- -- Author: ALS -- History: -- ALS 04/06/01 -- First version -- -- ALS 05/18/01 -- ^^^^^^ -- Added use of carry chain muxes if number of bits is > 4 -- ~~~~~~ -- BLT 05/23/01 -- ^^^^^^ -- Removed pad_4 function, replaced with arithmetic expression -- ~~~~~~ -- BLT 05/24/01 -- ^^^^^^ -- Removed Sig input, removed C_START_BIT and C_BUS_SIZE -- ~~~~~~ -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Incorporated new disclaimer header -- ^^^^^^ -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_cmb" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; -- Unisim library contains Xilinx primitives library Unisim; use Unisim.all; ------------------------------------------------------------------------------- -- Port Declaration ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Definition of Generics: -- C_NUM_BITS -- number of bits to OR in bus section -- -- Definition of Ports: -- input In_Bus -- bus containing bits to be ORd -- output Or_out -- OR result -- ------------------------------------------------------------------------------- entity or_muxcy is generic ( C_NUM_BITS : integer := 8 ); port ( In_bus : in std_logic_vector(0 to C_NUM_BITS-1); Or_out : out std_logic ); end or_muxcy; architecture implementation of or_muxcy is ------------------------------------------------------------------------------- -- Constant Declarations ------------------------------------------------------------------------------- -- Pad the number of bits to OR to the next multiple of 4 constant NUM_BITS_PAD : integer := ((C_NUM_BITS-1)/4+1)*4; ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Signal Declarations ------------------------------------------------------------------------------- -- define output of OR chain ------------------------------------------------------------------------------- -- Component Declarations ------------------------------------------------------------------------------- -- Carry Chain muxes are used to implement OR of 4 bits or more component MUXCY port ( O : out std_logic; CI : in std_logic; DI : in std_logic; S : in std_logic ); end component; begin -- If the number of bits to OR is 4 or less, a simple LUT can be used LESSTHAN4_GEN: if C_NUM_BITS < 5 generate -- define output of OR chain signal or_tmp : std_logic_vector(0 to C_NUM_BITS-1) := (others => '0'); begin BIT_LOOP: for i in 0 to C_NUM_BITS-1 generate FIRST: if i = 0 generate or_tmp(i) <= In_bus(0); end generate FIRST; REST: if i /= 0 generate or_tmp(i) <= or_tmp(i-1) or In_bus(i); end generate REST; end generate BIT_LOOP; Or_out <= or_tmp(C_NUM_BITS-1); end generate LESSTHAN4_GEN; -- If the number of bits to OR is 4 or more, then use LUTs and -- carry chain. Pad the number of bits to the nearest multiple of 4 MORETHAN4_GEN: if C_NUM_BITS >= 5 generate -- define output of LUTs signal lut_out : std_logic_vector(0 to NUM_BITS_PAD/4-1) := (others => '0'); -- define padded input bus signal in_bus_pad : std_logic_vector(0 to NUM_BITS_PAD-1) := (others => '0'); -- define output of OR chain signal or_tmp : std_logic_vector(0 to NUM_BITS_PAD/4-1) := (others => '0'); begin -- pad input bus in_bus_pad(0 to C_NUM_BITS-1) <= In_bus(0 to C_NUM_BITS-1); OR_GENERATE: for i in 0 to NUM_BITS_PAD/4-1 generate lut_out(i) <= not( in_bus_pad(i*4) or in_bus_pad(i*4+1) or in_bus_pad(i*4+2) or in_bus_pad(i*4+3) ); FIRST: if i = 0 generate FIRSTMUX_I: MUXCY port map ( O => or_tmp(i), --[out] CI => '0' , --[in] DI => '1' , --[in] S => lut_out(i) --[in] ); end generate FIRST; REST: if i /= 0 generate RESTMUX_I: MUXCY port map ( O => or_tmp(i), --[out] CI => or_tmp(i-1), --[in] DI => '1' , --[in] S => lut_out(i) --[in] ); end generate REST; end generate OR_GENERATE; Or_out <= or_tmp(NUM_BITS_PAD/4-1); end generate MORETHAN4_GEN; end implementation;
apache-2.0
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/924f3d25/hdl/ramfifo/fifo_generator_ramfifo.vhd
5
80159
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block MpIeCp0eEPDTj3K4Uv1riW1H2tnuvhS05btKYb/YEbeFY3QFo6naj1wSotWcBhOEG17yHatKDut6 7muS7y6JZA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block J6hu5EOU+eo5Azqym0gz1IN5zG5pxk4nC9LguQybDiiH+Z4ynYQn9eKFZYZP8K0veFCTLYHHefsk tpngEFOui/ihqeYyxhal9dg0LpElQF/s4Y8K2ySnsnGS9VVF8XUr+ZCUtWLLsnKR3SAxUC1XTe0z qf5mho7wWKCSRwqtfD4= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block BFCljXRp1rI1+Y0U2Z/ZKGyt38cgLBhNgpMeQrzoYiFF4rXG1yCthnFbJ7jRRp4vgguypYEPk+Ed GObj4Sn6wPqSfs1SnMzL8rNbrR8msNIxK8UCbPdC5eqH8rsWiM5F+PKHQBeH9N5jA28qdyAqFJ/h OrFpv3HWMPWXMr0gCC3SHKypr7BnrpyFG1LGkz+ZVoplJFem6O336evvaFAuW2UlM8krMZXP7KYX shJ8+0Sh6FVClGFZsSe1aS5vap5MNAFsxCUGSuV0tSJEZSWzkn0H75w9jmLzIRcLc7RV/MGnq30/ V8tLNmYKQEWtVztgNG4haBNlXOHTrnif604dSQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block KgBY+JElMQLwoy/PHQcKPX1kBJFDyy2cOmv4EzEzrfuSU3jJut1ngnxyvuUV0cdaDdHxqso9rYM5 gyO1hvEgFdXq7eB3Xt69j6Mj26It/f5wfo84y5RPoDoT9i9wkeOFFuU9c252HCvGgmVUMRXP8aTv +Sr01GDHoJX2gTv0F9c= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block a+OcNnMEm68meW6uNCVGL8ZpZIpHA2bFrE5u+qmk43LRhJ2Tm96hYzvERSrOJzbP0xIgs0OesVqp qBJA+Ye3umQ5IqW1bj3bygsOsKj+TCRG63Tf7CFEW0XSpcAhRWx3zkWXjqqkFin/hi8E6QcYWGTi z6hxvbHbuDDlnVTaW3gXo2F0fENUkzpyM4jWQ2ZMtadGa969GXdREVae8l/nyyvC7kpP/foxA5B+ 9XBUSlPyfdqN1iBkiUrP27XHuepPGTaJwXeed7HWKZw7iMfiYGrYs6pxFF2ehPB8vbUgEMmDtMJn jweVLgCYRd2oVF8JcKUx6PbSbKaY/QUByjN6nw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 57600) `protect data_block 1QoFWtqUimU39uJCMStg7ejwnWxvxLyyGtbHgfBXwqCRtdswxU8sEWs5AhD6YW4p0xdvGcx5kUIu 7hXVap8yUDB0dgXR6nLfaenXNTSyNyz1Y98+QKGbyB79bbaw8xyxxhQQB93D3e+4j63FyVYvdkno I0x2VnSAIIGxyJFR/zIzvsGO6VmIbIU3XE5DnJGqUu30ZsQ/Ul3IuaS6juhurZkRao98w/dXEUvm xdkhkqBkSwoIGuCGGWC3CqxXXftLMqiuuW6jmg23GaNd8L5PlGPIyQGbm8a2OXwdaWkch+QpfSTK uaQMPBhWwHkVGfGQfblXIccphqHXlQWJmn1vBxIQ+rOcOXDAjjQwFo0oxXNQ7CXblyvRAmhqqgKm 3cCJUJeElCmI9IgMvix1hKvaUOii6KUkalcuAOgHdJPw2BKCo47kI04H8IsR+3dpbrIO0lUpb+2A UVAT2+5TH1rMoaIoDqdSh+xNpiq7PDoUIHhivqVngd7y9CXbApZ9766hRJkBOfFhQaKqRS45bd7/ viB8LrfReH48dKZeXljSf7ZB2CwSe1xONpnzAPJUsSH3Ep1ekdBC1mT1fry/8Hk5WMsVQUeQg++y pwUhy4DRDvnbcYmwakYFkcpdw6S3WsNSectTvbrnGTFNLoRNccFgJpSi1P8V/1BPFM5CoIgqzMK2 ylZG/A0FkDvSOmFK08JT9+fzrFVVShTBF9NemHMXvCFl/Pn9lwQ2CLgTy2VfBxj1QnmZVoWtfZtH lyu7Ymgbh8uoWFegHAMIHtEzjPMjUJpgqtM2XpYYNsoxv26q5cJJFjlNrGS5gdYho2/Eg+5I40oN 2l/8Ao6KBFyEYvg9G9xZV2xuVITD4k5dKiwSNJEQ+a5cpeYWdoRRC5Oira5I6+DNi2FPFws/B+5z wSck2mXPFajtXMMw6aAzqVVY71skVApoMicHHZM2ahtuVGapXcsIzCgv3xVkKoxAaPmn38Op/UEo JnkQJ5s1MsIG3aJVWCwI1w5z/m3QVwTvBZwTOIhEHu7/gjIPLpSk1jo9F6NO3Lx9DBaiq0qCnWKG wYcrKrgfHBx1/TRpptMMiPV6KbwdRRO7LO0yCc59rnQdEydAzCThZLMLnQTDzwCQfu599VgUfZzn QXAT6CqrmoTGw1LHoLOKL7T5pwzS1mh1yFShK/JTIsuT/O174hVCQshXskfU7OapZSxQ+qIm/Opz pwEuju7apT9/FcB3E8imBAg28S0v2EPuIItKnsXxVaywm7t8GbivuLxssh8NQyDOpvAgv7acxBmI 9BZMnP8iBjLe2iWahbLPRSEdtRpRHp9k8b6lY7bSVdGcQtHt9mKJeed40Qpf814ct+zwbeeCHPHm 9NXCQH0pmABOErhHylSaE8s9x9Z7f8WaCx1OGnk5TWU9sxd9LnTG2C5VWS+Lbqr1ht0C+bz4bkCG AxODCDNwjq87n4eVVDN0MFXiNLfp5Nu6hpB7zbyLKMrOZlPfpEcsdGTI8+FG5N9t9fdyQY3KLyhL 96vClu8Q9CHcMu9E1GtOgiGnf+WvtOcz9mVqDQkeT0oZSwM1YJVJJLZKguuX6TiwZQ4t9Q5nyvKd oMqKT8CxC/1vFWpdocq7jCohfEjt12JUiSXi96Usb5IPQkGeKLOstFF/S/1oeoUDQXIriAFDfhbU CDLgLSvtTT2DU/76D1HxPV3q6Ok725uUmjk5uYLW+ajRUOuW16ZAkbaaCaYb6zrUA7p3dk59FF0Y w2piEAdcMAJIErzX5VHln2DQbNr3kenIVJmYU7J0qbMw6ARLcJDT1mF/Ka5ji9HRTs3kVDQFdS+k c+iZ7uAUR9o+8u6YTUloIEZVBrre/5aJ7ZW8d4SelBQSOzvRcr9TakCQ0OC7jhIahv5VAQz2EQRf wNSv9vF5liVccF1J3/D63fKAVkcqlASvVpt01yCpTztNBeplftQHXtMhIT6JSXVVMf1uX6wfbAJc uBZEBod4a/H2bcM9AeRS1rPzqzalm9HbYk++JGf5LLzDTZYZAcllepaBOoR/fcq3FAUh132n1Ggh IT3QEMKhdmTQCfe11TmUjGyNd8OCPMIHuA7oVdDeELt6IbNKlUYO5Dzjb3MOePEocVgc5bvumXhK LlDHu5/0SGTKNRaCNmpS54QNt2eKkOI9wZBGzUBWNA91yCBUOMJAyROCLGSZZ37Tw15ii8RJqTpa k1DLg6LUXRQjaWG+DKqk39RWf5nxmgYf/WQCxggJLTzUHdPR9FacdRRv9U1zJ/rGWnla3X7w7Hoy Zso5Ky5NE39+LF0RnHoHrig4QeUJXFSlS1sJOu9grwPYXQLBO15I94X+6WcxxdwB8o2JXJjyJwhP OGDgL+DWzxxz5Zbd2PSdUNeppyCgL2hWFsPtG6mK8ajJHbdXGzQRsuNiTeGDPQalw05w/asxaqS/ BUypGoIaqYbDit4VDar67lmwwpUjNX+Z5BGJt0aDPJdyP2jkcq2B6Y4AWjHjEnKuPkhmc0Hm0jTf xC3fWr2tJUbLuYBu9PdE5CNPSdE5vy0DwME5DI9qlPhqFo2m5c4lli3RjjDdRIBBl2ncUnHxo9qL ulrPwNvTFOfkPyfvNZcCEHP1mx9S8Vf6HYB+Uq3kiN7/xYty+mJ2GhSRh/ULolrOC4PVr5Ebr/8i pvj6J+P8PPJVXHFUhpAsI03kWYMLy7vzFDPOEHikER0d/U0/hjMLuzGJOveo7DW+1p1uI1ShIqUr 2+h0vBfCyNcr0TxI0RewpqiOFwfT4e+8OHOI0Y58FtUsfYgnPizL+9B904qDWoVF6tK+sfDqcMQx FLlgBlVilSz14zWtWROcgujiuwV6VVlRJK9DkFHxRE7yD2Xy0ojY/pbXAZk9ZX3bnH+l12Csd6wW YwEQGX2I8/PkttLFXS8DmZYAs3Qr/8yhpfdleq7bTMjg8Fh87cbAuL4LPcIdXgPlDuNkTniIPHVG CrSOAjsfhY8fNjWLFDUT2fzQkBozEFaISU9UV+PSDVIQ/3VwWsHrXiTliEkG7TiyT33Yv8dbRwa2 SRLnpnIuDezye1Rhozyg8h1CCb+h5lxIhNfjoJMF8ZsCbdmX1Fn/HXz8VlzX5fHVtyy47eUoTmks 7STpIPnnhf8ukUgNgcyNkDSSjYGv4FA6r/+BALTIe9k/hMZ5PBeMlvFt3rbMH05u6kEmU/T0lDAN PVtTsiKLSKoCQcTug9cNeS3wlRGf+BlDthTsQgWUQ3M/A07VMdtNjkJ7vd+yNl7gGPM+NDdWqsA5 DrT/wCB02ZjgJbPKC4D75liEbE2kz0FANavIfrWWxHjSyI3JEqi4eT1P7IcmuEcLm+bB3H5FrXti LISAn9dTKKhtBIKIEj95Vt9SEK+DKK4mhAzVSHPc3AiQPc4Qdn7jEWLj8wtDKUSwSIzPbp39J/cP AqWszxQgaSkCrXf93wgbYr2j3DU3H2ek4FZ+WMWFo9C2eBEWFTcqosTX95npCTsiDMh9AUAjlpub tE3kS7NQCd8LyhO45Ii/8bcAYQyuZRXo/s4y3orH5At5uEZMrTITtIKy30g9xi71monXRMQ5NuO3 ZrfA7zHnjnd5OZqbwRdIkEK4SHVBqLC7YKDOtX+6cJdz78nvDWg38xBzu2s1SSMen2HNIW89uNb5 5CgITK5ELah4PLBOvQn+Ogv1ITLeT5aMmYR8wmHN9pLTeaLXkm57NTN3kd39XAba9RHb4PbO0VN+ rVCNKxLHmZSITE7pQxt+pX7gLBEajfaV5il9KK2+aX5xOd/PE9A1495w+HkPk0ZOy5Sv7GLyZvDi zj2hf6uWhndTg4i597bvxgbUC87EYtmYRR9C4yVCTi6x8sFIm5gps3h/FXjtTo1P+WBxTkdDV7a/ PzjTzJ7ObpkliSSHEURJGGesKD6yajDUBU/iVWM2EG4hiuei8lYmwUHw2IZKXpKvhNwJBBId5yAj T25MFO0eecmvVGXJkOdkBjIBLNCkN6KHoq1jSswuipN9v3j5iS8iRwiayn4J/LO5exQgkHRqldAR /oad4ViRRWMAXnM5es71vrx/bftdY3VX16imcQqR166i1w6/NYifzwP2mkQ1YU2Om5tUoUOdJwCS YtnHFFszPAuLXJRCLDQTHuKczDY0gwNOJKMS7yNi5LI3c4h23PRux5L2Uw3Gx7UXU2OjIrWjiXbz NNxQ3JTxMx+1HSYdp/yay0L599sxM2MI/43HRsWWb109J9qCquLJZiCxsDVBvY4drnT5lFlN7Waf Z745F01ghkXTbclIYNVIW3SoauGHWr1PUaWs+ipraWTJ5hd8irXzmJLEsdZEgytL3ATyxyVIopGs Wn0+p/pr1AH4nhBk5meLs0og/GNnObiOjh3SeXCavSBxv5j5MCYpUgNsnYIoe3JpVRlMawRczygR d1dKPXK9B1SnIgQ1w7iSPGY2FRw7K1TB7UR7xwyLVLrMMGrmY3dVKc3dn2U4GHbQhaN7Tz7FMcHY w8MpaNKOrsp3YOACvm5MpnLeE14Kugenaw4iQFO4E5bhrx2VU4rjGSs5hPJUU3ylJqxP0z0G09QD BaUh1TQM0i/g7sudqlsiBjTLoWvgF2puv+XEWOkBUEJbt1spkS9SpaZTyQjNIhy53RSrx4qsD1Dz wN7U8pd1rmKPvR0l0yyh0RqpaWvesyiSznlSYxtWC27UXKD3c7VBRBOiYHodKNSNfkiJ4qwMXu8w A78yimh28rSGJ28JomjxrgABVRJBejINZrqaAp1gyflMhCW0cZt1O+0YLdZ0f/FmopuUrSeBjCtt UCXgSbx66Ypbi/vbaQUCXQCLSEybSMqhNEO0AmWLx+wzjV6SHcE5n/iJSdxggA+SdB4rIqtFkgfr Rz9m6hSJDHr6wzMXzQcNEjybTppPMDvAZ3UUHAGLA+pzy1szJ1skyGiZl4QhYCbbvzjbir/PKGfu wUuaO2m/LC/9yL3io6UJ2YzdpihUV0V7NxqKw04tSskow2c3CaaNNZo8aNOIzWNwnOlDSooX34wz aLWxgHjzY8bsy1DZVKetbD+Y/KXrLUM9g+eyk3ox0oG/UAThdGsN7gUFML91PdOwqNQ4AO9J3JSB tpsuVak+a/XEaPxxEsDlcFRnNymmKMIw2YqdQ9R2nrc+wMx0sOW5E1kZ9wJuqhDE/S54A0WrY51c 1f79KAc6AelkeC7jf80U07cuMPGaiH5z7b0cBklGi64XvDbX1uzTMyUq1dlptdxD4qc2Jokps4wD klG7GrBovEn919FFGX22PT7/Lfiah0HV47AA+G/eQO2oickMceClhU6lSyHGkA0YWbOzFeDdqE/N YbItiFgfbk9UB1e2J4DeNPJFYRKlsdjhgceiK8v0QRfQUK2uHhdCsl96zV/9PFnBPxXslzy/VbxB oh1DLY7JYnbMUZICOUKMerLo+BgvHs7EjwfbubUnJcMzmw3q9m+co2t/YJj3pm7djdEBb8hg3+d+ yPWx7NR+BtQsQxfSJMFbZ5G0MaWyyM2gfHwJKry+kP0SnFBw3OTjpZH8EW/dsFZ/JN+6gNY+/Wt2 +w4/W8zSltxw1Lqgw7Ye7+3svHcovzUlaOeWXBMgz4TuY8Y6BHZxExDLFe2+pj24O5PefmPMatk5 3SCIa0XCv0CwXQnyFWlt8nQjGicqLQnznyy6DJX6NLhn3aJuirndJ/NEpX8w6R/knkxiVZhlMg4B 3NYT+LkOFbX5L1dvrTKEQkWGyc4faWfDuPdzvz4tX7JuZHhJjbAnt2Vg0f0V35OAtaD+WDCv2USu ZYMWUztlBhcikun/JKXUqchVzZnubclQiK3r12jkGdxHmLMy/f6mcXj6Ep+5HCeO5G1risIMM4TD QuhFh+GTClvnQ7+67suk6FkgSgLzJU45L9+mTF5kdXeYzbdoDx3R4yViOYe5nQ/r/IHxQ658xrDk +BpgXcRo3UhPaj6l+fdaSMiEJIwAcROKB3HGWxR1GCkPfM0RCaz2OAJ6puGOwdRg/KUT8PVTZZNd c0ohO7dvclds3+OBwlgz9GVYo1CDeF5hSZL9otvGI5AtFWuN23oJOFkbK8flgjPkV/ashaqZj74h sKAJUHgdADTlmhpJhLFs4MmFn1MtOcA73kGayqRYjpYV0XwMAdjZbKwah/LidF0VURtCSbsn8VrV Vcjj3Dw9fIVj6bqzofvcbCTodp/7GOIWlWD1DlMnfg40RD4zz0jndy29yb341zrDrvgpdN73gGU0 FUfkjsL4gh44nxsA3YqL7pQLd7tLX6L3xpsbs4Iakhh91ZdmQtlzM+KsCldKood9FOOzjDY2UgRF 6suVIakFEVbhDfn0BOcEejAyIYAT5+qe/Ols04bEl7VWyWlL6fwuLg24qmq9NCdPDQu8CFk9bO03 611sQcmsV9/47oEbEMjLoP61pPuJNodlILcRhGUW9eOy5OVoZh5iNdS8KWGxpa9KOLbPQ+I0+3+W kBktiv4RUTEmxL6gbtgETKT29A5zFyqk4/lB6uGWCZKHdrTev9QAjII937CtG0pG6ozA2aM6Sv2R 8MWfUTbSni8/sTBewwXhh/xPdNC6oKJKN8Q0WxUip5frCaV59VPFmG5RBnL/n7U01VAXTHBrjwai +krzXoNtbzSUaeX0lA1vvaQ17dCvOcGB0VPakrU+BOJtzI99yq2LdPxzPEZsKL+mhZ2KeHCvfRwu /RpafTqabRiYcSqxo9XFvtIaLtdtoEGdCF2R+czMiJeV1IsSghrTLRgtMMWGkeoy+qr4iW9hkf5B bl84Ee22KW1qdXCGomcHkRztvwkPTYwJeBQx6K/8sjwhwtjjKsVDFK2E4ZMtQiP5DTeScf0tCF/t wRfiUf/HyVB+lkznG9jCsxwmSygGf+1h4hMl02/QJAOZvU33ZNLrKpFdhllB0S39nRoejQN612Tf /lDSRFkmcDLVVzwYIIQqanz7DB2xY9zkPiCeV9lrLSUTek1h+gySw9UBtX57/O/hFpTsS2j157F3 gGGc26q1ILIMjQHwk8B8Y+gc4YXdl2nvUzUuaYbwaTPCXQsBvJTUv2+MJFGaTgrBBUqonkZ0fJSX XiPJQdYXjrFz9SRKGtL8MSHsJ4aZnxU32xJH5VKdW0mROxEultjWzGsguCY0o/9LkEL/MYG+c9js PLQuH3koUCJ9jqItTK+ejqPTDA9VDSXdYyKCQoAd8cCmttiaem5/J39RLoRN4vvZziLoRBIx4Ksu lnHw6ig7sjFksx795MCUhOru/je2MpmJCPOiaIH1UriDH7+7TtTHLBe8WKxaEAyU1IlDKpNMmXHD tOtzycAZfDlSYlC3wAL2SWlBG9cbSqgBPoxyChr5q53hwBBf3v5cIgEQPOkaArU0uKtGFWBvL3cI d/YYCT2oXS2EvFN3D8SoHfPkzNxnbFkY0n6xr1Ic1p1OxbjJ+W7y8ciJeobVaGWOOpspoQJQNavz O19bjtiq/MDFYYrkkD2tQJKeHEpHoLVy69VzyewSw+KszoB1A0hkrrmKXCOb1BqCiIHvb1wkV8Yt O45tJt9KzbBCbNXpTqG5rIkcHoxZe4y5LgOpoo/HJCzW3e9yBc9lMjAWINRG8LMahLBU3JYXhMBY N4sLTK1Yy/vT4gCotwlqnjALr+Dt5S/6/B5XUYGuKF9Q2f2mEdwZFup3xaHEkPYux2RajjXY/BPG 3jdBOVA1OMMqx1igx14LViAV+wVhkKAJQckMpxD06sNLPbTOIOy6kCDRdp6tvGwyWTr8jBtPSvM4 So51z4W4qBVGkTNjIDV3n3yZ3LqkzxDuT6BXXyotZxkRvNmfUuaPSdTbSeRLiVmiT4S4LH3Smkg6 u0uJkI96+hoA9B+p1nTrsCOeCJwLDozZcYIAUlVXddHEobGgUH5o1VXB8+9+nJfwHJ56bvTlPJGc rksyNe7phhWiGnX0z55OaMvkaoFxGTdrrQW/23lRFNrxn/b7aO6k8cfwGllN4GJIfBXhXr+IKb4o 9LOlJuaZV5BoB1LvNs9e53p2hpeZ3tUOOVGhuW274cni5dUmzG4pu6zkPaZ1OccdUQ0FmiL6fvh6 2WOkOtw6DVazDZsf62Ev8lOK7gs2RZz1acO2ckjbkZCJBOTppt16X9qSJe2gMUQIlbp+1tB73TvV vuxYpA6pQeKBkLAIkqQILr/7QF051U6Qx3syQK4bchyc+XYYIPdQApxp0YmvI76dYMS+Z9pAvQW2 U2I1fhJ+vrjPwuN2ZbDBQTA5lSUjfIgY8Q21k+T9+IiIVNgf4smGqk8ooORd+XRlfb6kwL26kZRr QZYQXRPbTVJoTIV93wldFbCYzMWot+1Sv4EYGtenbLH7EjmAeCf/d5BDO+n+umG/4+22ghjF39jb BBE5CcXEvq8Tc8Qtb2L4jx0nn+zSkfjE55K8sxLzIcLRqy+//bqdCi7qaXibH2r5P/9hRYSSCt+b LGZpi4Xk6vUnXrYhU3EYuZhzJ3MWYtr8KLdDR6qGsEV+ANTrdrjt6wJdnQkT1oeJ1UfxMKQwz8pv 797QkV86U9CuH9YLFLwgSvvQf+T24DRlVilnraY7KpGCAp6MtGTxjYfDlL3noF9LVxPb36pOwrxZ 4dltRmJLJqsW2Na30nAqVs/3k91Nx2CQV/uHmK59J+S9X+zrguKPF/YkN7RqLnnitaJCLvdKsA5+ MDZ8p3Ctc61hjIqzE5bFYqBfN8it8Px+AaFtM0d/w8iOgETbAV2+tLYem50e9lndSoTKX6z/g9pE r/a14asgXLU7uWjB+9aBe0Wfu2XTht+EiHOGFWeEkPCg0RUIZsGqVF8OCROydhGuLoryRDa4Zo+J XN4hklNxqdCwaidhaAYyKHb6N7nfDmrCfWGQDDn/zeHfOKxrwdow+9nyl5JM4lX6Phdm1JWQIoNe LEtEnpUAD0RfYsaCvI6UNkpDE6OZ3mczXhLwjni2ZH7KZW2p7+UheqcVnwRmgLPQqP13tpgMmeJq OYPqDce+e6DYcNM8J5ClJ0WGBTOiw6bPw8cv7cEXCYzgpNiQfMIE7ySDEP2XzjQ+SPyREY3xjaOC U0OIe0UE8GVoBJhztHfuuUOtwKEaRuSaGEX+DQOMJcqTG0Jqb3duY8L6IXoGCgqDpdQx9hqGPrW+ PhuR5Y/8ykbK9pmmbOsX9LUQVDul9h079epVRCmoXd//tc0eTv/WxezVSSO4nF0wwbne38mD3EOV BEr62R91F5ZvIzphCocczb9DN21C/K5Z0YD8k9GQBYVVIpVqEJ/DCrtFMxlxp+qsR/ncbp0HUAx8 tS9uoNuezkPJI6k2qMgcyB1JYCxeD31y8+B4mmITXtgOqIy2j9Wfek/4psTza08Dur9O41zMoSyz 3B+Em7Q1HkYbc6il2IMqn0IYkOEB9MCM0MTMzdIna4AB8yWUabcEj5dxzlMLSkRkVLITmIrIM5N6 Xle6rhOLCm5zaajKro4Ygx+lOhIsKM7sAiXyINir/NS9bmRPB6PSwo5nUsDuihbCFHxgksyldlS6 /pOKbsNZkvoVH8zNKW283VA4m/smRxqme8Zyf0ZYu32kxsJO7h9EsB+MKSb1++rZGBnrgDk3MnCq DP/1hUR7oT66AfQkQLZqGpBrDgx7Hq/HT5bSA/7e+HRk44xd+SAfJVpf2a4NdW6wycBJtCS3xw1b 5ivJttatKvMtLNPUJa5Syr6WUStEAmumSGxUFBGdIZcg4FlUpIRIP4qIGzalTJQIYnnbmQ6jRCID pGuODZ7hs3oij8/lWVnEdkt4E9wYbzGMy1CkiVokazuEWRiW77EoEhiLx4nYYmj/BuRYmeAGNef2 L2NBFyJl8C6d2It40Bk6UL6CuYJNmhGonc0jPcKo5GBtK0ZFpCsMEcon2H2+xlqGnlOoyRXBFOH7 z3pvHUFtuaVoYKDrxOadEfBXghsroP2XnRizUfdI8e7e+Ywh2hXhlh3SNfCVdfw6BiF3G5K5EToG h1JMj6AJAnlVoSmpTW2gT8hNGYjoTRZ/grj7md4du6V1OE65N92OBFeBtTyCjpdY+GpqAmo5Nqdp bvndG3gzZURMaL4utJCm9dfnGKFGw8KGwopNRdAIFhFmcOWI+o0MJVeE+gD0SBVl5oRZ+tfT4L75 KIaqIIHiqm/fpnWW9UvIJm7prQFLn+449gCJGelB+UQHrG+Szx2uo7grP4xg1HXFRQZzZQ4FvHHC dtcAmkQyGpJkWSCVlynWh/1H1yJ4hyXK98FP7dthADS6oLO4zOnGc9XsGgoHXcrZdAzxhHugJ5gy IbdwGxZXrrEQ7/SBBzS3bFdpMz+zJ97dH7Tpmmh44wJoO3g+KnD9LOvfzo3mj2/ZRGxNL3t/e4Y6 VHeO2aFfF7R0RMzMZRvzmhlMwUYkC16vD24kBM7ROorSAoP9RSqYlGTcDIVcUJxofp3Aibt7WxJv 0E+l1cQxLo4eLyzpPDwg9/qSk6JuKLLAZqWboNJeq/ilYrkK67x8BTqB/1vK6VupHvSDPgv9CKqx vH2pjZL+ICUhtEJOsq5+7Vho8LuK+afdhHhijKRMOa3mVz3lb/CoYyOcvreyppReriRStku4d+f3 c8AwmBHdAbgYQbOH9U9cYFTJSaGZjQUUR3W5oK3LqFPRHgv0+wcM68bHgkyLiVVzusjtMcOq3MXf 16GjSPc3+esuAprDYG8b1e1YNaSTe9p43ypRw9grLvX25xtzL6pbmX1RUyPeCP3u+hfhTbOnPtGK WeD1Sco5mRnLZMcmUTu273Kxz6skjjSVxJ3V8theCKvfmwkWhAcifhr91PdM0gnrntFIjuxyS9SW GgP3SXlBfUNyj6edPHURnBoNd27Zx2BmY+ffE+YqfSqemnZgoDlUkFXSv3soVVL21mt5RxTSIhuP GBUy9zyno2x0ZKFMW99rn18Ney0dnL9FYAmlSokHP8b3diK4q36AVYQGaDroLN2jiOCKu3qvNMIV iyCs1uS3V6ZEFaYC4d1xsFEnxJRofC1xnRI2LXLKxLSA4nH8IDQIAt2Qh5rQl13wKGtsunGqNEen AzJcfcq8SYwkXcShrcJNiG3nkOaKF3n5RyLbWzGYs0dWUJ9jv9eL8O5fpKa3q8BpRcIgDCUhOdUv 0q/u6IQIG6CE1l6f+P/H9RpAVYCE1YAZiH3YRldsT72YLKgE+noR0ihU9lJDAOHQzte8x44wtFAZ E+Q7gIE4kpcm7YWVLqUdn1EznXEnxFxa+3I3ToF30yal2Qaj444PJR26E/k8qzx2mUm12tqlpmOx xgRkVHmOp3mL6smQDq/E4jea6EQdD6/BW7yPalbJh0AnUzD6b6wqMObahnSFlXbCEz6iktQK9cW+ tsPg0WyJefQw2Iyjg76tx3s5mDaVAYyy3pRwn8M9qZtfqrrb7j4kFs3tareJfHSJfmdV9KlTpenF ui22kS5CE/DQL+NvbfQnQq7IBrYHZDF3lFn8Atn1nKnjXVNv0rKkk5ZtN/J5p6fr/ssjTrchxQyj hZpiA1d+JJaQvC1L7Dzl+c6amCpvQN1Kj75sj1Ub77hPLGICTag1fTuCmeHo/rw1J516wJKGpcWc 4g6eWNFskb8e0jZ0AL6JIUJjEpbsDQcsbBKgqyZvbG1HNcyhE22t4TgdiW8s/0xXAiTe9Touquqm 0u3okQ2KSfzbc1QmxOa4AYLPE1BNytbKqRH2pyarv4OglQnu6NBiIGbuz0tXGzrb28rJZpG/JIHd cmLMa31qAPO5N+tSJEhH/oWP/qeuefGXTWjGa0yTt4+eFifbUQwQWVQ5kadqLSkjK3My2SJvzKXQ awqIu13zJ1yslpIpk8kBm4JSGN9p+S3QX2VS2bZbwA+he9B81IQlLsrDvs59tTXLQUx4wLcvLnot tlpTiFCyd89L1RTZp3l/nmbbNbfkxLvTwV6+sHNiiClXuL2EKcf9LewOdK8U+eoBd505TQ+aUb0v bHwUSiuJheXz+e8rvZStA7XtOgWlCcJlgZNdWPntUYiL90INh9+iS8TKxBME7oCBCJ3q26k3yBcA 7851S7NMd0SKUg1sPQqliv4HqRyve1UbHCTRXs4bN27/ciL4Alb64ZoqkFPfGi7xi4Euhkp+/NN+ Us1sXaFSvO60+B81NiFDTxbFKLIXHfskqlqIf19f1MBspvGIfNe4t/afgnnNxkSjzEEVbigMpUSV WSRYuRaDfHtSyzVVyLiOGS5RRh9ArM7DDPACCeAw+OFgVjPzNkTK8X5rS/R/sNOS6vlBrDi0OnPs 0JqdrKpZ2f7d4BAkvgeVeBy3tqTBIURSIeFMDPwQS+/HwUanVUf58TsqJTmm4Wrnisj3562KBZIk IndquB0y3C87EykLZeFoUzzjdfF3gnL31LOk3Re9d0+YeXrL5EQWTVicI+RwbAPbb2q126uRVmEq oHgUqH95bcb2Tlg/PftveiOcMPNnXSsVMaHjQeYL1WNJZGM0EvHeuAkYvh1hbofJk8TTIapsb5wK pVCqS04+sPcsSzb1pMHkV+o8f4z/cmFrtDuB/91aYb6bJjIZ6Oc09t/9+pbF/z+tRSdfVuRbM0V9 eFTW5BDz9bhVMZnu13wP0UILzFI9G1Xp/SBrtf5uutpPU6qZbbgcLGtidh+CG/En1EWwUe9iclvS hh8eLJ616p8x/v0PmfUw2xv/HxFZ8ewkmH3m3ycXlI3h83o9WXPDzPhd+p7QGzbav25nR35X8PIW Vcg+bjHhAC+7bqOJ9vu1tuP97cw4Bo8s+krxq/Z2bC1kq/43YJ4x9QtnfzZua2ZnULxDqfCvBVCU rlzvN9qVAqr+4mEkX/Ax/SuHou9rIx92umGVLiU4BTyHJtdPo7aw/NrjotPxc9sBewmdaxJ40epi y5YXYtQG60AJLy682PlIaRdOsdz1xqN2BmLymYYTjE4xx0fruIZyWubI7sF6+yb4NIL+uBYu7SwM TTih5mpLOBSxcHhD2rNBEy8cezR3L6Kh1lVE1WeByIvPFAtSP0yqVDkMBKY0zECK6AztyXLIcqwp MZTa8p9TaTLRC3A2j9x+LqbgvGyRq756VfZe2EMc2RrFuNqvh4VmQTxxYxHmZ6ToQtrIUxNRIvJ9 +W89c8Jezku0A2cfEn3bPJDHXObK/Ili779oRQjTp8jhaUz6NyGFUUbtbQ9SsMzqcIU2HflAKSNi do0c0aQqn2Suk1ivyBX5X9BotTPy5pwgEidwbprWZl/kWzaBCNZK8MB+ucEf1q7+l0MroaoKRHZg Rd5yHkfiNg6aWRrEsD2t54FuyN2ekKPu6yMR7XQsaAZYcQXphIxltc1ORYyGiivRQANGQYWZDIMD TzT02j/TOXUn7LeiqkZdIHZZ83Y82cDOf0gcL1CtPVKvlbmzdEBNBP2gCJlnPyutH+h+XP/KW936 z0gE1ol9RlXcRdVLgERlO/gZW1O8lKwJIvUVmoWbeQk/7c1vuJ68n8fL8LMpqSuEMUZHoXepDh18 oPj3Q81wRE6CbBTZvCeSLUUqMxO/kYzDohBB5E+566/nwn46o+92tf+kjIGoJQDNBSLxhrdNj6Pi gAKjiwpKthjfGYHwHEJueqx6s8d32fp8tV6S/WqilxEFl24DXAKaosrRSUf/Medp5MNAH1A9uBpy 9TG9Yjs2/SpvXGPKZjAN64rKMlOC7wG9ddT2xaIokvoo2s8O4Bp3II+/8FUhTNn23UrGWphfymmy 1Oh0ytAoMqHdz4lfq3RBllyseAJshKIUI77e9igjd4hclebt9t/bg05RfvpPeDqDjoPgU/QDMeeb 3IACyGxjGQ7YNIo/I7zIEWusIq0J1A4MWrCLN71rQR2Uiri+WP89CZxLF2EEjH3NdGzT2xLnmgAL gaJPCoXmnt7RIONsTsLwxvCrNhZ81ISd/OcyDY5jkwpeuUzz7xuxCcCYRq7Rz3TapHS4ITMGeD/Q ExlBIEdv8in9356Py+I+XGtWjwnTlff0U6Qy6pyjefbX5/fOCMh5eMPUSULD7oKIN8/EPfEbTGEr UMT4HjNFSqS+vIOYzLP9lxzwm+7Mc/tduENPLH41vG99jxuDdQHA9rfV+fKSBnJYbAQ5SHqHFIZ9 ZSJNAtPVHtHmuAnwWJULgc0mVrKVJ/mdTh+PLwIz0+0ZkxX//rBKm3k9BHDWvgZq1G0tVUH0fIsJ emM7LaENlRDoLQihU72W70DghKXzMO4MMS7h+u0pp93CT3rtpMjpQ8wBDVIIOE7gr6zX+Fmiya8F tJE9Gs7uXfAcMf8iR+qFLaPpvI5y73Zl/fRwD8U+s5YeqwYUjM8nzU1O+YLkGKoX1lFQDrBqbOuO gK1AuqkXXEbu1lKK87MHcfBBv3m7IFKNwt7K8jAF9C1M0b/QnEJKzrm+Zzg3CfDfj23q2PSo/hiW 21qouAYv3uMxTbowAy7yllxGCmgZbbUMbhCcYbDiNOhLMoCujSiFq+87kN4veK1By1VxsOaKcdoI 9KbdfwR30zKSfYGPeEAqOiWdmRZSM4rVPKmv/o1QP8g2Fem2WDF1viLri/QhwaylD5DphYdiiHCw vsnZEyUtk1d5nYrDfYfFpnEXqRHbdfLlVH0qUg6Chd4aytcdV62VS+oV7B2JIBQaCS8YwZXPtqqM 1dhBL52tDYs+okwgp3fObaFD0CAcLrNjvn/5qKdc5CKjRaRRp2+mjC3wg4VFQUoe0TbzviTsT8qM ccpA/sLAX+1AVCqqfK0nUF5ZlZWEvV7T3AKJ7tlbnh7o2nynruRf2SNcbRxD46LY+qFf4W3IkWKO 7jJ6iSVTDpvxysdGhISd9EagWVtUTzZHti1oU0DhuLJqzWpyqN1t85ugBahFDvzK3oVYj7TF6jER D5Lc+56E18E7yr+3mjOboZInMUgYjecwGFZTiZilnHJDT+BHav0Xx6r+0m2xJG+6N7NmyAy/6BL7 JTFd3ygMgmlCltnZtgMPhJxXxSuaelJH4KvfNI6T+Kn+voaG4VuCdsfjkhEv1g13Ur8yYds2jLtT xk31BDHBlzWyb4un4rsdNjlEYhGlBng9coDE2IuVjjP51I05zWKxD410nYkeFw31SVPZNLt3Mlkf SwI+Rwwnx8NfosHs4MdmnWl7nbfm1TMc+25FJ11xcxAexCRzYIVcxxOs7oZ0iYv5RHN4pobSMzZU zFIWe4mhDIlW/UXaAVvEw6LZh3ylcRP1/z7uSf9pAi6DoNRq2FJjB1hV3H8xz+6qKRaLqUQ3Ah7S C1nKpLcbzI0DR4ZPHJOfngjPH7Zra8QjOI+s9sBgakqL4W/wty+rcsGcuerdrzUsVK9a3Kvde/iv sUs4+cQO1aF46SPpK77LR1vtO4OTClOL3y0HAdN5iqbHxoni3W+G9cpkSYLbtt4rSSX22ZmxzTbW y/5qpf2HEPbkvqD0V2QQgzjOGkMaZTZ2v52Hpmw5YVFW/hKEfY1RiU/s9w/pTMuSHzACaJeFeQoH AxEIQZZZwNdY7LfkSEg7vTomplFvxzQoBb7pg1IeVxsY71qcf9LCwd7zIy57FIX38pt58i1rW1i4 JrgouWf8CmB5Z9oF8iTbiLN/qwIvc9jDahd482eGWbZT0rjdPHeNYgKAIi/5Ac0mqY/sdrFOTpBb iuLg2wt2svwKePEGGFIDVQj9vrKuFRNAYczZWIh0J3Az4is2eoQRb3az4Rk85b9vB4BleW105u2M bsMPzyzxWMug08gMJpD2S52z+vO0zMYfs3LJIMQBOMuc10P9fo26CLw9/x/BroBhAPaAxsgJZv/8 dXv2Mi+tUaxRX14Mm9MIZA4AIRJHpadf+Io0UB888AhAFwlsjZ0Arqvpdiveomvz9QhjeGSUjWzQ 5FD7LISXE019LEm6S4391ljq52dggwtXIzFzXlNeIaX0fafphY45SAkR95qdvmp0cHoRFXCnv7Of SuKYSzyqhOr8XnHjF4CWDVP8Cm/NJCfTLNRQIxH0YiTfqTOyCxJhgwBfTuK+UQ4Kyiz0Nf6giYe5 iIEHlbKB1QYv+HdpLP0Ry0gtK4NoasqU4/1nmHcJjNIyeA8KHBOU1IUjjbV/orj36uieQg27v1Hb WKEfMhvZP2xNmGpnjNrkN2USyBoCEMb03Esl2rzwgq7ONVfXKyVmSaG7VocVYJhrzs6F9ouTflYA HkXhNHBFQY8ZkM3ejGWM65f+TMkZkVgpAx5PzXAel34weH39ZsWsETucV/FapBGLqK2+KjKEfutG aPgj0OkGh/2T+7j4mOBL9Rlmg/5IX7sfU9kMY7dnDhuR7uU9aqo2WZfGKUO5yzGpUM1AncNTn+A5 mlEPtVfUTFov2piFbdX9tjAROPmg4me3uJBVlFAKJEHDpqi91UHfGkYXa501S1chPSv+xK2lSy7F 37MPYQekV2x8ylI6aX2+bF32EG3G17I72TwrN4ZwTD8qF1Pm14Mu4MZ6GbkGKWbuCMRDgygpC3Ap NDvYKasQdutmrqpJ5VCRjHdkjb8t3Ar1QBItYgligMrBdHEXNEUQWZ5pImJhXmza1o6G4Aq06JAJ zahFkJSQ+1H9jJHdadst1CQ6OAwCYhoTocLaiNRVUCepHsOPDEI4yHsX2UnrZdRWVQgM60ZVDU7v cOIOSONQgRVZexrDgM4o9NpxQ2AlQ8z7WDcHtRJJyZo8QnQjK119fpLV8ipVJ1VpwPz0+pLBEdUp 03oSZW1HoFkDBLPu+od1v/8DIS/+J6+L/KXNRBWseMwK4motQvobYE+7tdKs/kON6ZWn+2AI72Aq xaieQWF4Z2tiPDDqVSJTABy9hgXsT/w6SNFyj9Yrpy47ISu2hF59ChfJkAkgoWNuojf8MTzhOV5H XcVqUHSRcFnvExAXftOE+SXuhvCKWLfUEBh5agxA9d/5zf4/XsGAdvS5K5EL2cA3u2RjuuchZ8D5 XIPbyRtH/mVskrMjKd0CCCGXSGTWZvC90Pu+QbhH61Iow4n3AJDrr2NaJ3ix2AQFYDas/If3b00p 4uHuIy16u9O2iWRRV+JKD07FiM7f0/h/7HxDgnCHVYtAdYR5NRVybreD6VlC9QrzgY1DKPNh2wvt fA7imQ5Od0OXtRAwxVw2nronUuoyK3rtH73yzqtHK3Fnb9qTnKlSnXk0OO5Siy3ubYBawExxJNic JTtEgZ+lyrIBPsANAkhLhTOmMwJJKIMgVsz4bh3uOqegtG+nmel+FunjL4RjycmGPMBb0dsjAj9b 2CXZ3hOQHDLNPNbCfFT8lLglcbktXXP5tDl4XydznW5d25FiyYvt2+aFhVp/whKvjYbZIk05alAg 2oMomwhsnUJ26joVzzVT95Khx+rSKsw3n2T5XnpuUKRpJgYHVYaQSvY5cCVv1pId3aqbEOAtzv0U hM/4cFQRnrJr7dmWcJiiyJkwEqdq0UIpTOJbR0pdE0xj1yYGIkix18ZX7+2JlJReufYOKR2+4AQB ZoatSfWFq1gmtE2Brm0+Lrn2HPFXCOAsykMEKuaRT4x7eqGzSIkspcWGW4BphkZCfppxIjPPYlXq HXwtuatUfBQUdTeqNxGb5z0ZYuKyzIlLNOkEwOfTo0z/PAqIe2vGcYMrWK5mY57kU9EnusdBngjc EtJM1bPw5y4iHEfVcPfZT8c9cKMJ3xUo8MSYpbFaKziTMwYTasChnsX8kEa2gt42pcLPkOJLp2aJ pJYCp58zhGqmVW4XXYzdjMjwha7/JRzazni+/rlx9rgtJXpp90dw4vmgLahWd0Jvcuak5197/eiJ eKg1IV2yucFK51AAed/A135CQ2C9FqIPTNml8FBqO9miJzYeIPc1bW95Njb837lvOaPtxdGkdF53 /Fpqow8qeZWAHRk3A9vkM/fQ4SQiIHinYaidK03Y4sBsVrNXwSdmaYDhF1wYcnmGvK1Du9/f9E4f m6Ubf5bT8JKNADGV353RybjquR/pgwzmqcvbCmASlBKgLe5VpUN5guW9od8q16/aRhLIPpL27qON Py/sEEjh1jgOJ17diPbGBbZsRH/rTssplkmg5qJLfJ9JwuRQNIfCSc+G1TabOd6XRgmvJjn0Tyxj n2RLvd3GjFb3kx1Qz9Z7uimJ/2OWIi+C+XXMb0neow3SRJKvQcck7Br4RaH+29faTr+fPqEIlz4Q hTvsjWDpSHo8KjPAdfioTpou9vE7YmxBcGI2Gmq33o1jSx1qrcfGdykslnS0PSQUxOGThoYBlw6k vwrkCp9b/OoKn8e6Jsox/w2eJq7MzHr8GrxvM2iyk1gQ3n35wh3kDcV9Cip97cX1BV9ZVLnKnyBS t7Wu4jRESmoffDzaqtLo7t9zSYvLKUL0RZPv8wKU6IjSUW4jPzYettyTZqcon+N1ykPSJIrAJzkr 8p+MeeuBLBd44B8cUNLOC0qxvE07Qdnwa+jE7z6mHbnSnnZaTkMHcsE6ZIogHODQHfXZDgfud+zp 1Y7V7/xXJr3dE/l0aynwo4gGRfxzMp3JxOqciri/DXs4zUyndZfj1p5+NL5UUjDFV+UTxdnftldp X23j0CJmTNdubK9T79B23X+q+toXrDtPI+S+OPzgDfM8Pw+zs2PogIU+DvEY/BHYxqMfttGalykS fk1S4GCvGYsJb6G44Piy4EbVIs9+/LZ2E1MzXkEpLeCszZ0Z5Lwqi/EQddLjdoxekwXZo/zUHe1Z xMCl6lDmTlWYvqgfLGKWlyIySp81Vq9XqYirSYyz6fGCqo+XE9EQKxke4rA7hRy/0a0q+wOZeFko 1s20xgS3VB5L6ZbkpxzBzutI6l5E87OcY2tELW9dGZPPyt0A29u1X55FjCzIx8IyToKCnAbQeQAq TSGNT9GTcq/LOD0+2aQG+VIWnhwaD/Gh68zOScmjsHqhD7eqHlPuVRAZSVagiFaxO/YWY5XeJJpW M+R0QCDM7Y/sl6zbx/SyLrK/Ke3Bi1emrcseKrbOvF2vaw56UKNrDc15e+G3zN34vJbuHdctiybB Rj1qeWGCzdW//sPvCu7iWxAkzWADePuDQPFYDkDMaQgOBofcFA6ghJbYoOO1P5hzvDg7xDNZf/gl ud8y2wzW6eFTURldTwa22u4zHphVuymbDHlnxy72UiBmWtm8aW6YH4eQlVAtg1aXgHxvdpYeUxhp G3rtuuiVN5aHu2M9aq9iP3Lk20AOWj7qXvY/P77CKkRT/TIL7L2dPLvP++mr4uJXrc9uFf8IF3At MNFhGCKiNtxaDYMb3OBsBSYRBHffo4jXYP4uze7UHV3i9NODIkWeU3tr+nBcqlKO3b8e2/CRq10o iCMAZ5Hh5umTWOdRKQT/koB890NmRJPr5MCaP+7kYXxwq9fG1YJc2260T46/rVL4a5veaAVHrTRV pxWHrOaVlYXozCvdowBFakvrWbVW0PXqLmOPT79Q5XVPaVzWd0yfKoU30gam6egOOO4+tNjI/8Ho 0NCMH5ybJHDfKZQR9bFeP++rerc7WqblS+v7kIwkoG5IrAT9iInHValYmR+LrEgz39Aj8OuSz1H4 23v47S93rLd5Im1Vr0EAPnMV8uqwmys/Ft6C5JZyRCnD8GP64JbK66SwUm/IqYbukXxovzifgghv Ispard7T5M/tvjSAaVLf5rpthVXfiAszqpiHz9LEMGx8h0uU1CE5fwf/qPxwY7XwTEjyrbNZk2w6 jjMUPAEzt+xJ0M2Lk6jROoAkFFdrqhTiBZ6kpKlwy16+4+JB15YlJgrkycOf7HawE6Pi91aoxaCj 4xAuiGpTISlcLLKNCTqG7FUlddxn2cVSaa0xaYDuMDGggGHEo8mJBgO2k56y0t1Po3lDBPMO7XvN /zVUj/sxM2r1P+plMlLUyYGKncvSkIZiAT3QVL1UenTscDazJOlWcye49JjlsAKbEnKIU7Ne685f xu+OPi6Sn3qWVan6nSaaJmhmjJlG7TORELK2cuTG0DFMTY60rC5kKnTYqXt0+bjf3PecNEpXFDpC 4EvIwuc3YfiNgvF0de7UB32/zpXq8pO3Yc3estiSx7xhdv6WkfMJ+sacXvkB5/Kvj2MQ0g9oaFIs lG9EVWGBTnUi0ofquVusNzyMKTscrCn1s6v2VRKklsLosW4OeO8+IKSd3XJD2tjcau078YpGyMK3 ybiewPyciUGCYqI5uLkPv6Nk8UWjoEqrE3GxRyA8CgXYhuiNnzVY5u/l4YsGpo3EFt7JORwSoVNG tiw5AMONWPPPqWnpHX2NpVYEiI2/L+rohK1uOr9+Rt9IN/0qWXQbil8q7eDa8SKS9/cZllMruMu0 gH+XSA55JmFFSUBt5BzksT02HfSZn4SM04Q2MVBXBmGoMdvtHo/nD+/myqB/Oc4qVmsQ+F+iIZlI yG8dKzpg3xcuki3/wEwzeQVWJ8wIuDIaa+76Lc7EaMYisu1vqNc6OZcQXwcEIjRqSMv9BcRSQkFE OMf8McQ35BlkNHXpDNWhgwarNxiwx+fgpInHeUwzCeUO4upZXg7wsMvtkip/+lQ/v9J0xy6coWPi k6Vd/jSFdlUbjAf6ScM7bKfK3T8MJ6HzckEGiaFkWn6sJA57jFw15lglb9CKz3IqhHydXOrrz9kb fil4eX8p9fr8CNwtj3381ioIGfwbsEDSVVKOxNQNMXXaKqnm5zwopUJAld3tawQ/UXTPNyWqN4uX PoMkdZ50rgzottscTnpQJzZ16803k5r4K6wGRDfQSKucqE+tx8XUj2X/0yAFiQdOwyPpkS5USOx5 EAyj544xCj1LKCfkSA741dHa0d1m9S64qcf6ZOoyjFcjfQ9zU2CYxm8iZ3GjAf/ShJlVIbCXddIZ wNV8PskGdP25K0aCjZ8tZ629yM7PmQ3Cxnx5y8Iylpj5YxE0ipLLYPvYQEk4gVrRtTsOZLgp2MOW qHk1IHeOLiQPgUl7R4lZrohljlSyhcbj9vFTn0YpWknLQ9GOQIFisibIA4cgNUOONJdpkwMnawlM 1lwDcAcuKDkZLr8rTTmUq89thWUZ0Nb2Wks9LhgmfYPaetK9Kyg0w+grIWqM37c5P5xuoUMHt+b+ NcmxAHkyx0dwgZi+dHiEG1uVKGyxHBOfGFJsJ0EfBiA1ztyl821U1HIe6r3HNEDo2Zk6k+TnFyNn NZ5U0lyYEcMB/lFC9Xoup/8F9AG9QDk0I0Sq35xu8uWXnzZwbWz3Pmpw5+4VTCj/uY+WPWErxRKb O3VXVUysKcUHY0+YCD6RICgLYr24pajJ3DF8Vxb/byPfNV4tsz90jYEIYmWsri8ut8kJPQ4IScT0 J8WWy3a4b6/mBDrgKd4fj1zJD4vh4sEqUcp//o1n+9WCBmlggH+3MG8z/iNyA1JDq38hKPhjt0TC p/asvYhkUF5b/+vZ6cvruwyvQz1ynZmHx63GFAyHpUIFF2W6TMGssWS5CjkHSMj8hf1L3/Zs2zYh Gf/rOKjb7KuZVBMWQw4fD1+QMQQrPbWca76jrMbl0+xog6eyaftoMC3mEpIzQErS+RBaeN29oWhk ogJuxm2iuD5n9DZu+USEGVfx0mG0YxiEF/MqRg1fs+eeAj4Hatxl1frcxhqdMZHkGDjXXr1cunAB Plm0wK3l9bwXQpEXVmaQzd6fF7dLpM0UprNWEJr+bF9u7CSVni1ZZAFc5IeH2tBzxTMRKdNqljcw PqnaPmWOJ1Ol4x7FexCVwuELLZp8H+uZhjc0WdjZddwwXkJ5/ZHjGVtWA49Kn6enlj3+j6ubl3wH WMxLltmlDmeC7mmWjY2i1Up9MBSXqF25VTfdUf4jtDUHYEq6J82Geo+DH12AT/KZPuXZfSUbmlCh z4wPwEdzXScVWLWLsd6a4TVh4V1ZT3dlCW/fblskrnm7gWfn+shI2TiG2LVH7H2ovvfQrARYVVIS 1XxI4VK+i2E9tX/nW0yPJV5xJKCW+uFKHd/DObA2wrC4tnxD+xCIzYoFMLymIy8b9d4AJ+z7jApz qUkPPhsc4tuHPvxtxNRa3Ia7vb0OrEPrVPHQ4fIm+/7F5DfUeNosiZ8DWWyGOJ0+utHYk5KjsDXk CN9zrmGyebTQDdOpZIU72kySwVZSdULC58+BZz5EUn3OhI2DNsoNAGP1f+LYTGQFiamvTCZN9xp7 UnuSIEAypniZBwrnBhQ9trgVtQMaJCen0Df48TuFAzQ+NtKjpg1L5VewZqM3DfXsNPrJDw46kgx2 rR2p7bzruzSuzcVKJDC+uCyQ0SHd9b9Wizom3llkdsXEwqV4hJA+lYoh3VNYsGroBIt0LJTP8TYH YtNsGaWZ6PMoszcnRfwJnTP5pGO08YQE1B5Edz3gpeiwY+B/0Mb9j863eUzTHeqx8lqGsFbLyHEY XemVOehxs0G0mX3YQqj58JM9N3FXMuTREX9nt2Wr351Qdg2xmjUd5bXyTE4Kc9IcdfEW80+Y69lr 4afBYn9DeIjpA/4O2cfUUEeE7N6qjEkkpoYTz5RccF1UmyHAbaS6QHhBBzyOH91+bq7pVyZ3BEPo fpQbawgFPw5pwl4l/r9OV8OxZWXPB3Y2BrWFOedmZYe/KkWJqm/lFrp5y2KCczHZWk/HiFaK1yPp 37GR1WPE16KXddoi3qAaxWyfPZPErVspLMLkj2DCCUreZolOkZRWKkv8uLDrx2S5XoouFNtarcHo 04eyCMBt8sYqbuFbAEtzTXklx4riPeysobfNTklUvFwNlD4gA178VnVUL6MMzxxu5jzs+I/Kc1Ja qcg+pRQ8N10Fzh3aKKQUbZYXGgpDK9HVfLDvP9ujW902LOE99Bvy8D9ChBIC5bPIr9uXZjsHnBg8 wnaPvJgDpX5+D5q3b+/TMZBOKeK+drZYiAIyxwovdYeLKE7ol8W0a7rJ1DehfQUF++hB3Syk1QNk dcgKJkzHS8ErAxeKe90+cmeTBs0Xupv42Nzb6PCgAG+ez2+CDEWXudmsMB9iNTNqj/2l9weE5FXo vSj9J/Cw7VljTn7Tts3i+/5VZlXekedshtMEKxdoVVrR+Rrw8iszWH4SF/neeVz++9CbKK4Scpol fhocCuiggGprWBkOSll20HKORkWzucvHPQTkMibv8rA67Q2LYybhWXJ5JCNz0PQu1Ulsxuetauld GXpBzM+zZYh19yHLWo7CBn5V48PZ18bzW7sW2uGs+HWO/5daLPS4phmnkgnL8IdAtl3N51MNDeig PqzitpRZoD7Cg80I9R6fBxrt+LR+yrJEwpIn6JSEhv1GW3+yJMaXHnPdnw4eKwwN7x5XK+6W/Alz Hrs9c+jUfUysIRnf4vLkRViFditraYOIMQlAIm+94wwC7+VOEz/baUEk3kWqxD/0i9Ryen+fzAB8 pJr6CCcJqLshehZMNqkP/hEZ1vvKUVL6M+DLnZQuTV2+jgmGjjg5Y+xgESKxEbkqz21qUDH9NkLZ LkIHLj6r9Hmr0xVb14smqsdAe27aAOHrNtpl4zOE8gKm0Y19ZRMdfq8AENNWHxN1/Y4liWPo7EJt UVvXMs4mIJvLJz418GERgMbjzbIzG1wfiwaaQ6jXs+Fu7d8nWIaKVqdTlwQkqWQI1xfw/cspVG/y V0Sm09muNgUs8DK7h2hDotvPbddlsRa3xK3fDlS5MKpvAFgJ9Iiu0Az0EptVRVbEe4KPy5EqVo7U YfvLkkzKd3q8GPIhoZYV14BK6+8TQBFMXM4LF7fJ/aFgBYV0zUg8cfkAAH15TcYldQFHkQ1jpnnw 8pEbUXmEQV6YiK6XSOHGI02Zp2ewevZ5h7Rhr7xpUEjtSEIiIaUUK6XZ52w2wLwWWDF4Idehom+J 2/oyGrKT6s3cWxihVz+NEJqlBVi7btSgdEYB8zNyNpSwwm3x1MUw0mOKf3l33N5T7oTzigVPPEfo E9zxoC4QKpMCWC1R0/MI0TeqS82nQASIuqtJi3jx9PQ1WUFeLDcV8yKH4MiJKG8eWUtxRG586Smm zaGqlTnEFFT2MsDVNROeUIC61/AbkgTxOE9C5gUTCNZse5oTxmpx7yQcWEzOIwaGMDf0xyHig6X6 1Tmq9DZn7vJi7Gc47poROuL0gHiEvtzl3iPeaSyMu6OqWARXZb7WrThuCo1kUf/z3GPcYIhcZQO+ Y7SayOmx5z3xF4WisYbL2MUNvzXMqI7HnlGMVxjK3e5+wrEUCoZwppxspwmm4e9ASZdEvOxr5Mw0 0/cFwXEk0xb71OqIl8fFrRHh5uXRtGxSKKLnMC/Y2rHGDkwh4lodsw82z5k0s8xM6hbPnqkrEbTY GnXkd76BFL/fYgR9nxFNiVgX+xFi4c+dcYycFGy/XcE8WUj5XuR44qVnNtr17KuhUZ+5BCf8dD7F Fh9UcguqcStinO9FEcDEpCkL55l7O4IG68GrPqNuTl6EPT4pcKerNL4CHiJ7LpXXpV68IWxxqGpT 5OCiOKeHrLWGNIxwb115dpCEUTP/i4vfBydTZNcX+PjgugFG71VnHuYeetA3pPxnCmf96lIudt8O h492zP6dY+lMFc+crDwSfC2Qqvgw97XaYlLM8IhKGnFaeBTsGmpVAeshNg6yrwIZJFEZzJVa809k /Ek756QO0AYUgVwQRy4oWGh2jcG0UVI+AQYN0N711FdstPxdiB7OUlr4IdJYOW1qaTXZtoD88xyU jbIkFejxSUkezBNLNOKd1mAQposLasl6msqG6QZEeucR75VYvSNjPWJ/AGurfF8mNbaAUM6RdRgH 0XQDLJ54bb0W2e778eyqDPMiGNox3zk7BvnzCN7iaAegzOexuKk1sMBV9rajYgHyinj5rfbiZOmi dVIUV/KErISAsZcnYmo6vPmxgSGgGJaBopumbW27n386Ohzc3FT0ObnuSeJ4fKWrP3kRzNEgfuaB FrZJBFVhnK7F1diym3UvPTbNRPXd7oMmta05nkmMl/FJvQWEMh7KkFgjwE7pDf0zH8tS4TmASzkx tW+eS2j84NtCNQGAJOcix0YjD+NYkpMQ2ij9jH2KqUV3g66eu3Kgo+bwF0zIaMBniZo1/QMSJNuL cc9L/ejQMoFCpsJp3pIQH7xAl7tK+8/4Au2QziKlL5QmJORfNvmj6cYgAjq6xS+iUpOjfzNskV18 xJg8RicYGcxRARRTUc6ZYCCInvLk1zfK/TqveKkBiDd2ilXbB6jgbL9PyPh5piKwWOH4ZmNR1e2z qjL+VqHrs2EDBNO+9ZGnkCee5JCzcV+y06siHUX9P7IBRpn3AIws1imCU0S7GT9Gy8Oe9aOMy9dW HMPNEdL5ahr86raSH8RPup9GyUzYm8h6MP25fyo/busIkGpNxMeXrskd1uvVEzI8ugWn9aupe5l7 2qTJN6c04HFX+bRwDNaM+Yq0af614122D9Ze7Wo/6RTXIHbEtlmWQcbBmxFazUPcPTkmnPV1eOeP f/cUzSoj0Adse6QzSzfRb1V7VbftdTrdlK9eUurrIc5KdcGChunMzfqUwPNSAGwguU7WdfKDi274 7N9BFUQq58/f7X+2ZTOasYAADmDmNvImg6591ph+aufARGmysuETr7UXoqP7BZsfCbWH0EUexpnp ThfkGHbSPLmqR23pJ/0i1eduxyCkgC6s9uar4NiCMSCyGY1DdyqczlO+TOBfXjnweLvj16msftzX SaHyQ1v//dekvILukV96SnJpXMK5sqTwzfSMdLwJfzK5ftvdd/QtjPoAf4uyktz0F1dFvdZmsswl lzBVmpLIWxea1pbSqhqdyKSQsC9bJDFTBLCZtmNNYqHvEWWokgUGA69FaVx4P38efDyNMebDwlPc ECN7M+NLDhHZ413dDfN4ntfxMlTemz5ShcyKUKkq3eitXWraBEdptveD3gbG6JLxD0OzTj7vjmRe kma8S0ILRsf8I623TNVu0XOWW2zrFohpDXYY9fG6zErr25xiGCn2vGslxWcoVuwYkuuQB+GJUCgt iT7Rwfkp/D0FifTN3YArQilKr1GIuSIiGtbDb0R2aZs9oRHicD+SCS4rTm1I0V3TkohG+Nz3eNeF KkCzYkrIkyQ2A0q4CJhJ4eRkFzUlulsYvKRnTo4Szmli770YoOCx6De1/PMqOicmk8rF1kFhJsSH uEtb4yPVunJXJFZkkvxud2qH2+/mv5V2QG+/DX+ZYcl/C4+27a7rZ3fOgVsheAnLMI3Bf24dl5/g qi+nfbqE7boElo466cTReZqx/mwks3vQ9N3QrVobvsWRvJPogSsWEppvnEPgqRwn1Trgk0/rtclb CCIGcUxHaptIIYs/8GwY7WsFtJ8U1/l8L3i0yeG5bvUXYl7/+AxWgfFHVuKbD7ZWHrUcg3snqJZP qg0OHvfPRNphb4a94D35FntW3MNfB2P0GR9dyqajH730D+7kEMFLXi7K4YbzaK/936vWxu0ySsKC 4lysRDGumKv+BEb3EbgGnSVNhZD9LfJn6f7aAumawrWY+bQFxUOVkLUyvafveVadmIqVyM+8yzPA XQeRwkKaHT7xUQhsZ4rm4Ck0tfxSZzhz0WdHbX5BQqWCF2MtqF4UmknwZbLHs42S3OglIm6entGQ bJpEGppMy/2+8KSHhZB0DfVr+XjWjrg91iR52gCKnHfvv6qL8sMIpxh083XUOFk0yFs3avgO8qmP yzj4I5+oR+DNfEro3q9g/P7l1c8gKUQJ58z5kglr+MyLpQM0HAuOqkvazDaBMFETDroCbXq2f9wA OBKMSCWMwcITLpcL2ApBA8osF/sA+xWAfnm6Dt6XlN/T0cjj7bGcSmlyoa+50cFb7iXPme0Lu8s/ 9jOrkj/eRy0gFRBT7p/SSBHMSQomwLrO6f6Jd0LTJ/qUFSLvTqAq8GXgRz1xtuI+TjVcVknvmPkG eSWTTVpiytUhdO81CTxTJETVDyOzSGD2Hh1byvoByFdvEF4fzbzenyJxj8PyfYbVOcjPZcpKLdoi w1lPQsZ92TIEQccQ0/l7roCjMzR7mmCyeJ8a1TZYkMy5j1sGjsGAoIejlFgAdfsot+nGBoH/NWqy WeNi59tWRjrAklN/UjhNY4LIjirrIOeXr5PoYHe4pIKyt64Ote+31DrVqM/Q8PgMZO62OcthW3e7 m8ztRc8Sf/Kd8Q3bcBWwHB/Y41nsHbfwvz8P01FwY/4B6HfnEi9BL1ai7fUl7+GWNJ2JZxsPl/2V iFElBp+I63FAvuk29Q2oL91AB2dmfL0jlpYZxLlcNvXQjbNqmhPj99m5NIZ+fmKKZHygOWMqgPKr o7drAR7lPeJe4HScqs0sqJiogy3WUBAlP2QJE5IbhUMKyfhyI6U32abjC13vOVbeIiJzZWitpITY 40TIhaFQEzBatYu26RdzKWv2/fK1agUvvztvksyfw3naJck6Rs032yAA7n+ycZdy3eKoPyjEVSTS lfW7pQ1SwnwoJDk3kZVoDbt9utfw8WUCaI5EDaW7iiUVw5corPkKvy0K3HdwOKS7TcPH+vVpNwdE 2k+vjYbAYGA85H/KmBzUxQBO4p5coOg+Ujsn+nSX8/nI4Zfo8aHfl0mLMeqo2mTmH3p7tWRW1aPe 7ouh1WnaWvTOGskiHPNuW3q2fA5l5BPEyMDkmmbHrrImsiNfP7RSy5/s4b8a8+2LwGi15+zbIofW qF5i15Pb8ycFCiuaZHPdo8wwq+Qoa1tqv6VPCHnuT1eKqhd331NGfS3muCx8Gk0WzNH9K79C16Gj V7Mx4JOH90Ha4DLYE/5HEXnsBrnBV8S3Z8KrwTSc7aHPlHwuCe/bGvHoe5Qi4UAMViI3l4SN2E8J yf7yYLHncR98GOZvZJCngbxinpmeX74UnUwenoglYc4hbin7kcq/sJOEIpphlJK2OdBrukiE2WzG fv9tk17QM8nSFBXLIB35Wiz6LuUH9eJ1LRDYOlA3HTlZF+6tfnIRp8UbeFxpqENJ08Ge28+E8xHm cTxE6qtTl3AHON88edgoBmjlRWN0JhpXhpHfeDag2NqlMJPbDg6nW0X7dRwenT3kY3GS225QBB4B 5f9kvw9AyjHOwlB7WbDdnmkh7tvRFgNHIyhDbzGnympiCA0Pkrrs7LCv4tAuDlGQEwYmjxS9USUV nNfbOIQEXG34X5tyUyD7HuWxI4cMbGqBwgViYpokAdJZGhpLIQsrMmg43TAysDthK97+VkXN1nD/ xlvuG9hdC2IKaI0lBInkMc5hLgeAx+1xsR0V7xtOJgS+WYTgs+9LYHkgHjBZ1RpRywRDrpst25v9 Eh9f2JoMt6yXo3AW57cyjIeBaOwZhbtrgTxsfzBYgeW4ipI2+HDLxCxcRniDhcoYffwDxb9rzWxG peFONUvkKmt0DSniuffgZ0Kyc9Fl6RyiXCsthdECN8PvyNxJ3r9WALc/Nt2YppSiz2szUf38TnIL gxzOrH2BL8jTs2JoEc+9Ij2ZstfcJlTKQLkQFloOxsmz4b8vmFIDsFud7kbgCl7z8evAxFiJ0i6o PXzpYymX53OBbUYN0WHhmb6I+fGxBDGMDC7i/xq3MXPWWQgl0TDmksteyq06d7HDgj4jZs6NYqcT ahTvKR2xlP6/p8KyEMbWQVBEShsn1TZRP5YjH/5kFCzC0pPTcXPzGaCFFQ7xW6pbjdeP/QvxBgip 1RNPTkkErEMgKvfVPTaJgmzHG0fZZFWZZ360Qk/JmTGDeLQ3ZwsfOM0+O+SOOmwMgCzbuZ+rqHmh U1tIdwBIhuqK3yZmfe55uXDOyZhjwmf9I1FeIORZIHGfl40EcYe3cUZnn6ZtYGE5tsD1RE5lWxzI jRzP/OvU2g6Qspd+CPBajXXuGR8TsPtE5Mbdczvnu2R5Q2qc7IOzEC0eVy0Y1NEHE2zZ0+DYR7zx /UofZaExnX5e2A/xWJ4RU9d8oF091YNYeCKRcZtVMGUgOidmcj36JTxhNm102TIeSlZiMZS0Z88E KTv81UNDMozyNxH16wHreSOz9KlcJd6NEIv8ALzXWCZHhQn/D2xo1rAyenpH6YyKo+ExpctwZXET xJRLz5vTjPadKNmbkvyXQqRaQG3K7N89Q7PAiQJS/F6BkErjuaIc4Wq+gPRnkzf1BiQCLmNqPh8I vQIIE+VYLPSycGBvCAQB8JtbjEK9rgfLiLshYd8rGcCn8AgLZfwHVfrHeOJXR+ybtq50WhYAm2bS 3NUkG+AtxMoSMnaxu+sEAzOPldMP65AM891Y+S+zL28dGHk4Y4uNnckIA8ZupLO7apLohxKaH30r 9w7epEMNF+/GZzFWdV9SUWt0IUapDJC3es8PUxkylX7nr3l5M01q2UcL0hYj58F1S0mkUC079CpI +czIy8kRzm6vzWea67mAf0bGgFvHCXLnYxfSwLxOvV0nPiJhqsFWkU0yqo5MJqUKkTSWa0GCeA7m 0mbIVRY+g4tQpkAUQlx+UvSQTmndNfkb+Fwg8EpDLqpMfhy6zdBG7XCiJZMwy87f6LKEkRl4s1Qr 5k97Q8ByK0z4kel7TAmowJlIeIx29l1UfXTulxJVTXJEC7ujZJ4e0fIEZPsJMShEfckjyroerxP2 nDetSinOoBGwYR/mljBEuUGEAw4U4KyCkf6QN4cVHmDyHotLL0MWbLA35kaurKLd58Vy7SlMDl7S yby/G5/tjLfKqFlnt4mMbgrND/vwWmkIF8MTFWxk2nx/Old6ARVmSqfD1r/ZMUTG66x00Db1q0l/ XcJPitrEdJCrB/k8G/gV7a5d9T7Eix6awOxWYaBljNZUgKnpgZ2xVdpyfnJ1bqprhqX7lmtlnG+g 15Mpwu3zHj8tQ+nagaLaiC84RRHgNbB6FAcoVjHHkzNiSE1z/+zaYfXMvh++bO7ldSOZrT9P+789 kPa7QbtQ72hPQIMU3ydXwqo2hFrFdC6iirYdo3rDnChm2un7bw7Q/HAClAqc8wi+KWlX86WqiTjF FlgH4Nn/5QiNEbS2vmf6aM0IYO+vajFdUFx7H00pJ/1H6GnroGgm2FSt7qKtjaa6Ae/Qd7z598/4 0I2u8j5OW2RcDH2IYJDffhZeG0HanJYEwZTSvXE3kmE1/05eGpcsRH5eHsDicQ6ItpoO/ZTpdt1g Rmnl+oC/dxqeI/CCqzTK6ZwOhFDXLzVmzUpepLeDm3fbFNsy6ODwIVZireLWeIb5Oncz/IEvjplu IZL97km9NU6JlVUdvL01iXUYKsQ01ItS3Qm2yBzTGKJgOQzzz5C2t0RVp+G7ErBr8A49z0FM7/ia 7Q1mno+Nloafdv3lNADkA+GrLvElgo1ZUO7m4Osg/J6Ha5/tHVVSWDrWUBP9HS1DM6m22S3a5uni DwA2sulsXYq6fdu9TEPefSJFZD5r4Tuy5oiNfFkJVlKB/piogFEa3Y0G9b/z/My/bY38F8iJLDFb NqNSVTUmvo2h1gL2+CwI8EtUdnwlwUe6i6RxVQzkOeiCjGrCO6C1QYopyAJTy6vdeTiiRcnvr/N6 Uods9ZRFe0cabez+g8sirDll6zXEl770om+hDAmnwj6MPqwP9hYR0g0tkW91D887sGW5AkovdS4B XxJqYh0ATy7DgLcnArZ+a3QoyS9FQROqH/E54GL9B0IYp6o4ux+AjeUqg/hSRBNzzrncaoHA9kIx LXpp3jVw3JNrGBkMojg2wZAviVLWxIBNu27ClgZQ8ZKkJtieTUq7clLD/gAvL9CninXg+MctVXCw ukuH6uzG4Tom4BQh5ZPPUbRoXiVICv5gKPZIMiiNhFPQfUHoTcafH3VYZlY1o3NFaMYbvSNbUcp1 EIDTaomFJ7HOeFciMg/RkBjaZSMGz0uximce23FBp5he5MJHzJ5w9B8dWd25pTn+RBR3lIxGbRDG QRLVGC4VA2Pt6fMeAa9t/L+F0Wwp3mdWEcTBiuPh1AwvdCSWBAwwSNsklgPFk34psyBgV6zZI8F3 90wcogXc3Nt7pFdEhrcV0x+NyIuZyDHRodCDXwWpxgNlu+SRaQU31RdoMyuHRWsnDln9CosqEcMN pNm2WHowx3MLEyJ3vpiR1OnJjxr5Cf/L1g51V0oGD8dKJAYQzFBP4f1NoGC5ONx8jObz0Z1e/Zbv SFILHmejoGamzuRrkKisFmiev+cNUlbhMCUrI1lB0WIXlVAkOijZUbLjPHN7LevoWZ/WWFW7guOw pgx/LS6VdhU/mCj5WYX9G9tJKKEIs8AJK97iwuh+LMAEfyclKcdZnO83f6BNwpdm6BGYDkvuaWr/ 7UMLbhu15gKRtb0fpqrY5s9ow8tLP017SeZSK19zG/mdeHhkevU8rB6EuYPHDc7sNj9m5rkl+R3R hKPvJ8TtG9oTRdKUpa67HITVMHXPtbZV4tlD+XEyjLBzCK3BJk8+Pi97zuFCeWuyJO5BzrbN7HPd R2FrzNZfKPH7cgpCWNODVijpTUhf6a/FM7a9tPFkv3vLRkGEvKBH18lUeNGHec09IrfvaKA/DxGU IFEanfqw9cU9y5P/AqHmFdfKJUk4GKAq9/uda51xSFd75O615iTftFoJLRPs03Pj3cQ7T9kTILMA 5wYdozZbbRB0A57GFu9XuWiFXOPsUztgavSxkPgE0dD0Oq8ydKgevfPAyPvOBBcFxIbTV1g3ea6I ORxDZTy0hEXwsV9dfaG8yp6LHthd6RcScVccCqdZXCfDbg3gCg6XMAd84HkMhFD0s8r6xhTwH0Ck hIHCvlZDOzekfI3kZYqQTncbikshf0UpVNvywA189+DhRJil0/OFoq3V958ZxhUVxwd8i351exKL VkN+J6yATSz+UnhH4zIDS7OnW/6N9NWJw4J9fcWXpVSHAULuUGClkKj+o7khcuM3IUPS7yqvPKqa apw8zk9V7OUHOaWR1JRdFhzywczFslvxUOzGqvwWTW/pgMjarQoNM8iFY7CCMJf1fzhVj/YIXDSr GK2OIwbswLkdb8dj814zA1bdy4ESrnVgAha0VDoyF2Z0RYoRS4wLAgB4DkoyMNbaz3rgf3OzKrFH Iv22EnD+4hobmM1wH0xEg9bNXmiv8lRgyYlzx66tRLdUdE80Wowmx49kZFeervYwjJTyb3iaOyMs fgp+k8rdVg549DyQpgcy4r02/sg36xFCdOGMHIyRWUf/Z4lVtQGHqfnAERpyFwRhBolQ6dCDuNmt SgI0WREYnkiclSaG8qdVw8j7/vJG5sawhHq698EZuJvB0G/oB7mBx4KwlQdK2HiePjkWoQdh+sr2 cijJhA4EXo0iIP8beata6FROcCNeV6eLXGMS/YhOHrue8f5O/xHFMpmRwMTuT7BnbgjAqHXGKsmb 8xBdJZ1tYnqcCpZHN/ae33Po0C1KMD02LDBvOz3GPmd44Y6eNJLnZ4gC3f2h2PW+E1jfeJMve7Xd /4jvL7GneK1knXmb6M0HeK6+3SKsbEVVWjxpM0D/9tkCQcUynchjdP3VHiDIhN6Q9ts1439WQml+ CZbFZ6ciiwzwIWiDgnVEZdT/FCdT65mXHio47UQCmyAljhfcidzw+gU2E3KelvvlOOW5KM+9qpJQ G6n3uu3K4g0zIh72u0dhnOSbeju1WpJsBBcSPUBPjcWjqWBJxEzbSWE2tSdK15RgJoJw6L/gv7OE fpD14aA1TcuTKoIT5oly181xcMrfEDgsTeptdq227+qa7lGYdnrzsT6EybHZj+ks0CYM3WSaD2r5 TZ8MbU6ieXxuFwWYj8l4ifs5CWaYd3155GkT9CZam9GP35COmfdD0e4+rcoPvRJmet3lcMGTUCVv ZBlg5PBt0riZGjNlH6oNyTLx50bWkOOeUw6I65x4vQU5H1XAKnjDw3EMqjOgT7PchxTrImT/pH4K d4q0zNKuLq/dV596S3sG3SPUA5fYh54y9kEYBjqi6BMey2LnL1ov3XPrtB1rZ5AK1WGzxcO+Q8Sj 9pcq5W+nTvFd5bUyzhgzG84g0xrxHwcGDhZlOyJfBIgb2UXhOtdNydqz64nB4PEiA/ABCuyBoAcC mv1rfGnTA1aJMg7czo5E4nIISt81PECkTMI4VWZD0Ib0nPA+LP8yma26hN3lbjcmzu3Urcr/V/JX eDDrDcibX0kQAiK99G9RCoyqtX6NkWRKUM9VEKFr+l3zcvLbgkNGhbPsK4/P0C5NLjFi9kvJK3f8 zOFhe/9xg6AknMNoXWMVTRw3d5w95vZJYyzvfln86h9Y/d0EJflMvn0MTrYR3OBlZEVvSgFwLO4q cbznI33VYTJ+i5XUH66S16CIPtsx79vrx3UILeQBcdlvsfUACaV6qtKlIHE0woBTZdw5TSiqI3Tr PQv4VBBgArNcQYe2cXE4PFyM8G8I016wVIUSeLKWjAfGZDVRT4aF1nnxIBXXjOFbp+7OTMotV0yn 9U4uSQOWYZiG8IXhh+7hAw5PcTHpHTlgjssTCrvtADeYijBC/TUd9Nr8D0G7nFV2VFAZdsMqwPZA 1AN+VbifA8OYErkPyf5pYmcjkT/T0NhZSg1uGt8VfJRPaS1kSoJqlr3frFzJnXQsisx+ygIE8CJQ 6MtzqbZbmYOSvxyid3vFJRydVuLc/PD18o33FT76OeMQZccP1pBUiezBzYySirxNuR7wcyiJ9aKI GWiWGxyisV6czzuLVm0hoWRxAGUPCDn0mXUidpjYFOF1j36iRbTAUGdsEkfUrARRT6ecT108pdnA f3XsG8jTlv5xKId6UPKSzMqI26VfYXBMlJxXLECHgBBf2pYaqJtfty7ijYHm9j/vzdBBVmYtR5zV e0qQPvE+wGxOMwtje5K/AkO/GBBjIr25xYcsAv+rr91i7QRSWemwRI3OKgh/D5b8AGKDxXWXFNhu fVlAmulmSsvrpXbJkatL0BN758dme6Nlal6+PqL4ySPxqaYsVzREBElrwBcRxwYp0FLqqdE8AFNt C0zlDQHeYMsSspXukoYvvQxlZr8I0Oga2ir8BeM/5czBgsoH+PG/676rbD3QDgOfwiOyMJU9nch1 YZSlTosriJNruaOQjPyQVO2kh9AwWz48tJavh/lyU69l0wH02wYIY4uvC4X2OqNuUZ07WW0cqUsT K5OEIqeSuehT19mcBiFqk/P+zSGaPVQeBNyC48/3xYqVJZHw9JQAfLT+ZYB+FWCqUcsea6SEdYtI t6DQfTr7//pvU2tGDIkS8h2LOlaxSP6Xz0Rh0T0oKuSbwC2f4bnPZ9ovBDnzhuUPxJsZSiBvV8vg qS2rSDp7dbgGr0bQ5VGhuKOZm5YlJALrTeA9QYcu2A5CQf8CDL6BtQ8MCapLsPnPvOdgmK4qr/MD GFWmQ5psHHiSJ7inDplsswjCpXoLydZ878Et7XIVyowwyg1yYhdLWp6FAXqxFF5H08PwLsbknEA7 22sTw/yA3LG6j3/mjjEwEXDX+HV4xf0ccmGiaVYkTnIODvbQmCM8Dg4/+DukX3BnPacQ4P7histM 6CMYju7CEouCUrffdiVE8netPIsxU0YXsUXbsW97cp+hyJaztwNWDQJALHPzGJTFwbds0lU9O4f5 ByvooMH89ddbZTwWrZK88Ic5AP3fyvBTz71bO9p0qQ8MmZvsP4A+aSalF9YPDoomj5n7FAsjrAsu rLC8lsGEaOkmr1S2NKHMqvM6aEVsA3cxcW4Ar5o1K3k1PFfuU8Or2V4m/T5IMaCAE6hgXbmJPUnS 4HDP8IwHzoEV4vf8rmQQUzTnu+knpOFBJP28dd9xpQhmGeGZqLHGlZYT6kVx/Gr0tgxJsDzmNipd Jei3C8mxYeJJkOyJG0I0bw9EV99/9jbafVIE010d8UpnDkAxcm/UeOelCf9g+x051f+tomcl99N7 HE8VVUAFlK3Hynnq5TOK4lKtsVcJVCeO7h4M37SW+nSIF+QCRRyoc6ClAz6jqFT5TfTE5OObu6Ah 9NSZvAgBuDVctNPBKxcna0ubnv2J6rwTk7WK/XxzzecoWp1PBPSuA4xoiIw7F5CIbhCx1vhsj2U4 PEgilk8L1ZhcvrBwfPdm4uIEAHhWLCw6bJdVb+0Us2le5a4I4ENWAuYJW2dyFrUXLT0EX9bDCHso oCvA9NNgz0S8992OhVD/5+2nYWF6iWFxeKycbxqPQzvF7PnuH4/2fJPs4JDdgzv+6tqOTRjYYDOX 9wB0e17PwBjaBiQ5HfzuYaz0uiOzNI2HxcZ2sy/7rH9eW05nUOlXZrRhDyedZyfBfayfDK0C8QmZ zSPI92+KV3gtnZcytokfVJqabp3nV1nnvKCH96csmBIGOONtahW0jQYKop9k+p4BHnns6c8LGfzV XpGF61eR8abQWauyOf0+ajBb9PZNi45DI+EBAiK73G9FCULFIYfXlEjAAMl9s72ekmoBZLeNOwUA RfWoPq1cydg91pPQ8k5OpHvSpU9NUHGT4gRivqaD5JNCbFU17iM71x1QUGqA8reoQi2on89wbQjx FOLmsli0kYtCBjq7AVDczu2YUX7lsjvtOa+ZF32XIKClgSIMH/S0dMnZzXVCJzXPgZsGZAoMZ54r z0QFj5d4YBHHAxNPC3H9sP8XmMMJRTHyEb+QDjF60oPX1cnz4MQCfivMQRGbhJaZ2qXIvyorJaCM ujuVRgeWh5iVDpjvZJAhVNtqlgBU44gr+UJJB520K38Sd/hHcvrjktr85xtqDxdEySufXNPMhOI3 bY9PUpz7JVg4efrc1iS1tQLxn0CLjHfQWw5RQ4pcM1l4UCsMMxE1xks9/pdv5JwIwopuqvz7wrqM 1eZOccRETd2MynV7to4opi/Qv0xp/XfvTbXXsYG3YMLEdqPYmEDlx/IG/TPBUpnHgxPo9+dLbZeU wD7lJ7GgSIXm9Hz4/3042Orhap9zXggcHGnvT/2MLOQEuDQtCnCWL9TSXiKDnoSVdjTPUoplxRSY GJCzq0/zj0bZqPx2R6UnISKTw6JgyJv9EpiNUkGQcx30SXzRtie4JLZa4ag+XXntEtVvsf0jiPnv d0GZvIGWaOk1/hO/UGR9Y/0tC6Gv7toQhtZRCZlUFijD4CyNuBrHjCXlYxOJ2r3UgIBmkOKUJJO8 FrJOI+vSDjTYZuKKho9GAkLIDGuAozad0rjKrEX8E86j+2X3JWFpRpXDBKhoA7X2xWWcJZL5M0s5 9m1H91rU/mixsT5goL3mankehJb2ThDtuq2bX7S/o+U7y659RkPkRtGDZazaqWAoJ2ZWoHQVy1aD gYAKNuS8Aa7dAOAtCZTQbOkbMOFU6+NpBnpy4WLTnhBZWwkwX6Mci9PaRg5GhU7ggM0aQiit2hbL v7rDrS8TWJNAiDfKcS7Ps/s0bNo8v93XghF7KJMahCsY2Wn7MxLMa8sWrXhFN3qFOjgjaZQ/RsFk +1slYfRyn4nNqUyksRPoYvE2s0CIU0QRCb6EDt0aninBhWexV75BAplgQtfUxQ3P6XfN7QW4kFOQ zD7lePnUlgBob5lbvn6znRCbgeh2ApDHyMZw5hxMojGutkFBMpUNE15xvdc8lttmkrO1uvQt0+2N pk+Bkbjk5RJEErydPPnvKPbUWYyCUTljUDhnyStTnH8DJycV09h5Q4uWD9ITVXzMAxk/kgwMVg5S tpLwTIdXpeUZAaxJ7gM2SK+pEn3HB/zcbkPl09ZckqbrYORit3cEheA3dPHxjrKGMTpfWYY5GGo8 TdC/nNxqHCiMHtdjSIsG6/l2b5ZvtFdf4Ce6dwWCuUoTi3ylWa+MWXs/ZKwDs6a9jlhxz/WSmMdn b6yksEeT7iefamVYaQC5iw0KuAAVH2L8OOWDi4YKm7xQti2JfRWTtXWXl7Dc+m+qGydY68gmzTzh 5m8qknqG6gRDD0RtDAhmckbDw8n2adyMWabxQn1CNTiAu4ftsJNGWniJrl2DHaXYuCRIxXJvP9QN o0iOwjIB7LBQeoQndwR6xMXIHIAr6WLDvyTCzvw30hhz/3l2YnmMMv5zRDwQeufYDrzxZuId6Vb8 /ModIhh7KOStxmv+hnnj972tMnv/9pjPNL5cBEipmWFirckUye1bppsWjX7XkXijMN0TGOdWAIeq YJ6PCsI07xbx9iXdh1h0ne9l2+oAANgQo1RplMaTBs/zo9z5yUEgOKYzrcZw1pSLaOqnIKVoPn0O 9B+7OyHZtm1D3ZZ6X/I5HWyg1QwhSlNK6X3twtnnlcD5e1yh13k0kIwEUKZNnx7p0996twJZP1yZ Gs5guEc4cw/kmCZP7x2QuiI6Ez+KlhReUS4id7WqOP/WT0dan9tgGS/rTfPu4JXCBJfreKR+ck8x S3EFHoVpAJ3NaByJN12ULYDByulILoB06KCNJw9GLwt9g8cOyx9DyPZhvayMQGXtEMT0yB/fVl+0 gZn6UUDx+ZjQoXvZZYnvh3TnEES7Df9FZXmGcziowSdBpxC0wL0vlomyVOX0wXp1KPLkoZs2A6Sv UGMSZ2hy5iUYai4+raEbLO34eOZc5rxXQvJMtD7//6sDzQNrrcJ91fCfWqRKHlxq7Dj8W8vBZWI6 xLhBO/hOiYZl4Ri5SQMW+jgG93hiZXYVhfH5vwwcMr+JP2maXG5dc+onVPg427CP7W6ZuccdDcOy CEQprlK/OzmcK5hcuTY33ox1mscn9/G65/v+gQiF33qK1a8Oa5ZaPcul+3iMbQjz/5h21OjQQNLd w1xE7p4HLaXiSOKsLFa1R6QJL1GjDMWd7giFqOzpNUcujy+FwU26rk+Rg3tQin24HQL2B0fHtgLx JhBCBlJvJWQqccfkn1XbCimbGYNeEj+rmQovWrbuM/v994fZoIImfmvDjGqWLuUYq20Mgl19MKd4 8yC7BsL4t0R3Drqv7DciIabL4ONldNMUBzb5XCBJA7fvh+IK9c4/l0iXT50KC6uyJWctsGqxBJwX MXVrX458euYKN91RmnWG8AMavXPas7sHGvItRDj0O39mJxNtYwwMp5dCTCyGsfnHxnPTR2wHhuOc 3oQm6HWLfpx+/VLVXsOt+zH16rpB1r4/+Ep38An+CL3NxxB8jfJ1K/RT/R58YkpHu7M5TwzAGGYn 4m2SkWwLq5ERDgx0M25cKVK9ciG65dB4xBjehLGuumjLSCXkZUGQ5NykXqrT2hvZrH7PdT0Ea8um hYXyhabCZFHIyc2zgHt2vuUuNCovydBOv1PY2BHKqM15lr64uYkD0/x28L1FPWergFnyOIlNJf3h GMa/NhRHtljRlsyZgY6GhMaefWDgTylRBM3aDhrGVOsjqWBceyfgCgjQZKQdOCiGtVH1jLh9j9pI Tf0gfNvxSpSy7G23b4pKLVcc9TrZWuXdeycFfO8sDlaEOcl80woDgG4wQ6wUF72foXqLytatSzXb LdqHCNALFxsT23/GAQXIncsBEsn9o3RObOhn5To3h9opL38/Y6I4pdy5s1OPce+RTdzJwoJGZlmF BqOMeJlGmX71YrNg/wypd3xz7X1aQPUSocK3Q6fPo2JkJJ4cRkMxg3A6Hn4lbfFS6tlTKCst3NN0 j9p4MUS0RNVuhIs+7v8ni7wkN5+sf8tUr7jzxLC3vKz6Z0xiFzo6KieTfIbqLAbwqCH+7O13qKzF ydmtrlMV0vmw0EwznnyZEqGGcS3zheBuGc7udklzDx5pe3R1Q2RszQCocfS0KSgBZfPsrDdICMkW kLvnfbQTvf92GL5BhiLFDvbmSEFYHy6jSZWaIqTu6YTTDTdOK+r7fxxv27VIucqRSqSLCSNDZQci xav6QD1y4j67MSnx456d/BtIF0k2KBcZQGqVwn7B4Rm840rvgia7oEDw+g0vtF7jv5nhMy9t4LtW VW1YqtjSFIdc7cVzZXdlx2hdPe8DROhJG/ZA9Ot8t1R2+6ujqsbdoyEl3Qr9oeqXgviBoVCt8dOf vuCRtBL46PvVbTAGYX+b8gaGPXHDuIPWstCi6nsxRAS9c5XMtU5F2nSIURpQ8MFShdn/DY/8D/t4 Plyekk8tzdIsnUDKq7fNRhtxMNBQc08bkd7/HxByQQpZHOeR/+FUinALs66KNS0AR5GldE65V0sR Kew0FVod755WOocgL+HUA3pStdaW9aPw3GsDwGn9mhkzVqdAs6JbZZNxKF+rn5TlzGPBSoNmAG1j 6qjVUfX9OrDgkTjeqNM+p/Wzm+d8zwXTewxb5d9l7dfY/6/RJY8wSgrn6iiljwbZnbNnIn5jEzAP 2hKlYJCQ24xPPFF8xBpH6xWyksyW3tv5ULngt33ShSIwDRy5oR1Tv55x1+HEGzMm67tH7Xj9U8L4 sKhb6MBAhVe21avIjlCNW/anHs2+ftjUt6CNmviCkhg6lBDl8ECcbLeUZMTqg6NS7Dmrh/styMm1 QKHpmDfEGmC9DzbV6PIz8ZxW2CtL6EhU/gQq6u6inPpLURJcfaAadjbysP6cw0cHBRZa9T/sUThi iDow7jqbzp+yYpuTuRM3dcFBWaBpetkJd5a4uxTkw3fZKwYfCzrb8pHdD8wgbfcueKRXGYrlb/IO C7FyF3kMJHJVNP4mJ8jWotYKrf7QvR3yRieHjpPNPB8u9o6wGwhvA07KYpvKwHCC1kelqbsh96MP rFDV4PG931ZT+HqTSU7cT40VcgM21AGKhox8DircH2/1wBPCYshNo6l+UXHxm6rpif1PUBvnbSvj HaXoAxX6CNdghfuMbCrmYIQ/ntPpaBzrC4TfGFrXSXXNHekioe5Sze1ZDJoAwIKDz+/XJYHTzmmK Z1bTojWRMhCD88efI3CV5niImYBwAasVuYyR2V+Zw6HCaeZtrVcIX/qP+BapJR64jULIkRDcwlP/ KtspdKspVQh6Bj3JYiQE80UAIk/4szVfeU9u4m7GqypuMVM4Pdcddohr9QkzU44sbKT9HZRlYsel T607K8RNhxCH4CIH3XxVnKC99NnwxJKuwzNb/ANwr0pXZ1XSuzjph0vBqhm53IqdBB9zc+z/cc09 zWq16ZDxIOgWFVwfKmbxiIa+9yUVSxq/NILleByrzoagPL9Iq8Ke04Mm1T3REWWJA2bT/4/sONPa Uj/uA9trFQD1w6y8jMm1IVR/UYGUsVMgDqZGaxD9Y9h98/vg9tJOO0If/lKv0knmVtBvREbuPNAX X1menDD9LQgfW1nHNssk4vqG5EHMWrCyyIrnwxxuNcwCWp9a0hi1egCmIDb0lSQdtkUq5Zz+vJiA GpNrgTsPgwqlcvCPwAnS3dbNxWR7hUWslx2OtSOWe1LjBU6pm1zMDs6pHmnMMgA371zgD3//mJ10 sw31KqhtjYRnnDpYaWcYg4gMEzZRnEYYewrgd7acgGkDIHiQrsWOMgRHpAK2zD2AJ5HP9yeD4aGK I2EU9cTP5HMrAXiKNl1nfWBTCv4Kf7nSXX6gxiE4YPdmbyuePdDZEyMKftUZGdE8fC+VwmZIlTPK Veq7NTzqB57fVuCKuintc+DjXG+/KGMKE3sGocqYgNoH122v3Vscwu5Tr23k94+9g0jjd89Nb8Ix +HLvbR5muh3J5T3876jRfoRU32zR9nY84v0hdHeYPL5BsQYQ+ZwVWK+ToPOxDqlvvQfY3uqHHNzn LtNU9kuVhnK0CHfpyXtFxSz1dG4xkSFbU39mNRAszyfNr90IffJFwBQea9ijcku+4qZy+9OtMtTK uPkDbehi/iyj7X4klyhSISkOvaMGvNxkfK/0ZpYi8kVFVt0jbbzhH9KHMrW1/iEbic+1eOhMJw7/ BxbhkedPEr8bffo7TyIWMPy90bYzUU4/AvytWe9DbpQ/7pYYs4hIdm06uac4He/OZQjXz1wtOHe/ cn8kWG22eS9OrCuZN+2s4kVPJGNR0liK5MreDIs9JJGYvLLWiKVCqDiDYoyGcFNkPEWvNBMA2heg 7XUsopi1CLsy7XAJqH48do0omfk0yLSQvSrw36EbEJ8mQk2tbGWm+8uOEoGM070AcoD+VLrSKfF3 NGzApA6AYQquHEMnxSxBxGIgMgZBEFOWhzG1c1+tkvm+vBTrV3kzDfK03EmNAFJ16RC5IPB5bEGd /XhXeY2HT6lUD0D4GD+dmIHaATcy5djjkajJHP5yuo5dF5OXOiLFEZ0F+slnvPGqyAG0pOkATwbB r9b1brNGD159q6D9f6xFBofh0PpnZGDe3fYV9y/OeQXvw/YjtIl6gYg+NhBOFyFsGXjK6PBnnp5B l5aOYFWbUHHKBnXEQY8QORIPLCX6TgNGAwY9vbUuURkABIVAa6acnNRJlQTUpQ2qHV8OYgOlqYle i4jb9/aGFm9xvVuFJAlbdmNJ0fsZZedBdJ0Mybmnhy+F4NB2EKRy0EI+lT/iCvT7WsmalNUPhPRQ mEzRCtaRe3RHTTnxMdUuB+GPqis3ksg1TjlDvgWlXYCsel+Iib3jYWxVlaF1EOLYDZwmcwDMxPhY gMN5z1QRvaYiT5hPZXwwWmZCq0ZN8r8cUT3jQwMwvDGaVjtevMg1ciqD4Kts6ZModdpe5SdahzPb sDa//fVlOJDS4iQKI4XGZEiV8m/vdfpHnoVYUL0iJ5RYW1ticTqHq1En1dVwEBI12faSd4mBY4P/ q2Qf2epFBa/B/YWKGO3x/CIXFhqmYRDs7N6N+NxGziR5F/BNSM6X2Hm+f360+BXHu02mvSJRe2o9 2ACWC+eIEvyWZXXfo7bU+nPfw3+CSbfen4AkRHqiiIxEB/vgPw1kE859AYp/xLrMYPhgk5gIKoKk 7LkgY//9SWjppUIMxnFZbGRp80ZVEqBQISCdPDGBUBmeE4pAPe0KKg0+V1H3vGtD6VosuK/HTvv5 uOw7Kg7z2srbKycVguyiaXqQC5NZ80CBPvBHq0LuOgh9ySN8rflMdqu/TWZxrvYmRgrf+oONluCR 2f25371X+jxQJRnpvLf4QF3ljVRErDAqs6MOjktWz3xGSFHqu8AW9hNPL4h2RbccZnTg8Qrdjm15 7RE6rtHm+JKYg64wSq6CdElYdCuTjZwC7sEfVjt+LrHudHQEsCwhplKPd0qQcMgMI3C/XOLR4OOv 5ac+OOSJuHtqcHmZLOX3zll78lfd0u1+/zLybFgF/cUM3tvIRK2Ab3TQVh4IDjgqF6b5ryL6IuSd 6/1y2BhzX+v8a+eBWOiLQZXdfV2M+82btQ2IvDy/RWH9xeUKw9S7cE6y6wyzWxw9rPtQ+Dr/AlKN ZKbBu8IFMQABwQtzFxShntvkW4MHEAaxhjyc4070BPWvBGWyNXlRxIMBPUHwHO/pOnI1nNiuqAig ls8uCy3u05j+si2yt2BTUfopxxE7ZWxDdWzWQ5+5ZnUfR2eBQlmziTYZEyCvFSRw+lt+16lwF9Vy v41Kbj+p4U5o83X94qsgvj6r/AvjDvrlwCMKhnEyrs6R6xTynR90J9yULQOWGnoVDKYfmD0Lc7Vr xxNXEqBpE9GcFCFK2DN2+3F49x6pik6hJFhg/jk0UEq1WnyKlSEsA48WobE+aGzvK3wz08wKt6Ax L03M3/vAlOm055YZwaaLdODWQv/ikdVhhjw+dkkKPc1ELXP4hTCyGsD+ZZn8XArez/PSjeilx2zW Ty5rS0B9HW+h4l+5L/9T/k4BFlcARDCicmtru3DicPaQPbcvQ5GoXawpwTRp/+N7cIsn28z7qpz9 D73IvoH/mYH5hUZpVe9CbU2kHiMnOIvQtDhwFJahfSqYF/W5CbWuJI3ASJEgk4iX+YarQjOq8egb yxn8b9cew8mMURK/6C0rRBaNIBAh5LgvGjiIujgurTYShRVGre3qRtw/7qSlF19Qm7NAjnsqLQeM MtbrJL75cPXMsyGKpugpjuAh/rWmJLZMTJ8Le50eFL2zBP+vC6wvt27qn34M3513TTl8QlKGuFkT jKYatMZBzMbUZJoMM/MJaEA4k1L7c84HkV+K2btGCkj5LZzqBNLSBSBBPbu9y2v3LysPUPdyBLil 0ZySngpGJ7uQHluT44HdpAsM7T7ZhtFrAwzdB+r+A2dL5rZggk40H+Jl+RnmYPPBmUxOgkVIzNb+ BI0dj6prxm6yNqyOfwxKP89UdrbdHw28pfDt2jC+cph9pCfPqR4MN5m1PnCfw2YbSo3q0Hu1e9Dq 9engLnK/6rvfyuuHTVQU0IFuH3R33pwfpHtstJYLao4lzuvOxBznFw5G1/0wXgcXhmIrKUwqqbHq pdqfQQ2lMEla6reM3Q9aTG+olbj9hRfcZEvLQJWXgMdhPt6zfv4HhgkZEMygyEjsZGr0M2k33VHh FWBHhFDNhhdOGDniJyUkoJlxGadYmDnPenlhdrZeHnYDeDFGxCHDs/WuPHXjGdyBa+61KlrAGlBx Lstx4mZsn6w80Ebqe31KIuZHIpG/Fd13aCKyAAvrpVbVPqZcTkXjE0+xONlKgm1EISkc/6sjU3OZ 5ZEwlapnNk937UII36aFxZcX3D4tzlz9GT6Sbsc3Yle2w/6QSE/Nlgvln2cDgmH/aT3NSXxD0e8z w3uhSFmL4xbUk+JLN08sbzTQe+Aa3xuVMpg20xjkNp1zY8zl3Ge8Y/HzDzWdgwoyPEafaqEGbuS8 zs6R6zTD3F7jiEZAx6qOqY5K2zzz05VbQI0QaJVrgYD7oA7G5ujdV07pSfS3CDlKJgZS8CWy97gb of26JPszW7bfQ9nF6bzDMz2uIA4L/bCMG+FvMM+AqDZAdFb9RxhCik67aWsYzfkp0FJpXW6/EXJT r/V7DdVeGDetDAHB/tWN8k1Pn360+V0KtCZkJqwyiVst/uhzAx88SH967G4UEFsAojSa6f2AcWcv lZpagZOatTZWWOINYZLSiNgds9UjguEpfIWmjvUndb2sgObIct0NgqI1r7oZ1jwngexIZRFBZduf VOe2sDOSaq537UMdPqGBgKI8icHIo9hsKypnpEUzizJRAFzXjX7gYqBIxtRtip2POG5aV9qJAlfR SgnDSeAaJxcOT9/ZeEaPevt6Y+QaUsO9LnVHVeC+eVBxVvoWreJBKltYTk2swRCZtDBm5POlmXP2 +Ujfw+WpSNMMQXxCB9ZiOn6U9eeFFPEYvz8Fj656Amwx085oyJJtzyIyCNOYuacjiCQ4VOlOXh+s DKpKtNkRd1M2yBeGyhjc0RmaGuypcQmNYwWAOHMYpQNEFRgPcfAs1XoBvoaJISwvZcaAzF+k9yM6 u+LEFPtYGGl2Il3N2Rk+EdIzRMKWr7CFfxAg6/RIhO/Bn832cWe28unrudVDKsFQ75LRxVBVVMq8 WY0YswgPEfiB8P87nnXmDxbCz7SW+CxXd/R1/Ia30GiE0T+HrYU6dBvpHyl+ESUdY5dww0dsMmlP nBnHtzJDQfVMqg4GsWSIKUDqKiXWx+NFk+hjuoWC8rtv+jyyISFWX7b9JykQimi4d0gy7PghVC1G XDwTrAF5/YGhDb3pdG8UKl929sRlUPRQZfNhBpcuSCqIUr2Rg/M3J8fBc3twEVYNYU7ibr0KnIh/ hHHlmOVMqis3uwf+bbg7u1j7ry0gWRN8+ZN0nB27gyx24alBFcuUN+qPh5/94IRStvfRicfA+9f0 l8AgPwH/8UNsrh8fspvLRdI8iCWUZc0rJLcndi/k6lXyBAwZMHafwqV1XsAVxTP175ZNWw6LA2do zy4QGXilfDjqIhSZg136Nht+1CfDUuefXIaSEyO6vEg0lfHcDPUYsefnmLITcsnyiQNHGnXjNLC5 kThqVFx7XjascdXJFS/5lDiarvgnkpN+s3Wkf5Pd7N5GeaYGqckvp3xFH7YnSZQijK3zKdwfd7qo ELHrd4sSe9BlpnoW705O04r3n9LLQohLjIBmcSBHgjsfuLtr7EZLX+Gpk6gt/qHeBkukTt9J/f3p +CnwJVkHl4GnZfvY3M6NbgFoiFbc7JTmVK+EkcoUhvNyFEQD9mpBIKoAxIyu6vXhPGcb6GojSwdE liNAUiZkKWj/JWLwpeMYTCX/4kIo8IE7AgBWsmn6LxBPNJ+GNqTSuc0zHS0VjA/tbTlZh/CSLViO UmCk0AiGwnaBFMVFG6mXDvfNcpywvknVqvsvZY+YGP1gLDrryzy3SoO1Z1QOLSKvmKQGO09/m73P WxN1qojcyIwuacOqvwCegmypdvTZHzvwJBr4XbmIukO0ENUSOVjkJrVv151qR+sGRcMyPrazCTb5 UVrl/lAYxUop7bAJd7HDpXaPXwTfaVQkaCjNySH2OOGHsCCY1AHZI94rvE8q57jtCMrdcCSwZPKr DBBNno9o+4QUefWOSiWdQh3PIAM5C7VaztkEwpMZzw1U4p4BFUh34fBrmpJj32wV3wtWmc6gqT4z 54mVPDHQIPiHKWydloa+Tr/71YtwUJnyr6uCDAekZL/ge8+ZkBxu9wBEI3Obv7VlssvfJ5TRE+9g 6WjntDgG+42Lv+Cnp/OWvKYcaOBqR6fiMFNv/OZs/vJtHkxIP3/UHIE0/nHue9WW7FpLuOYvqUwC La6XIaUulwE8saq/AFsiQqOshBmJZK2RbYWGDsfRlbLub+qFYpoIu75P1xolKgPHyNmjAKfd08IW WyJxMGkZYPW9eYpnLlyJ03QJNnEJp9wrTAMRaVeTKDMLRcCqW9gob5UNx8MjBzkL63no4FngVX8g a5AODYKGdTNn40Jr3a+mDHHDnMkne61i6fKnY3Uz0fASAv4n0P7nnGHyzif+gnQfYAFFt9ginihK udUTw87GNjP+9BD4AAytSSB+NdSy7A5+ZB7boEszRzoezmua5VDVfjH6Kquq9FDiIC+l5IModA90 d6gYPQ4nrEmzu1KftZ9WuhTtY4qOE7F716ac/sfgtLDnV56FRkdiOSuwvfLVUkqtzBWhIZILIc91 M8ZLd/GyDI8C3hCLk5iTtVGoLlCEGlbrOOpD/xMpjOQczmLlJm65F8lbw/VPK2IrnbxZiutWLStQ FlaaH6/3EEv+FwihUBvZPkkL0FEa3Ae3yd1NrqnqNWqbICteVrgXvntks5u3bU2/VSZbme3OR9hF ton12pjUX4YJwaUn+tt4oFO0oAf6pcULl6FiwKt3bkrxvO1CGaB61KKqDB+hrzAQEeGbw0RA8wji w6hOzJUin0xbBTSCIzrhS7IL6V+v3XU+2b+4WGRgkwe1ovdIMtw2VBdxfPAg3klMx2OlQTAsbbWO XWzXHkxgpckhpe2urAuF4ey7jnxWCM8JT8ivVPI83I5dV7x6WILjCo4SeWda1V51raYNsOnugRsv hSoyKAya/8xtqoBd6QAMqomnQY0M2JcFVoRYCW9y/X5M8XyiMiCEcA5OwxJx/Xjy8ivjUYXnWjjb bnNVI7FCY8AeAIhg5CVdoLeX2PdLcAofUEZuN4VkED05P6NrN9X5jSnZtd4UwH0q6UPnQCStOsUK ANHrYYzoHZmbhoCPkL5IAdT8vaZV0WgzS9+jNIjbF6TcV8Kt72srnQlu+OIN262Rc1+Qs6yNZJbh 63GkJ/Hj7mrpTGym6usOGfS8ksijKkCu+q0/vEEBoUpqm4ubK9mZnbcFsrseMSQFUoBX1ic8vwmt YlGXCSxGOPOvaY7/L09nTWtQG1dAoRlj8HN090OImTksKkOVUKRLwKUnmTY05XbgVK4oWej4jw+j MMtEZ0lTjksk1WzffmIEkCafk7i1aexey7CR7NVGiidAU8oESK/BGLTepTpER0xUg4Nr9gmBPvHE mEfZc/J78Cy6YuocNpu9VJGrtkuRUuleg0a7y2HQ6fpD836V22Qo9pYgPo3HdETeQeyKIkTVu17Q AgNtlp7eHe6OsYDd96YO3QUeRRdBsLDVkaDgZuVgFIo6xVAz7h5SYWQJmQ7az3EeBH/DXLMpMXGp EW5KEBqy5bMJTjq9rHcwMReKpCzjI8hWYfAYoKF+LMgFC1Ruol6USopPxAiz9R8+YsXH8fNntldC ZGot0mkN5ElTbpMxs2o4MfqrI2BfvscQOYimzk1s1gLl1d6t7j6nlyFHC9ZIj3Zpt06TgYeilB50 msISyh2wtRzvQJ/VDPH18RJXL+mIM1a1w+AzzxBSDAV0Fv5jQ0MEFrntQvj3crcTdb9OFxFn2cel QSlfZwsdlbX9yXy4ReN3AbyCrnV7mXakNeXfAPGOutzuzHMETo/IuoSoPpD5/Z8BiqxtwVOh9Q2p SCqia04V/Z+6muxQLgnd/ZM6cFJAuqMxbqMG2z7RPvSg/DvvoJLU0z4UoisRyP4NlqFUyToBr5/0 sZHkhRWlWNHRC5yCh//Cplwi3Ovnn6LuOMWCg+eppq98ir0f7Ctk7dDP/hF1s+/JRK9hIqMYelrU Y/L6gffElM+GbYzuxr7Ko70OVlkkoLNubaEme4dSn/8MEqVjG13F6BRUqW47eKFyx0siAQ/+x+NV /dpBMxtD6c0hMs6qtitnvNcbp2DP4Rwwv588LuLMpXAJXKZlaTX73xx2qOPPalXQyGEUIv8UGNdw e28GX3SJEW1vnFB+9u0mVg7ysYxNFQ33nqVR23jf5c8i0z/MTOQl0sOVzryFgXBQqleyiUOyIb8Z 0xBqQ9vaVx18CM8Roy14mJ1/PAhzDMyi0AGNTAomC/9OTHgAigY7mXGr3U46ymWB8hJyjXKj1Ou7 2s3M88FCx8Cud5uQXLEzYAUDrtsTuQMjJiKx1yM6qQeqEAIcEbBZylN2Z07efDAqqINXpRSjLqfO LQyd72HsWPsdya7q61dqYjpia8Bp2Div4LDBjLjjI5rHQ+NzRDJ0pbXl9G+anHSsTMoZJ+8LLGPn nMmujh/UpDtljZ3vFY2swR+KdJu909u185axPACv371JSpnwtrONdLe6f0jySpMe0cdyESuCLMka CebZsVQnH6t2D4gDKoMpc4tSmbpzg9tPGqfaOyHTM/eKosDzqdF0JuVgLeyLHmZgPs7lO0II9v0Z YUjMsTpP/V0LfvIxB4HSBnl9AQSyYh5ZuQnAKezblKAPU9CgKdnLd5QUBUHnvy9m9sBhoQspC5Ft 6oY4mSDjtgddoyV1wm0LSenmpXRtGetPoVNo+ZTMVka2ye6RbRk0A4uEcoOQIzVcFUDCwY4DmnMR jyC1XHxj6GMsEoGg5kO04zP/iFoJLEkIjFPdDhsI5Keprv+064gjyXzOLjf+tu2v/ZmamcvKkSP9 0Hb8ImCm9DRrIgZ38O5egmzFwv91H831UCXsJcBx1WHRMfl34pURxA8HjLvuPxivOLCkG/APynUT WTxcUIOhBfyVdnY46l6gO6Q4vhPRVbhrYw1TH/z4zGkGI8hDOmhM3nIajHmwPfL+wo4DMilPxV+d Es08V6mOj7zvOst+kNQsz6B+NDIw5EkHukxyUW3VzPKkJEbPGSNTqq0oy2oxCV1DVtWV0Pfgbi49 o1RG8HVIctiRaEroh7jFkEoW+4H1sid0hPFmHt89gX4WKvsbefA/VsSI/y3TFKy8IaLbuqmp4TOi Nw5QbyFNIJ/YZ32Qk0cEsO6C5Pg/sx+AGOncZ1Yl7wNnQcjbS52agpDMi6LkJGMj9l8AKmwRMkE2 iJS3Ymb5CtBtdo1y7T5lmxtv0sZHrMJMQTUbRmDIa81FW84u5kxPSpjRi9TJUY5Wg6hHFTrxt8RL 6LbIo0XL0H+zy1YrU1Rt0PcF3W6fz8i55vgifyh66Vw9P592q6zdxgmL00bSNcvIz9Vv05AxI5hC 6e33xvkGZdqnLg5OE32T2ZOAbxBfsLmtEmj2HFbRsAjcAE9yD3jY9vGqs7rU7a6y5Tq1JzXvPdbC aY6SEXXE2bImACFj8vqo9aLstO9QrtibFu2vgMluPzwBrKS/Vs5240+93M3OC1MGvAtQm1kpbfR3 OFoyxv/997ixu0EssvjjypwaMclU07tv9xzh+R0hX12YzmCKlviEqJ8ImMvoAvc3UklPf2Y5OZzZ ekWDAdqOXbcf+xADS0U63lw563l2YlIXq6+YDugRWAkupiGUta1qXpMfxWSxXSmrgqi2v0g6RhCz JdpTpQZs7ac3XztkrhknY3pzEQdIqAaCFjPhSj1FJEciJ/uW/xZkPaUHzdhwBtHw+b/DKLxZMaGY vP+vUaz9LF8SnpPVtiZr0MPXKSFi8q7iIes/uv7jzvJrbE9nZ2jRdf6bOKkvY+aWAWROzpKtIG52 3n5gJBQV8UidV1VM8cj1L6ksqOX5manXmypUFLd6pB+bFzdyN7b/tuFyIMQGmRMSOvPN8SBqxb1G 5c2V/JdRRUhkch3nMxOHOjYWQYXT51dZV5n2O62+W5LeDU1tuKh7ESOofgMn07SHWQvzoXlPfPFv k9Mi7Gem/gORIppS/TdePDShztKlcH6oHJYpgz7x7TuEz1Ghu8/uiVyVqTXbYkv4C0UdiV2nRMgj PUWlgStOpnbV74+JRg0jIEWw2Dh1ZGemOgtFWHWKS/hFIkCJfwkN+vxQNjRA+m2rx+K0VViDVeGu NyJptQk619UYNLp8YAf1ggNND8eQow4B2AvjX9AsP/8r30Z0xDfQ/6TxkdD6Jm1fpD31LloLjoik 7Z/bORY5K3Gdt1RdENQUSHOEgAHvw/qCOc4i/Rq30Wm3zDk78dLLI8bPM5hx6j0UemdvMJTfx/xj 3v9AjXTlA6GBA4Vsf11Ygl6wxWDlru4eKaXXhq7RJnmlcssRwAVLvwSLR22GbY2UxASC663MX16X 5TELu+sotgqh1GDX3YaxhOkJ4tunH1qYq34VDoPWWEuc19vt9Q2C3I2kgPFOz07+7t1Bywul08Ns 6a8Q1O3Zcq1aCG0uSS4TgvxYWickktmq7YxaI03IYkGVhzU0HgPCh6n6LmScgkqrcKT5w5g/rAtR i77xGJyEN1Nmm1ca8hA27o2u1O+phvFFor0XI7NT3XoHHbOM7zM053WNCjYMbvbyRx3TYq5rSoB3 UtYD/qiQCPww6CINgFaVjk/60vjVarrMybEAWfsb5v71uL1Ahoo7lmLGxzdgNMzYXMLVWNefXzsl YzTBeEKbx08Kyl4h+2IBnNyr+8X+Ak9+Vb0q0uX7aT3hqzvHorqWSoBG4/TfLKLdjXWabGua9mT5 xUeQPb0v/vXxEVofro4zpTVpFtVoPhbIIJo8wc5dVcGRRddSVuaIJ2CRWZRfkifvsJH7m+g2Ve3w 17GXoRB4nYNkpd8a3W0SSfaCoJ+uXNgSmC5iThVyGQcaD/ATKafHF0XK1L3C94qn53AQICzyyQc/ B94AOu2jhLgK8iKJBPlon3ugofj1uLkdQEdhvKTE0IISMfDoabyep49ZY9Z2BOqOypEiQdYB/nYp y2ZjYTArJLJKfcb41qB1CZrw4dqI8Kn4ERE9EOtvBJ7GNYL0I4zVmgatZFEGLGsle/VTRa1WrOEG 6VeCNptE4EPve25o9qqeeGjyvQ8GMPgn492mtRKm/KC83MYnaJW0pUbcRFutuYilA5iySqn8QVjd RFSMS1m3AZBrAWaVVe4yYqXdmkn8hlwi3yuIw9+1gRd2hAbxkzx522iwBJnOGjnY74rjlF1FH3BB 8b0yT6a2DntBgCx43kFeGqRtbnlutvlJSCvUQkSBI2eHTTKU2GbkqzNarAcCOr2pdG8dl1QSb89d FxgTCZz6xXQ8C6YzMg8kYEi33e16KVNZ7imh3bkVw/H8MiW+aM6aMdldAuvB8uHksVcmR9oO02hs C6z2sKolkY6tuVpqvvAD9tJSr8GkZe0K5AYCvVH9q7cZgUw91SN1RU2ThZtXqEDpwZS+WGqfy0w3 7Da39ArdexJ2KsRr/ysvfIe/BGSEHhu6Lxd+fq4XSrUq++99hvyU3nGYYN3QpxAEs6F17xIRbNQb VKtctMGgRh6gEGWJdY9rGt+Gt2YBrxAl42quQess4cVQg82KuBNXlUU2YcHz5a+G3GCFEoOR8TZT Y6c7eLm/0hGl3apPHpunPQx8h0vkg8SpCyckFCr9GpgZtM6ZN3Sm8jnwe8IU3jPOqNAzH18k+Let /jtTHTFFhvykNlk7R/enPYKv5roLwFBCoHTJm7olM7MaenVK+LyYXqulMECWB7jhYkVDm3mt7Qnm WbcHyjaPhYP/jwgK5j6g/53CLKX5uwUWzHMTZ1yfIp54B0/T4kfBC+sh7P5CblaPhuWL2A0VkYQO m4Ox57TRiLGhASPPDSkqwvyPxWyiZo10Kf80R5rhDR0l5uttPFPIAHMg8rBKJ6B4Q0hAYZvx4g3/ Gh7QZNHosCHtcU+RHZeGDJKwaNUsMEDdvecf3ywdTdMWN65xolnt85woDz1XjttwKUZBju7sJUH3 WoIn60Zb8DEouY+QaCu+2q6RWAHseFjrYcmZPHlg7VaoFYuiqLl9DewK3ZivX1fCeVKPKkxsdVL7 bgH7eM04URtX1j0VFcaVb/7b/YShpw+wMfZqO0Nn1EOlt0W4r/j0VGgfJcJh9YyLfTx2Dv+5BxJh esCVh/UBNaRucarihQERgZ6IB6s2T/4eNG1Lv/7CSL9TMwLsLXc1LqZz4jbbWRVrzSqgtqsyQ2kS X7UW6xaXLPIV3LivTDaOvv4QM93p2J8TTBOj+41j/EBksmrIuETq+JxW5fUkAjtWOiz0x+llkVgF d31izQa8CEe3B2hELB0kSoyZ0SbKZqBW2KYFv8qnVV71L6b6zYavvrY7swGQCqZbZiFGwNy1Dfpe AUUzgA/DCjxSMIWDVhfXkir5oOvbz8ehVixYSSBWdQAMzuwp5LEwz+RawIVIbrrm2dvYo38nel6V MPJJcInrkxB5RpL1aXszHAz4+VffVkongNwAKR7wAwZH+ODmGk7JuawSQGfWNK2rWJAX9W3f1SEW q8WA13pYgSMvO5GLrZrQBwiFQKFbvt+/fHECBPi1NtGE1u45kIg1q+IHzxsQyLkg1T2wXzJY0A9X 0VFQD0Bjuly4tbSjRXFJodlgplfACN8QduQzeObGhwvHiNnzoov6XFmKOOUIhrja+SuzaYugDJE5 lr0lxeW5qCqieDaAdoID/EMQuPCFulj06Kawa19GcEuab3LQuqL5zirqpG5MnlPrxFriU23lfAWO 0Kzj1n0zU/18z758lX+AwZtte/ZGFzsW9J9jHVAfqyGbj58tNItH7BFzjlNkAFcSv/RMxf+6x0CE xucYJc6QhsFToJt+hyS7+T6MCsPdUVg6rZBpa17z4hf2X7Nl3wzT76dGEoFssjSh689UEEuGVa3r LnoA7Nbr/SCeOtq3Sy/qRhsxgZyHjTsgCIQU2h/Evli5ulCS7A8GYTLSHJ//CK3pOHA0o99iIo8z LMQ6cSTSzjWuo07MLXB+C0BOUhMDIyt/iqFvetXEr/VK+5qgh0PfKn76kdGRq+1+sYaz8ShvHqCm opP61grv+YXOZ/mc6qnhF0rFAL4kPLMP91hobNWB8CrZCEZtGTNRBIBFDOn0gqZqOTuKjExwmA5M yILFW6EOXBsuqOYLDrAW93Hxjnvxx/Q++wMuUtjbY02idqXNc6VJMTkmBOGYA/reVdneEOjtKpjd XDSTz+foPeT4Ncg9ukJ/U45w/XQZ6DQWwOJqKwioA04vifZK2yMYsIqHc8XoLyhc6iTbNzR9oh0t w7n1Rf3wwV+PiIobO9ZR4SkF3z+qi3fss93z1fwps2IGU1fK2Xvl2zan7I5cIdfKnTmMXp4vW0QT S5ts2mRuBsJw/mkI5teRhN5ooK8psWyk5UiObbzj76eaYpRJzq6C9A9YQRV9B/1CYzbQaxL6K30J 5I0pcnCuHCLg/RwuNt9Lz40JICqSFLGsjW6nXeHtYlatuRu2zGLgIj15sSj/nKQAIld3eevwdEx7 tUpSdIDJViMD3MNbkrK8MGtqQ0vuLlTu71mu4RrZ93Wgk4No7d8lv5Tn76LyFSUpJypLrQrIGghJ OlmEXTvtTcWja293BsqT+/TkVxS/Ssm8ZhXqgGyBeBQtA2TmLC9rq8Fg8xrnrYjCDXRIiK3fX8cD NIt83RX6q9IMjvjtGPOqVVAqsZklxJ3k0to81ZxA2grBWOQ5Lf7Y5Q65UisDO3uKk6G/7CC/y9AZ KjS3ehCe2z1pyo4yP1O1CQV6O9z7PVnE6cnxKEuflI1eJ1qZ0A0VltCYBzsrXNNpn1McV2kVnv8q qmRZxJjCaP1wbjNlQQT/V/fsYBzoxTZBZzs7EqQKYXRHAtHeDqvxoSzwdp6Vhp6GlF4mo6K042lV zQlXvfghrT8m9JbNcM4cSG7EYh8pam36H2zVO7BLwxLJDY/S/gdtFZX0TQRW4IoQOTY5GWNFQO95 K4jU42TUDoySMR78kHajnWAf6VFAlv2i81VNiTrtv5TnDLm4nQsu4RoPlXjNiR5FJbUNjLp02ohj EKJTpCHAh9Crf6WxmB/pxCtd4w83fgfR0NxYBkMTapxWyRa/kZM7FgenJcMDW274ndBrVPR30pdh iJSdxF2W6FTiGAUJhzaILzD4HMOTsCRQnsdLx9HCpfnXsAOV5BedZv1yemk/LJBS8lPmRNCxutXn /wBNQQ7rv4wHgK0TqmB6gMeudoYBCavwwsbKnIAiz28/49ro+DJ7uqGGBwYVsQu92gxeT6E2Kquk E8sY7ZkTFSwooE1g6p0660VTdAIyrB3Od+aoko9ayUgKE6uf/CeJGsN+86Qnnwra3tdj1Vj9Pluh WUhNbcAtBR8UKyr7Zwhz6CK7XZ9bakYgdZq+A8NZCtxY1pH3C4/a0EWocNTOlu5YP+uihfMANeSN tAyeIemSG0Qkj7NKssYuuPcsECkdsyZa0L4HnQJbC7WkZImhbAQdIc9X7WHUFrYI9A7uZY/aWUWu jnxx8F4c/wOK2y7FOwbx67TmzxpIau2c/YtISF132jHsiBFirL8vNcQln0ohxYhVmEFe+qd7j1tm AjOQ6LSKzLlwxHmMbTt8OKS0YCfX3xnvO6tXI4RPMgTZ36KzBGBQ3R9HRsPkm7Hpsc+nDY3OTIwN gCrF3pmUJMQnZdQX+5lbz0pOSLMtDjw7zyOFMtl0+wHr1UXuUGJmUQV5OSjlLqpi2jwPpNkmrncE KUqArsBQA7jAZglNU3nvoHdyId1DG+D6sfh6KA27gIsAIDCwF0I1moTbUsGBi/F2cm66RW7Tmiph gJP2ai1BL3GywMUkuVe7kV/JPQlk2OjyiEvHzkjVbWMBFVUahVUqKKxRpg2t4MRHFHGRnuvKvCj5 kECsDZFY3IPazUSeUrbXbkr8ME8RPdmvy1eSMtLZizLZRMlVlLx3zRG2Wr8yw6J3aB0MQLs1uGG9 X4N7UORo1jYSw5I9swDuUV/ghkGqwJ+spv1TlZJX3vswWdw02HoIeW1VQgs079RtIK1eTO9qNqwA 3jJdiN/fcCPzsB0eqT2MAqNnUuy+IN1I1KSYIHlNA2H8Fq0RBr8hd0CgpD0zqK/zDDuWB94Z4GWy UotRD2tZq9zB6CghSUizMJCGzsxxzzfqC7hyB4RJdWL8jl2Q2riyV8bGJVHJptYEJ0yQZxiwrkN1 ELkNdN8L6vJDuXR+2UwKmXhLPeI8oL8Ur5ml8HPWv1A9Ow3TqlfbLPnA5+dLgq3JFcrKRYpnWZgU 5QyB3cZmqZHsyikZcXLuADbOfRS5bFE1QROLVdcurzni9p3mtzLWm1gPnm9L2sldUchOyyqlf08+ FP67xodCRx6+uDxEx/pzeZgAo9XQsJHvUQdes8QgPanfHm2LQFjpyqBZI1rGATwlaP/H1UlFEafV aXR8OSOw5m3UbG/8GUQ+GTEIKk4QD+TTALtIg1LTYsgG/2wftyKk92WJMoMPGQQCigyqiSWrIom+ FdwqAHXrn1zMwj8mL15b1utQd2amBEX7AlPTJvFIpR/o3O73R2gfc7wSm8yMEObDtGNiBhfTWzaI QTIKXK7QF3qBRTv2LOqx1M70eBrVwN39iJuxr/xviaH7VP8frEEYESxKn93vSrKv+m7U+q5JIAtk fyM5sWmY+yYId9a2k6mUuy4WFayJ2Wn4wHabU0rJEBPThDwxoVHD8HElI3bRAZBwjfYN5gjROV+M VHSWVIuGXovdODOi7FaiwWGOXoopNOjT62Q6Y8TeVgosQ8DwFzAxchE4kMsXn+z21HrTZcPlC6vb aDqvskIuv61h/9jCetNjzJO+yUFvxeTHlEnNdteydDdLp5wpXYQbw4ilzzSZaB8Ky0LBM2EgNhin S9aIHR7T157x4a15RN8uqHESYqL9uuRiTi7yS3D5ajYxgWEKY05/A7vM1BZxEzX8JA+IhlTXUW9m 45UllAK37xx0oE7tFw1K77RdrCrbh3B+VD9pgF6H2JMfZVDCPaM2Jv09CFo1YwXs8tAJRc6u55JE QM6BErdLUVahjkmTqr/miVKZnjRVtCR0kzny/TQw/FkgPeudXyC0X9RDYSJAza/OiY1VUIteEDSE XlzVj/MM8JvCgZ2kTmSUXC5S+JHWLqFNy2p4fB3/gGNiJCqQ2Zyh+l199NlE8S2D76i/JYVhbXq4 M7YXEUDkugF2QXx2WnuOtSvSqZvl5Nq3/aTAFLd1HVf3ZLUKdeenFfNkaeVH7ieWiROVrU0MJJ2Q ilKOMjaFjthDARNSOAxwJXt5q0ZADP37MbeL/YQTjffVSFfC1+M3HdnK4OtVuPxN0baJPWmCLyHu 0RHynbaExEA4k92QUq0hrbHF+bIYcmj9Q35xkQkRP5QjzNzK1Y/PAv/xGqZxaLy4w0YXTVEu+SKI JPNTNb42G7MIi/B6XU3u/nxAB26qn+6m124U9puPhBz8H+X6oWC+8a3Dm27ZB0V8700TpiiVb+eA BgryGR8ZnmTILy8458gETiBadgOsTBmdAwfQYKMrrx5WClf1ixxALBkoUDzDvNsi7a2Lt7jwKFrO KTGVtb93aooNI+olAZSrJaiGzTRQJgbWkrCThnWgfL+pdhanpAKAVLKgGglk8I7qEV8k+8wCFVD6 OmpaREf/fAqPi+HcIgLR7R+c6hdZQWkjGP7j2qHSujPu9ZsqMxoEgEt+VsIJXaQ/JLT9/QZBm/MX cyi2CR3H/vo+3g0kxKx7hcYkryKg65o/+8k10brpbX12zQlt2ojdunTI44U5bTgfBpBmKktlradS QYdJbm3gP0Uvh/FPTK+QgLh4AArdCYS6DSDIMyYQ/f6IMXvS08IWUBFhnrca7dJ5sK2ZzlGQfrD6 YdVLNVBe0kdwpEkQBUW9YwPbfZuGxM3LuUF371fkszUZlVta4WNzt4BB23Qpv5KUDcWj0nL4ZdK2 ijaUBONLsYX2daVfpeW5Y4ZOvgD53UJO7Ndvx7L6UusvsMrOvIaO+32R0oBSl4DmzJCdkXU88avN y1PN1DY06tKyHpI3kDNzk8ZG+Z3SVQDDqErdz3j3IKg9scDKPmDVHYNqS668xqdut1JfRljBwVTj 96VxZZ7DxdUtfMeYATIAJxXNtyGlZSPzjIXsxjemJZHO/eRa1j05jhi2F96jn0PP/swnf/khhVuK MwzlmKS9VtzBbRt/lVm13OJODFAGXvxkv3LfHtiyBffa8tTveSYAWWz45wKGHtZL93JPXoxFbfOG BB4GSFuI2Ll5bvfQ9wqOq31fRgEMxZ8QPkNeS6Vm+7/7UAXkxtSVB6U7rIImMkpe6T1eCxLPemNF qclfg5WPZIF+ZvqUKXG6Fg54DgpyvquaRnIhEl1wk1QKYZx+kuXqKL2Ow0lYj52NuxVja8nKBMQQ cldn2gZmkoFl5PkcLvrPmkLo7QmTiID+EBpDBPOCIYMQR3aY7iXc1ib78/5h6xymVLpCtTq5sC1j o+ZahZfyLhn+Kj91lwJEqlMazI2ckBDF6mCc6j3/RWO6jAXES00MqjbtLFiFPJi+NM6I9R/rTL/C IulFIw8lDYDPXVuoMCuI5jNuE/uQn8wN7azr8cv06LkXX0I4iMCrPnhU2+ekDRMnAWSPWB479LHd Jqu5TOegS04n8+9nnYAkBFgCWRf6DHGSMBkejPrI1UBHpmnUP1DHrkTI+ZbguxBc43ZXawtA5buM 9GdGhX5MECnXd1r6ChjNQEMzNEg1mTZQsMskbnMwY/1rLJUHF+oTMXr5Jkh0qGEv8mDwoZLU5A3F zOzadY61ilZI4Cw3kDzZXAkhDIrXQO2SDwj0VPEK1VC8I225yNjneVffREX4PhNvfXetg5M5vDgF gjjvBvNgrlxCSWhyjwPfU+XX0tgqOtxtVC9WUGyCxak7D3bkwxAyLrBRurw5XW5hA3+Bd6iFUNHN 3zQoq7abgqm3dk2DzqFTr9kcVGwLgW9u50OZss+pfGeyj+KxNxN59cMhgZbLHbM3UVCVEzLcYXWg 203ug/N90dcQ0RZqNJRPINhZfCkX3YifSFxhu6RCRzJ95+v0IZMDMvOu8FBeN+eCEaCNLPRMZqs9 7vdJJsavWFdrzULza7kGHZtiwFecN7Qb8Rtvhm9lFLQ64qMqzGN48iiuNmjGL9uNCPGRKiaRPBU5 oQKb7C6paEkiE6bA8SD8l8+rhP/0Ihdsjs2bUwJpmSfdOJu4y+d+7T37iCHudxboIeKAUQqBUoLT fdL+kxvRZ1Yv650b9AhvMCB9E4HKLucnUVhKL3Ftb7K731BNtrHNnwhvSUKcgiKN8sRHpZCGhRbx piC0fjHUbNpc41iXj7o1KwMPwEomK8d//J6JfhETy1GjBxFMbiYmXMlCfVk2S/D9HqAgZdYGNZR5 MOJnMl7MdCik3U8kX0iRuWvaUAVRxEr1ITiREZQkopOoBptro/gXhr+Yam1NuaVnvDjH8m9H5SLQ oIZlJDEOkX2lomaYx8XDfdKqtrXQayDR0rSlYbY+pMqSTJCGu/ce+A+Jn/Qvev4ozsNoXHPNDjK9 vrUU5zvUupv7OyHD8i70mGvqFd/tlQWmGeZCnSpsemGnH4YA91gVASsfVhJGgyV7Kj5uilR1g0x3 RzLpEqFtBPcpZpqHZqUPsl4O0sbeDs5tWOiHOsnuheKLW7vYbVso+aHuCRedKLvxOZqb4ZX9ZdG6 b61oMm/HgnkP6R1J6Y66KGysigEFc4b94lY+IEbTE/ypMZ2a7JdQOFdIL2MmDzdpmCh9hy6NQgka PsAyXVfQY76f84vxMz2dOdKYQotFDdonvRG7dkQ7qMwl9+cf29vMAGQsfpbNFgA4U3CQBTJh2VE2 IFA25YSaGxFJVO5+VbcRftOqdj0QWldCjOttzJifwzB01+NU9PgJSRY6JOn8++b2PmvSNRuYJ3s6 cbSn4e8RSaFcVT1SeWT3dDd74ptvrMFDvMYx9EAA/0FiZ04ou2jG9hAKecsRC5uC6urdJa2WVXeT LgnpSL9owa1N11y1MV9VAa0lBRQR26WLOM+WNcxPjGt3X8BIQZaLYVrTAOzOto9r5xSTE/tj3JZZ ig1FGA/h5XYP7/4VOIV5TRLjXTO5Ku8tY6Ak7CiIrPvnVmoh4Sx0PnUphOJovq1Gu5CrNsH1/Smu FrOJFtFntiH/1uFZ2wk8MCTqY6/Y425MKk2Kafgs+WnMJ7sTHLJrle0qx9IYzRRuGl/1lyQO/nLA g1yTAqJP8ZHyuV1Ir4eJedsYfhxZZoTh28Ue7bM26kvDIRH8IciZqEdsniERwLl0ET91PqDznCjN Oh6LW/fhmKshwjniTqSWofvqCti6LmlFaBZCnG1amIHcWmXq2TGG5mfBK1akAc64Qe4Rk2SPbjHm MHFOQ/GncIiTFeN0tZsP2EW3bUxS2kAW+/hniAf46W/s/jWXDGcsoOeko3dEVW2Lz9BVZOQ8fGO0 v64C3HPj394/ocGYwLdsAr/XVEWtoBPJQ6JbHwqtpPgam0mAxQ7tRGWoiDcILt8qrFEP2FnA2LSY KcwO4PJJT/bY3ubLq/U8/wy/cpCd0jSLidjikMO3jRaJo97YjYY3Uy6L92eNu/wXZkuDrXGSjHh3 ccLaqwG7hqN6sxTh/1BE43BOtK4crtT0SZnVRP8Zrefk4zQywbeykDj/OwmlZa+aQEMZxT9ykZtj hyNsxU/Yk8wyfBItFTKaSuEMBggUTMxxNqMC92m5Yt/GoCreQGGpoy/5OW5V36LPQ+ZuDDe4AYKP AdRIl1g54JX4peVxd+Ox2u+eI7IHJl/BUrUr6KEmvHyMZByWx0biKTSPTPqi8Mn9v5QU+s8Lzf+z SaptGJuv82qQb2BIICSpGYTBfJSPgROmPyk6fLVZfBCYdtnp9UqA8KOsehEFsl8RGQlGYL0l2nb2 nCukk4iWl9EjAd0rzBTszFQyli0ScFg/9y2ns+ikIpoIdCA4KIN2QuTSw6GF5xhg1IARotNraZTD MHVGnd7Stz8eLh7+MQjhNvOVK3lVZHvG56GMPDG6cfwap4//gObIBiUD6qoio4FaaBOpVj7S107I 5AZee/qeBYZL4O2UMNiJZdHSYtNq9Mpnt4m+jNcAJetWWD0yGhW3FCygffoYyrshApJhABZGlhBn 9ha8N804ZHMipe/ZqKbmZhR8FuUc96W0NufD4aSRPFJ6lxJZeDuheimKOIGycEWmYnJfhWQflH5O kS3oyqRyzXf15S/pQ4Uwh7TzH2b8tO7urSc9/6ypPfioFVHX1zAQSMnBQD9XlkJDX9Cfip2WJI2F MNu4yhThUw7gxHnKVHedoevUZKaKbsQuKk3lNp69adCCuwqEUR5Xqp9K2BjLyJFAttZJ4q2W2r8x /HySIL48PZtbEwRbMKRbC6NSHjTveKoRIgWLsF54uvuyEWRrXpdUUtKsCvtAiY/JeaO7C3btbd50 CXTN0adN5eAbsPMGJqJeEQqyPV/6sby42NAFLXPnezH+GU0AyKdF6hJd3XGxp1ifPjwFDBsT1fVt slGYpuFU+419lVKwv2fbBlla1oUfbKEZruixd9OdZtkWk9Fu8vpZT4LL3ILSq2tUiJ3PnjQRcDVv LasiVgAUBFDPYAk3KCrEDkkej7Cc1q/wApqbnJzL17cD7yawCQTudNn+a3PZQ/1QS5so7Opyz6XX 18hCBUPyj9fKNoiZv/tsZwxfMjSDq8uPNnPOrwaKyxqGvlUJinIR+Qd8rGoiy9uM5dNb2N/Kg5uI KdW9dC67V4akP4rJHJNRFpHap5SLOr7MUuDWrqb0Ov/5dx9aT43RXVgYVBUvY9hfBoUibnL+CucX TdqvLaOpnie9wsrKV4bpMCyN58zFDeToNKRM2dR9dqcurzRYq7yDjlLPwQe90HOcdgArEp5aDfc9 uTodXfPq6VnIpnsnGkKuGLpGQGbVIi84AGVwODda+rGDIfkD3Ph/UuqryoPfeSHdYg11JaK4r4Yo vJx3OnUJPWz2AgjMfGCBAanQytzPd9Wy/aW1AM0dsiwMxzBEw/fH/Ea04oEb8Iw/Ys71aMEacdt3 O3BL5VEydgp7O2WpYBEdSPbTX61GXKWNvFiUSYFZimyQdu2rtDQdmU309coVnLnYBo07FeUo/SLU HbvXu9DBw37xMcnMkYUWCY6sBy4MYT2eD3z06IKUb+cysb9SXWPFLebUXy24Y77p4nF6B1wpSDIu A+C7spzRjDHcRyct1USNNzeLzGKAdzWjo9r+ckwg7xCJS9UDVuaczIi1VxRGGxDAAOnTSRVYT/gc 5gPAtldW4g4G0mdCgHBwKoHN8LBn35IBnB9CXEwTUADD8huQTIRs5CjYSfLcFW7BgwhdM/Pgp0CU Kx4h9b3jB7mAeV9fiZtMN1L39I/32LqrZ1OKctGHUzChIBFtAhRjaEcdPHUSYLcS/rPYhtzYNfP6 wuZXqCFuZdavuo8EqhsL1MfXtMzAWMPv0IiArk85m44ofhpN9L7HP5W3C/D8naohktwk1fKDproB cVsHuESk8b8x5wrdGHhKfjiHJGv+U6sRRRxx5N/vp0LQ6QD6h78f/plxPpSRMb0qk0PNcToo+IcM OIq1dlDcL3FoFd4gJfei/gLBt7OMcI5HodUiYf6hH9AsLRw8+GiBH3dMj8v91hAUkKvVt/egR+oc HaiiI8Ty6o0wnTb2hNHXxAHMtj+cMZ/arxQHve6mfDjHsisoNkvCs+nQMS3sTKYxrE5Qlp5SIA0o U7d3UFXMG2W4MduEsb9ymOash6CRpHKbCO5VoLkQUJU1pAszABZTPy55a0nwp510HrFX1IXWcPNY Y6BzsdESb1Bz1RRVcq5QEy4dmiHssTr3qAdWOVlhRwEOyxfwqCW82Hpq1WzXWhqSP62hNTVVIRn6 tzqo4mXvrWjwKEmR4qgave7FInGKmRoraL0Ff9rw4hVBW5REeRQzOofRcFOKYRD9ozuWmmQvMPM1 zanD6S7PpLKSQGhc0F45ir+M8Ahns7qpI1hk0GM/tFo11SsVEUJEvi1Tbnczrq/pFxCI6pAmUmlf +J7C33Pa0FkOjbkn2YAiQNX1cR2bQPsHQFvLUCuMnuPmuKgwImlQYM5Crwx4TOgYakh7PGMxppSB gUImzD12jxySzsZFUNUlm6XPi4us4qJNdYm3DQJ1ifCzWYY613/1EavA18k9/bgFqT8psOYJ5sSC OjQi5TVBvZeTwwX2zhMlWAczVQcDsvVpeegYWWF6hzha9qlgkuFyUIfJAqbSGwyjUodM6UKZGPtB /TzK8b9ch058ZhXvlsxP8GsSNcVVfPGFDT+pJWFjUHi7jzVZaoD0wfLqfLksDuyjpmpqeFDLs/46 B0vQZzYuJT6fkhP0odTc/Z0ml7ExreB/epCzAXBp1MTs6IcpeMlVE3DLTs6KDsxQEAGQml/Js0x1 pcjYRJX2YAYrbv610px2wskyW5fY33ZzhSBBZ739VYHMwglKJ0a2jhh9zl1wWwqsVEKD0eXYNlQS Puv7FrZnzY5Pt6tHzC5bouHa856IGcMjQSGuAeP6b4/3QzSDErZWozr6Pf+t3EDVVPa/6Lhq/Uxm tMSzeRkaHgDihXdfyLNCqaXSM1++rMVQp7K9oBq1RARhf+90fdUg+x8n50k3JsPvnlOwCmxXzGto l2+IHVzF5KorJCq71G3OCd44YFJx3d4lWW44wIstI7dYfKUO0tWZNsUCm7WFccItSHWO4k8Hb1qx 8/A18uVYncP/cJ5z2qjSNcli1B87/8wvzkBFF7lvLnrRo8wA1tppwmohbAvFLsJCepH0sRGdT0dn 7vFP7k4blakH0dcZfcse4THJJ7sVqm1DFRwIL/b5PC0WprmYAApqE7RRAVN84eKxpi68PIfWLx2H Uui38orpnFGkk5dVrSN2nm69jl9NkjtvYNX4v/3hr3OAHLh804PUqGX0CgSXSFybUDcgrTF7ndFz jdPXJ2uKzbCHJhSIVUWPF9e23em4y2B6zzqR6xZdH1p7LgDyDNQkJIrjSA4r6cSfvqIJAJ1fm9Ff d2EatXRREnUA3H/5PR6lcVLTq8otiq3ALbsgM5cGtpWnAcwDLoQTU6M9MnvzAQJCmJjT3k6ZVKVS K2mnZeXH3K2qt5d22Y88Jz1bcAz+JxSWhmn7uAGuwHCCaAg4iOnzuEmRg8CiTHOSQIcAfbdJ2bqn MNsjYa2DqlS1+FQWETM5vezJeJqwTuOQAX9nZahSwC5U485dlqHpviJns+0cOrCXhCrelNRtixvD ZNxiuD+jZez4bnCIu29jZ7sZ4aUua/Ycd1/18txNIyJiBe3ONLtQ427Ind0NUdeH63DxNZ2xx1DJ yxH15DAKoTwwMXANEdbvQhmuwaYuW2avrdnt8wXfZauu2fNiPipu+oZ9w9TGGFARVDzg3JAfdq9P Jqw9jNyRgURfA7xXZKKQKo18cdwnrHHjRhRb1f1Bu1ZKCI/JqlTqN4ZYXF85rjjNue6ql1PJQ7Cr we2w4yLOS+t7/xzx72K6+gS3vJXO0cC7GRITy/rYX6X+kVkK+kYnArEIaa9m6EOovsfqSF6Z0Ipc YeP1qciW35DebOq+77IJMGpptLVEgLUcBrae6yM6QSjEzoveISYZwTpy2CXkKR2aP55rwx7Hb1z5 jqPf8s9CNC+0rLdbVqj/UmQgENYw8XZ7/HfOYtOKKXuIiqE187RH+Btcwv8uf66cBXVvl90ELAjI zypjl5lEUqzfaVvMRzq8aQCQ7GD2riDuFPViQ+QHwCk4KALVU3kz1cagdrRaOVNrDKTVYpJl9j0Y asu5WLITNjitLOhEc/VM549l8clk82VetGU9l4ujSWlkgOO3sOp/VnByQGVVXgB2UQjJG9QMXccr xDSAwXAKLVu85kGGKHgAufKaXuwo4MCJsTEVR5QhK3RjwLpcjeGZcluPyJnyPAQZbEwKxrO10UVy UVUr3D9zBViTtdzCzT4ZMozSfF4N30PHn+A3FkfLLXz6UxWghaj0ASWDTPEq8j+mART0irfShOO/ BMspKRYTz1wPV9jKSgYOMhb8b9kRg2JmrZL18q85+frYn5DflVKulOqj/B1uLjigzjLRncHBqm9o K6/OXqfxv1TD6qaWBBM0vvK+8j3GhaKNo8RDQb90G8wfC6UXX8WHFELZZs5lq5Dd53pH+UAZA+mM 4HNq12ncHTtmNX2oLI/QK12naArXnwXhDzheVb0osXZf5FItl0EE5of7acELv0WDTXYwKiWNFlDV MuGWjP66OrswaM5BXUDFYrAW/L+7yVRXi6dz/S4FK+VTxFY5RtyqxE3OEapOXvr1eOWTFhzdIezT egM3dpq32HH/3+ryySDz030FyMe5pGtQooD2GQeH3l5Yz24ZRiOfCh07qEm4ugW93CVWmzalTb9q lhCp48FS3Nhua9cGOKlP6aCV6DMwdZjIWtQxfOMKzC/HLojAy4wqrfd/0T8pyzp8IDruU0AEkMsu QiYWhUu9ErVrFKRBrTRjI5Pmq6JYIycTZ25jptGzlgi0cFGbCpZuQ2PXTkZTmEAX6YSaI3WpS/RJ nREGuEqkN7tmqbVh8FTd9xk7LgzIW1ASxtWVcyRwVpdpYEXplVADygA2TCTesOw968FxArkc19pq InZTihBFpNQeaR8RFUA3nux10o9O/scBToOQDMI/jBP4CT0oXVjf7rXMrsI2JwMUvUZAL5Lqp46u bpB6RrmQ2SCOY8DV7jzYyRzAqf0f/8lSbipNavmyOq5qVRVlqqcd3qyKzbjP7Mvgod0nsa+gC+vH zs1omH6FSZTV4LKQ4rWml+SYJl9odDjCs0aSGJJ+ny0kYuuvcQsda00f619imc7LctFdZK/JuqkP RnTo3gjYSX53UGYRpGb2EaP5hXlhUZvbPlaVn/BPwjdKMC5SgFFMf4HWF/kCwovesUYW4Jcljylx oE39/RaYv3D0EN9QPoLCiQk4VNHT9Wd0mbfcdfQTlWBbL7i4pUBJ2nDnNOLdgAtAUH+FsbP/P8kP 1DhLEFYHaH7utWxmg/47SpYqwQW2uSCWaKwoA1dpPk4uaIqeosHmuSoqe2/Ia+U3nOTV9snbG70/ o1ujwXExRCsCOq142sKuVr5xwxgkpuprvxGKKCpVjhSWQSWEal1jZbzYuV83s29db0+bZ4Qf6/8q 1orYXyi2NoAlSvkad4kzcomOJrBfkoXbCgt/upyptd8n6wGKk9GA7rgGrbS9NFx0Fdai0+5S/sud u2Si7p73a4ws8C4nw8dcbZHI3v24wc8j1CpDhgj4rmLgekvOmEwhBHp2UTwN/SmmuI5e9YyCDZGq 2tTRCUHwQQgrToImjQ9voXa21DIKlU+3iOj+S/RThw9UVKD+ijD374w3QUEJICQjOnAi802jR3Ib gCgYVqLg93fzp51eAZWUEn/Rj4iunVl9/f6TqfwygPrzOmJdFqkbj56R3xmAD3oNISgTP8u3ncoL IsgYfTvrc4IoPJlF5ki/qz4dnHlM6OSMuhMEPvLm0R8eXew+1M+1QNjgEqux1ZjkOuy9qxguNHuP A+tuQdQKKAff3W8AJTgYUaCLL+kJzqxcqoqGmO2tFHShpVWwk7spC6IHZsV8ii5CXIaPCHr3bez0 8iFduNuzX/ptVtFrkyBRjv4SpKV3D9SbfMVxnEJaW7u3eXSxqOnRwssG7sthsvCKGG8lh9F6bTwN +ociJEjzabdnHUf8TJZbm+DG/hTb0/zP/OOmqlgvYx7l6eyZcmvksfigEVLuIzXO1puGrReWBdH0 YrzPLvq1REZJYB6hhBxVkEcdvERmTjXY2zRlKb4sQzKjOAskxGrGnWdQEbC1cJ5UmTy4kSTlPqHl bAqquRQdVN8/VbGz+JOTObh0sFs3Jb21qiTio9YBU6T6/3IUEIKJvISWRRJyvJtNgDBD7ZkuS04V 676trRb/yiC9AW8GWRKowg4uMCHx3BCpoybjjP4XPyjhSdU1UoAPInY2HxihOfaBmZABcl7HWyd2 kx0vbwUp3B0M53/40ArmdorwbFR5TWplORUzwlx/BnSyoecOP5UBEbf8PC/VpYK9l7wD1NmIaG5x MThBWM2S+tJtEHAU3Bi9XqDYcHi8YqmInDnDFb3QnK4M/0OX2o98Jl2FeLOdaBOe8dhlxUJy8iCq bum7J3cCxTpaiU1i2bC8fw4RdRQPpVNeIWzhYjhmkAl093wfQZ6UVYkpFvygVgo80ZyOlwLYfo1U G8304bcBoEfFalUJc04gyTK7aSC/NzVykG2B2wWwwS5sbDEOxt9IuBJX7prHiDIu1pN7aYQlhNrF QnSG6JT0saqDMwbd5iaibIVMGYzHcU2seSleVoE/mWq9ASHfCbaV0Ur6WkoXP40cWhKjqnGN0B1a KPIcHY3Z9L9trCRd/iQdm5lOHnMNIGW1tUGyzLKoh2PuSn8YTiu0kGqQHYAYstdc5WGD+fEIXhOM u7ZH3grh6OXNtP7hdMkYlBZrPhT7Y12Toglx07TAMr/hrzjhXxLVO8wHB8RJZiGKS8qu2NJMO4Wd P+duE6Swhm6HRCSnUr6ndtXbgY00csSihtpXfrsiDsqLG2ciAlISakNZeDSn3ac7S8zYX9hz1mS0 QWu8Za8W17c8suJ5KaYh/E855Yanxl/v2JSqXn/HrBD0xa5kUN8quFdCbkslJaJGAUebrBM81ktW ukDCNgbQGDggGIp932FxuU30Ig7GXvHfv4NRZmaDTVGQZfqAMXEX2811yT6wUf5BRuqrwRLuMJXo 2iREuDm7MDgSm7l/qrNNlMluPUxLDOnKKwtsUg5/OQa5A8nXmJGvBlsyTBfzzgl74b8YRpTiVFVf yOpI7q+dahV6wfNy6csbJdI7Kku/Z55NK2S2hFlmqMf8mvtj1J6tArL3dvGZ547jZenSmr6olYh0 3zznJiLSkPCNp3Gsi/to764VNUUO11jfbnVvBEbGrYdMk/YK+3IFcioEGwBIftbq1Xmx0/gNSIZ5 FDfG081gVZrJCO0bNlfGR081peyyE16Hk93//ooHsjU4qNdA+DK9cqp8B+GlGae1Me8kwBjgvqZy LI3CIMt06sQtK56FzZrt63A+jdnSuY1uGS53QKtJwEOZFAU1WEW2l4dduvh7x3rUkSZ1vajVY4Jd hog2qZUZzcGUAqQ3jrHT6oaWvxLcqbYY4Gw7sVc48I78ppUiUi6JFpXz1suumGJxue/K0+vCQEub xz7Z7EDvyq5d31ZHMg8+pnnWQ+DhOD2j8bgYkxSOK6ucnDc8sgD3Rpte7naAoSpm9VX/GIgG1Pb3 XnXRpwB1dff3qm9xWRuapazF0XHnap1YGcDqicyCWpA6PUpwMUYsMI+3osFwK92cocQW5+5VYcxb N+fy0EPXK7A5hzoa2GI3o7crcotg7+U+mysRVv45jvJgvFHTU/3Hr2xBu18ER4PAMT1xX2O3RomV da3OLO2Y0WtiarsULzPGMpPM4T7Rg2E/0Unyf4Rtk2pHpPTdMYH1xBdIz0+eFaWajxYL4X9iQZvq J6QzwMhr/6Ig0AMVTHSf99iKY40QSuLOLKgnmTFB7/QNtHKmBK/aRYJpRpNBxgpLAY3dLtVqzVfm lvenMNkW9eaeqlcZAgWYSBboyPPYInui1AqmtNTR6GDkpnaAGxGByfxPWDGeWpVV5gCLRUXrus7g DOg5/sO1cbXur1VujptukXmwWX+kPihWIGUo7Y/u+fKGyFo1qVij8YpGZEUBuXtJyqpRivHMNaWV FMSMqh7Le1KIHUc9snMmmCkEwTofD/fVQa9MDOYJIoSv23lNk/cYEIa4UMD8RSw+gMszlPz/Eojc f7VnSyJBuJV2eUK76B6iNgaXGCiY4nPexEEpaE+bwARa9aq2eEPV4RL2H6MOsm/2NwSN2TBVy/V9 mWX14d9wNevxN0Wm7i1ULrV0YLOBbIVWWmgz50oQxh1lBpv3clcWA2XMecSaoOt9/j0BS8z+ZGEY P0HriC7pPDj56edrQ8wd5tCoBZOCmPLSSwfJsXjee6WVf8fXqIVuDmg90lFAAi3q0OVk0lBLa5CU uq7gw9Delz5vWmXGUBAUkPim5M4y9zTa8D+NlC0OxFMUnaE3cqJ43Opxdk0eZoai1o037f2AOx9E mKFpvRezLKhvvtcM+NyvIwwhkvu63j0dNjHjcBQpHKvwzEmjJp2ABOdpFq0EH2i5VriGC4AQ9ywq 3Mm6xMuxeqqmXyslkFz+dSq0LfRxXz0N6RQNE54gjpxbCJUgPtb7PMnXGO5NQFWMIMuZ5+h6koxb e1QvbPDld+GDmEwSXAxEf+ofG+5P7ZQ+RiwIKChpycLxmgq1x+PydDjDl+k7yqWpkjbXk5zJg4WS Sxb3Jxwz4v1YU+eYWhM5VyDObxOseHLk2ifZ8fBuDauKDUEyvuVLRBJR7EpzDXR/4P1UbC3xhdNU JDWGYnQcAtsMJBR5kiWgMhpb2r4S+kbisWz5d3ShRNk/ljCSwgQwyVfvwoS1qicpxJ2kirfexr/E 2XrUu0ytSFi+OBZ9kK/yhRYAF8d4gF7FzzKrNfvXesok4xVKOZld9haZLF+AV+ikGlVBZ4v2uXGC HFhZJYx2wf99WaHeQzdkJ97pDXgzOOxmvsa4qGHDwP3Wkwi2iiQnn8LLJBbChaICaI8U0eifkg+Y RaODmOgGMpfD4KEr6yREb3z38p2Eqi1FhnyKZ12ElngZeHcBNce75/9H/inDBII9leR18ZGkaG6j CM3WApZbTI2CX+RN1RJsmRGKeVHPEe7X1G7P/VmcTj4xbuE14HEi76sRsua3Z4j2bTQDWrS3I7ki Ti464qsRELySeHmyjxsjT2jr6PwTfEDBvLUIu8QvdiggbYrqiXVp4PlvbeWMrVXMC4h9zAfoGcoD BSlX5euKHVmuN0Hou4DOmE3dYtKCGoHH2fmIq7ztV4QyGmFAkYTYKqzsblVZyK5e6Qlt6s8YatpP 2NVEit04SrERdgtBCvKl4Vph6HV5rDzkjvb4fsKqvClxcAeS8jrEUPM3D8UxrJTUYX/tzel4JGat c11I7UUV4Y/hr+th2u4bHtl0a6QmXMabK+T7eTky+uLkzfDHTNu52mlY7UU/y9CXoWNkHYloHnlU /3I9XViACXZxs2f8NSlrFZlyKJXM13JEvw5dWB77mIcqyuUzMCXUSRMsspw40rvwlK+sIeoNICoP sYK2+Eyw+PqyfnD9vLFgtxFS2XLkMidC6Ii3s+pk5/FrPeUI72/PTfZBejmTyyYQy0orjUp/lb6h bOGnxFwepNN2+6z6SfPHVU+lo1l+fpSIRTVxcwtHMaBTZ5iumiqWeZFAuTo/ah559UMYXn6eBch3 1d5dU6485S9I7g2pEc4KFVEwlNeDOMYRtwtMHZuKCXijZM4Da/oK1+2zfuCIdg3ofpJRwbbUEUCD UfU24pTHDSPI6MIFLZtY1ddJ+/Z2tnEiFV25fb+Lsub6WsRCJ7pm80otOgMq6+3wZyuj3Ylgvk0G Myz/GACyyC63agw00fPWuakn6C1Xydnsv/hY0j4/E7No2Oe9ldJCCzn0VFlF4VM6muM16vmJXd6Y QwNscfxq+W5TXNkMoPWs7ghrz0H1wytlq3U99Xbi7dgvkJJkfgKfI2NLdus3Wg6L//Phe9ZGahC+ G7b8rv8qfAPrlVw6jkTk1K6k3Wv2TFITh3Q1IGOpeAWbJ9csHbtRELyVZ8VHvV+p40ekqS+kb6ww 2eFvjMY5VU37KvOFef3dCdmZ1YtT0wm/f3NXZjUYeBksrOD2AaevEuQYtUH02tF8SY+6bk5oA4pt IY0DaXO7uNtz5+JzgNQU/bi9GI5jE87T+6tOeY5JHuaZpTu7XGW1/ryuCV26EkFJPvrZAjqxox+p DDkU+78eHzVJVyW0fPrFFz4SbEuaMyAb4loGRVHuYzGxrtAK5RxTppNdGdAD7vC133R+As9b/m+7 crLCVhy+cyrwoGh/cVhYQ24wf2D94E7R3o6IgiepMLzEus14KGhsQAEtR2x3kQRJ9/a5EscuIM11 D2ZVJ7ey3uXzsHVLI1e5urMvaZTb0OTaD5cLKhkLHSdiE4u5rs5FN1D6nmWKYilmYtknoHfZJE3d 331NYqDAPkWqmkED7QRMzNnfw9SDiBKUe/GqEah1K5r7s0P4xy5guACL/G/xyztp1hviO3GE+2Zx OZdFU2KUY69LLC/qxfKn96ob/lWj3i9jy6ti5EUTXhTE3zRpjCXlAaUSQUPaAGcZJpFJdbe4q3Tt KKmw5aQfIRdcP4VQInB50GhmUkfmhgrL99dXtPWpR427KT5xSiStgX1tpYm/6TPBcB/m58icqWb5 u/gXoEGXIg4ki1M7SE3xmlj89ap4U6Nx7RZsAE+71FSHiNEGS4TKXYLlSZ7Z2MqslpeSbc9HKHUi hO8SIpf9TZGBcS0R2xwV4247xNHJ6AJ7dws05L7y023LvEshrwfkF2i26vFVDTMVFy/oTllBy3VO ztIIE06JLC7VpYYwUDWTKRrMIJZBbNF8S2yZQ8dUPnqYWiuzTz5AjHXwHNEAex+uQbNTMZGdxExa T0kjRsAv9+e/+EiEafeBMMdwfAorC2DnC2yXqvuUI977a4jRKmMBPIHAieIyoAFMINXeAHGqPzv6 /IzuiBNr41rakHiPKpcGQzshSLsHME65b+a6CtqdqA8B/hlwpLGimwvd/CgQHBW/Y1s/Fvq+99ng H9O30HGAaEfzHmWhxA+IpYKYPCTFVzh3M2SKw4ZGlA4N/5cxodTrn+8Sem0aD0Dyoa9oMD5PzTU8 g/Yv6cxjldYGk9xlYX4qPwZKvJ3gKiRiSJme9riN5HJcI00SJA2qTI1xWwQDTD2mJv+TAeBdP4nN /PSD1g8CBjfq0LBW0ScKM2me0XO+jw2+o/Uk02uEHdh1dQwclxjGmzmSI6LuLrHBviu7uN02MfZp H8rFEWoAlxWXaZrY3XcnnJNoVWpayf+w9vQVVwzf8iRgUOHds/AxL77p93vojGkdEnXpyVO+1d/m xGac4pkZkpf4+Hmg+PbkyURyQKzVq97C1VjXtJ7ojQNnOcdFZiv4F2RtYkLspSRnX2OrsdC25KKI Obu0tRU2QiLhjvAsvRfazfPIdIoKaqwNKFCJ/OEl9G7xhK9lgVsi9u31CoxuRSdfVL7GAKHaiInT aljhpTNHe+EdR58tUR00EgfQnCD5IHdqq8L6cttEOu8w1J4vpZNdzknFx3VuT+wEHp9BcI8jHku4 uOiHV7pEzlqTkGiBdBm4HxWLXbuLgh2Ilsxo3nwh7qGbVMfmddnbhkdcZ1wwfD0iBCBhb09uikoF PesumlyQG8ft1WhMp10n9cDlmKkHHe6ZmoXLaQxU4P0+9U6vM6PmWwkm21in7/nH3rbC91sQBqSx 3V2GAb5CUCjfj7i7dxxwFktUU6ANjJndOo1IEHPMzGU+X3+JHdb7AVx0/0AFV5ZknAKEDemuNBpP 0i+Hzl3UYcNVfT4FMcZktDZRfguwDqGQKt1s4+Ow8pIzI8SexR03UqoVQSF0zJubct1+ilP4YbQg TAZy+yxQl/poYyzCxIc0hyWz6628VMLBuzsnNjg2LwGdaZTNKj5WehlaJv6YImzgUuwN9Qizr6/T U9Fdp7BFxBhX/6g9qWJJiYwmpVSDOACMkVIrjWPXFHEYJZKB7JDxTNZil4NKRDDngcESAPnnztiu Sk/hOBGgD7rHdHfL/Z7GCOcz4qaY0ulRYPSxfRzubhfOhHnxVKc4uRJzh6kanqNnDIN0rDEgwPaf N2CXqMVJNeHVsb1fySnZ4W14FONRKEdQ2RUb4W8+b9qHb5NKM2YCdNwbJ4o79XV18HT7iU1ihmJh Esz2mHfxjTdiA5PULPhNnkrh9ephll/os94WuFPi9hIzRrqGaGeQ3usJZdJ9wj8qO5N+ufC4SRc/ /SehxBzCUIOMF+Yf4AePn2V/8V5vHjitI35Ib+kBtkcE175NhMea8IJjo6dnxXBbXtqC+uIbfWRf vKwXjNcjAHbMd5PJVC/g+nSS2cZkp3te2cyR10aUHlLGWmJfGQHdkDK7JQQfJlgddfgjM8/ZwzBl DBffp9uirPeere+05lPtf90+P1JALWi6gso4nlSAswSRrl+EJlJQeEnCOJ6uVWWOh/Iv2Sg80+rG Ju6VEc4eQsYQbxdqpHGD86nf4eZ7XASrhHh9rvr3vqtar2RxzH3j+rkuPFcQ46Rv58mKAGAy1cAf TZCKPoeIL3DDKl/7C3OZ0mHrjozeZemjEKcnM/xlPwFieq5qafGJj/LOKzP3qLGIaan2JwO3HgA0 Cf6ebFL6D1r5qiKn/6YQSo4xNsXSEPoYTzN9RcHEeYpbK7p3BGwMMHVuJLi4Jrf14BNM0F8hhuO1 +ciRLwByLbjNFDRExsRl0huF2CX0H3tIrvVLinUpsdd20Icm/JldhqXPJTspq2Q59yENelE94pIn BIqzSPMBw1BlltQPEWOYqB8MrS1+/Ep//SbaGeeiFGomghAg4ehPmanwaSEPDsTgJDvvRpSTHszc ZzMJM9oNzCPxKhqKow3jsUbv+1KS0+kHaLuikjULOnbqmcAK70v2sAAiuTM25cgj8DKoPt2b0y0j PKjz4K3v1dmP0HH8WsTzcNdQxWxLepoalkRjo6nEYptdAxqBwefCiSD92Cg3glCgy1wZkDiS9x9h QGSs/aAEo5q4KL2b/5AfphyFuLzMpPaIYLdMv2cTU/Lz02Q5HOFL+Uga35Cl/kD8VCRo0jjK2YKm vQ3uoNEveEGeMFKV4OrHutKmgefvYvCo1Ky7OlF2dSx6XprVi34C8GJvjH5tEcNIHomgHL9gHSh/ wwhVV/BcIWSpZ0TErMO+PtWAB3od9zI0aa9D5sSf2wsq1ckPeekDiU0PgOm25KZ78rUBVorSAlex 3jU8d293rFcaNhnHjM58zR/EpcL5l2FGwKrCRpkz+MW1+m4Y/z9Jw7vZp/kuMvaWL5qaVgnSMamX xHQIC7d9oTNVu/2LmCKzXcZnz8a1y2Q1S4UexkC759L2xwysj9AXFjOwCsC1iwYFvWrpC41g2JxE GucNbqhyXtlbpunSPDvyXTWg4COR25DhPxnhYVyxv2+iewxILk0zXbvWwKFa5k8okVzlu9v3tcTA rhTbJwiJkT5GJj9bfJoLboeSy0td1Oj7hDNOepqGGLVvsQ4r8gCQPbVMBGRN0YBeZG6Gc+fLT/Gp havPCBB9Rj4bHo/1XOSoGriKsmlZpIyq3sViRk71195n5pz/De2++JFQ9C3yYCxCdjRmFXlwyTqu orse+3C/tDJOwxOcfDAiyREcU8tPGjXqGF6Td3YT4NVfIyfVB+KUJevSM06j3II46InjV/Rbv6Qk 11wSollCWMnskCABPP9x1D4QmEFThqgXo2b7EOPD03Veh3FcwDd71DXxdN8xV14DVfPMdKGWwW1Y ONzHgg3OO3xEmqLcVjgYvbS2FuzwgLnnYygzNp4wQpwcfNs/NgQdDwrHiE7jBBDIkc9eoOJO3xrI 2PgaNe3sa4LDkhqGRmjryZfTPm0ySg07PY2NIe7MF5gMAS0absETeC7Dym7HC5tTAYlijlrWG7B+ chWEtCi0dyjJbCtiMCkwKeJ/NYHosVKVzMXhuHFTQy9YHCIYyioes39BSU+EySukcZcoDY98uZ2j QF/xOQkVvw3rgVtSBZq6hd+V+AK2J9hC/b3ogADC51rmZzwy3SnwUSv969AKgDgTbgClipKUcL5N rl50hX3i31Q6blzrGotXswRNpmsVGws1HQk2FijzvHvmC/uFZBXVaGudN6ZyCQrhuxOS+Wbi8s7W EhHNTv4mbg+ZAI7QpFydjYRwIqkH1jgRcpONT0EChVg0iHyQXx1eDbwd4dmjGATldlOI5bqAgH6N 8udMz7IijfXF7UlO37h+QWDsoMSDxgVlotJD0hip3GabeWSEAUcbqPBLvhztHFgq5acV2B9esAle lkg791HzfC160yJbQoQFg6hn5z2lkk+V2rvZ8x9dlKBlo1RURkHusLw+auk7Q7msk8kc+ZFUKTDw IabfLzvqi2b12HRM0QC4OqWGtc1jWhWMJO/y3zxpQSXfBSCi8ImOmFKKCebddZDmSH4JPFbtBBEG ++2An+SOyQOJrA9yHNuNDyH7vhraES9FnSMW0mv3acshFFA1DPAcw6NmEpyyDTWH84jlmXpC/5lX K6xv2bb2aY9IFajX8qmTR6OzFCXWg2b95CQmMBfFIqDwYQ/p+a0zL9K/L4ArmVnjW7UYX+W7yjtY WmncgswCxRgMv1psZhcukC7oNoigaChbxJSkzcLHzPG3khOA+vfA4FrVfVI0Bra+6O97IEQfNTdq 441c0zMm1styfdui3h8b3fn8CpFdcT+uRWDrY/rWDKM+Olns0YFbQuLAhJ3wwvEwmKYXJoYTu2jg h1p+CadDTh2xYhpPW1h6nm2wo3Fz4MGN1lvTTX2hXTSyy0GbTTzkFk7tgb4HwDtqd+ZifmFlygF3 Gia2IrZSZYbtMTJmkWy/HhZUldh6EEtVfI1EwofVUwGbX27VkzUP7nlNWYEpU9xdqwEYlpWvxIcS +yci1ba6zLldxQk+dKklBl8KOevoncL1HkYxhZEVivGr1Cd1KtLp9laQTeSjJauRxdd/QucUxWAr /N9DUAn4tXnnBIrdoSWE3UUEgREGkQrCUoTs/neec71qEnN7wcEodBxysew7m7yudUgMRzNt7rlH uPZHa5Wc1ty0uRLxPDxNCk8/qx/UOTSDhdP6vt96qijGkTC5Mn+Rqz69LXQLY7DlUgdWY6HR5SVn wUFU3CbmRkcz1gyMDEZox1OAYdP58LSbyyTV/0f8U9s91oPluq4/6BVrhOde2Hjz6Q5+MWJgOggJ JXoq4kXTR6eV0gthBE9i5YPfxAZjNQ3E5pzfhxJgkm+eeTv40RnGhw/n/cjklWHU2RhEpER4SFqQ 4klCn0bMMO7JDJtzPIe2jsAbWT4pGlK72yrZuDZsXBM8oPBDgDUjvjH6sJepKVJLS2BMdObH2zCL aWMyVSEfbq2ck64Q7imLrDHetD8Dni9+asp+Eu882PJPjQLyjUwvR4uYS3d7CVo4DWO+2Mq66I1y SDixsZMWHa8bqjUCgIGchFgvt+uqkboOJB94X/JGsUBJMJi2MUVvbBv5as7hElxlYriAI5BloCXY Wns76d0GHy2qEsKvHNUwICp+0EMARockXV8pDfTWtKCPf2bidd14E3La81NBVv/3WsKW1lnhIHz+ ZQg+P7ua/hLCfsRsDyerBQDsBmlZapIn0Haib3LY9vfHfu64xihPuE9XqU/Sk88Wdu6SWxYwDCnS r3HBu1b9HNzo8J4COWL+UIAH9JnvFXZKLPeu/oKJZ9Azp4Wut7NVCiokEt8szWUSRV8nBuFxuX+e bJDzNjdNpeYi1cqeg2wA9pSDWGkI2I4N6wFgsY95SkLkAV2fXqB/O8MgfMAodBCv4KAgxR5l8dtA mrQ0Zl1CRzUTqtk7YgVbmApiP3+ng0JyaNwPNOO+LZtp2eVTNBmtAqIudjTxEI6KFqLdGEitLRyn 6HzdyccfXnzQrZGkmFT7SR932/dnBh9kZq9GpQTLGMOEqVrIL0yhbplaInQuAwGduU8P2TbfYG1t jXEQpD6Vgwr1Jjgk85u2zlvc/lQDcD7u59Z8R4Fb+Tb1gfAHBDhi+qDZW7Svr3+LsV6QpRCkRCbD WOWjlrdsJ2qegXcu43oHwkRf9cNAGp69wp+/xIS5qqj071YMpylOZ1iwfD7pohmSzICKbb7s9nvj OcPo1TRvMeAgzu7fsazPZrx1Bq+7JNp5n2PeHj8Q6s5eq0gDLbJpGvUUj3tr5O+yinKVZ6YC84/U 0fEzn8dIZUEyrYC1GLoVz3X/zxIZzCvpiQ3VqouRLYOJniT1A49x6+RH5TyVOdfaYal/O7tBXhXF 0VRk+d5B9f6P5btnFbP3h7lamSMH3DFBPgNgFAqJtZn805tyJkgCOu7ON92UdZOoRYmxTtNp5K7o 9VRfPPbvBGYDH0Qccx0+oZDaete4GLSxgD0zL2Rl6Us9Y8fbaErc2RDlOeKzKawTauVK5Qx3e0yT I3ZqcJrFb0fD2cBtrr0QnHh3ofdxrHQ3kfaoPwBU6+1t9UDEmJ6USVvNtoprE7c1gXuy/nUNgKUV 8dqKgx4sKuDnzEmoDcpl4M/S7UF2V0ZcuShfBM1ueFbhZ+JFVEJeJPfipFVUnb/KEG7XjaR7v6Sx OEH98NoHo8ITpgA/YwXw6dzx+Vx06d+yjpyfmUT5CD0qEf/ORzJIUSJw68d5czq7Z9MMV4ze5nRw EocxW7W54qpln6JWuN1plfqPoSYs81LLh4XpxLpIDyuOJqWfEwqDha+JZgsG5e2a9SElTN4DJEws QqtpTVNzPg5mrYX9/TlSNAbhAldDBXXxH0pdTh1gQb+EXJkEyGkfmnEaU+sY3sisXHN5lC/BkMfF SqecD6sgh7iAaTRa4QNe65l02LvsX6XeldnWQ0ytW6DkS6iUkbe7WPhfJX0/MGwN6l42+jTXV5mY IfzeQWDLHk0yKhKz0kJjQvIhgPohLdOx6lXjUUgC7AWSKPtRspE8htQBdxaApwemoIaQm0JW3HDY Fs2a0E5bd9U8Dxp/PaSiVWkglYsDqfFbi9skvvmELqtlW6NeO3bWcN2XPL0/gs9usCi92tgDTCIa YNxWZqLYb31wOdWxjXaKerILVCkcCPBLFc9xpN/Yap0Nk6Yns2P87WU0BZvws3FIzMs3z8K7kJzL 3TZ5hlBeIMZ54qZtYGf4wartVEULpBYf1QjlasXMn0XY1QZMqVkGTFF3+9ZGwIIqXdLpwp38doap p8IxnQ6qcVAihaKliPIkY8IpdQu6FmF5zINNdL7NKuwTfUmvMJXDbpVhRGlrrswajcpSksii8IW0 VsDjSCw7d1RX1pbemia8bTx4+zYHdTOo8qoZCxt/LRj/9TrkZg47KPHXajCLV2WSBWlwFNAiKEwF yoFE8K9XBA/TBPSMKUdiDGgZu6qI7QilEaqLLZUU/pihnnSarIo706YyRtfiILXAWfXF6Jdfhldo VkhF29LJsmmdZPh+9OXcuxr+dw/7V06qhgcGx84z91zdfi+zkkRd2aX5QrS1jCvw2n/shbDMkn2Y fxirr2YxEh9emxrhAOoJV7IfJFAiFrrMtT32NzEd+EzOPoyZRTMUvaWQv4X58zSGws5dS6amdnG0 LcS3Bv4yIGr/hc2I6yuscfIrIm74q1ZfjMTdJQGlwkYzk30lz18z3WQ2iUKQar4pMTHyTFUNZ6m6 k6owxG//byKahckpw/ipL3gL7UwZOGVtFpgIBRSd5jJKL7UBPHcZE/MFlbXLETQyrHanupsec6RT pwuy5c0lst1VUVZ2v+QRhcCJLaItgZFI7eWKwX3x2pVgBY58FjjkSZFljw/wPQxn/39HTQ5tOG+U 7pcBckAfvnRVWtsYueYRr5Tbvwori6jHwjptQyHLtde10r4BiOOewRRdzAqY9smE7TZc1DWAP6EM PWGqNYZnC0s9BQc4vc8GHSvjmllSc74kdcwvZir3xmjCh3Wji/Isb/mWyT4QboLj1QdcqxqrHGXp FfUCvQ9vnef1xdKzVxge9sMnodzeDeZLAiwYoarutH1PecuyKYEBUiFmfK1VDnZ/NuMZOT31L/jQ L/f8NW+YSOWG3ocztuIilPRrZOX32cffhOnLTNJqSEJj5Oo0YsmjBA4VcM3v3FQv5vWhEwMZdgb7 vRPMqly2ZYOZ9NmQpxYm7uy0bUyrydn1Xri+UC13 `protect end_protected
apache-2.0
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_3/7b6e2d75/hdl/vhdl/wb_mux_gti.vhd
1
34470
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block dTYGayaZyVnn07qiBJ6beD0EA5dj0I7zXoWa7KrX0WZENQni1Z34P2ekLJ4R7T8+iP7GuB6rHZr0 63NcwuScTQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block NgYUW2kXaTrEwXfRuxXNIlb0oIzrgLCXNg1HaEhPatW/9IlYt3GOAzTmYclz+Yt03zOlQxDDyWyX OIJ28OuLFC5SVnlT1WEUtbDV0BkUxXoULoaLvh6/lQD55iubMcg3BTlcpeNHPUtE6ut57OKM7WeZ PKGY+LJsRaAY9wXpmQI= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block YeTy9/kVfAiFTrK7xcH9gQApeYvTO476M+pM5TyAJAUurMXgaZ0fR0BSwg2W+0zCjkuLR8SSQGnu pKRiOgnhp9MyNDQ5BszuL54pRTFLZ5hbrBhLCwVHGUTs2ipklbeZQABJeNkdkKz0f4xLndVUvvcu 1GGywlY//8eEWVOOoUb9d41AKWQyBSNo+MqHYbqHbHf/LTSR46khLpDmyolmxuhokqa7DOl86tFq 3M0y8Q+zc6MHzmP5Syhs2Y9evsLmdARM6yO4qFNtNSboQ53XzmISHaNTdPUYKVQnfaRbLr6BNHww XzFmMdsAf4px+/vtaeKybqfmvuuXMX9AKLETfw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block qs6H6Ru6yDYdOXB5AQEDgXZf/E3Q5Bcs30S9A0u4LwkI9AbH5q8HNEbqaREv/TfQ50qGxsNybxYg kvNv6TpZAZRtWlujH1re4JAYRMmxWbmih+fApC6HZ8azD5RFGAqxgInkvF+/i4pEI4NlCYc4B0VE 08LS5bomb2+nnxG5gGE= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block sSfZeEgeyOO/FkxfBCpUaqqPjkdNrY26h/1I3OuCbM0hnDBo7LLa1DJ5oQ07vBlXG50kXDUydubC EIRA6EpFhHT3qsF2E451IFVHf3lN31JYZULMOFPiPt/T5y8eJhwz2cNheUr78ATPwwTpphN6/5kW ptebCtXVdWvnbpeycyb2ld9az5At4IMFo3OKhw7bBLEv5ASAiIcsHjTIQHAk8ae5Na+ydm82XySy v6gGTwaKllvN89jG5GJ/mmDuhh1o5nNXXHh/30Uppk8xe+zjzwBzZDBFXkfI4qANzMr7EDti8RWP TuGOVkcPsPsXBN0PkELTpAbeKxP1BW8yCfj/0w== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 23776) `protect data_block 4ddBObCz16f1n+25Oh0U3IPIccNYuBpaH2LXWaSZ1rQu19sPC5JiyXlhCRACZA8rlGmXXUIYs6p8 XBvksQ6YBIBWvCy8CTDgiD9cIRGIrlhq0knv2ahxPKbCpNhVgz735mXCMf7o6hsx1/0nK9x0sfcn VlNURQztGt1g+peLWJU78T7FAeafE875oApzEhdAT/VfyoeXu1kk36ObFBGG89gV7RF08sVSC7kK Po4Qx8/RFRaqctrHbeSWtSJxOUdR+o+YSfBsP3LftTdHw7U8QVm4d/WRPoyP1D5dzrQp/Vlavmqi qSDtO1hZnhBHclTaDY6ceiAWjc5FkqJdX8rJxfvS8aGexmHq8M0bof0IQyYXryoSGhj51DM5WceL nWFFjdU+CxTlwTl/61wwJyicwVdcQKhirF9/piUti0uzhTVnBnEhqcalRowKWQ1xRaoLZVmd1QmE SJg9zsbvgwxXxmsGC5zijLGhvJ/jAoU7PDD2qDt46ajGhnCV6FqK7C9Yj5iIocxmGEtdR9fZH308 ebOhaPKQ7QrAeuDKApRgKx2hNVLAMaKcnKfFXOoUssJgMjbezft9JyZGrSMfKTAU802zQ7V1VP6V 424AeBru+IHjmpD8bZLkvtTo76W3b3eHbBs5FEceMcU7DkOmpKfSF8JXk9iNzt0gUQDiAnV6ArPa NEJarSgNjVIYZjPfMdS85TsDMmD/sX8S6UOMOu13UCfNt+Mz7EphzCeEtehSUDxJhOcaHrcuBiB1 ra/ax1yGK99Ssk0UVKI4k8X6MAX6ok9Mu2pujiHVewHawz/NLsFxt/vZEn4qATKwZMjXH+PtPzGU f0WPVN7m9iP8viZad0lWTE/bpGe5P3ZAxEQvi7SNn9Ocenfr8d8i3LPDmAMk9ucPGUcTXB3KKBQ9 aBr3z8VNJKhmikvfkDmK5f9FV4PyQeljhF4PeFssdIg4YR3caqaHlf5eYUpzIO6hy/um6afPvcam nceuEZD3iM6y39NY3kqHootZC2O7nbp78IL4aw+CBpxYW2tMtfQD1pZrzd8tJhoSLldGc4G0hDIR koS5bcXK735Bgp+gR729p6QT5v7Ek+O9BZbJ5opAPwusuOmsOEj1ZlHvn6dN7Dvs0VX+l6Tpkfdw 9zYFrwJKeLPUNv3+A6bGEXcyxVhEmR/x+/OOmLm/3MAbe50wqorUtY7DbEsVfoGr3hGfN5IOOMVd gQF7+Ja6rz/2QA8u4AgdyWsmSjMabSk5o8/kQvuRN3/YlsESaVIj+ihp37ZXBJ2lRM8TCbafQIRK teUiBwXnl5ztRrkNjjNsri97Kv7OjZXlBvXHLzT+OsKvxcwUlmurZc99FEkxYQd3+9zmtlVnlfro lfl9JtYhstiwvGBCisJQBel+XK6rI4qts2KKBcEnXkEy6DUB6TolMV13PAFA1TeLbVJDSJ9/W8sc tSyCL/wyroIEa7mQpiKqHvliy9YMBVMZXdcBxnOWKdLo2AvpcY8w44EansntMneObewNZhRi4cra YhwLXSSzX4A1YMa6iSZkxZyXavzDJmxQl5tJYjXrsrUzhWk8/RN2LKhJ8RP5P/0zBh+RTx+50fxG EUG9rkk/WlrLI7QgaQOsbQo2VMYQeFDBSQI3iLZVQZ/nTctk539YETWAgJ7MgDlcQOV9e7G0NtFT w3pTmO/bmYWksjN8hj9vvEe9qsMHP6m2f0ibHkMdPa7XQgvk0D5frqP8xsK2XujXbpg5Qwbqn6Ry iedG6IBbRNlxhHI4uhimqdcTi0A+EMVSifGbfjP1KI3XgVn5vFAZmLFYc7zlqj8kXpohTcDYR22/ dHM+gJZS58FXoDzLXl57KW8xLccqwzY7SKwEjyY5c+KqR0yiDI/im5TAdqX549rvmdZ+QxtQdURt mqdoAPTaIlpt5/r7MIqOaugavWX+vJ6cYtTGw5QRUV1p+txMoW3nuXHI3BPgA9Y544N8mR+1P5TP +viHi0D6zuX3CmoJRADOHUmgVIjZs2yWZfDKouFcQz4iIep+EyUwoHPJ8K6Oakl1kcNNZdpcMUk2 9Ec29AMYd0RLdx+7DzY7IgCm20DFtfnP6lo7a9e061qNSRtZ9afsWNey/Q39CYd5SFayvGC1mKML dsdGzq7Oy0B8mCscb6tZyGtu4INtzLYq6Ze1e5FYV3HgVXDNHJtrCKXrEtGmnSeG2N61z2qwPASL ZCHQB82VtKEBoQ1e4XHMfVKTxUPX/L8uFlE2rYiriAS3R6+rtZEOkh8F1IsCzSks6NynFRZHJpd2 SRA0qbGMRHGjFY9YyXGfamE4N8QxZW9M8VeXC1GO0wQyake403xunaYh3PB7BBoDnz+CKrejQMFd /whFrKvPBtlb+2ZJX6QKRN91daSNgDcdS7CTA7rML43xUQ0gVGsU+XIeQ8prRqLhQ1TTj49gIzkY XicJ9mUD4EKngMudf4Nqm1NPYhZZC3aJjmQhbowy7smXfM5yLFtyMUH+LitUL5rpBspV9JHB/V4E T193vnAft5NYSoVxhVqw+jc+9pq9WQMKnuLY+bURgahWVyZlUosVR7w184NdBlDC8XmsmQAoeYKs B43k9Pk+Bfp7fW1Wf1yuXA6XLTjn9BK6aipalDAhb1C1egXY/FWdd2nqLsEyR6Q9ZzrTGWqE5JOW H/39B4YEFRj+bgsfFKfhT5L7xT307XKfNFwwa+iSySw9s3RZ2/wd0ySBSiQHGJaFRs7i1K6Yy0L9 Z6ZEtfksCv0xkmW7yAMqoQiQJSxWzebmOXs6NCFJrv8mId4vMnfSLDO5qyzDGsUubT3KMjvBwprg ijBgQKxG+PiWdS9WtDd22GriSgGyLa+a6RWSAZpbUJwY58MGn5mT2O8B2N5hlxPR/krzbonScaLi JoHqwmmTHd8E/LiqE8J++XQVs54Mf//xLWg+19uST/iV3NL9tWugQ7E21FGHG7rjCb0DkT1B9kX1 6GyROwZSBvCr2layWJjJ4X+tApntZlVT8SNzhP85EgJSyzj5wZztf4NLpr7k9K+f8wgUN+LhfhC5 FZikvZqSJ6ZH3C9xi36yd+rGcG98ZGyV1lPP4pRFTBI5I62kYXsIHn1EHrfAYDkYKdzPqZBRoscP nNIMW+HlSE+yaLxc1h+AUvKoaHUCjgSiiMzfdeiPozyP8GV8Vha5xbuAJtSNnhCrSMVSTEn3kwIO ZLvfaepCTsSTGuUZAiJy7gg897olmcbq1tNrf0Ll6edBwGBy/4hxfmzxKAdck/yY+f7f88WJwyjW aKpd9JMNBYUZSuDQAdXfpb7YE3mg5XLzAq9Ncm/YOmTmIurITb8jCrLjVLINCXMadOTI6Eh+nURr opqRQHEVrkyQKJCrAejF0BX3LX/ols9XUi12Zj/gC3b1t8GRB0zC7t9h6BH5mp4oQYrFP8b7yMo1 53sodVCHLdCgQ1U4D34yANsvElYOTZGLNedceopk7UK3uFswgFW3b8irYvzlFuSJKpfXMlP0rtCX cC9sDS73iJcEegB2l5B2hIE6YM4CGVVyRFB27Nm7nK3kTrb4gKdm9qtafuU0ua524NSp8s68gaXp za5/nsYfcPbJVD80Bh3OCq9o5tIYp+Rj6VVIph97kYLjuotfp6OCtDNO6k+C/9bn8EsqNjaGHQCi twmGyZEcYDCW/EdR2tZC6htgdaiCMn6rhI1pECx6lkuZgDQV4KAEtMorRl5GjFU+FHf4QLFPMQwv ghK2b4BrXFAznlxUu+fdYAzujsmmYscETLMFcUhmTTowNiCK3N9M83z9B/UryBf6nk40O1U8Nm3f 2CaXcnYQTbOgMvzKFNn/kkLka2IzokH1eJu583AqptZ/h4UGvlJ0puD0xC0CYQ3Dlgo3XpYpfCmF K0F75Mgb9Icafm/rUNJ0lYP7r8UktseOktLAzHWapbLS2exmNmHhjp/vQfM2m1jw26r3RANzcReT v0MOqucfbuSoEy77XsKbrg6PQBB2x8tAuyR96iGHGOnJtL3Iu2EGeh5ULjpRT33y2PLIxvPigu0B DYDTORRrenkU4icQyjoU1nU2UR0HcRVUjiUaf3U73wbT2MPP8CZmFJN2rLAvDmH/pqNzNkINmCiN ptMIkKhiM68eiMfVBAj5xMWpGWxNeP7F4ZjCs+Bz2lOIUe01eKCgZ5ezHBGaUCf/NmRxngveX/Eg NmgFuLBRfYyoUUgHddVR6NKa744/i6q5nUBDb6p19sPMzobpHvYgJ8b0sk/ckKiMKgQUEPHN2jv0 WnWtRw+kNffPyxZotHNidrV5T3BiMRCLBRncVDfiEQilD4CPxtxQJuNEAgWYbgfKgxDtSA/2YWOQ 6DU0vYeulVKQmBuj6ObloWm8UknobJw70zDvBoivk8slJdzqpCOL+tKZ+nSG6+PIAH4tLSti9uht 5JZ2sCIZ9WVTRQrvyQ3pKh0jh9QFBA/9hJJjE9NlKfDPlVZXSSbzn4V4L/4cUxQd/jxBrAUXFUXo FNdhyhZehyYbuFgzVboEfVsfPDy8xWruwRNwzjQ8hiYuQGz4uHT9Lbn8xJI51yvbdW7jzoQoR9pn De/sPozh5g1uCQRBdsPg40pD0zFEpBGvwz+nvf7OJuoobGASxNxFildF9HgkZXhadVrGKM33ckuB WyPhEYtB7GcPiyP68/uMLZeFRe+VNnZmgl7QwHk3vRJVwRJGidzHTn/UZawAW02UiZa/WGXHtqEx ywQnJj/HA2Xoz1EE86OmNwhNm4sdSGhbOlbk7a51bkeydWZkSHlBjx4IjdIKozaMPRqphI4c9ty2 Utz3/72g01K1B+PeJBDEUA8YXHTg2f6Z9ndCSxp3FDvSUzfu+iT/aD+TTfTfwOZ1brhDqqVn0pO9 kESGWcHKCAwSJWgNjXirV6jZdu4BfU3WAJZELHmhRyF3O3RZ2b8P4BDMHBvc+652uN1t0+21lpxX L6hH/2ZKJNYa30CtNcoIz8Rx8SC87FFWq8Gy5hQzhegxZ1Xc2OE71lrFX/aIh44u2OW4PXVCn0IS QhXUNV3MBTeoAx4eQPbrr2GD3ioYPb0HDlSx0hlIr2c1sMJPWfQxRgrIoVA3I46R7VWVCDNWS4EI cZDUOoGpOQ+Vt6mlkEoXD/Adymuh5y0PH69kDzke2rnD19Dh3yuSkWT2Hn7OTZbuutMM4UJShLlM ltqEKhhLd8gVbOR0W/o4gt8yByTzKQiFP8OW7S9fUbouMJxUA67hPV8fUJi2X3y/ZtVYukJ0MnSp 3BdkE5BmBkGiyM/Eri3QnI7+Ftf8xmOmJHW+PE7dGcfG90fUOargBNbgH7IsInY1UL0hatotLjDH 88RiESFm9mrtdj37WXmNPXmeP1BI0iQJu9ic7+XI7hqFXE+28E8YUdf54p3MKMqxjT/bxHRjjUD4 jTqDRtGxNApicyL9nku/+oJkLj5wKck1MHZ6GhEpamFGWuD6l7aA+FdEaVu22RMXTOwoBYcIY7pL PrkuyX5UBhmMRIFy7YUQ9NAmRtgl3JLpo0oSEiktEQ/fYpIR8wX6gm8B3A5KB7sGIYq1u2A8vbeu QXY8sxY5P1fIHFcVMxDBIYbqaDJauv7I+uYlnUOE+Z3hhCA/7aixQSNMCiLO3qnbFUVaFUl2jMlY oO2e7z9a3ymBuqYfM/Wv1lQAOplJRqu0KvShTOZmCVWdFej792nrMRKBBcToWVlyA9bPx5Zccxxf o6LSU3sToJ7JOhmzjKGB+39CvAZ4yZQbmmah7MEqoMVdbLcpfiCECG7mnJVQAHmOLf63S01CnKoB p2AGDfN046TYx3OlU64EKgWSkUByKckRC7SIx8sw49zze93dpTVpkb6hZLAbaDyjExH2NtEZYHxL DBVarSlSSW1RSke4u223sLDFinZYIc52h2oxxCklx+jn6eFQxtsFrE4XFKKBUPUWNC1fJfr7m3KX 9dz5r2hBZPb7Px7Qe6io7JjMMpszinXF3ANMwye2YvreTZGMGCObRFjOpwfxpwPz6hCpnYqXQzHt EVvqIA4XiAD2rBJWjSL+Ra7IURJsLGQeum3qgQ4+dSAWor7InpvawzqaEAIIVMmJG2qre5ssnTiS 6cpHVnfamnvq9MVUEOeccMz73myPdqB3QWWRC0vHyn6NUL/9q6pML3ZcRno6q/d7YN5fHhBfZ0uf MJI7bTqzXJsgESOTK5gsO6+LXnSWMAaPVeyKtJZpZVa4IazkiKYddbDfH+tVNkmMT3duitqLL14d FuuQEBUJth+jieNhxtmhIg+jPc95K7ekorIDitXT7CbIuauxxeumoEwQTyxdzPvxdHQaxERHn7cA Fcoee7m1aLBeMfsfmEppGdgxYltjIYqY08a/AQqqnYYKCcr9z3EIr7tgsBl1PAxffnELXXVnjJpz I+VJJBEtjOluZtjWLLdnu3z/s/o8rBpsBLV5NkGbhNWo4FuqDkK3wTx9SVz8MucB5E27Qmv3wGtK Ime6fGONtKmZGpEex4I0scnfhEAY+U/nYOE3p0hS+CmFuMcgQZuIa4xX3CCWSGL5JDUCFeBgC4/G c3AN2FcU4TnLS/SJm53ul7zHHzDmeoH18Mw0Y4TG67OsStYW5y4ilwN+U5emIWmohvhWKx+1JxPy hdCf1w1P2s/11ZjdbvvNII4Ic9FBnxBVs06cmurnu5OWRxDHfVLbv69b5jfLCelS+9lkmfIACRvn h1lc/ueTMwDwL0ERKsaC35m6hZNW1apDY/3vNUS75Nudgl9xZXF7YDasqAUj5CoDAANSVQHCZrS5 xPImwlpAj8BI4Tx6IfNqR7Ck5Iyygjxuas4JzKCYvkaOvnxCCcZjNgllXJFLUpmNIFkvoFDPaEUn iYhI0mAj/dPhJgpSUA/dnFyw4M836/IsdBUdg4/YrKqGCoIQsd/ZeOcIAxsye54Cpl2nT6C4w1dl LTj8gWTlaG9hFi1BgnFgQZ59uv7MxbC/jtFpUVBLtsvKfhRCeb6+si2D9mMyWY3rHfKCQ3EIvRMr 2ISiGKPV7NR6Y7FAgIoaX1cWegD5yJleAn1Z1Hhbq4yk7tnuWUVK0M4ZM94JTEjk8CeWFemWs1lv 28PIv84oCv0PSznIooDtcUDNNHr5uVR3Gtp3BU3Xk68fELXi9Jz+JkXEbnMYf2B2TtHG69Xqq9fU Bz/o806MQsP/ngcrBHjjCLejuOu2OYEDQ/k7HPoBIetvIoGdsOzXSKfUPUd8wWLw31OooHljJwCB EEzerMZgIHuPUb9iVhf3QrhEoCAZvH6Z6LlBmT4fmgCZDjd/7f62VhGzioyXnbjc6ZVdDUWwBbaQ CzMFK96T+r3OK9C+AFC8Prxa68iRSGkmMkLOV2H/lpnV0un/MSGn5A+HiiHyB7Ro0dOsFIY9AWPp NMqCo/4gMdN+Wv+M3Yx2EdwgwZSqzRC7z4emIG3gCcBa0V1IoOzqnRfzCSM6PGNLW7LyZ+QbDArL V6SFrknVZcYRcxZPcOdHnoW42WnXeW0SPkw2IQ6ySclxhxGVJp3ySEt8wld4JPigxCvW/eVThEo4 9h7zLoUYumi1eIAcKY3aPBPAjYpzDFBQ8IgVoDGKDcoXKqXj5RG1NxYk4mFtsG5I9A9hMyfwfxDv M7SjY/Zwz++UAr1qGceKAdqFiDwL6FKLKInkqUfR6aSGI0hhQATec7/p7ZRDsZahCrgQwaE2Y2eG 8l+zEq3kpimrXbyUcH4sMjOvMMeDL5qnUZ+tB/QNXpndVKaBo1o41HygEfq6SyencPKpyOB6H400 apOfluBeMpIUFQ7E+P+x0nwsRZKK5DLPgOe6KvotFBwEGvNqRrf1bQCVNA+6APR5HnotHan/Ewx8 JQuZl6Z/ps8YhiSqej1ug0N5O6lxzeMQiZHwGBUfh9jppy/tUS4QZbym7nHZ+mvRHEG6aSFp3qIJ /h/r/Dr6wl96huQXipfIAStVUcCnPtctrVXR7C7Lxgw9EkxfiWBBt6FK/qf1Oie92obapgrlKDtW D/oxRqy3ySqbvftz8njnZHDN0an2ZNu7CjN6stpCjJ3VMnyPlJXFWnw5xqzSqdI+OKWckbOURJTW imBeV5lpZHM5zJ3WA0tXG4PVkUJtnUcwS5Wt8pAZ4SlUWsk2SfGNqCfz9WJI7bRjdwuYzI4kpGDW w38YMDsd2PFdKeVQeROCkWZwvrfNEKTWOOU9RiWiRq/8FCyJ7TXc8wRGkN76pze7oFBWgDVfxfg/ TNO+CRYdckS4Gi1TCcSIoaLLGIOIGrcMl3kXo7iiz/xi/bA4rUiKlAOObXus/u3HIrLTL770LqfD ipe9yKGFpeaUaOl4Ip1+iAJV0UGhuGE5WsJh+K0KOolLMVm9TKjEe1ioc+dNpwi5grg3zxG1wsNm d8kqq23kDbSviRdCYPAAGoXz4l6AVIgfvCUYgmJyQPRBpCK5CCHe4P9Y+CCoL0ugSJ9Qq7msJW7z 2pDzQGAofaFvx28t5qcU/qLqt5Gh8JCiQEPAzthaOuOfwPRUfgf87SiZHaXS/Iqmuxzf9qFwuS8E BJxteKvVrQu+3aBFUE2nrkstDoR04F43+mF/6brv2E72pav6hQ5aPgViVj7g3rTPFVrZMpajpmVo 9Cmwldn/52Q4Rk0Yey/rf8mP/QuHKag1nGfouJMdwZuzTk2ruI2isl8STrZvhBEulEAIy1S+jyuh GMlYavhGdPXOtyhQ4iJaXDfPiveeWUJTuc9HrjwRm15YMVgPKACYhMEz8ny/PiKbabTgju/9+aaB H3b1gYkx70lLih0xcUAt6n9w4soEYsd7iPDjwToTv81YozAnzQsrFemgnu2CY3cRwy3h0aLNUQoN CCmMPoQJOlL6GB+olWBDYizwyhsKU17a5pGuGSI9J/8ZUmF91GFalNComDN8KNHsSLQowZGTyLgW kwHLopIKOxI7bE+S5tR52ZI4zEZhB8YMXIFXcb/S6elvfHe/z+q5YfGeqpusvS2WActnEKRfP2tK E+1XsUE1CBJiXocYEyRxmhw5VAR4RV41gdtExVe1sMC/1KlDLy+jIZU4DzeFRqdAsZLqVBXoDVro OhdcuUUeupQSD1fU5j90dosC2bl5wmppy6SvLXshJJtuIqsqKTUEKucCyYvGCLNyy2NxZzNVLkcU uJfV7l7fMQ4kOCuiPEF8LokVVuEHG20PYwu2BABEgnK9RXBGccLLzKIGoodVDcYR3C4iI6qZhkJ3 EsfNmOAHZZ+09kqDMDLwml/Ir3CQIjuQW2e9cLsKg5jJukkBiioiBzBvLKptMvnx22fv2xw75uq0 mFGzJ5sE6OQ5sMxt/L4JS76JrQsy8eVA9KkSUpTgEi4mnmgBHCGcE9KVdBST+KbJVTW4z2JQqY7o +vEN6qMdPvSAQzm/TIgWL/un+RizkJasV8ASnj3c8nqnDP3RJCAdaIXpnddJQBi5Pn2lYeVRUP7w GweUS4Eey5MUthRAC4p0UT/piAVE/iS78p5qudUrmHQUFAKNPkOqnFyUcRUeV42SMKmHj+XHP5mo QYi7sxN2wGxmxDaOZBaXw8AQjJA98INdZ+Bngy1bkVaqwQgCj05RZaNySAxrWSRA/2+OmwcnS58b mAb7I6Xyn+aX5YsG2pwAXEE+t7S/UWAAVRc7vPhnnRxVgZY5YivzCZ0eYDIOBLaomPQI1kuVuM2V C6aymZTHRwAk4H45KFfvGd+Optwd9QMv8+A/Dlhr/iPGAEQh0cUGBQssDN74CT9fVUzAbFkWtggV TJdsRFNotw716GHn0gWoaxcZiihuyT8nUWkX6PJlE54RfC1LOe2pCzUpFj6+jQC2DTK6SnEfcShR +V3pIzzJssooh4RXTAC8UD++pvn+cQHVQPFbW1KouN7NOTH8sESl4OjJcGc0mJDobY5yRA6yLlmM UnCfTCkW9tW+f2/BVo8OaGCzglYThd2kRz/kDXcnsCpyq9Q/3Mw2cPILcMrQaTeuja/GFTHNYFck Ee0BNuMaBK0IXyBpyvBbgLXKveBTjbQ5j/QEQC5ffwWajwcw6VD8U6jwIM79O9bU1O1n+4rX9s2L io9Qp4xm/fK10fFdJI+5BAxiWTU39hXYivKOJ2+6AcToj4S2I+GyNmwcEYcLb4eBpfyHv+U3Nnhv v4E+3skC7Twj/EjFSirwWFojMsNXdl7A54jTePGWojzZp76q5AuswmhF5qTOf5hiA7fB+pLcdVd+ PY4At8PFlR9H3wruxqU0qLU8lhIMpnRGkTLc/Ufcay3plW/jgsfYKIcYx7Z1TT3gYYwSIb7rpbW8 H81LjdmCrrol4wKsXbYnyBcWSRKUX3yQOoaYmsUWyArF5VuIYR2kbAPDzb5O+irCeb0caiLe/dGn TwZouZD6D1Pyan9oVVLwcMaG/v4Z2djd6QldxF2HpuaD9FamOWIc9QEcsLxsu5+JX3WWWh2eZDG2 Gq0PFzKyUtXCglx7wPxq4QhgWmPNwh1rGI9uIvtN8IsIlgdpFRWSb6QQgvjFR7H+P7S/nQREPSi/ 6ZCyiGhzPK/U05u7vXB8rVlYhYPD/X9udr1fjSUi3Qf8fcc7O2yqsrnYhVbegCz/rYcwZ4r5+gf1 +yuEaED1XysFGm9HbSwUe0c6RPpd9a9IlPxs4cOegbERABVOc/MHIfpkzWkLLaXUJ4imV4tO5/Bv Tl+J8p4hqoFaGiC0h9XmAZqe9iSjXJ0DuApfT42BThJ7nIDt6liLuR1J2h75qXuLMotr6tcnuAKM /u5FQi4Aae0cvpSmZhbHCUMSesvG9ZLFTmlnCc5YZgbh327KMzZHjFxqbvs5MkKJO/c2UExb5wKo LxTsaurIflPlqOcv8kZ3aTK/+dy8NeKFrS6l3uANpgMBEjXkriOTnl1/tPDsJTOhyivadpFCCjVr +cId5TvkyVSVSLmYDooHIvMLdd+JwDCDR/pZhSN1bLLls8Ba5WfxIzVy8fz6hPeoqK04YVZsNeom NWyyJPyWn/CeA9uj8ynFMgaD6CEXmr3A3uC7hDFhzePKRwKlo0G0uJXcs5j3YK2vjovB7+F2Z5K9 3DbpJW9vUnCmQtyQDNcr/p4B6lnbSBIOGfencAsg5ppsuUVZOgChq6zRfA3h8tVyASk6mTpwKtmL PzOsOh2qnUXTAmtKZaeSGPaB4zK1cdLxXbipphlO6stVSmDKnflXBRNiWcjfnqb8eiVdkCPRZOCq MrjB+7tCvu0xHvV87KpBuI34qaoMvVRF7TT9yjnqKVqNwNOfw4V/Ac17t0rOjsU2DMFD7Fo4vDih djYM4ZFwkzvssGZap4PoPVjk/lK20JQgp0K7kRrKFMqwPB7QsAbRV0TqKlchaRn4gJva8MWkj5Br 5XHOn7PQSctaSSVAkkopdhtXpQ8N+esmwEXEazJjGVulD24JsFTaEwpy5C3xVeqKVzWlo2jt9S1z ihJ8KbnG/O7OFg3DEiOPaiJ8Ukb1JipwQYjnZo037g2e94Oo+pGs9ATcAW+DySFu0zDah0NCw9ol Wrvj8iOYpGWWEUbk+Lyw7Bb3JAOswMr4Sy5VIWS2bf8ZAAmo7ZEu9Wf1YeDcSKLvZP7FXuGT7BiD js/t1+1cx8FTVerOh8IfyDZuiCOBr7tlGEFq1YzsgsjKFDjZwUZ0ZsE1dp4QvF5gI2QM7X5gdcvH qF8V0N3lCopZh6at+JE+e2xRaTLKWxnGYgP7XA0RpxEIqr0OGeFT2xTVEFEx5bUfWYR+ASY+Cp3O 0pW/fW9N6uC7+jnVGlfWKUyxNr1X58BdA3NLQX7lTxWjyYou+e92O4TGMFy4EBfC640eqLNTjNKw RL3WDlJh3HQ2mBO+eGuTjy4EN4TsAUzfQFytz7lvvLqYqKbFyc1055XCL4XkvORzz9J8p+xxczEy v4u3GMHK+7OR99OqFPsEB3aN9C8kEkgkYGKMNza6rExYdosXCtw/grGJdwIDCzVWSNsJFkt2t4dS xTbMDVizFjpuduDNA02fgTDFo6VJnBWXKN11ANtITH39MCAGsFLvOdFgIyJIfr0lALaEcROnaeTB YNc+w8+/IdIYg++5LltYgUrD3rF6u3PUSqn+z62EoX7BO7+Tddn9xflLw5StYcXPFRdK2UmiziSj WoLnWcXfNmFxK3seaE6pDDelotMni2ncCsr3scaIJU/HQT+36Q9/Qm3OUYsU2frPNS3Lqry/R/Tm aYkznLKktC2PzcdPrBykaxlEst2q4Mp6hiGfEjsvxTWkePBakaUKtgQpHrdJBYe9chH1bUf8RtNB dToMTqdZQeEoq49xlHSph5USSDa+T4L3ahjROSqpOr4LbgWYAlTMuRsdYvlP/mUZqZn8NfyaR/47 hN7FgtkmvnUYdjJ+T7FZzBpD4Mh/KbluC7tUj47bwCPT3R0gW8XKnFdUimVEKjWAiR9y1PP2trd1 JfG2DP8kiznQHcgySfr9JZYdvUO1ZYnONeANUgcRSE7BdKvD8RooyAgF6RWZHSr81w5VpIM5OByS om0cXtHxMcMb9DNm/8mMWbXIKeGiHPWzAmOTRUrrSHEH/ZzVEfpFeSP+Cwcb5mL9H4yw28NG9PWs gBFxgvx5NvXKeRdnXHWHkr7CK9fy95tsH+aoubCl/9oAJ8cqVd9l8v2B5VWauJQ0T0H11dwVKpBa xiI+VgVMd3s1kUZmLZitWRY978X9y6Hj2UnT9c+IF+dVsGu1ZxphNpuZRjYQBzNvwe0FQqgkbzdv eCtlvIkc7b/pWGg2kmZ8ejQNzuXrrLJuZ0JmM3UyKbNN5KKs+YByAKj6OT5nVY8Hz6/Yj82CdA/D vtnDLIcDxjYLwFBWg0+m4k4CRTHTxV2xZ95gkqTEsBcmPWGiJBaZKzWRabIoUXCybZAj/Si2uP4L 0JLWnRzEJBFuub2QL6gOE/+iWwnjU3Rk5rMYonjrHeNKHXabDDbjYsWGFvn2ozN1x4A0ClcipJ3s Ru/vpG5kUVmlYaQdoIMIDHoZAnldsV0wmlRphLAYPPhuSXC74wNG/ldPgmzIJDenYNj/80wdcYW/ nz1cb+xNkgMbev/3FsKLtAA4m/3v3hfZvMRPn8fPrNtfkw6a8pxx+KFaoDDX6APGlcbgoHQQeVdf yAhcuMIS/6nVRXLGpu4LHsaju9svhHnsr2y1g2etKM18Xqyou0b7xWF78WnAHl/HCUxz2zJDutG/ a9dyQJmF4NWgZKdGl5L3wvazcELdZ0aOypmbcZRvfPlHNYa6msFWx6+o/bIlyF6so8nKLfvIjA0a tgdHBh5lrpyd3XKQkjd+Wy12O4h7Jtc3hOEOyxS8qbaKVIWNNd2JmREn+BhvE7yRhZs9hwn+uD/c dgd9gpLF5IUiNaL2sTbdK6LYAqJJmuOZNuuGeQPghxIJklACDfaRZnemvuagHDY1PSP1JxQLhixS 4t3BPhPZf9e1x8Q53Wu6Li8S6j1tvsZQYtp+Wk9Mqdk6aMByJ6ahEwI7V5ufONmhZV5rHpuUgXK3 V6+c0ySh3OxR8m4P7iWawlDhTCYrPBFd+h/6+kHNKrq0+cRbk77RfgDYDgtI85IQ/nQpAapsDfz2 iMd6FphGq6PC4NwDQSA9GFrcB9fdtfENHv4fZOD9gyxCQVMLxhCSr5VAqkXwxzVdtd5dFo9HZ4cU 5+5NVc7WM0rWb2mIhqYRelMnVDSwpZEUPZeT8OhAitym1i8Br8UfvjwbFI3AKRHXvJ2O7opEg9zi 1I/L4y43FQ8HDRoCLLxqk7YK722Pc5wH+WGTLh2R4SPu0LHr9M8c6CjXOXgeib/0ORfkafK9FcI3 fUqHqTSwzj6rBB/ycJ58Bst0GkeyaA6ggKDZPyaeGmMh51M97fBZd7SqpCaFDZOhBMxaYnhSGir+ EsKOzs5tJaGIvmhf9Enyq9i3NzLPxeBSXppcGF93L7tecBQ1Flpso0OUZoM4SdsZ94xo7y7KuX0M JYuYloXSaAnC1AaqvA0n0FDHeq24H9z8OvbsYt8dEeudVnZQM4izay9Hh0prZlO/ELi+EY45r/XJ rPm4mlyu3dTFjGY4GJQPwhZ+GqkX/1p1dLSAVJsgKCzaIPXiJaCC41dzAs91w1TX14JAJ7ndu/Co jaE2bKR2j82qLTyRGPqcC88A8Q6GD7l+wP8kGk5Hf+yloGEn65GBkivVRTd9y75eMNnWrp+FxlL3 uW4j1a6GyPAFTVHCUmTPU9OR7CNpLHKrvd+i/ZiCkrQWradpIVblB9Kj/hT+MgNnnFPr7YqSDCll Nw5ZWVrA+AW1KD3naDUcJx22YI5YXap2rKg43tNklngP6WS3xnffR2l4OtMX5PNzoGF+CZw487HU ICpuy+qeeb+teAQZjWcpTJLJHbxNC/VSDnsRkkM3yb/3U3konVLEtcGE308uQvki2d75ISUW8qaQ 3Y4fspGdWLGhcR0bL2kJCTL1Xwt4dT7Qv+dTXPMQQdOXTP+0GSAaANVIdN3FfziRTlh6W+SWD9Qz iwdRiljludlpD5bf9zl80e2LxvEPsmjYuVb0VzFl+y2oCvV3XGfjeM6fmuvFD1Yapy/NbqM9iIvI 7cLDXZT4CCj1es0a25BfyZvC83QHVxWoc/P8uI+E8cH2dIW0k9+MwXKpABd+1gIJOJOi3kgGdEdb Lt3lM8mFU+1wqJaV/c5eIDTSurWmpr4/aJ7F4IJul2eQOMgJUYuorlYDa3LtIguC7rd/dev0Nj7q NrXLqJ8oB2vBz3jj25zLX7QPHzbVDFZcC3SJWLdbvsjIODTsVRUvS+TfcINcP+alasGhGNc6U570 XG593clgCzAAsgHO817+fRMjqSyEDLmh/nJtBlGOq2zNJljsSIyP5yuTSX2UGLa0JUc0OFLu/ubQ lt/zc+cECIudaY4cihXdY73TdVmw7Rf3MbsPJuRC+Jo6mnbN+hNU1KGJltRG9IBpypnp829yzl+/ 3jbqOh+icS2HNZPpftCAuQYxCSOY+W4B7NIWJEUT2TaTtvvlBOvWhcveeBOVUldzu00p+Ds1CZac 2gMu50W3YOc+N8uWm6jG3krdYD8TBxdKLx4PXkvXWvu4a4Ko7sbbiMtul71JBTmL+tx+0UCmJXZy TtCYZqu4BEpiRpSsGExLaazgX3uhd2PZOpqKoYhtaBzxGH8gu8974XlpceeEjx0D+qXIOe4Dmloi DBwECx0zEHpzao9x8BkcyXu2GZMC5M/+nB30HAUiToBoiXkQ23zwKPkbG+Zq58JIDcg8WT6sNu5S iDvtcpEHisiU/A4bEW4BLIkeXyqX3bbrVpdgu9enJ0AhdDrBUJ3bDZzmiFD1HK0lbhBaLmOZFwYA XXgStnayx/F56vjPSQi0nCC6K9QogdaQ+4Oq5vjSFwEGdoP65w/MZkXrfUj1CmCRQ6tjfZh6MV9Z cKc0hb38QaZ8f/8HfnCcp9RmAjSejFtIqrRfLLBjZ4aCXMKrSj1HR9EAeQhVAzlQmera3SFMWAHP ygzI9cWJun3RLKhGJvFjlYTae2WmTLJD/7vnRjQa9YInhDG0y3rqrJh9F1kr6gix4jwsMp/3lacw 8oazpNgqpv6gS+ZwAB+VjruKlTDE9IgfpcCLSQ2spDCCH6xFCJ0i0Rym2OzkWcpBhDWLcioOTRpI 5Pk5a3W0vN2MgqDuwXsfrpB4H7c1P4mdnZDn0Owg+DbwiphITUj8E1pJmFF4mGughyKhiR6dKS9Y x6qNE5GDrRtKsrkqVTioDfP4FpJY26InhcMn8YI91idSfOOvHywWAtggJ6ii++09PIXoDk1/+NJu pTOUIJXeZ57y0KPPYkHezINa4AtqyGGc65AUoxsqe36BmOebpMo4vgTw55JDw/L5/HQFKHLQiPOZ uE+X/YQhxyC2xumucDrP+YwMV+4VT8XNRhaLp17YODQp/vGgaBHcl7VaK8KHpCNbxn4LVBicqFIB si6LW94VxudnDEIj5Dmd3KKXN7PTxNzeDzghImHxx7jXFQop3DA/Xztg7kMFGNVYDotSMnqZPOo6 6VvunuqnWwZN3YmxmlSBFORE8XEDxypygDEH4CpbYYAGYcu4T0TJw7OJnpL39Uoo4Qd9CtXLlYPP KKOa0nv1tjUVMPinjzRwItX+PcglD/bJ6LJVA3um8Z5xCTV9iNeaccjTmeovjzyRHAx/Kb41sFLr EH9kjOeiRNIUGg0SQmrjsAzQZQ3tVDNA2x+nvyQWm6tTm13rwS7ciCs1UdC5TR8KGCb/a6NV8t0o VHNk7gZLeLU4GVCXALEqa7XTPVOFjFbHI/Icq5eGu2Fqf5wlFovDoyBfAyBNVqh49gkSD8GQ8llA HSmOjT9y4hOOEaZMeXF40gpSDk0go0r7IklPsbBm293tbjIJzC9Ousuux2hDKObi8/+ur5ggZc1L 2sS7Fuhcx1pGoZuPJA3EHWG0sDeFDeDhJMDSMPvKoAC6Dl60s94Ieqo0ubHstNzuLW1gaG1KQeVZ 2GH7KnkBQGn3d/46cdAbJJxCgm5TxeO7/bftfDH7+zqYQAgoEZJxNvK3lfS0OBnaw9DOb1weYtTI i7PKek4Xcg29bc/BM4T6mj/F43dfCsE/KlWb7tFwnabjuGylHjuBb3E+d5SsrGcIxHWOh+ubCL0E dkKgwCA9DwTUcgtG/tISsiec1cTRqi9apnLy480GqZs7s07jTZ8QWaisvavIBdZj+W86cAIjRURk kDx+0aPVIe4CC8OFnDf8z2X/U4DJ058RiLuL8ReordE5y94boYHGbkAqusZceKEybzYBn89yO15y dQ3N+JYdl0/awMoK4dNp1Ci3t0sKuuHl2j9K4aqcM584GKtIEVvT0gUs81Yk+gOPOAOyf2VQqDqO 6N2j4kNa4TpxX0XJnbnfhxETLnTaUB770SldvCSPgQL5Fql1BN4O2mZcGMLW3wDpnTXchaNTNhje 6Yj2e9Mv1aT+3w6Y8c478yyA47coxvzXLJ3yk/staaymDzjmCbtKxzhOs2p67OoE0Ek4NDf4E8cN mMRFrw9Kc3fl/MjM+9W82ouA2YMZ3ETX0W3DMd43P3UuzLRXQRjbZgijmiXUy6jvPsvmPU5cglpb OJhmAF0yi3UGASOrxoJZBePE+afy0lX1vAwGX7M+ox0C6tPyHhxJzlZmIWakkX/bHGjjV9EQkWd8 Lg59ka+Ge1ReIzaE27+hgXZlJRGuM7fef54ViJSUB2GiuRg6naZY/Vx5WN322gmD8wzYQd/o8Ea8 4MEix+i5qkpeU9AsmVUKOdlEkW8gV9TYW+T3N8VFnksiztj35nTPSaM0YG7C3cJMFBUGX3c07Yrb HXjh6R21ipvXN+A3ioUFm5mSrXz+KalPGLlF9ZsSHCGAMiGaeqF4wDcjua4W82acFByJABRBQpj/ nguDqNtb0f4q+/XmuOvpoNmkYEgsg8RkNx7Gn0lS9/Yuzy7Q7Yt3CrSgxRk+mIdLcTFOnXlIx//w Ue15QzbUY+Z8SYQraVVkwxbaWAZjNJzfWrNWdrPccdwKS6kpybYmqrEGSGq3Tx+e6H/geoM0e584 0Z0pOM5ZF5EjV58qBN9c2WjhTlXfn8SqQWnnIGAT5m5f5Ga+mxJoEs99OYTQj0ZCo7glykcGh6Z8 D+GQDsFqMPQz43KbjMTUtaWXRWYHpl8hAHLKFSkYz+FRj+RGoPt5YUF7r6PtTSwApl/NcUTGpK6J D7IEFhgFt2pGsCzKznqcA21jlJRSgzKgmfiGd8StjFmXxyQXEFd+mC+Tufcy1gmsyMI1MIaypb1s pSMfABO2/AzmpV3tel6l/B7S/R5LdP3q+Gzx/+EvRT1vAYy188KI9FOgaJqcUrPfs6/4URm20BXz DPVRsrc6YyNT6ET6vyD1zuIcGmj0pI49t2jYcGHXPLaHvoGjgknz9+igPppH7ZFxGhrWkbzYaGoO +Sg/CbDlR8Y9TGhZ7Jx6z3DJulOphO2fTKFb34bV/kUj8oZpopRkMxUJN8rcmct6cGl9/+pbp+x2 m2PWnyNIcB01uE+1CUNUy4MCvDbzJJOiwJ101GrlJvAVK+tnrMTcCpRZnA6NYWj84Pqe5DHsDznY H/bnNb64MHVI/cI/IpsxMKA3wBsEB2dNDpj0d/njtevAJQniqi+doTe80GRwYQ8dFq8+7JLRPU1M +qBnaf5rnQAkIqaE7n56Ihk1mt8fvRdja41gwagdOp8T09AKCVMLyye8fR+M6JGKn6fH5ON2ZzQC YuSiu6vhxsmnNvxb1MkLB1fKvDtTtvke+uYQARW2UOZUxYXmAd6fl4DX35QVR4tkul7WFRUZzleg O3WAPcUL4ZPW6kgdUcTZBbnXfQ5Me8S2bXcsS0LpCoTaJf2gJxCA4Rm8S8N6x4nNrdrQEOCN/Kk8 zQaG7MTinxHAttrLV+tdVviOQbYB+zJyy0g0IBy3nlVz5FIjCFB9Zu4hem6OVRlDdaq/r3soWoDh bP1Y+wqSY0lHkvRK4oGMDSFpGDTpkDc/CUlOveG5LHt7FrphSwExN7u9DZWGQSV2fZ/UjK2qmmLg VQFdGCoKshwxSATODat5MURpRrUBG7YFG7m4HVvKcIuIIt3/uj6PEFu8YD/lRpCGcJjZwr0XrMF8 yHHWR7moh5CIJ+yolE2Jh6WlQfIWBxBRGEpUeHtquRYOP+aZakz1+rnhvlTse5wVBVu4gzUT0upk ZWOymSFgLtGS/hSoAQ5bh2KsnLYnW+AYyZQpmY3oMg00PzY/wGh5/kh9tSKqBjGz5x9C3GYfW5ja f/OHp0h8/1hjBR3dE/AVcfkumlYHOl4sD3XPh+GklQYTpxnt1CauJtNGxyJuk7A99Qo8xsFk6CcU p89Io+sE4MdKRmc7RZEZIwyluQflV+5TdLCa+m03Egt6RU7HGx5+pUjODOLgy29rlYqd0r+kujjC rPnZyp7+EY4syhHmzf5jTRKjGoL9AQ5uy3JJL6AENTuDddKcafTfZZZxkrdtGBNNJM/Jl1PiMCSL 8So7s8S++HvqYnj9qS5vEHejPj8CVS+eI0modprKfPuthxYukFs4QivRvs7LjID03HrS9oiKO50l e0TvFz0JyqWdpElH//ahhW+arJWeNFYFCbeEL5w7RR58Zo9OhsAri7M/ymERM/hUWydlRl7pqzy2 yOmizulOkROHVh1BLjwKHUcJtm33oZrZfDhuFknEdBGTS8LFz/8/vEXPQzPqrwHFtYXnfnhuMgCh +GmLYoBpnIKLTnw9S7ImWhpYSQ1H+pIpnoyHS3SgrboxvGlbleE59xBzHQWnyM9X4Rq45wfgY94E SKJUopLpbTFVBziCCUvszfLCIUmJUsEBQQGsK6xKQSrSvH1hxKn/GTe99ht28IhUVM1C4YwB0diU jQ3osfcriFu8j5Vfh4bG4wTvlMVoZ5Al2RaIPsVGLj5wSrfk8nJhMJ5ZtLv78FNVJ97ORK2UbTwx mcLf3Tv91OforlFkl7R9lFOEf7/6B+YuDclSyPr+hPpKApNokQSG6i0hvoV/9CJQ/SjRR576OpW8 +cM6x2Sqf7lqZn0qeH8TQxCekvzrlmP8Qw/6MIrLcot7tzqGdDClUT3lyXpYBCTl6gdkGrOZDjdb crXkveRcO16vg9fR2R0PO6GOLZiMf3S2YIm4LywGOLDarDe85STLcZAE2FB9f1eeT/HPJAKc4WM9 QSuPA41sQDZ3ruCToaWcvKtyqzo2WgOp/eLovxKiMSrAzcO5cOZ4l1NhyzlT6msdsePIawfbeSO8 vLMRV9UJgc/Qz6FzKZenVNZ32R+lBTki/YXuO61fBj85+TGh/H4upZi/FWS2xA4BoGJQOTnGYEjy Lk7oNjhc815ZaZmtSFz4/5cbh/RlRRv9vB2VzydHbASRhAnxfgE+gMWN6DAVaDkYyQ1pfk5dNKV0 veboUUrrNOC+VmUjq3ycQE5+sOij5FmA7e1UNd0KgrjIOLF4l7LyxqBihCE8sJBlMkz6S+caB8i5 SAvImpRk2dGP7Dz6tehiQXzcp0AkqfTWPpcqKiJUOZdY7GXWKMXciWzGoIkqfz1s9RWfhpuMm2D0 qFwsluQRKUx0KQVGyjG/OkMntB3lIjUMQr7UALaHfofVpqLOsDGqOKRWbruXhsIKxUsWBNkQAfFO MeygZf/qkWc3T4bX6FpIh0JfB+6JvaYCOSzmgwTGfUfjVcLQxG1gfm2nAVqeTZOnCKNy5FoZBTym Zq7g3AcErO3Wjq6cY1oOs2sWLR3BII9J9ebWYWZ3Ys4K1eUnq/rCrzHf6QHZ+GPul86+qmS1nNuq GnEQhJPyTwSh2sCIgyA3oicCltJSpfvibgiQlGxw3NuebvV6fwwpaBH+Iwbcybbr+Ph38GYX/xFb BKkdM5OMYejGSvm4d5Ga8gIa8Ji9upA269f0U/BTpFlj4x9YvTcfBh8kWln14ECTOuuUmcqIG9fr NhENIqtGPZreXlWJRnMtwUMgmCrjRlk8bgVXyeidkKbPRFa6dEkqddmSIj3Y7Cua7M6uHrEHsBlL odvHwSWxXtuL5vtBkaChQn8bHZ80tG7d6jn4aGEbzYGIcJlFpCxhBbOaahGK+C67/tq5Lr9pf5Bv VxVyirGsW9/iNdhUQL94wFFHw6wYBleAREUqcyC5qVbwX8eueTq3/lElXnEl1PM15YPK2Afn+W2s 0x995KReeuT+2nIGBSvR8Fcd94rLYgWuiu0PZTbjITlguKvtX0yGiE9LgU8C1ai4gxwAxXOeE81C GwGAderKQVAIvIlCyNX1r98MXzyJE86L9LcsAUvIKH6n9k8bv/41l1oIDXYQNxbjITd390MAJFEN OmCKnLaXHo641AyM8Vy5PkSYhmiRYFG8C5VTHdSLkkl1BfL2U2WM71ggcIqAiaSJQrjcdoXTx8c5 cl5BdxVjNSwvo/7EGWrGZoEmWuHbemvN0/6sJ6UXA39Vms0/GRQUnEKkx/oKSqRjs6m6GoLuysV3 td4mqBaa/qEfOBa6PiOwFajdC0Ig8JpolEt6pXPkEm9ID0Sa1CbMItBbruLV6/f5nNcIAHrNvsNK Sr3Kp+WpmQWN1CfJo9l2R8ycmBVwQjybfipghUAmusnTEEtE3dSu36Z1CTe1eqKvvYy+wbu0pXjA f7dQe1KgWygIzQXoYicyquE/pMYrTSgmlKCO+HbjdTPOjpBEBP8dnTXHtI0SRzHxWlGScs/VhYPQ IDMh6wVlI4DVCBgwafRV19x+aO6c8mhYmDEPAf7UMmMIdFuUy9MEaV8MnYIMfcC5C5vTpWCFRhgJ eyWvrcC9yGIpL3ySS7ntudx7zUXaBxGGfg6u5IoBMR8MMg0T9SYeZSW7HJxjdo7OHufSe4Dl2gq3 9i/L63VseeaQi09lKeoSHpxHcesML/bkkaPQ5HLksmiZzq7Fe3sqGtKXE7Qds9Yv/kBvmjp911F3 4VeJJN3ru1fja88DtFSoO5zHcvGWyjTMM94gyxkCYOLbk6htfvHM2yrSuNNZX2IG1Tuc5eFVi+AX F9h1SqIKYRLVqfJcUipU90VMAG3DHhhhHSmPwrg+AO3PyqWt/Hx24xmkFi4lfha8Tjn8XAQryiYO aUFS7awDOkKM4qxgQub629Vt8E6RxSdEXx3rRwHFtFXUhGlJmXrESSn2UkpsaE0995mjb3Z5Wonf C5TTJRMTDbtA791JdAULgQjPZxagh1q1VtlZvWXU0zk6XuhPYWNTiMRthoPUQFuqVXHWvLhsfOnD oqdEBDzmitlR9Ld5vDkz9yzo/qPxvdxiwh8sUmmSMqtJ2ADELY91ZbL9RGPdmur0uSYvd27pDQ2w +tGroF18JyYetMdq8RNEKbI8LzYcSCNJazmjNgnphHiKWuiEawWrfI2mTdlu1s1jQvICCNQ41VfY TSLv7dL/AhI70BgYt29QJckKNuAMi1AIoTOqAA3Ajx9B+Lswj4LbJj3jGYmGN9tWmGXZGl+45n5o zRJ5DS11IGrHlNX3b/RWK2xJvhAwbNZuk7fYqDHrkfx6pMzkU1r1fXr8uP4B58bR9qJNUc6zatOO ZYanXkU+jKMPW+r+Cqz2U4IkzOXoFBNK3xrWWSaUR8EyhuAvlxEV3xMB3pMjLdam7o5RVbrdFpeI fUrThfhgN0AW6veUl9sDXvxu+rPFK/3h4yvnCitz+gsWEClE7V/SU5QoCegOid28MaRkDGVoF1uJ o85HhqO/MqVtuGgBD1pBROJxwjCA/Y0gkEbHj/wFosVPfYC5wMoBR5Vtmaq1j8XBYQJFZyVJvzT4 dpkLCSZWdvdwqnYQdYE5Ql00l1X7Ga647RHcDlI9XUpj1n/OWMJ+xc3yxLKSQbVUqdO0MXaNystD 0Y3J0WOiDYBShKWAva5O7t96at7qLXUG+gTPlJn65HBZTBF3sVd1lY5Tep1s1J1Ox5mI8pvfNo7/ srrjyuyGbQOOskBeY80zYZIxxsES0//16GP/b723h3066MzLAtg3+9m/Sx8gKrC2VoxNB0rIUGBx V4pW/mX9Eo7dHyhVUulbzL/Y4Q2XtDwmfYGV8jMRTquLLtPytQ9pcvs4O3el3ZsIPjrqndtOzBPW UUIFWVM+l+dQhReDjVAd6XCfcW1Xn6IkYZ8lMB//5gQPuxl1oq9RhqtsIO6bj+Dwsuf2htvkDW7V jlZrrxzr16DUsswvrEts69O2rPKhX28kmUrJQ6MQDEVt3lMYwFY9JfTndTGQFFlnjfFl+oQDMf0i AgDTDw+3WXb+lzbYl7j8DTi6KdFTK/UwGsRC565qS2tZo0Qjx+gc6H1v2MQ71WKTU96VHf9FcV9V HcmMX32qrsmx6RprBT7zBqHnrWWhF5bqeLYLVuKFO/YjYJB1If4m9BLp5FTdni/Xjd2JhWQ6ZA0+ seiztKPMxgol4GCiPXG1MQJfCo57dhKJOR8UdkiGhrRPvTgetyfo+FIQACl3dEEL4sOzsZmkdCuu 7eK8MWuwcQ6huXtqd2Ctu6MutyEGFRjFv/kdD4k+5a1cCntxpKjZ8jDtm1iQ5hudngO6VOysvjlP EPyPGddO4p0MJ4QKiHhoj0itnDnPte3N7cB8SYo2vifdH6360g43Y/l7htzmlluvfEA4/td9rz7T et2RGPHlTkIAF/nKZTMXk+J9yZhCYVBv6AS3oj5wmxXCXb18QVuNr1vz7iZvSU7Ey+03ZpVzkLRv nN1vx20ORltB8U1zJEZdSdFTQQSNp5aK7VlRPhFmvuRhBC0TXIXXn053BCYR7f+SH4iGFtwKrGVg dUfIB0me2NkNrwWCa3gEAyddr41NeerJHJlvwv6e5Ez2CD9EFTtSRI7GVcP5HwdpobH1KtIm9kq1 dbfj/hVUCMpYlV6qqXDKaJMqC7r1MDE5FkylKxl/9fxfiJs+kbH8U8yCkwXJiHHJYq0rNTVnxxbx uVnSqrt+dGRnM3VTRF3BdielI4T7CM9lOO/cho9TxEQaAFW5MGYV6/qGAn+0u3+1C904XswKRaAH kAjJCi0ZNMnRE955YTgg1u3rKAIfXPc7cNuTObsz0km1Hcankfkb65JlzKCReTBBU1iYmt1Cbsr9 VlJLjauaMTeQLh+A/e+Dp0TkoIfiOA+xtY5VQcnTo41xiM6+1bcVY9lb8q4ZS0GPXhdAfQdKbaJW ws22rsOrsY9cW6UFsJaABPK5wGXdUxBcDxrixbPSgIUbl1t8VAN0MsY8UAGMgxS9zaBrqTommoQ9 QI5tWsvVmQrnzh95XQ5i4K0rxIDaJf/yXIScSMGBGOfnQDOofnBrF58lCuIMZ8yBWYHs3serFIDe iPSulCBXWLMX5r3GJBZRBZKFPDEZhXkJDgxO0FL10bspgqjH4snpax3Cv0gL2APgUVQwYjS0J7BH J9ClGvl1wykH7G1l/RduHxQ1Hr1bPLP3VHEHkVCmubWrnA5tSAqK/Kf5fV28mvOZqN/bHbzWujT0 mcHhn4WmEFd64itdPIFt2ROjKlVla9wWbgnPfhF6XVHvE9G11RHmNfx7P4MxAPX0+yNAcHIYMHxr +4Ck0KE2FUMJRLe63Z7hklCa5LjAxdaXp3dED1YR9Ksdzngwi56J4F+D9i69kbbqVb6R/YzsD0/N Pa4uA7MKokyXbU3Tfv4GP52ES1o7yiFaB8AB2HcDdBs/Ag3nacrv/xd6tPH7ugEEOcWXXkshD0S7 hvNwJxT6SPiyeUXjWnEbPWwFcaQci1KcTXof9vMoHeotyRKezfgmVkiqx39n/zbLjabNdjI8aB46 Ub2xmZBGfRaHgmFcqG+OkMd45V+oBJu9k8K35dCef4+TH8DXCqCoX1RN3HD13VYoyRDaVPVbo6xg CDyOmPt0QSFvB9NbekdLpiNTMut80ag1Mrbep4GzEFz5ZP7URuhn3vPKXNHyTIFw+rS/Y0wEnf3L nhjcASqFrwCausYisS0JMvqC8J42sx+6MObGCldyIRiRTxc13OE5DeEDNimOng4FpLenQ7tduGpC o9UNaZXmLrZDz+AarAqfpQ2H0+upjrpRwrm1tOacUZCjdGBRBx2rJGtMCagIjaeod87VeD0OIN6Q Q22ghCf2+gRT86sm/tKOHzj8BEMoM5IuAv5kSGFkcaBrsM6UZkAyvCU9pRva9hSFnPY6RuE4ZrTX uYjR6Afx+P84ZCFmGcOkMqcNvpWBelF/+lYNRipa7IzSd3Drc1NGAp68SApDjnjH5T7T3pJ8d152 8P5FgNryMWd896sNYtf3iU1V9M++CBLXNBXMfMM8/ABoEw8D9miVAQ4XmXSiK+VgBDZBKrIRAH7K 7ZX4JdDo1FR1M/MuhS2D7XpybUrUFJ110IuyqFOPdutBaBBFaoOJCIAUluCuVPP2u45kYkd3Z+Vt vQc42t2BjTzKZe+otD50AAlmOvcJjrNkJv5AdpzMfCey/kngS4cLfCoWdpIybmzfuUvZW9NL4cUC yvHIEHO00jntM5MAgJ9CtkKClqe2NbDJETxqMqX0M68jN8K40wk0o6quwRnBNMGbcGceGKPNRDHV o8iFRHgfCWC+L+pdgQsUF+qI71YM5C7aIrC5QEL3rStzPLYskbbRdbgPufGK/IIOWwYSci5qjDTO 8/zxYda6PRokF6NGbnX5CzOTovBtgbSDjF4cXQT22CDfDsv9ljQ8rK8nyEX29EqZetg+4vItW5pq F06tpd2XU5C/pwDAycku3SVjL6QdM/KmenWPyBI7GnSq7kFqg/fuZyTaFEfem7frCJc9lIM5vMLN Cg9F4kFgD+Ms8Tq2ZfSqab26juIcH95paScBRjvDxBbWVNRQA3UboS1FZqdfwuF2mLMf8FkpoLmw JB/QnIqc9NCjyIWBCDs78/tR3LcDQdXRbseDMFou/XfkgPLFkiVnrZfqUi3T3d8xZCk4u7K5/cix 1rjpuVPlwggMEMqOwytwb+q2iTfz57HEJE8+8kxVxshc3mvzDP6HbLD5frdqwKKVuhmLYwVCSYMe sKxWFxeI2DB7GJtb/9B/cbZCTepjd1j4FAP6n2f3swzuV7//ie9iTOPDWM8+iloWyk2X+HSVSJU+ MMyQyd+wxw2DFhEdqqxQMIAYEnw+8jarDKrBqNS/y8BLmphmYAtj+hNqD+9h0yl4igg8tf2WQMfZ 1LadCDMDzt86umzbcJ2GuYHnWQBaQl734uPHv4ptGFx30kbQcTAPr28qBKHRjoSCg8OvPQpup0BF 1zI11HGF1WsyUpBzLxZW1ni9Dtzq2suV4GcThMQahMRW1qPYraoV+yNIlUFbje1M47aHRqYo96km nfXkTtfPuIGIL0cWKGWwnkhb7KDcx8plqG36FGkrlYL8gRmLbU9spH/1KHWet0rV/TCeQrUwrpX9 rdWbb8UdRD5IZ8gKXrCrySVNdtJkev0XnnBKH92uC66elLSjJ8jmZxSVuKmgvRuiDsnWH81g7GOk bE5UO3B96MNU1RLo5WuHggmoSoYyPVTkONWEYjdYGyuqzE9amL98RqtX3DKr9UjNjHddL0ZYKi0F wdnkMHEPp9n6mqFKkNQDzSRkx3aWx1ArTjZx18lx2LEKKOaaf04SHbFY9/I+KYBSsZPk1mUXM39q rAl+qN0CrmXZhOHrPbV8TRNUkoSoak4iF57Qhmf1eAiFOMPWoDhp3ceUb4S6zyN4mPfUoX2tJ5mU NRyWB74H3O9w22NnIgv1Mn/qXV5nKgi9mglU8EsGf4bGIsb+dlNVM6vLmMkUtA3qlP2sTBgUv0SE 8TRIb+qEtDHSv0cNW3D90UFkxPss9OIDMoy3TOb3eetmV/Y3tGIIXtKty/vu3UppGb6M4UizlXVm ZbQKIcpMdNCxP2ai01a9DZOXmkzblSE1wjy0YDlhPI59GO8RsnheaHnUZMjUBrpAZfS8sVkixMUq uUN32p6cqYxzEsF4BnNeVbmGGVhZGhPRzGRlQJk7sBN48kM8QTYo8xxDLZtU2otyWIBL3sA7RKCZ MlXXO5dxCfWCdmSXJMgolsM/104bWRijbHskw3PNS3AVla+Y/yiILgAqRoLtSCoFc3mMGs44ZEKm i81o1do1YQyAi79ppyUYueqh37540gQSYDIOmLGh0RR64qBdj4YVZ1QB/QWwbwSW6I2PcnQB/aIj kgst1HbAr7rm0LnosDDaDU6gvuYTlrdjzuCMMoPPg10ImJfWVUyb/BgE6tOQwx7Xxgxe6I4tXoz9 lm/KnEaLwTrDkVhOlFC2+bVknEcByPzTKAtRmsGF2AEgFprkJg9vONjDNKkSL8lbQ+bYl0i2P27R 6ahqYqCOqiE6vqVUBFI3vCqijF3fKayQ/Tl2pssYREvTO29OYHc1Hm2I13jIpbTgKuwQ3RxdyeE1 U+43fSg7BhV41eGwpZJ/vziV8S/BezxEHkiVWxZTwxeJeWc5raf/XHF82f3M34w7MktO3QiaZhdQ sbK6iiHBKviFNl1mpkBAg1muDYZairKEHFUd51gvaW/2gNdx61W4yw0AFfePfBfPVuxaTGzto5UH NA+QpTRAJqdpjfsPVFGtWjQhruOFx7IQNtTtJ1EQjKWt/v97iloL3A9iUmVwsu/QJqOkIxHzQPRa xKO5XNqHnrbLCGPgx0e4G+4Pb6+5oJCIhi8HV3NIbVqwmysYCTCR6RcOtDUbbkcwzGBcVqVcWPSW p1VXqrTHxmgdURO5/lSnJq/fpYIWXtwbjiXJ+h0/Ea70Qaf/LP3Xs6MPYywdWwD3P+gVxEjG0KGb 1wQxNOnkObZhIacgtgs01QF7Zujg4+7yfF4xQXwq8PyH/riSKaTN6/k/8ApTEQA4sHZon4y4PUI6 4xGk3rIqTm3VoFb+nCFxUurvnsxs4sGzGkzSVTMt4WcvrNIzpO5yBtVIUKtk6u7PveYw45e8LRym fj/wPFa1Iv+Ua6VIaL/6KAxjNd7Zl7pXEfS27MAP5+hmsfd29P8Hqd0s5nDmQkhqsymMAwvAsuus gAFBOjXM5MFd4UuurT2fs5rDo/b/JRfwx9IfaHEYSTvF+ticEoinn4dclqUzpwPtnkNPuISorPOr W6LlzYiUnlUv0Pp44q6dNCMqk6bqAfM09pXK6O3TAqiSneOE8C2LydRdpUvr7dXxTBNGftgNmpRs FaHgYeNzjwAYKYqdcFXdJqJ1cKbNgBjzEryJDYfoOIVb+bHmoxEdM5qCMnS32khkMz8NnktM7zK6 HMZRgpdQOsZfKwL/EEtstpcsy74yhVJjJWid0TRf6PViN5RfYv1nyj1H0GYZ+86+7FzXB/Vomve+ Bk+WwAKqx5e5hC+P64I522xOLO0LT7LVLVAA2WJCoacINWgBcioZCEQ8S58hXoiMdSbmmdnkM+IG YCYfBn+Pxl65sdoNpRzY/iok+u6k4hGCu+k6b8IdcjtPhDV4v7hrU/Ka4MT5T5r9pDBrhnrllvO7 /IbyD0Juywm3OX5GgnncQi9VjWNel2Q0rKgZEa29UePUy/q8DvEAUS2QwaZRyxOLstlV6xklZnTu +a7T/UVF0cMOiYlNYGQhr/t3zUL5lKXj2Yg8VSNQGXi3VzgyLXlT7L1QLQM61zEX1QIW7O3H9XqM jHtDq4N6W0+CiNwTwf0kCju4JnlIiOvnkrS2m2Y5FfRyQAvxP/RBoFcPr901j7AM6+hnfw/EN43h IQbUx2xI+0MIISXptq5r3fDeKR4bj31aBBI7jWT/DVlOyc3+OXOpdSThJiiDp9W85uo0tW5eHdJO K0JSkXU54crIfOUc4l64IQjcmsdbc1eud2RU3sZt0J/WuaeE9wrDbDR9ojY/p696VJGEYwN5z7TR a+SkT222h0shfvgNNpfAFXZ6eFRCwAA0WO/GKgtlujI7NCrlN9G7fo//x4T6wOahjfDTrWJwjAoK 8VLKZoJTKJXGAbMNIeWMQwOKNgW7i4+ncr+nxLmgEA8xbQVLsVz11r6Iv0RZP9GymUJYa/lkb/Fq vCvmCnnd8EK+PaiWtZYLdLLzHFIYeWUZwG062n5J1RMCR6l/6dHG3EP95skEZGisa47tRoH9p+4P gCsFXS54T8LHdj91kWP/2ysQ8Un6JBW98Oe2dChOJu1+ScBXXccr5CiuBJv3P4xG7me3IcuMcRRu eGUzjNqXIphZxzANi9VKrHyQpOC+4AbVkfBPRl4QthKBI+NkwdfYTw7/1wuqHPPoj5QqW8IPZNK9 I4/PHXko31QDdtKUfBrZfTiVoNl4Aw7/Jv4Qs3PamP9ceWZRKwNwmg8lf2VPyoFCspoKyCvdNTCn FzJhSioCPAbfkOd94XcpueSu9LDGTKG/pPc3EJZk73kmraRMPKd8kzlkdWyBZsc6xiS5TpAdO9G4 DZYfs5gKHdW8Qn64bBpu+unSCyfD3vYEnDmKW+d79HFdnpV3ZhtmIJgAWul775iKkDnR/g/cVniH kjE9xn5A9/hxa/IpwvjdbharjRjV/3GppIcSHgM4VbIKT/H8hoWTkTp96SdHSQR0XPLyt3t9nYrn huQKCxOdV2H2WcFPZhE+ipp8iMHuR8cTnRr66953jUmmeZ4pkcQKc+c4fy8oLlmsB5UnVENBx6PI T741aFpp9NDCv7q1Ljql5pmKZknxVN3T73REpZxB8i/nRuPhuuDjqPXTAKGKAiKkCRnNyZjoXwMl DoK9MTMe1bW7Fj27EqQVWCeqNpM2kY7ISWdZawZKOtZOFqxrR0TwTJm7+5GMfYne+PsCsyDjZmiD /tusN0UOMLnbtU6039y9e8tHiaTQtEQ3lfvzwpjdm9HAvyhi832qxXw1JgjS8LMh3w1JBLz9ZrNC RRBSSyOQwic61fzzFgmJ7JzOtNqHXhsHqpcBQuJKLHqISdjb6RiFVWzovwD418WdJR3DlMm87wLi fGEEboDa2UFg5T6/K6vOzzeSx3zWZAPpmmvnOzdk79YHOVEZ3OkfdUgQJkwXhSU6xgPfjF+P5M07 0UDcqlj2QJ+b9YNV8+ODlwQYNRt1Jqu4bQ8x0sWffc9VIxtXUbwTj6vsFbV1pX+SNpGXhnGVMy6k q/AZwoKmBHvzzzxbl9R8wcjjYe58E7JE1YpcpZvQwMxCwWiEIiRmEAphIO2tAS/6FZ3kzxrPgCL4 YOgyz+uaH0iw1b3vcz807Ol0Mq49IMYhmhHo7pNohjGs+ybV+9Ss140NfBD1dblDvyGGdbj36EbZ wdxeH3LqygJTsoT6Ov82HZmBkd6XYHw9dtILJAuyC7YAlzFLBEssDb3SydOHUf84ESKeiSTqPjBV UW8uXw9774TVqG6Z08G9CpDiLEUfdbz1DemJ5sPHwgQLPXXuvRPOs9O2hs6gP1rJQ5V4zLpX1RY2 8DBw4TkYFziqBIUqxHbbGQmGoxTCONp0/AcLYZZzJfNsgjpdvCpvD7HWWT2PgJ76zvAyMJKMnpDf IMbMhTQ4NmdoDWgKcHhD4KCWEc32cAB02btkTIbxENT7bfb/gdjaKSyMsrQ8pCuddNzTca1Hniwa jjmpUgCcbaq5pevDdNP1h3sn71JBefq/RB5d8oUbT3O2JZe4bVqXhWQWAKbA+vRsvzyVnOxWGAo/ wO9umaQYoOSPF3Axd+PHPySrFEar6QHQfmkRUwwYlOxW7yvGSO9+Yc/Kc9dJg48hWtWk3Pj5NI99 PGuUTj8X0XUcrfxMwzGVQtzK5H/H9/85E/ece24Mub8MqXk8o90jAvgKEdfvOM8yFLG9LLH+KC3d wRVZrFM63GylG1O567PtZlvptOzXDPOIp0/IUqupvqtdobi7FernYVooEIkTftyeNzSnXqDJoQbk 9v1D6adqAh+byUJl1n7J3M8hPPYLv7RP7U62ehSp1nWpfMNHkJm5nXGA5SvJXsHvMmCjoaCtlON2 RsXwxsfQ/373C2Qrp0Hyj0u6qT31zAdjwxychqyyBEAbBc2YUA5FaRRoDHrrSKrWyze7zKmB9u4G 5px9vqrrN5SpK8gDmCdcgK8p5AvCjBMc+UZ6i2ly91RUiPNUmmH7PSiuRT3p593cBy1JUb1XxeWd SmQoD1t4N8H9ZNWCf7bVeexBczAyGYbmD5+n9omH/BP77oYhOsFMxIZBrQMhU0oqPDUxmsWUscK7 QWTlTTIb7KpB34cwAZb+giE2HuL9ggfZX4yn0xCZVvq98oru2AJyYOr7DeLehEfKeEZO+CgBitLm b1FI2G0nFeUws9J5FEPPVxg7oACSOZmgtOsbKS+1fzmW0EZ7k6tpsC5JAut4TN0fZxnBhhTyksGn MWIjEFyd0RAXCYYckm7erZbpyCk9x+N9d5tW3ulDwlN4Ip1Tbwar62WgbexSXSs+gjlGvP6m9/BY VgqZ79azrsO8Aeot5UtwqRg0XO5VFVYf6s2fcm7QmjIJsz3HfrjRYVONgvlpvat9pgevTw0LrJEr DIqxoplS0OLdSQtkNzf++EMyPTBCOW/K5N1pgqjxV4pe1qc+iySlSqmkKMgRF99PD9t6Hpfuo+oF P0X9tFM3s525H/Ky1UTq+pwCAUVYMboH7khX134PLKvlH7fmhZI6GrO9GB84A0LDRCaqrWZemBNP NPua1xfD8BgZlgJPfrV+ivYb7UJQAuptFAfVC1UxFZNYKrmRm649ZGjLZjGOAD+e0Id+jVJzHdj5 9mdinjpvWchNDNc3h/IXJmILxkaPunMaVgyhARq9sg7uxIHQZsZ6p3taoDBLTp2HSXykRnIjFOe7 g728jaW8gCD925AhYbSMhGywq/t2xGoguIYz9aYtfPmhAKw6keFzKltkG4ZfMpBIMfdH0fxlgcZZ /USeiNgKS9TPtExwVX6ejaV+oAhcC86QK3aXz6U+Hj/jSsMviHIoDaQO2/4inh1Fa3lCt+Btww5E dM6LD1pRvsqk5v9rWes0R/89YauPdBNZq4gvakK5bYu7dFo4iDPWVUXet6AkBXgUVR6Tfl0VHTm9 BzDqBjjWhXCm836wbSP1IKEARA/3tiiYdn/C+Rs1WkFFkFkDPbOhNF+cConhlwQbnZpUlUVxjsSi FAg4sbT0+r79mL0aBdP4B57o3q1GX0g3mpQZ8p/Mcpy4CLWBDSmCiSt0z4tkv7MUryuMtzYPZv2G P3jhhek4r075BzcoXNORfYyGlw/hh9KLnlypWkbAIpN46GvZHC+nVcUFmVIUmM8YWo59DMPsqUvs 9nX43vwbTFgLajHEtwPH5eL7sIDrkEXpj6odHLumeU9Mh99Lfyv9yg1IvpeRrqLNYOvUPVU8QUOc JseuFUGaX4fQQ+IdzZbxjRcpzO7a74eDGrK1JtpR7a3apToEARgDgc32HXq+QwNa3s50JyYrUiFg j5omPVx9aw== `protect end_protected
apache-2.0
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/lmb_bram_if_cntlr_v4_0/816dc01c/hdl/vhdl/axi_interface.vhd
1
9447
------------------------------------------------------------------------------- -- $Id: axi_interface.vhd,v 1.1.2.3 2010/10/11 08:21:49 rolandp Exp $ ------------------------------------------------------------------------------- -- -- (c) Copyright 2003-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- ------------------------------------------------------------------------------- -- Filename: axi_interface.vhd -- -- Description: -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- axi_interface.vhd -- ------------------------------------------------------------------------------- -- Author: rolandp -- Revision: $Revision: 1.1.2.3 $ -- Date: $Date: 2010/10/11 08:21:49 $ ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; entity axi_interface is generic ( -- AXI4-Lite slave generics C_S_AXI_BASEADDR : std_logic_vector := X"FFFF_FFFF"; C_S_AXI_HIGHADDR : std_logic_vector := X"0000_0000"; C_S_AXI_ADDR_WIDTH : integer := 32; C_S_AXI_DATA_WIDTH : integer := 32; C_REGADDR_WIDTH : integer := 5; -- Address bits including register offset. C_DWIDTH : integer := 32); -- Width of data bus. port ( LMB_Clk : in std_logic; LMB_Rst : in std_logic; -- AXI4-Lite SLAVE SINGLE INTERFACE S_AXI_AWADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0); S_AXI_AWVALID : in std_logic; S_AXI_AWREADY : out std_logic; S_AXI_WDATA : in std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); S_AXI_WSTRB : in std_logic_vector((C_S_AXI_DATA_WIDTH/8)-1 downto 0); S_AXI_WVALID : in std_logic; S_AXI_WREADY : out std_logic; S_AXI_BRESP : out std_logic_vector(1 downto 0); S_AXI_BVALID : out std_logic; S_AXI_BREADY : in std_logic; S_AXI_ARADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0); S_AXI_ARVALID : in std_logic; S_AXI_ARREADY : out std_logic; S_AXI_RDATA : out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); S_AXI_RRESP : out std_logic_vector(1 downto 0); S_AXI_RVALID : out std_logic; S_AXI_RREADY : in std_logic; -- lmb_bram_if_cntlr signals RegWr : out std_logic; RegWrData : out std_logic_vector(0 to C_DWIDTH - 1); RegAddr : out std_logic_vector(0 to C_REGADDR_WIDTH-1); RegRdData : in std_logic_vector(0 to C_DWIDTH - 1)); end entity axi_interface; library unisim; use unisim.vcomponents.all; architecture IMP of axi_interface is ----------------------------------------------------------------------------- -- Signal declaration ----------------------------------------------------------------------------- signal new_write_access : std_logic; signal new_read_access : std_logic; signal ongoing_write : std_logic; signal ongoing_read : std_logic; signal S_AXI_RVALID_i : std_logic; signal RegRdData_i : std_logic_vector(C_DWIDTH - 1 downto 0); begin -- architecture IMP ----------------------------------------------------------------------------- -- Handling the AXI4-Lite bus interface (AR/AW/W) ----------------------------------------------------------------------------- -- Detect new transaction. -- Only allow one access at a time new_write_access <= not (ongoing_read or ongoing_write) and S_AXI_AWVALID and S_AXI_WVALID; new_read_access <= not (ongoing_read or ongoing_write) and S_AXI_ARVALID and not new_write_access; -- Acknowledge new transaction. S_AXI_AWREADY <= new_write_access; S_AXI_WREADY <= new_write_access; S_AXI_ARREADY <= new_read_access; -- Store register address and write data Reg: process (LMB_Clk) is begin if LMB_Clk'event and LMB_Clk = '1' then if LMB_Rst = '1' then RegAddr <= (others => '0'); RegWrData <= (others => '0'); elsif new_write_access = '1' then RegAddr <= S_AXI_AWADDR(C_REGADDR_WIDTH-1+2 downto 2); RegWrData <= S_AXI_WDATA(C_DWIDTH-1 downto 0); elsif new_read_access = '1' then RegAddr <= S_AXI_ARADDR(C_REGADDR_WIDTH-1+2 downto 2); end if; end if; end process Reg; -- Handle write access. WriteAccess: process (LMB_Clk) is begin if LMB_Clk'event and LMB_Clk = '1' then if LMB_Rst = '1' then ongoing_write <= '0'; elsif new_write_access = '1' then ongoing_write <= '1'; elsif ongoing_write = '1' and S_AXI_BREADY = '1' then ongoing_write <= '0'; end if; RegWr <= new_write_access; end if; end process WriteAccess; S_AXI_BVALID <= ongoing_write; S_AXI_BRESP <= (others => '0'); -- Handle read access ReadAccess: process (LMB_Clk) is begin if LMB_Clk'event and LMB_Clk = '1' then if LMB_Rst = '1' then ongoing_read <= '0'; S_AXI_RVALID_i <= '0'; elsif new_read_access = '1' then ongoing_read <= '1'; S_AXI_RVALID_i <= '0'; elsif ongoing_read = '1' then if S_AXI_RREADY = '1' and S_AXI_RVALID_i = '1' then ongoing_read <= '0'; S_AXI_RVALID_i <= '0'; else S_AXI_RVALID_i <= '1'; -- Asserted one cycle after ongoing_read to match S_AXI_RDDATA end if; end if; end if; end process ReadAccess; S_AXI_RVALID <= S_AXI_RVALID_i; S_AXI_RRESP <= (others => '0'); Not_All_Bits_Are_Used: if (C_DWIDTH < C_S_AXI_DATA_WIDTH) generate begin S_AXI_RDATA(C_S_AXI_DATA_WIDTH-1 downto C_S_AXI_DATA_WIDTH - C_DWIDTH) <= (others=>'0'); end generate Not_All_Bits_Are_Used; RegRdData_i <= RegRdData; -- Swap to - downto S_AXI_RDATA_DFF : for I in C_DWIDTH - 1 downto 0 generate begin S_AXI_RDATA_FDRE : FDRE port map ( Q => S_AXI_RDATA(I), C => LMB_Clk, CE => ongoing_read, D => RegRdData_i(I), R => LMB_Rst); end generate S_AXI_RDATA_DFF; end architecture IMP;
apache-2.0
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/axi_master_burst_v2_0/1af76933/hdl/src/vhdl/axi_master_burst_rddata_cntl.vhd
1
60335
------------------------------------------------------------------- -- (c) Copyright 1984 - 2012 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. ------------------------------------------------------------------- -- Filename: axi_master_burst_rddata_cntl.vhd -- -- Description: -- This file implements the AXI Master Burst Read Data Controller module. -- -- -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- axi_master_burst_rddata_cntl.vhd -- ------------------------------------------------------------------------------- -- Revision History: -- -- -- Author: DET -- Revision: $Revision: 1.0$ -- Date: $1/19/2011$ -- -- History: -- -- DET 1/19/2011 Initial -- ~~~~~~ -- - Adapted from DataMover v2_00_a axi_datamover_rddata_cntl.vhd -- ^^^^^^ -- -- DET 1/19/2011 Initial -- ~~~~~~ -- -- See CR590244 for DataMover -- - Added additional check on the pop of the status coelscing register. -- THis is needed to handle the case of a simultaneous push and pop of -- the coelescing register. -- ^^^^^^ -- -- DET 2/15/2011 Initial for EDk 13.2 -- ~~~~~~ -- -- Per CR593812 -- - Modifications to remove unused features to improve Code coverage. -- Used "-- coverage off" and "-- coverage on" strings. -- ^^^^^^ -- ~~~~~~ -- Fixed CR #688186 -- ^^^^^^ -- ~~~~~~ -- SK 12/16/12 -- v2.0 -- 1. up reved to major version for 2013.1 Vivado release. No logic updates. -- 2. Updated the version of AXI MASTER BURST to v2.0 in X.Y format -- 3. updated the proc common version to proc_common_v4_0 -- 4. No Logic Updates -- ^^^^^^ ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library axi_master_burst_v2_0; use axi_master_burst_v2_0.axi_master_burst_rdmux; use axi_master_burst_v2_0.axi_master_burst_fifo; ------------------------------------------------------------------------------- entity axi_master_burst_rddata_cntl is generic ( C_INCLUDE_DRE : Integer range 0 to 1 := 0; C_ALIGN_WIDTH : Integer range 1 to 3 := 3; C_SEL_ADDR_WIDTH : Integer range 1 to 8 := 5; C_DATA_CNTL_FIFO_DEPTH : Integer range 1 to 32 := 4; C_MMAP_DWIDTH : Integer range 32 to 256 := 32; C_STREAM_DWIDTH : Integer range 8 to 256 := 32; C_TAG_WIDTH : Integer range 1 to 8 := 4; C_FAMILY : String := "virtex7" ); port ( -- Clock input primary_aclk : in std_logic; -- Primary synchronization clock for the Master side -- interface and internal logic. It is also used -- for the User interface synchronization when -- C_STSCMD_IS_ASYNC = 0. -- Reset input mmap_reset : in std_logic; -- Reset used for the internal master logic -- Soft Shutdown internal interface --------------------------- rst2data_stop_request : in std_logic; -- Active high soft stop request to modules data2addr_stop_req : Out std_logic; -- Active high signal requesting the Address Controller -- to stop posting commands to the AXI Read Address Channel data2rst_stop_cmplt : Out std_logic; -- Active high indication that the Data Controller has completed -- any pending transfers committed by the Address Controller -- after a stop has been requested by the Reset module. -- External Address Pipelining Contol support mm2s_rd_xfer_cmplt : out std_logic; -- Active high indication that the Data Controller has completed -- a single read data transfer on the AXI4 Read Data Channel. -- This signal escentially echos the assertion of rlast received -- from the AXI4. -- AXI Read Data Channel I/O ---------------------------------- mm2s_rdata : In std_logic_vector(C_MMAP_DWIDTH-1 downto 0); -- AXI Read data input mm2s_rresp : In std_logic_vector(1 downto 0); -- AXI Read response input mm2s_rlast : In std_logic; -- AXI Read LAST input mm2s_rvalid : In std_logic; -- AXI Read VALID input mm2s_rready : Out std_logic; -- AXI Read data READY output -- MM2S DRE Control ------------------------------------------- mm2s_dre_new_align : Out std_logic; -- Active high signal indicating new DRE aligment required mm2s_dre_use_autodest : Out std_logic; -- Active high signal indicating to the DRE to use an auto- -- calculated desination alignment based on the last transfer mm2s_dre_src_align : Out std_logic_vector(C_ALIGN_WIDTH-1 downto 0); -- Bit field indicating the byte lane of the first valid data byte -- being sent to the DRE mm2s_dre_dest_align : Out std_logic_vector(C_ALIGN_WIDTH-1 downto 0); -- Bit field indicating the desired byte lane of the first valid data byte -- to be output by the DRE mm2s_dre_flush : Out std_logic; -- Active high signal indicating to the DRE to flush the current -- contents to the output register in preparation of a new alignment -- that will be comming on the next transfer input -- AXI Master Stream ------------------------------------------ mm2s_strm_wvalid : Out std_logic; -- AXI Stream VALID Output mm2s_strm_wready : In Std_logic; -- AXI Stream READY input mm2s_strm_wdata : Out std_logic_vector(C_STREAM_DWIDTH-1 downto 0); -- AXI Stream data output mm2s_strm_wstrb : Out std_logic_vector((C_STREAM_DWIDTH/8)-1 downto 0); -- AXI Stream STRB output mm2s_strm_wlast : Out std_logic; -- AXI Stream LAST output -- Command Calculator Interface -------------------------- mstr2data_tag : In std_logic_vector(C_TAG_WIDTH-1 downto 0); -- The next command tag mstr2data_saddr_lsb : In std_logic_vector(C_SEL_ADDR_WIDTH-1 downto 0); -- The next command start address LSbs to use for the read data -- mux (only used if Stream data width is 8 or 16 bits). mstr2data_len : In std_logic_vector(7 downto 0); -- The LEN value output to the Address Channel mstr2data_strt_strb : In std_logic_vector((C_STREAM_DWIDTH/8)-1 downto 0); -- The starting strobe value to use for the first stream data beat mstr2data_last_strb : In std_logic_vector((C_STREAM_DWIDTH/8)-1 downto 0); -- The endiing (LAST) strobe value to use for the last stream -- data beat mstr2data_drr : In std_logic; -- The starting tranfer of a sequence of transfers mstr2data_eof : In std_logic; -- The endiing tranfer of a sequence of transfers mstr2data_sequential : In std_logic; -- The next sequential tranfer of a sequence of transfers -- spawned from a single parent command mstr2data_calc_error : In std_logic; -- Indication if the next command in the calculation pipe -- has a calculation error mstr2data_cmd_cmplt : In std_logic; -- The indication to the Data Channel that the current -- sub-command output is the last one compiled from the -- parent command pulled from the Command FIFO mstr2data_cmd_valid : In std_logic; -- The next command valid indication to the Data Channel -- Controller for the AXI MMap data2mstr_cmd_ready : Out std_logic ; -- Indication from the Data Channel Controller that the -- command is being accepted on the AXI Address Channel mstr2data_dre_src_align : In std_logic_vector(C_ALIGN_WIDTH-1 downto 0); -- The source (input) alignment for the DRE mstr2data_dre_dest_align : In std_logic_vector(C_ALIGN_WIDTH-1 downto 0); -- The destinstion (output) alignment for the DRE -- Address Controller Interface -------------------------- addr2data_addr_posted : In std_logic ; -- Indication from the Address Channel Controller to the -- Data Controller that an address has been posted to the -- AXI Address Channel -- Data Controller General Halted Status data2all_dcntlr_halted : Out std_logic; -- When asserted, this indicates the data controller has satisfied -- all pending transfers queued by the Address Controller and is halted. -- Output Stream Skid Buffer Halt control data2skid_halt : Out std_logic; -- The data controller asserts this output for 1 primary clock period -- The pulse commands the MM2S Stream skid buffer to tun off outputs -- at the next tlast transmission. -- Read Status Controller Interface -------------------------- data2rsc_tag : Out std_logic_vector(C_TAG_WIDTH-1 downto 0); -- The propagated command tag from the Command Calculator data2rsc_calc_err : Out std_logic ; -- Indication that the current command out from the Cntl FIFO -- has a propagated calculation error from the Command Calculator data2rsc_okay : Out std_logic ; -- Indication that the AXI Read transfer completed with OK status data2rsc_decerr : Out std_logic ; -- Indication that the AXI Read transfer completed with decode error status data2rsc_slverr : Out std_logic ; -- Indication that the AXI Read transfer completed with slave error status data2rsc_cmd_cmplt : Out std_logic ; -- Indication by the Data Channel Controller that the -- corresponding status is the last status for a parent command -- pulled from the command FIFO rsc2data_ready : in std_logic; -- Handshake bit from the Read Status Controller Module indicating -- that the it is ready to accept a new Read status transfer data2rsc_valid : Out std_logic ; -- Handshake bit output to the Read Status Controller Module -- indicating that the Data Controller has valid tag and status -- indicators to transfer rsc2mstr_halt_pipe : In std_logic -- Status Flag indicating the Status Controller needs to stall the command -- execution pipe due to a Status flow issue or internal error. Generally -- this will occur if the Status FIFO is not being serviced fast enough to -- keep ahead of the command execution. ); end entity axi_master_burst_rddata_cntl; architecture implementation of axi_master_burst_rddata_cntl is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; -- Function declaration ---------------------------------------- ------------------------------------------------------------------- -- Function -- -- Function Name: funct_set_cnt_width -- -- Function Description: -- Sets a count width based on a fifo depth. A depth of 4 or less -- is a special case which requires a minimum count width of 3 bits. -- ------------------------------------------------------------------- function funct_set_cnt_width (fifo_depth : integer) return integer is Variable temp_cnt_width : Integer := 4; begin if (fifo_depth <= 4) then temp_cnt_width := 3; elsif (fifo_depth <= 8) then temp_cnt_width := 4; elsif (fifo_depth <= 16) then temp_cnt_width := 5; -- coverage off elsif (fifo_depth <= 32) then temp_cnt_width := 6; else -- fifo depth <= 64 temp_cnt_width := 7; -- coverage on end if; Return (temp_cnt_width); end function funct_set_cnt_width; -- Constant Declarations -------------------------------------------- Constant OKAY : std_logic_vector(1 downto 0) := "00"; Constant EXOKAY : std_logic_vector(1 downto 0) := "01"; Constant SLVERR : std_logic_vector(1 downto 0) := "10"; Constant DECERR : std_logic_vector(1 downto 0) := "11"; Constant STRM_STRB_WIDTH : integer := C_STREAM_DWIDTH/8; Constant LEN_OF_ZERO : std_logic_vector(7 downto 0) := (others => '0'); Constant USE_SYNC_FIFO : integer := 0; Constant REG_FIFO_PRIM : integer := 0; Constant BRAM_FIFO_PRIM : integer := 1; Constant SRL_FIFO_PRIM : integer := 2; Constant FIFO_PRIM_TYPE : integer := SRL_FIFO_PRIM; Constant TAG_WIDTH : integer := C_TAG_WIDTH; Constant SADDR_LSB_WIDTH : integer := C_SEL_ADDR_WIDTH; Constant LEN_WIDTH : integer := 8; Constant STRB_WIDTH : integer := C_STREAM_DWIDTH/8; Constant SOF_WIDTH : integer := 1; Constant EOF_WIDTH : integer := 1; Constant CMD_CMPLT_WIDTH : integer := 1; Constant SEQUENTIAL_WIDTH : integer := 1; Constant CALC_ERR_WIDTH : integer := 1; Constant DRE_ALIGN_WIDTH : integer := C_ALIGN_WIDTH; Constant DCTL_FIFO_WIDTH : Integer := TAG_WIDTH + -- Tag field SADDR_LSB_WIDTH + -- LS Address field width LEN_WIDTH + -- LEN field STRB_WIDTH + -- Starting Strobe field STRB_WIDTH + -- Ending Strobe field SOF_WIDTH + -- SOF Flag Field EOF_WIDTH + -- EOF flag field SEQUENTIAL_WIDTH + -- Calc error flag CMD_CMPLT_WIDTH + -- Sequential command flag CALC_ERR_WIDTH + -- Command Complete Flag DRE_ALIGN_WIDTH + -- DRE Source Align width DRE_ALIGN_WIDTH ; -- DRE Dest Align width -- Caution, the INDEX calculations are order dependent so don't rearrange Constant TAG_STRT_INDEX : integer := 0; Constant SADDR_LSB_STRT_INDEX : integer := TAG_STRT_INDEX + TAG_WIDTH; Constant LEN_STRT_INDEX : integer := SADDR_LSB_STRT_INDEX + SADDR_LSB_WIDTH; Constant STRT_STRB_STRT_INDEX : integer := LEN_STRT_INDEX + LEN_WIDTH; Constant LAST_STRB_STRT_INDEX : integer := STRT_STRB_STRT_INDEX + STRB_WIDTH; Constant SOF_STRT_INDEX : integer := LAST_STRB_STRT_INDEX + STRB_WIDTH; Constant EOF_STRT_INDEX : integer := SOF_STRT_INDEX + SOF_WIDTH; Constant SEQUENTIAL_STRT_INDEX : integer := EOF_STRT_INDEX + EOF_WIDTH; Constant CMD_CMPLT_STRT_INDEX : integer := SEQUENTIAL_STRT_INDEX + SEQUENTIAL_WIDTH; Constant CALC_ERR_STRT_INDEX : integer := CMD_CMPLT_STRT_INDEX + CMD_CMPLT_WIDTH; Constant DRE_SRC_STRT_INDEX : integer := CALC_ERR_STRT_INDEX + CALC_ERR_WIDTH; Constant DRE_DEST_STRT_INDEX : integer := DRE_SRC_STRT_INDEX + DRE_ALIGN_WIDTH; Constant ADDR_INCR_VALUE : integer := C_STREAM_DWIDTH/8; --Constant ADDR_POSTED_CNTR_WIDTH : integer := 5; -- allows up to 32 entry address queue Constant ADDR_POSTED_CNTR_WIDTH : integer := funct_set_cnt_width(C_DATA_CNTL_FIFO_DEPTH); Constant ADDR_POSTED_ZERO : unsigned(ADDR_POSTED_CNTR_WIDTH-1 downto 0) := (others => '0'); Constant ADDR_POSTED_ONE : unsigned(ADDR_POSTED_CNTR_WIDTH-1 downto 0) := TO_UNSIGNED(1, ADDR_POSTED_CNTR_WIDTH); Constant ADDR_POSTED_MAX : unsigned(ADDR_POSTED_CNTR_WIDTH-1 downto 0) := (others => '1'); -- Signal Declarations -------------------------------------------- signal sig_good_dbeat : std_logic := '0'; signal sig_get_next_dqual : std_logic := '0'; signal sig_last_mmap_dbeat : std_logic := '0'; signal sig_last_mmap_dbeat_reg : std_logic := '0'; signal sig_data2mmap_ready : std_logic := '0'; signal sig_mmap2data_valid : std_logic := '0'; signal sig_mmap2data_last : std_logic := '0'; signal sig_aposted_cntr_ready : std_logic := '0'; signal sig_ld_new_cmd : std_logic := '0'; signal sig_ld_new_cmd_reg : std_logic := '0'; signal sig_cmd_cmplt_reg : std_logic := '0'; signal sig_tag_reg : std_logic_vector(TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_addr_lsb_reg : std_logic_vector(C_SEL_ADDR_WIDTH-1 downto 0) := (others => '0'); signal sig_strt_strb_reg : std_logic_vector(STRM_STRB_WIDTH-1 downto 0) := (others => '0'); signal sig_last_strb_reg : std_logic_vector(STRM_STRB_WIDTH-1 downto 0) := (others => '0'); signal sig_addr_posted : std_logic := '0'; signal sig_addr_chan_rdy : std_logic := '0'; signal sig_dqual_rdy : std_logic := '0'; signal sig_good_mmap_dbeat : std_logic := '0'; signal sig_first_dbeat : std_logic := '0'; signal sig_last_dbeat : std_logic := '0'; signal sig_new_len_eq_0 : std_logic := '0'; signal sig_dbeat_cntr : unsigned(7 downto 0) := (others => '0'); Signal sig_dbeat_cntr_int : Integer range 0 to 255 := 0; signal sig_dbeat_cntr_eq_0 : std_logic := '0'; signal sig_dbeat_cntr_eq_1 : std_logic := '0'; signal sig_calc_error_reg : std_logic := '0'; signal sig_decerr : std_logic := '0'; signal sig_slverr : std_logic := '0'; signal sig_coelsc_okay_reg : std_logic := '0'; signal sig_coelsc_interr_reg : std_logic := '0'; signal sig_coelsc_decerr_reg : std_logic := '0'; signal sig_coelsc_slverr_reg : std_logic := '0'; signal sig_coelsc_cmd_cmplt_reg : std_logic := '0'; signal sig_coelsc_tag_reg : std_logic_vector(TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_pop_coelsc_reg : std_logic := '0'; signal sig_push_coelsc_reg : std_logic := '0'; signal sig_coelsc_reg_empty : std_logic := '0'; signal sig_coelsc_reg_full : std_logic := '0'; signal sig_rsc2data_ready : std_logic := '0'; signal sig_cmd_cmplt_last_dbeat : std_logic := '0'; signal sig_next_tag_reg : std_logic_vector(TAG_WIDTH-1 downto 0) := (others => '0'); signal sig_next_strt_strb_reg : std_logic_vector(STRM_STRB_WIDTH-1 downto 0) := (others => '0'); signal sig_next_last_strb_reg : std_logic_vector(STRM_STRB_WIDTH-1 downto 0) := (others => '0'); signal sig_next_eof_reg : std_logic := '0'; signal sig_next_sequential_reg : std_logic := '0'; signal sig_next_cmd_cmplt_reg : std_logic := '0'; signal sig_next_calc_error_reg : std_logic := '0'; signal sig_next_dre_src_align_reg : std_logic_vector(C_ALIGN_WIDTH-1 downto 0) := (others => '0'); signal sig_next_dre_dest_align_reg : std_logic_vector(C_ALIGN_WIDTH-1 downto 0) := (others => '0'); signal sig_pop_dqual_reg : std_logic := '0'; signal sig_push_dqual_reg : std_logic := '0'; signal sig_dqual_reg_empty : std_logic := '0'; signal sig_dqual_reg_full : std_logic := '0'; signal sig_addr_posted_cntr : unsigned(ADDR_POSTED_CNTR_WIDTH-1 downto 0) := (others => '0'); signal sig_addr_posted_cntr_eq_0 : std_logic := '0'; signal sig_addr_posted_cntr_max : std_logic := '0'; signal sig_decr_addr_posted_cntr : std_logic := '0'; signal sig_incr_addr_posted_cntr : std_logic := '0'; signal sig_ls_addr_cntr : unsigned(C_SEL_ADDR_WIDTH-1 downto 0) := (others => '0'); signal sig_incr_ls_addr_cntr : std_logic := '0'; signal sig_addr_incr_unsgnd : unsigned(C_SEL_ADDR_WIDTH-1 downto 0) := (others => '0'); signal sig_no_posted_cmds : std_logic := '0'; Signal sig_cmd_fifo_data_in : std_logic_vector(DCTL_FIFO_WIDTH-1 downto 0); Signal sig_cmd_fifo_data_out : std_logic_vector(DCTL_FIFO_WIDTH-1 downto 0); signal sig_fifo_next_tag : std_logic_vector(TAG_WIDTH-1 downto 0); signal sig_fifo_next_sadddr_lsb : std_logic_vector(SADDR_LSB_WIDTH-1 downto 0); signal sig_fifo_next_len : std_logic_vector(LEN_WIDTH-1 downto 0); signal sig_fifo_next_strt_strb : std_logic_vector(STRB_WIDTH-1 downto 0); signal sig_fifo_next_last_strb : std_logic_vector(STRB_WIDTH-1 downto 0); signal sig_fifo_next_drr : std_logic := '0'; signal sig_fifo_next_eof : std_logic := '0'; signal sig_fifo_next_cmd_cmplt : std_logic := '0'; signal sig_fifo_next_calc_error : std_logic := '0'; signal sig_fifo_next_sequential : std_logic := '0'; signal sig_fifo_next_dre_src_align : std_logic_vector(C_ALIGN_WIDTH-1 downto 0) := (others => '0'); signal sig_fifo_next_dre_dest_align : std_logic_vector(C_ALIGN_WIDTH-1 downto 0) := (others => '0'); signal sig_cmd_fifo_empty : std_logic := '0'; signal sig_fifo_wr_cmd_valid : std_logic := '0'; signal sig_fifo_wr_cmd_ready : std_logic := '0'; signal sig_fifo_rd_cmd_valid : std_logic := '0'; signal sig_fifo_rd_cmd_ready : std_logic := '0'; signal sig_sequential_push : std_logic := '0'; signal sig_clr_dqual_reg : std_logic := '0'; signal sig_advance_pipe : std_logic := '0'; signal sig_halt_reg : std_logic := '0'; signal sig_halt_reg_dly1 : std_logic := '0'; signal sig_halt_reg_dly2 : std_logic := '0'; signal sig_halt_reg_dly3 : std_logic := '0'; signal sig_data2skid_halt : std_logic := '0'; signal sig_rd_xfer_cmplt : std_logic := '0'; begin --(architecture implementation) -- AXI MMap Data Channel Port assignments mm2s_rready <= sig_data2mmap_ready; sig_mmap2data_valid <= mm2s_rvalid ; sig_mmap2data_last <= mm2s_rlast and mm2s_rvalid ;--Added to FIX CR#688186 -- 19-11-2012 -- Read Status Block interface data2rsc_valid <= sig_coelsc_reg_full ; sig_rsc2data_ready <= rsc2data_ready ; data2rsc_tag <= sig_coelsc_tag_reg ; data2rsc_calc_err <= sig_coelsc_interr_reg ; data2rsc_okay <= sig_coelsc_okay_reg ; data2rsc_decerr <= sig_coelsc_decerr_reg ; data2rsc_slverr <= sig_coelsc_slverr_reg ; data2rsc_cmd_cmplt <= sig_coelsc_cmd_cmplt_reg ; -- AXI MM2S Stream Channel Port assignments mm2s_strm_wvalid <= (mm2s_rvalid and sig_advance_pipe) or (sig_halt_reg and -- Force tvalid high on a Halt and sig_dqual_reg_full and -- a transfer is scheduled and not(sig_no_posted_cmds) and -- there are cmds posted to AXi and not(sig_calc_error_reg)); -- not a calc error mm2s_strm_wlast <= (mm2s_rlast and mm2s_rvalid and --Added to FIX CR#688186 -- 19-11-2012 sig_next_eof_reg) or (sig_halt_reg and -- Force tvalid high on a Halt and sig_dqual_reg_full and -- a transfer is scheduled and not(sig_no_posted_cmds) and -- there are cmds posted to AXi and not(sig_calc_error_reg)); -- not a calc error; -- Generate the Write Strobes for the Stream interface mm2s_strm_wstrb <= (others => '1') When (sig_halt_reg = '1') -- Force tstrb high on a Halt else sig_strt_strb_reg When (sig_first_dbeat = '1') Else sig_last_strb_reg When (sig_last_dbeat = '1') Else (others => '1'); -- Address Channel Controller synchro pulse input sig_addr_posted <= addr2data_addr_posted; -- Request to halt the Address Channel Controller data2addr_stop_req <= sig_halt_reg; -- Halted flag to the reset module data2rst_stop_cmplt <= (sig_halt_reg_dly3 and -- Normal Mode shutdown sig_no_posted_cmds and not(sig_calc_error_reg)) or (sig_halt_reg_dly3 and -- Shutdown after error trap sig_calc_error_reg); -- Read Transfer Completed Status output mm2s_rd_xfer_cmplt <= sig_rd_xfer_cmplt; -- Internal logic ------------------------------ ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_RD_CMPLT_FLAG -- -- Process Description: -- Implements the status flag indicating that a read data -- transfer has completed. This is an echo of a rlast assertion -- and a qualified data beat on the AXI4 Read Data Channel -- inputs. -- ------------------------------------------------------------- IMP_RD_CMPLT_FLAG : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1') then sig_rd_xfer_cmplt <= '0'; else sig_rd_xfer_cmplt <= sig_mmap2data_last and sig_good_mmap_dbeat; end if; end if; end process IMP_RD_CMPLT_FLAG; -- General flag for advancing the MMap Read and the Stream -- data pipelines sig_advance_pipe <= sig_addr_chan_rdy and sig_dqual_rdy and not(sig_coelsc_reg_full) and -- new status back-pressure term not(sig_calc_error_reg); -- test for Kevin's status throttle case sig_data2mmap_ready <= (mm2s_strm_wready or sig_halt_reg) and -- Ignore the Stream ready on a Halt request sig_advance_pipe; sig_good_mmap_dbeat <= sig_data2mmap_ready and sig_mmap2data_valid; sig_last_mmap_dbeat <= sig_good_mmap_dbeat and sig_mmap2data_last; sig_get_next_dqual <= sig_last_mmap_dbeat; ------------------------------------------------------------ -- Instance: I_READ_MUX -- -- Description: -- Instance of the MM2S Read Data Channel Read Mux -- ------------------------------------------------------------ I_READ_MUX : entity axi_master_burst_v2_0.axi_master_burst_rdmux generic map ( C_SEL_ADDR_WIDTH => C_SEL_ADDR_WIDTH, -- : Integer range 1 to 32 := 5; C_MMAP_DWIDTH => C_MMAP_DWIDTH , -- : Integer range 32 to 256 := 32; C_STREAM_DWIDTH => C_STREAM_DWIDTH -- : Integer range 8 to 256 := 32 ) port map ( mmap_read_data_in => mm2s_rdata , -- : In std_logic_vector(C_MMAP_DWIDTH-1 downto 0); mux_data_out => mm2s_strm_wdata , -- : Out std_logic_vector(C_STREAM_DWIDTH-1 downto 0); mstr2data_saddr_lsb => sig_addr_lsb_reg -- : In std_logic_vector(C_SEL_ADDR_WIDTH-1 downto 0) ); ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: REG_LAST_DBEAT -- -- Process Description: -- This implements a FLOP that creates a pulse -- indicating the LAST signal for an incoming read data channel -- has been received. Note that it is possible to have back to -- back LAST databeats. -- ------------------------------------------------------------- REG_LAST_DBEAT : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1') then sig_last_mmap_dbeat_reg <= '0'; else sig_last_mmap_dbeat_reg <= sig_last_mmap_dbeat; end if; end if; end process REG_LAST_DBEAT; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_NO_DATA_CNTL_FIFO -- -- If Generate Description: -- Omits the input data control FIFO if the requested FIFO -- depth is 1. The Data Qualifier Register serves as a -- 1 deep FIFO by itself. -- ------------------------------------------------------------ GEN_NO_DATA_CNTL_FIFO : if (C_DATA_CNTL_FIFO_DEPTH = 1) generate begin -- Command Calculator Handshake output data2mstr_cmd_ready <= sig_fifo_wr_cmd_ready; sig_fifo_rd_cmd_valid <= mstr2data_cmd_valid ; -- pre 13.1 sig_fifo_wr_cmd_ready <= sig_dqual_reg_empty and -- pre 13.1 sig_aposted_cntr_ready and -- pre 13.1 not(rsc2mstr_halt_pipe) and -- The Rd Status Controller is not stalling -- pre 13.1 not(sig_calc_error_reg); -- the command execution pipe and there is -- pre 13.1 -- no calculation error being propagated sig_fifo_wr_cmd_ready <= sig_push_dqual_reg; sig_fifo_next_tag <= mstr2data_tag ; sig_fifo_next_sadddr_lsb <= mstr2data_saddr_lsb ; sig_fifo_next_len <= mstr2data_len ; sig_fifo_next_strt_strb <= mstr2data_strt_strb ; sig_fifo_next_last_strb <= mstr2data_last_strb ; sig_fifo_next_drr <= mstr2data_drr ; sig_fifo_next_eof <= mstr2data_eof ; sig_fifo_next_sequential <= mstr2data_sequential ; sig_fifo_next_cmd_cmplt <= mstr2data_cmd_cmplt ; sig_fifo_next_calc_error <= mstr2data_calc_error ; sig_fifo_next_dre_src_align <= mstr2data_dre_src_align ; sig_fifo_next_dre_dest_align <= mstr2data_dre_dest_align ; end generate GEN_NO_DATA_CNTL_FIFO; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_DATA_CNTL_FIFO -- -- If Generate Description: -- Includes the input data control FIFO if the requested -- FIFO depth is more than 1. -- ------------------------------------------------------------ GEN_DATA_CNTL_FIFO : if (C_DATA_CNTL_FIFO_DEPTH > 1) generate begin -- Command Calculator Handshake output data2mstr_cmd_ready <= sig_fifo_wr_cmd_ready; sig_fifo_wr_cmd_valid <= mstr2data_cmd_valid ; sig_fifo_rd_cmd_ready <= sig_push_dqual_reg; -- pop the fifo when dqual reg is pushed -- Format the input fifo data word sig_cmd_fifo_data_in <= mstr2data_dre_dest_align & mstr2data_dre_src_align & mstr2data_calc_error & mstr2data_cmd_cmplt & mstr2data_sequential & mstr2data_eof & mstr2data_drr & mstr2data_last_strb & mstr2data_strt_strb & mstr2data_len & mstr2data_saddr_lsb & mstr2data_tag ; -- Rip the output fifo data word sig_fifo_next_tag <= sig_cmd_fifo_data_out((TAG_STRT_INDEX+TAG_WIDTH)-1 downto TAG_STRT_INDEX); sig_fifo_next_sadddr_lsb <= sig_cmd_fifo_data_out((SADDR_LSB_STRT_INDEX+SADDR_LSB_WIDTH)-1 downto SADDR_LSB_STRT_INDEX); sig_fifo_next_len <= sig_cmd_fifo_data_out((LEN_STRT_INDEX+LEN_WIDTH)-1 downto LEN_STRT_INDEX); sig_fifo_next_strt_strb <= sig_cmd_fifo_data_out((STRT_STRB_STRT_INDEX+STRB_WIDTH)-1 downto STRT_STRB_STRT_INDEX); sig_fifo_next_last_strb <= sig_cmd_fifo_data_out((LAST_STRB_STRT_INDEX+STRB_WIDTH)-1 downto LAST_STRB_STRT_INDEX); sig_fifo_next_drr <= sig_cmd_fifo_data_out(SOF_STRT_INDEX); sig_fifo_next_eof <= sig_cmd_fifo_data_out(EOF_STRT_INDEX); sig_fifo_next_sequential <= sig_cmd_fifo_data_out(SEQUENTIAL_STRT_INDEX); sig_fifo_next_cmd_cmplt <= sig_cmd_fifo_data_out(CMD_CMPLT_STRT_INDEX); sig_fifo_next_calc_error <= sig_cmd_fifo_data_out(CALC_ERR_STRT_INDEX); sig_fifo_next_dre_src_align <= sig_cmd_fifo_data_out((DRE_SRC_STRT_INDEX+DRE_ALIGN_WIDTH)-1 downto DRE_SRC_STRT_INDEX); sig_fifo_next_dre_dest_align <= sig_cmd_fifo_data_out((DRE_DEST_STRT_INDEX+DRE_ALIGN_WIDTH)-1 downto DRE_DEST_STRT_INDEX); ------------------------------------------------------------ -- Instance: I_DATA_CNTL_FIFO -- -- Description: -- Instance for the Command Qualifier FIFO -- ------------------------------------------------------------ I_DATA_CNTL_FIFO : entity axi_master_burst_v2_0.axi_master_burst_fifo generic map ( C_DWIDTH => DCTL_FIFO_WIDTH , C_DEPTH => C_DATA_CNTL_FIFO_DEPTH , C_IS_ASYNC => USE_SYNC_FIFO , C_PRIM_TYPE => FIFO_PRIM_TYPE , C_FAMILY => C_FAMILY ) port map ( -- Write Clock and reset fifo_wr_reset => mmap_reset , fifo_wr_clk => primary_aclk , -- Write Side fifo_wr_tvalid => sig_fifo_wr_cmd_valid , fifo_wr_tready => sig_fifo_wr_cmd_ready , fifo_wr_tdata => sig_cmd_fifo_data_in , fifo_wr_full => open , -- Read Clock and reset fifo_async_rd_reset => mmap_reset , fifo_async_rd_clk => primary_aclk , -- Read Side fifo_rd_tvalid => sig_fifo_rd_cmd_valid , fifo_rd_tready => sig_fifo_rd_cmd_ready , fifo_rd_tdata => sig_cmd_fifo_data_out , fifo_rd_empty => sig_cmd_fifo_empty ); end generate GEN_DATA_CNTL_FIFO; -- Data Qualifier Register ------------------------------------ sig_ld_new_cmd <= sig_push_dqual_reg ; sig_addr_chan_rdy <= not(sig_addr_posted_cntr_eq_0); sig_dqual_rdy <= sig_dqual_reg_full ; sig_strt_strb_reg <= sig_next_strt_strb_reg ; sig_last_strb_reg <= sig_next_last_strb_reg ; sig_tag_reg <= sig_next_tag_reg ; sig_cmd_cmplt_reg <= sig_next_cmd_cmplt_reg ; sig_calc_error_reg <= sig_next_calc_error_reg ; -- Flag indicating that there are no posted commands to AXI sig_no_posted_cmds <= sig_addr_posted_cntr_eq_0; -- new for no bubbles between child requests sig_sequential_push <= sig_good_mmap_dbeat and -- MMap handshake qualified sig_last_dbeat and -- last data beat of transfer sig_next_sequential_reg;-- next queued command is sequential -- to the current command -- pre 13.1 sig_push_dqual_reg <= (sig_sequential_push or -- pre 13.1 sig_dqual_reg_empty) and -- pre 13.1 sig_fifo_rd_cmd_valid and -- pre 13.1 sig_aposted_cntr_ready and -- pre 13.1 not(rsc2mstr_halt_pipe); -- The Rd Status Controller is not -- stalling the command execution pipe sig_push_dqual_reg <= (sig_sequential_push or sig_dqual_reg_empty) and sig_fifo_rd_cmd_valid and sig_aposted_cntr_ready and not(sig_calc_error_reg) and -- 13.1 addition => An error has not been propagated not(rsc2mstr_halt_pipe); -- The Rd Status Controller is not -- stalling the command execution pipe sig_pop_dqual_reg <= not(sig_next_calc_error_reg) and sig_get_next_dqual and sig_dqual_reg_full ; -- new for no bubbles between child requests sig_clr_dqual_reg <= mmap_reset or (sig_pop_dqual_reg and not(sig_push_dqual_reg)); ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_DQUAL_REG -- -- Process Description: -- This process implements a register for the Data -- Control and qualifiers. It operates like a 1 deep Sync FIFO. -- ------------------------------------------------------------- IMP_DQUAL_REG : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (sig_clr_dqual_reg = '1') then sig_next_tag_reg <= (others => '0'); sig_next_strt_strb_reg <= (others => '0'); sig_next_last_strb_reg <= (others => '0'); sig_next_eof_reg <= '0'; sig_next_cmd_cmplt_reg <= '0'; sig_next_sequential_reg <= '0'; sig_next_calc_error_reg <= '0'; sig_next_dre_src_align_reg <= (others => '0'); sig_next_dre_dest_align_reg <= (others => '0'); sig_dqual_reg_empty <= '1'; sig_dqual_reg_full <= '0'; elsif (sig_push_dqual_reg = '1') then sig_next_tag_reg <= sig_fifo_next_tag ; sig_next_strt_strb_reg <= sig_fifo_next_strt_strb ; sig_next_last_strb_reg <= sig_fifo_next_last_strb ; sig_next_eof_reg <= sig_fifo_next_eof ; sig_next_cmd_cmplt_reg <= sig_fifo_next_cmd_cmplt ; sig_next_sequential_reg <= sig_fifo_next_sequential ; sig_next_calc_error_reg <= sig_fifo_next_calc_error ; sig_next_dre_src_align_reg <= sig_fifo_next_dre_src_align ; sig_next_dre_dest_align_reg <= sig_fifo_next_dre_dest_align ; sig_dqual_reg_empty <= '0'; sig_dqual_reg_full <= '1'; else null; -- don't change state end if; end if; end process IMP_DQUAL_REG; -- Address LS Cntr logic -------------------------- sig_addr_lsb_reg <= STD_LOGIC_VECTOR(sig_ls_addr_cntr); sig_addr_incr_unsgnd <= TO_UNSIGNED(ADDR_INCR_VALUE, C_SEL_ADDR_WIDTH); sig_incr_ls_addr_cntr <= sig_good_mmap_dbeat; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: DO_ADDR_LSB_CNTR -- -- Process Description: -- Implements the LS Address Counter used for controlling -- the Read Data Mux during Burst transfers -- ------------------------------------------------------------- DO_ADDR_LSB_CNTR : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1' or (sig_pop_dqual_reg = '1' and sig_push_dqual_reg = '0')) then -- Clear the Counter sig_ls_addr_cntr <= (others => '0'); elsif (sig_push_dqual_reg = '1') then -- Load the Counter sig_ls_addr_cntr <= unsigned(sig_fifo_next_sadddr_lsb); elsif (sig_incr_ls_addr_cntr = '1') then -- Increment the Counter sig_ls_addr_cntr <= sig_ls_addr_cntr + sig_addr_incr_unsgnd; else null; -- Hold Current value end if; end if; end process DO_ADDR_LSB_CNTR; ----- Address posted Counter logic -------------------------------- sig_incr_addr_posted_cntr <= sig_addr_posted ; sig_decr_addr_posted_cntr <= sig_last_mmap_dbeat_reg ; sig_aposted_cntr_ready <= not(sig_addr_posted_cntr_max); sig_addr_posted_cntr_eq_0 <= '1' when (sig_addr_posted_cntr = ADDR_POSTED_ZERO) Else '0'; sig_addr_posted_cntr_max <= '1' when (sig_addr_posted_cntr = ADDR_POSTED_MAX) Else '0'; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_ADDR_POSTED_FIFO_CNTR -- -- Process Description: -- This process implements a register for the Address -- Posted FIFO that operates like a 1 deep Sync FIFO. -- ------------------------------------------------------------- IMP_ADDR_POSTED_FIFO_CNTR : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1') then sig_addr_posted_cntr <= ADDR_POSTED_ZERO; elsif (sig_incr_addr_posted_cntr = '1' and sig_decr_addr_posted_cntr = '0' and sig_addr_posted_cntr_max = '0') then sig_addr_posted_cntr <= sig_addr_posted_cntr + ADDR_POSTED_ONE ; elsif (sig_incr_addr_posted_cntr = '0' and sig_decr_addr_posted_cntr = '1' and sig_addr_posted_cntr_eq_0 = '0') then sig_addr_posted_cntr <= sig_addr_posted_cntr - ADDR_POSTED_ONE ; else null; -- don't change state end if; end if; end process IMP_ADDR_POSTED_FIFO_CNTR; ------- First/Middle/Last Dbeat detirmination ------------------- sig_new_len_eq_0 <= '1' When (sig_fifo_next_len = LEN_OF_ZERO) else '0'; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: DO_FIRST_MID_LAST -- -- Process Description: -- Implements the detection of the First/Mid/Last databeat of -- a transfer. -- ------------------------------------------------------------- DO_FIRST_MID_LAST : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1') then sig_first_dbeat <= '0'; sig_last_dbeat <= '0'; elsif (sig_ld_new_cmd = '1') then sig_first_dbeat <= not(sig_new_len_eq_0); sig_last_dbeat <= sig_new_len_eq_0; Elsif (sig_dbeat_cntr_eq_1 = '1' and sig_good_mmap_dbeat = '1') Then sig_first_dbeat <= '0'; sig_last_dbeat <= '1'; Elsif (sig_dbeat_cntr_eq_0 = '0' and sig_dbeat_cntr_eq_1 = '0' and sig_good_mmap_dbeat = '1') Then sig_first_dbeat <= '0'; sig_last_dbeat <= '0'; else null; -- hols current state end if; end if; end process DO_FIRST_MID_LAST; ------- Data Controller Halted Indication ------------------------------- data2all_dcntlr_halted <= sig_no_posted_cmds and (sig_calc_error_reg or rst2data_stop_request); ------- Data Beat counter logic ------------------------------- sig_dbeat_cntr_int <= TO_INTEGER(sig_dbeat_cntr); sig_dbeat_cntr_eq_0 <= '1' when (sig_dbeat_cntr_int = 0) Else '0'; sig_dbeat_cntr_eq_1 <= '1' when (sig_dbeat_cntr_int = 1) Else '0'; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: DO_DBEAT_CNTR -- -- Process Description: -- -- ------------------------------------------------------------- DO_DBEAT_CNTR : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1') then sig_dbeat_cntr <= (others => '0'); elsif (sig_ld_new_cmd = '1') then sig_dbeat_cntr <= unsigned(sig_fifo_next_len); Elsif (sig_good_mmap_dbeat = '1' and sig_dbeat_cntr_eq_0 = '0') Then sig_dbeat_cntr <= sig_dbeat_cntr-1; else null; -- Hold current state end if; end if; end process DO_DBEAT_CNTR; ------ Read Response Status Logic ------------------------------ ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: LD_NEW_CMD_PULSE -- -- Process Description: -- Generate a 1 Clock wide pulse when a new command has been -- loaded into the Command Register -- ------------------------------------------------------------- LD_NEW_CMD_PULSE : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1' or sig_ld_new_cmd_reg = '1') then sig_ld_new_cmd_reg <= '0'; elsif (sig_ld_new_cmd = '1') then sig_ld_new_cmd_reg <= '1'; else null; -- hold State end if; end if; end process LD_NEW_CMD_PULSE; sig_pop_coelsc_reg <= sig_coelsc_reg_full and sig_rsc2data_ready ; sig_push_coelsc_reg <= (sig_good_mmap_dbeat and not(sig_coelsc_reg_full)) or (sig_ld_new_cmd_reg and sig_calc_error_reg) ; sig_cmd_cmplt_last_dbeat <= (sig_cmd_cmplt_reg and sig_mmap2data_last) or sig_calc_error_reg; ------- Read Response Decode -- Decode the AXI MMap Read Response sig_decerr <= '1' When mm2s_rresp = DECERR Else '0'; sig_slverr <= '1' When mm2s_rresp = SLVERR Else '0'; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: RD_RESP_COELESC_REG -- -- Process Description: -- Implement the Read error/status coelescing register. -- Once a bit is set it will remain set until the overall -- status is written to the Status Controller. -- Tag bits are just registered at each valid dbeat. -- ------------------------------------------------------------- STATUS_COELESC_REG : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1' or (sig_pop_coelsc_reg = '1' and -- Added more qualification here for simultaneus sig_push_coelsc_reg = '0')) then -- push and pop condition per CR590244 sig_coelsc_tag_reg <= (others => '0'); sig_coelsc_cmd_cmplt_reg <= '0'; sig_coelsc_interr_reg <= '0'; sig_coelsc_decerr_reg <= '0'; sig_coelsc_slverr_reg <= '0'; sig_coelsc_okay_reg <= '1'; -- set back to default of "OKAY" sig_coelsc_reg_full <= '0'; sig_coelsc_reg_empty <= '1'; Elsif (sig_push_coelsc_reg = '1') Then sig_coelsc_tag_reg <= sig_tag_reg; sig_coelsc_cmd_cmplt_reg <= sig_cmd_cmplt_last_dbeat; sig_coelsc_interr_reg <= sig_calc_error_reg or sig_coelsc_interr_reg; sig_coelsc_decerr_reg <= sig_decerr or sig_coelsc_decerr_reg; sig_coelsc_slverr_reg <= sig_slverr or sig_coelsc_slverr_reg; sig_coelsc_okay_reg <= not(sig_decerr or sig_slverr or sig_calc_error_reg ); sig_coelsc_reg_full <= sig_cmd_cmplt_last_dbeat; sig_coelsc_reg_empty <= not(sig_cmd_cmplt_last_dbeat); else null; -- hold current state end if; end if; end process STATUS_COELESC_REG; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_NO_DRE -- -- If Generate Description: -- Ties off DRE Control signals to logic low when DRE is -- omitted from the MM2S functionality. -- -- ------------------------------------------------------------ GEN_NO_DRE : if (C_INCLUDE_DRE = 0) generate begin mm2s_dre_new_align <= '0'; mm2s_dre_use_autodest <= '0'; mm2s_dre_src_align <= (others => '0'); mm2s_dre_dest_align <= (others => '0'); mm2s_dre_flush <= '0'; end generate GEN_NO_DRE; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_INCLUDE_DRE_CNTLS -- -- If Generate Description: -- Implements the DRE Control logic when MM2S DRE is enabled. -- -- - The DRE needs to have forced alignment at a SOF assertion -- -- ------------------------------------------------------------ GEN_INCLUDE_DRE_CNTLS : if (C_INCLUDE_DRE = 1) generate -- local signals signal lsig_s_h_dre_autodest : std_logic := '0'; signal lsig_s_h_dre_new_align : std_logic := '0'; begin mm2s_dre_new_align <= lsig_s_h_dre_new_align; -- Autodest is asserted on a new parent command and the -- previous parent command was not delimited with a EOF mm2s_dre_use_autodest <= lsig_s_h_dre_autodest; -- Assign the DRE Source and Destination Alignments -- Only used when mm2s_dre_new_align is asserted mm2s_dre_src_align <= sig_next_dre_src_align_reg ; mm2s_dre_dest_align <= sig_next_dre_dest_align_reg; -- Assert the Flush flag when the MMap Tlast input of the current transfer is -- asserted and the next transfer is not sequential and not the last -- transfer of a packet. mm2s_dre_flush <= mm2s_rlast and mm2s_rvalid and --Added to FIX CR#688186 -- 19-11-2012 not(sig_next_sequential_reg) and not(sig_next_eof_reg); ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_S_H_NEW_ALIGN -- -- Process Description: -- Generates the new alignment command flag to the DRE. -- ------------------------------------------------------------- IMP_S_H_NEW_ALIGN : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1') then lsig_s_h_dre_new_align <= '0'; Elsif (sig_push_dqual_reg = '1' and sig_fifo_next_drr = '1') Then lsig_s_h_dre_new_align <= '1'; elsif (sig_pop_dqual_reg = '1') then lsig_s_h_dre_new_align <= sig_next_cmd_cmplt_reg and not(sig_next_sequential_reg) and not(sig_next_eof_reg); Elsif (sig_good_mmap_dbeat = '1') Then lsig_s_h_dre_new_align <= '0'; else null; -- hold current state end if; end if; end process IMP_S_H_NEW_ALIGN; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_S_H_AUTODEST -- -- Process Description: -- Generates the control for the DRE indicating whether the -- DRE destination alignment should be derived from the write -- strobe stat of the last completed data-beat to the AXI -- stream output. -- ------------------------------------------------------------- IMP_S_H_AUTODEST : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1') then lsig_s_h_dre_autodest <= '0'; Elsif (sig_push_dqual_reg = '1' and sig_fifo_next_drr = '1') Then lsig_s_h_dre_autodest <= '0'; elsif (sig_pop_dqual_reg = '1') then lsig_s_h_dre_autodest <= sig_next_cmd_cmplt_reg and not(sig_next_sequential_reg) and not(sig_next_eof_reg); Elsif (lsig_s_h_dre_new_align = '1' and sig_good_mmap_dbeat = '1') Then lsig_s_h_dre_autodest <= '0'; else null; -- hold current state end if; end if; end process IMP_S_H_AUTODEST; end generate GEN_INCLUDE_DRE_CNTLS; ------- Soft Shutdown Logic ------------------------------- -- Assign the output port skid buf control data2skid_halt <= sig_data2skid_halt; -- Create a 1 clock wide pulse to tell the output -- stream skid buffer to shut down its outputs sig_data2skid_halt <= sig_halt_reg_dly2 and not(sig_halt_reg_dly3); ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_HALT_REQ_REG -- -- Process Description: -- Implements the flop for capturing the Halt request from -- the Reset module. -- ------------------------------------------------------------- IMP_HALT_REQ_REG : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1') then sig_halt_reg <= '0'; -- coverage off elsif (rst2data_stop_request = '1') then sig_halt_reg <= '1'; -- coverage on else null; -- Hold current State end if; end if; end process IMP_HALT_REQ_REG; ------------------------------------------------------------- -- Synchronous Process with Sync Reset -- -- Label: IMP_HALT_REQ_REG_DLY -- -- Process Description: -- Implements the flops for delaying the halt request by 3 -- clocks to allow the Address Controller to halt before the -- Data Contoller can safely indicate it has exhausted all -- transfers committed to the AXI Address Channel by the Address -- Controller. -- ------------------------------------------------------------- IMP_HALT_REQ_REG_DLY : process (primary_aclk) begin if (primary_aclk'event and primary_aclk = '1') then if (mmap_reset = '1') then sig_halt_reg_dly1 <= '0'; sig_halt_reg_dly2 <= '0'; sig_halt_reg_dly3 <= '0'; else sig_halt_reg_dly1 <= sig_halt_reg; sig_halt_reg_dly2 <= sig_halt_reg_dly1; sig_halt_reg_dly3 <= sig_halt_reg_dly2; end if; end if; end process IMP_HALT_REQ_REG_DLY; end implementation;
apache-2.0
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/924f3d25/hdl/common/shft_wrapper.vhd
5
13889
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block ctWqyJUUBfKRcUDtWGgYbZiwcQay5L7BHTx/RoJinudRYb+HoZhmDhFBTPkMO2SvIxqsAo3nUfRa d5Fa5mmDuA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Mi/WG4nRQA+Kfc6PLPb3SPpgqy55aEw94m2SCxv24LEBLQ4Tth20qa0WRZ3qwCuPwtJnsP4dJhHs 15UU5sW4qAuttJqhfQppkwtbdc8AJFETxEQrb6/PLYKGkR5NIR+n0tQjYfgwz63LAXC9v0j6zMiv vaK+7VXNf/pU/180598= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block LIfr+GJh9bDB2zPFcM3eoWcAlWtj3sNRi5wcAAkCX9i4+wOysat+DiftfdSZXlqVVfFfSN7hduJV X+r5A7b5RhEcvQQSGAUJ9hEBGbr9PxqjFfkSYFJmJCbZQ1wUQIMisscOD7SPWh+L01eiedMCMdxA IWXQg9ZX2rvztg6Z9fnXvYIvqOX79kva1lgZLkE9TITCxiIP6E3lduvk6ZA9bTggBigGdZC/LWT3 cTEvYX+Ql0gvvN1EqWBqhXszhSLLy7/SsJoqw8+ssYrHjsYN0a90ZAp/jpYynsG9s52fhGj4IYMB /Cr+/Hmr2UAQnrvRm2PTXPC+wBRisbrIry2bGA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block HpvPZUvbd1qhjPREbVGtlwwVmpuvrGhaP3aD9uiXoKMinTlxg4Nt7Otom0iPix42/LYmC+Afu1x3 WJRLvpCcHNOR3R2iImun8BdoQAoaEtNmkiuEwTy/uSyonEHSqc2E4j6Lb2x1t8AdYzEd+uo587vn YTGmwp6L8yaLaMr1zVw= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block rXPAw+1BjPdKhomZ5Y4Mt9ZoSw7cZunG+Ov7A4x94mH0sD/DSOeF2K2aX5bXMyFCGWVBxT/cbV1U 2vDbjESYaI2OM0+il3UWd80fLxeh5FR5tP1MprXDoTcd95pUJwPbxHR2exb7VGIrFlmR++oH5StL SotkC1s2IAKROwVyO4jazlPFdgLxzvwwEvig9uEyntRCuHMBEwOrLLa8SL5h7azVZ/2qz+R9U1b5 ufMnmy2tk1Y4UhBZfvT0sjTI8ZVcnjtiEfRhq/tKaEeng+AlTf/gIftWXRSX0xdGhPqnfbHWP+85 6kOJVlvsHP2iy3+he7+KcAb+mwFSNAFWGLW10A== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8544) `protect data_block KhAvQfVwTE3lLAcgRGu8IkbZgFvI081C0UqQcU4udvpoqrm/oj2Gd6TUU93nHs1ggNSpkiT7vbHd FiSxIyQBJgpagsHZO52VvWYNQ57TeMQOYlnR6gm2ly1TVoJcqWkbrO33PHvY6SSgiiIn6208Q7YY jij4RNiG//PpoyvJV+iAWbcis5j2Q2G4lusIKj+ofQjlnxmf/VmUH43O85PM93VpN8LAOObmKrMi 90ha1EljfpJHUG3R0dd+gWUB3cSHb4RPu4yQA/O64FZNLV+Pt1xJoR10qqh8nhyTj35BOGr0AUnG dmGigvOOMc5w2Maw2ALEwv27FvNI18+J6fEQ00CkV/05JiIAmDcRUQLWpQ557ThCZEKA4bDFy4Hp 2oUjS/gOO2wQCyaKDPqwA29OZHInxGLpxSgMrqsG/7vCRsjSw74syFM21q22YmQ8TBuO7CpSf14o 57fHyrPYc9r/xuzxJ9azCmysqYv82hf0tJVWM3lfi2/waiJPuxjra9cz9aQwhKUypszp7jrHtCSL 0SAjJbmVQLo2THQ9SXvQ7kv4FuDac6fFBfKMPuMw3N6JMFR2/w9tHQc0vvdDbIo2g+JNnTRIW7oQ 2zECZ4+NVqEAQs/YNrgnmmtQQunLu9/9CMc2sPagO1VAXsteSzFptGo42lMXwfJt0E++diWjKOPv kvJzaYlc//4RENqtIzq/bniJHbNsR0CoYvhyXHn3aTjqsFqUoFWEq0eS10kTFIiccNNHrOxG736a sl82MpYPGaIJl4fJo35W+9WB77k3TRBXaM3zou/YaqtmgS1rBz55IpBvFn093F7OtHyiLuAHjarB NZSpojse+TX0mx7yHK9VmaqwbP9NmtfXeh2p7ouBtU+oX4+D+i7J1JvccmIvhBejDR29izWwG25f dI93rvk5ymqNlrwo4jBDqRwFOdl+qFucUe/MHSeQxyS6gDkfJawslwQY9lhKshPBezHpbEEtYhqC IYLwZciDzCuF/h8YXyghN/saFFTmNfffDXstcwFHw2YQ4kK2gfI63kCPBtvie9FsGQLNRO0N7ga+ FK0+yjRlwfBnLAhqsUehrCXGesb6DzrqWeDXTPvBWFfZHBQPO6q/HGnKRHcaGCWjWgp5N2AcL3rc tDgmjaovVLhiyzryiOjN3H72W/pl2fRV3oAcLJ3IyxQv4xqk8eYya5IHox30EZc/2/mN52EcYIMu 3DZP3aRN7mfzaSGPhbLKRrOAZzp6m2Q0mbu23vlrdVlgq35xY1AEt+u0MGCS2VTkDYHd0fs0CYrU /1wqaiiZB6RwQLvFRqNWyeGLyl2XZCoWk842xjvUMAYL0EF1xUfouHb1WC5TmxpCcSAXryORu5Rl QqQhscoIUbj3gxTY4iBiHEhBjUQqKRmcgH2iMT78ybN/ZrtlWf2LJVZ9TO6SZxUD+M8mdDt/tLxW QSLSdMAoA7OO15d5OxTn2GDZg1wbUAHAeLme49wkEIy7zcWLVfE7wt8yGXOFNbD41gS1nDSbLb0U Oceo2STEd7rHE03bGHYOhhayir9c06Xy2btzuZ1Ft3t7aGJeGx8G0WQrqTksgnGkKz41Ds7t6yV0 691PXMLreXyI5w1lp6g7DEZkEoYWPwCDtUODPrP6MOS8qFNLE6ECcgxijl5DX4z00knhTSOAHnCi KhmrdFyRWtDxyMJkmSmQ7eWOQlRhfay9ejADTPWLVZWrESbcbb3adWu8URYapM2AJP7y75Gk2h4B Io+0rYP3yGIUFJPYUiVjo1xwi9mj5XMHlKUPcH7QSAtlZZ2fcB4DCTGsO09MhtxU+Dixra85OC/4 JSUDLqyabcIm1dbEKt0YPvflFHGvqGZqc9wzTclav50TXbH1eGTDfBGZaQjMPwGdvq6iyRicNIHm w/PaRE2Pg+an4sYpgHn229WqKNBLETbs1Zh7gXEjxc3Mg5dQmG8d+fL9IMQ0eReMcynlj/dNLb4r vZHBDzAhwRdN6BmNWOBjHnVjLRVNok6Eaf9yww7e1lUftije2jNa2yayky6mslQHj8GPfgQ+vPyu BvxnKRRawH0IUK/xneEEpS2NxitI2oTk6HaDI4/HCYzLVEygk91PfoemWck0T1Xxo3eyeJSXP+eq 4VROSITsFuN98l1vi/gVh4a5CLB77Tewd2CFt/mA3pbzfZv4lGsMU4gqcb5I/hObzObmKLUKjFjE ejrNhUKQkwJPrvI/oyzPcFXivCoLVqfD4dZjrHF2fkVgDIkHKSZLP+VuccX0mN70y4duWJ72ePTk 8FfmMMstwSX6I47wlHmu+bUb2+EDBP+aPb//3aU7k1HeAle6Q+7/JwjRqV/ag4/3K1kWEQuiLR3I Ay73bJzX3GGgo2hy03pQJFcEwBFl9E+GqdNJvbJrE7g/4Qyqc9D5r7YQVjEzTIGo2Cx/wdjTcESY /+iulDCoSGo7d/735W6opEB0/Vc7I1wXQ1GXUXxpJ6ebLLSZlD/dKGmuE4iSYTpNLe2CCtp14t7k OTfibgcloC3v30Kq/wsm4hTZwYqAhw3/GnJonIeyttf7c99vZK+xu7imExeCnJl13EZnBYafSAvW sAUUR29JSNu+G809h9vaEU6Fj79MfrQqhbDc0D7gz4iSnwm+LaTvVr0OGoP0YtPwb8viQg3zcg8l yn5W/MHWRNhXjBKj6RHDkNdoAIBB8b0q2EGeQi9tMs08i1nJ63B/GsTSZBB3goM+vuPlTDn0kCK0 AC9Fmx8WFavgetA1+p/vJL8ZFH5ckOtrenk6Soa65Iclb9xXh1vcXC9A4S7AiagTrwBtwlA0JmzC vXgrLfhTOfwqVJZ4FQGZwnnhHZJlFHiHFIjvvo/2GE832PdH4OTtJNAEUVRNvEqo2reC0Gi7oYu2 4FoRLw4oHYv9FdRpxZ1gr84wYgEovRvUKxe76atA2dCQV1Mn1wx6pHUWgr1/AdPqzzLCcg0aAgaM 8rYOGEI/k3ibUz0IckAsDF/2Sa6l9+LIS9QEsZYDL/rFIprXxpW9gO6nqTqCqE3CEM7EXLzTS8yp DoO7B/+6ev+N5rLsTtc8ycnXmXiIdB+2+SSbX/7u/Ov7P3YZqECjwcVoacIPe5zEa2k6gNqHZkGC LfBxSsGGeJ8OiMaUnUkn+reGIsFyHOUcsUSiktclw3RuKjufMVe9icWTKOpRK+ADtyoGhQWlbMSs ERwxrkNQ1MAyTFH0MvTMEUY6s/noLPHlgbPqMpHhf3BfXkJGNHzjc8hc1ISkjHw0MhZgPORH2ere a+/caYOOgDMUa0WItLqceWO2pIPf3JT4IfROf63x4g8syfcMl0y7BgBrMY9CMRDsqhjufHqlfXyu +5jBgUqJu1t0qmPYOfPrDTM6LuACW7TLfu225pOlJfPfBYtTFLkfDAV0yW59X5uPSdYyIvU3WqoB l+co6RvBH0ClR8J5S0WDbEmttIemgr//WNz2dpEeItlHSK5qpBZdTuQQBzTvIz7CGHQOBUY8aUZi Aj0pLxepIE7ddPlF3s9fhBy9tvNmI059A87ZniZ7qOwQndrRyJFNzzbBJoDQW1Z0svCnyrAgqD1u vqN23kDrIdJU9ObEK89Kd2Kt8TMhhl+ueNa42armbOZBcpHMWUU4WnqpN3L7Zr3148dtf09g4KHq cMa60hkPtx4cg8MIxmVJzdUo/nn5W4C680+FhpGmlz0g+tfZKiyBAb/+Wm0bX6aKG8/axpypU79y TTofvulYw0Dl01690fyCfXWHD9SJFm08fB5S8ltfoBNk4xBpp6fP3P044p9ru579uIyjcekyOYaq IPYy6LJVELMR8d4XxGsCRJvRmUkufkFEVQfrUELERkLlLL2R+Vuwg3O7l1YfFWiFYKS6uO7nROws 3YOuR7QOEtuiZoDbW421FgYviHjV3VloDUEfLaBTLvi3qRoQdtc8ysy+k1rlzWewo54ocQzVNNOr /PwU8tAHVVKyX658NsuQOuaeJBc0HXTWJirykrKIvQAGcQrOCw5m7CqpnNH8JJmIGaY/7fycKm8o cLFvA3ggaXCmBaKVapRaSnTf+djxecVS8aADH7STa0ikxr/oLq3al6P42VN0zpQ9jpRKZ0+PcdKY ad1ltk8x1C6Rfrd8nM5DPnoSfDOAb424EF4No1JdlYKUW0xQc1m+1OQAhoAwrl9M/O4TWzuUT2dU KI+DxM2aoG2XsV20xDxkMyTw5F5pn8qnmvcgFIYJt4pNxuHAfE8z/bIQHkpXKR4dF94+k4hW2/OO l8HCesxFBZzza9hVTXzIZ/YGGIpe+bsNzprQzMz8sDFnTRqA3cnOrfEO/ZSkDlZa0gVDTm+03ww7 i8H2dBAsit8TzBIDEh9Qu1Fsm7wPx5BqdrNYSnlyDk8IxphB61/kPvs7ZFSy88jIUclbN4T+JPBj 5oXw/Bhr6lJJhgsWSVNTj155GTcpQU6+xLyXnRIei5LhFEzANshiKAi2hLSbna+KGBoZcKky5hcr r7ON/zUj3T7NFGYIbpB08FF8urBtc/+/kh6Dv2OO1UOIJSxD/gf4XqO6U4mRRYalN2KoTI3Sq5P5 8NBSK2AuxaE/dYMPiR1eJ24vpgmRg2HuLJtoVJccWJAH5lgkdO1dPULPc/RroEHLXWhuCv/zFzqL Rw13y0HJMMKNAbOokFJFtJ+sxSFcKF7g2WNWOa3NYDa7eteZABbSTQWEaWfqWxr5S47NoXXBrwmd LMPISWhitaey9XpO+CM62lLia2kq7njXwk9Ky6BrIksUAkMUT26q0EbbidwuRgtJE3Gw6RFi+Dsv 8mNW8vQQpNt4RMuaba9UriLeGu3oTP1TUvn5bpBG2e1hF6e47S3VEFF/tTfehdJQ79MJ2vlXVloh kpsKoI1X78lnEiX6NXJgoIqez6uVQ2ddBmLi5RR0zY9NuKq6OmU4ul/Q77C8Ips35YmfdHykTkeo 1KxYYYGp4I6gdOujgSVfvNoxx2BAN7ouZN9q3a2LQ9njMvEb2fe9oBKhKfDxuCCRYhHVVLy+hXFb 7XERfI1qCXktRMW4hoBopwbNajVxyghURCfljPOJw0LhcX8734rE8gvWyM+qyux0JCUWgwHQ7azS uBCQ0NoRALI47+eYhnIFPS2oahct2s0+l+bNbKTckWxbhgQBqBE2iXBeu6btdgiIRYY1Dm4ILzW2 pdkl2IYQIBSA8SAsR0qnUlG9jKLd840rHUr2O5xoIQpjtlyN6TNqfgya/fBu0j4+4WbTrkQMK2da NSkBKdzAXWK5hJAjI8ny6EaDdd8o5Ozw1QcIOR0gD2p8kiIQ2Kl6AMrOLncSrLXLl51ma43WTmOy fQavuk4q4UG9+ZspZeAoDmL2mdIKB3i11sncG76wg9+2JmiMGSTdwKa4kNVDFLC3IOYp+Lf98F82 ntvyOWx22k9JiebYlYJt1syZWrI3gkxAhTj4fdHUrAzn8N15ixxzyPV4Ynu7in6E0immDPdDsQ8y qq07iLRpzOdeN5t1CP18nSTfZUVhIskiVNGl/a3NeowNgjqIRkF1wtR9mfOkSkE7TaYhmrKivErI BpMJx+0njc2ykCkbhwreoolqgmgg/1ydiqy8amPDtH0D9ECXArnpAC6j8zPX09GT8kE2UeaKPGof DMMUbZ/8Xs5rHFoduQBE/A6lYakWplvCtum9F9jJ4brWjw3FuvGHyH0rD68ub3sQt4eWBpd3pD07 eP2TbhkqWZ2qBqsbIOE6KDMcz7sVQilH6NfrUh6m076aUr4DEOo/tqeuI8H0g63+gvGEl5MbtVL+ 4qQWSraQ3taFbqyMLKgsOarRgYXc+drPezd49v4Wj+fXvNA8xS1Qn8bzHCAnbSbQKOKDNgisI08j 8ei9jIAdAV/F+LltG3bDqCjvZOKa/INtbQP/2Z4k1Bif9rMoLtKVogXqhEbgA6PYgjaHUmAMSe3X I9y5qcXmXjro0bU7JfQ9aajDnuOGnwGbhDgUdIfDRXuIjbK657tXcE7kUqJGUaX7UCjuo3l24aka 6fMVzttHCo0GZusq8ZYUoUh7FpRI7s4a8ersnIIgCBljClREkZCLnsmMOQFgUpsvYa+8i8vGXTvk sQ1COk+C3aGk8ZmDhNVcQcXEYjz6Am1QJwYIv0oIvV7SpSDQeDlQlLbFtYSbc3zfrZF09roe6rZJ KX//SFKst4N/GPmIOVqSfccyGBsRiaL+yIeneOGw7roddJqH1gCPv7AWV6vs9zuMJus++lBoaLQH 5O39KGP7xKQ47+1ZFhc1P4LtTd4t98FCQTC4Ts/DgvtjO5GxNLW5ww3OOWx+GBoo+0vUSZpCcH7i 5IXLDMuKXY0KJD+FZegA768RpNaaZa0+RkbUiNZ2AMnmm+y7Z4AJZy9UMYhTLw1Rxm840n+VNY1R 12VE1Ob4FAjNlz6ykhiDImrOZ8+ZB5VMxvZvfiP73orK3gFHpSSbt8fdKiCXYFZEu9DypDMmeK9i nft6Robb5dNrknG+PsAv70lMKp6PmsFoR8fXa4ePUh4zsUwacuGuWEglW+QXSCMy0eDncN6N3dlv i/Auq33rC+bhPCXa05u3o0aLT8X9wf1J5n4WU20WFMXGuU9YDRuJPs+GOwAaWXZYAaGoh/d278q3 HEEsO9b5mo1srt3aYYDVSpaVnyA7ozhuuTYUW73dx7Y+TxeDLYJAR4tsqYXtMxCwMcdMTcmQmKI7 hXpo+YWuUQB47AQQit6f93uSC7j4zLxjS52laTxXx0LHxXn+xxjSNsYGzdzXIGwsqQhmAsu8Jr84 AIn8nUfAEeCUWW4nsBST+7KPLANqHZ3hOUE5vQvSwlrhKuyk3I0Lm0A7VJOQ25Xqz8UvBXI9UoYh nVaWQujEmAnJRB17n/HqEBgll8wAtMYjP0xQAiCW0SQ3nxRGvtoiIydAeey8TaaUyQ0UFkzfTryE Ds2749LThjmYqO0xGcHBMOvb4pG32X2u7k/hP1pE1bBVzjXD9Ax72tQjBGdsm6aNc3fVXS+GSSDX JZUVf7mDqSeMlmut/oRgFdopzY4zdSZDJIUu4zOTnY3daJY+G5YlifAFUJnQsta5rhj3i0y/RzCH J5BTE8GNfDxFwzsAC2i7HQkJdqFVXOza0G0QKgB1wdaucw3Aw5Cq1XwRmghFk0Ah+01Fcr38ww5e ZFWTZv0uGRk9upTwvcIqkFGq7KZEhC+gFCuJNN0mXTqyCiigv7GdwsHGzVvxRhgNdYoM+nEpxuci SA2IzVDESQjJdN/pooLJGCMp/P+s875uyffALNKG5gzLCap18UBjJpoZY9lk+zdcGFtFaVhYwxbw kw/o+LG4wPRg1FHMbZdeMKw6smEhWKcZxuCodS/nsBuXoYGQVQj4RdYQzRxpVw9ujxhCCPZsMn0s GRzTWLbYsNnG87cVPY657hJoX7Gx7VtXnYndomFxJK8Bc0AdzR2OEGsNUXjMdrZYMDLBToyRAZgJ RB/7ndze+kWmcCDM6aRclDdPwhn5EwxqfFbzVxF2pqEsDkUdMWv8td5yUGWSZCCy8Dq2pxuk1Ksh OL0gjuesCTZ/fYqM9wYvInZ3rLImt3MuqPZxbJbL1m+xekWFF1cncry/q1dUtujK76mtoU2PoWPR Bg0W/ROpERID4TRUh1FSkXc+ri/maPljUlcXIZMQGrkBIPKf6G1LaeF117H0cCrhbHoqU/XsuE+4 60grlKTTdFY15/LhYAylRkwOiUuFLZXZrfEuk5IYBBpIo6HILgcOw/fy8U2CBX0/rIynB31Fl19t u9m/2EvmojSgME7mXLmOfQxalZEheI9Ugg44nkDc3ldaL0yQrBGsXH4E1YyCPJUGhzBsq0GULg6O uNzT/aXE8WTO0kRrYLNe9PICdbTuL/Y9itV35vw8HPvrGl8v5HEM3B8Ce6YLEoS8dygmyXr4pF/j OGNM26Bn4paev3tignxI9SUbuwhIuQK9XHsW+olMyrU6KirVvU0iS03zoMtEuz0jYzg0a3GjISkg IbacT2zE8QdcgQjqZMrc4jj1FsPQdroJ7nmZDlOhOtL/DxB0Gcjx4n+ihEkYTxRsF5cOCCTGkdPw 8Hv+BCvIznFoy1Vw2QwDiuVk0khbelQQTO6NNYlHkwoVGb9j2Tiuu1GExlPUBgcKR2noYGrRnjjm 0fAB8uo0Q0cROP/AOlyYzWims3czVNK38eey6zYp0Y7Vmi3usbBCzOC8umXMABWE8vQbJIjc1ZBQ i3/s5BMTdGnfXQ0dHAtYrNXi2ivSAJBdwJZmZkedz1nJFeYyTXog4zNydCfbGV65wsK8SDlyhZUn 9MzXrZWce67/awP0KGiISpLzu2hR3lXR79WCyv6LFuPmXkhiC859ltjaduaXg9HiMrizzZB/23oP a3qHyFHEGra5Iccne0TDKMxV4VwCbrUfmu4cB1rHFb+o3l6I9/2WLy3ZgFJxF7F6Vcccxg3rPcyG U4JgnR//XThvGgfITCx8PRgMK+P9oZHd5wrseQgP3pGeFZeaBcn+TI450Tf9MMMQ4Z2QT36K0Zvs 2TBJCelOnqtmpY+40IYMxRQaPNBzdLbHhKC+nOXpkzPlotxV/cOCnkWFUFhY6qiuRYGPSIFJI0fF qz/kILFBZskLbNoce9mHuRuClRrVJ8OJpMds02fWLUes7oy+7zrlCmjWKjH7QC026QjSM3UlDyzP JzjIctAYV171N9PYVcFqYUCuLFKjoKEnFkUySMeRekgo93Hga18stZyeXbsM1shlpOsV8VCBUrFa 7Se189hfdnXoSgtKEuCLDBBWvLJpfVF22GxQkpvuznitxYHSuTUuipXtefr8tQmHvpLWGHlB9I41 5UCqlCSM60pOzPBGrh+wZRGSb4H3tC62tbplxToQ7eRD7W6TJ48/YzFKn/zaO9jtraef+ddwp5+B 4W8fb8HrOjUbGjhcqcNZv2Q5WOLj1n+H9MBFDz8/YyH3SAod5Ey9/xuQYY5rtXFA3pmWpqeChGhl yiyrYToqsXRaCGpIjeEun5dbZ9a4rjz+wdjnm/+ieuQWzaRVUeb2/Qg3/gLeiwDwHfmB5kmFxix3 YDKf0THHrTHukNI/2MtjGct3aTkAh2VJGPHg6oumyIpl+QcJJdo+TGOgWd0prcZ2b14tAgsutopK BGZEf+hc0z3PlKOJ0qeE0l8dmSxXBIaha7wDnoaxTRWOWn3YGBDaxSIkDo5WuQ+vdysPJeByTZUw +fGknCcZo+rkZYweEcolFDUDz9hWYd+E4pNLDda1aN4ItPMFvpEZlPoS3wxFhoqjJBkBW4CwSfup lc5/pCiQobhKN77Y5pMdqaoeIGnzSvZ7iN+pFtTblfsgG7i+0O/OdWyDCSZeAhqwvhzmdS9vk3zO W/Bk5UHacPCYQtL6DXyn3NRFjBYu9ZTeEdsZ+kbK5gfUcHvZoPdZrelLKa8uA35eGyXNjRdg5usz 7vK8M+XBOf/Q0lbZlgrf7hzW2ZvMk6dT/AIPDUL+Nr08DCE8y5/XYuwOwDf8nxTi4a+44EQ0/AsV 4ceS49D4LcEGx9AJmqvWde/jSYwNQi7Wzsq6oSSS57Q4lELOvvdvWgxi16VGqZ77XyXykF77APac kEBrnuy09wEWX1gwFzPQzXkkdDtJR9rmXaG0x0nWNBlSpAX2zZxSIlpA3oW84XOSfDJ+xVuNqACA N2Y+pejaPYXWGkjfWMxSWwb7/w6hVx3HRAW2sYoHdU98++0ViRj66OzylkARoYdQpsxeX6Ocyxja HBM+Ku7ujaMDVJpb4IP9Z1yJIkMQ4jfllEuz7tjdrbvzcoNMarUb7qZWrHis0fjuCtpEIvqDZV3n /xMZ7ol9sRWveDusdByNc4zmFpHFNMqu66kpWZwFKNikEmYiX4AqGf24G/5DRTkpD+m9vOCzazzk yuWDuKAsHCR+OpdclpPrUUhMpgCgFDko+h/gYjKAo9nOr851VVXooWQriM5v2ResEz5sQiPNf2nl vPkiXEwBZ1qwpMtXW+xTBw5uD5vO905ZVp6nmca4z4WZK4MFPpVGNuw8wOpX8zGMrpl1/nJBiEM/ Dz+0mU/qrBW8MAcIg9b2OEqzJZaNuMIWdUnSwVb9JR5z5XUqlnCLEmKPNGVMoC+xZJbk7Bd4lXo9 EPUyFKz86ZtXD400AFour5WYfa4QmHOCbZ9IZAP9JFguuczivigxqcWaP/fISihtMV56xku73esp eZrWUcgkFc8H9p4H2lhiohc0AxW+azT2tTAkteiaDKjTsc68MP7TlmbzWvaqKDkB4VCTD9FK8cji ReTUOi3zHiCcTkAU1FTKyOq7xEK8XU0bfNjSqxdUiLbOkTplOIng8yxpQEhWoPNHXJ7io+2uaFMT tcT+RObT38PeyvxORAXRJgYW4VXZrnvtpNg/c+Aen8SL8LkwDBTkl72/wwwEtE02qOLk/A5xQeJ8 xlsBF4F4JwmECxSbnzr3inwAloBD3bFV9nTqvhCEyLC+d4s4cEXBBoNTRc4B8gYg7Bx6EZ/5etGG iXgnbM4Rug8hJ3sFsSfgEAWFBXqWQUK9QzLBGbEets2V7/VLBaSXabpUDxRpJ6T+twfpGLg68ZnE UTAX+dk2hJ95w4z4ThsLaUpdt0FZztjhcmcVTttUjnCOIm7LdQFnf034G2VdNqzXzJBTisVao/go 2JibpkLiAHhksZZw+bUnauyDjyJpulWxM9byfe4/f0vsRkbvXCrSQh1KQRqYiRvuzbuvYO4HNvAg wwfS2Zj+qDDgwsWQVpt6JsgLwlRtdsKSk2+DRXUrwAFf8xRuON+dTbjeJVL8bounl8YFxjS0WLh8 nmwcSfqxN18jBvlhy7yssiSKsLwBAhGByBvB/m6GUtueXGjvJPRiUcfB34YP8WaEoUpoFLACa0mw vNkmfDK+qK59N7QuoQhXpCAbSBiNRzCghGPyrYrwe5dvX2EOuAn7Rd67Idp0i6N5HUClT39cM336 KaLSp1n3VwDIuTvZPSJUPlXVUM9l27xeP3DuzJk0Qkabar84y/Hl21jeSSfvtmBkSbqR1h8s+8SZ nXXveTOcKg7AnmzOBJdayt8k/07KjoFIZUT8JJuYkxdKNG1fTfON5Dus7CeUk4y6RLzmO7GU0R1Y 8r2ms66vg6mMRvaFvlyfVLC5gm414SD9xmIU6H1ZLWLGCLCMxc4t5zl5UazXF2ooY4dcWzekOKQ4 o4dXCVv3AnhGAcybDTuPmCcCDCb6cyJx2TgelSQx24Z3hD8NrZeZmxHc6Zit5ZGWY2I4+EBJOe7w dby8Dr+emKb7LTLo5uIyHbqxet67m7OfeBC19qnsig3tpSve1IXtTnwWFzGVsoxss/uZNm6gw4Q8 LScYmb51rTIbfiUXUo5RCz4Pe3cXZsbEq7E5CClgeFEGtWOZdqy58jBq0IJNSEy7V49m `protect end_protected
apache-2.0
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/proc_common_v4_0/bb615326/hdl/src/vhdl/srl_fifo2.vhd
15
14428
------------------------------------------------------------------------------- -- $Id: srl_fifo2.vhd,v 1.1.4.1 2010/09/14 22:35:47 dougt Exp $ ------------------------------------------------------------------------------- -- srl_fifo2 - entity / architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2002-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: srl_fifo2.vhd -- -- Description: same as srl_fifo except the Addr port has the correct bit -- ordering, there is a true FIFO_Empty port, and the C_DEPTH -- generic actually controlls how many elements the fifo will -- hold (up to 16). includes an assertion statement to check -- that C_DEPTH is less than or equal to 16. changed -- C_DATA_BITS to C_DWIDTH and changed it from natural to -- positive (the width should be 1 or greater, zero width -- didn't make sense to me!). Changed C_DEPTH from natural -- to positive (zero elements doesn't make sense). -- The Addr port in srl_fifo has the bits reversed which -- made it more difficult to use. C_DEPTH was not used in -- srl_fifo. Data_Exists is delayed by one clock so it is -- not usefull for generating an empty flag. FIFO_Empty is -- generated directly from the address, the same way that -- FIFO_Full is generated. -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- srl_fifo2.vhd -- ------------------------------------------------------------------------------- -- Author: jam -- -- History: -- jam 02/20/02 First Version - modified from original srl_fifo -- -- DCW 2002-03-12 Structural implementation of synchronous reset for -- Data_Exists DFF (using FDR) -- jam 04/12/02 Added C_XON generic for mixed vhdl/verilog sims -- -- als 2002-04-18 added default for XON generic in SRL16E, FDRE, and FDR -- component declarations -- jam 2002-05-01 changed FIFO_Empty output from buffer_Empty, which had a -- clock delay, to the not of data_Exists_I, which doesn't -- have any delay -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Incorporated new disclaimer header -- ^^^^^^ -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library ieee; library unisim; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; -- conv_std_logic_vector use unisim.all; entity srl_fifo2 is generic ( C_DWIDTH : positive := 8; -- changed to positive C_DEPTH : positive := 16; -- changed to positive C_XON : boolean := false -- added for mixed mode sims ); port ( Clk : in std_logic; Reset : in std_logic; FIFO_Write : in std_logic; Data_In : in std_logic_vector(0 to C_DWIDTH-1); FIFO_Read : in std_logic; Data_Out : out std_logic_vector(0 to C_DWIDTH-1); FIFO_Full : out std_logic; FIFO_Empty : out std_logic; -- new port Data_Exists : out std_logic; Addr : out std_logic_vector(0 to 3) ); end entity srl_fifo2; architecture imp of srl_fifo2 is -- convert C_DEPTH to a std_logic_vector so FIFO_Full can be generated -- based on the selected depth rather than fixed at 16 constant DEPTH : std_logic_vector(0 to 3) := conv_std_logic_vector(C_DEPTH-1,4); component SRL16E is -- pragma translate_off generic ( INIT : bit_vector := X"0000" ); -- pragma translate_on port ( CE : in std_logic; D : in std_logic; Clk : in std_logic; A0 : in std_logic; A1 : in std_logic; A2 : in std_logic; A3 : in std_logic; Q : out std_logic); end component SRL16E; -- component LUT4 -- generic( -- INIT : bit_vector := X"0000" -- ); -- port ( -- O : out std_logic; -- I0 : in std_logic; -- I1 : in std_logic; -- I2 : in std_logic; -- I3 : in std_logic); -- end component; component MULT_AND port ( I0 : in std_logic; I1 : in std_logic; LO : out std_logic); end component; component MUXCY_L port ( DI : in std_logic; CI : in std_logic; S : in std_logic; LO : out std_logic); end component; component XORCY port ( LI : in std_logic; CI : in std_logic; O : out std_logic); end component; component FDRE is port ( Q : out std_logic; C : in std_logic; CE : in std_logic; D : in std_logic; R : in std_logic); end component FDRE; component FDR is port ( Q : out std_logic; C : in std_logic; D : in std_logic; R : in std_logic); end component FDR; signal addr_i : std_logic_vector(0 to 3); signal buffer_Full : std_logic; signal buffer_Empty : std_logic; signal next_Data_Exists : std_logic; signal data_Exists_I : std_logic; signal valid_Write : std_logic; signal hsum_A : std_logic_vector(0 to 3); signal sum_A : std_logic_vector(0 to 3); signal addr_cy : std_logic_vector(0 to 4); begin -- architecture IMP -- C_DEPTH is positive so that ensures the fifo is at least 1 element deep -- make sure it is not greater than 16 locations deep -- pragma translate_off assert C_DEPTH <= 16 report "SRL Fifo's must be 16 or less elements deep" severity FAILURE; -- pragma translate_on -- since srl16 address is 3 downto 0 need to compare individual bits -- didn't muck with addr_i since the basic addressing works - Addr output -- is generated correctly below buffer_Full <= '1' when (addr_i(0) = DEPTH(3) and addr_i(1) = DEPTH(2) and addr_i(2) = DEPTH(1) and addr_i(3) = DEPTH(0) ) else '0'; FIFO_Full <= buffer_Full; buffer_Empty <= '1' when (addr_i = "0000") else '0'; FIFO_Empty <= not data_Exists_I; -- generate a true empty flag with no delay -- was buffer_Empty, which had a clock dly next_Data_Exists <= (data_Exists_I and not buffer_Empty) or (buffer_Empty and FIFO_Write) or (data_Exists_I and not FIFO_Read); Data_Exists_DFF : FDR port map ( Q => data_Exists_I, -- [out std_logic] C => Clk, -- [in std_logic] D => next_Data_Exists, -- [in std_logic] R => Reset); -- [in std_logic] Data_Exists <= data_Exists_I; valid_Write <= FIFO_Write and (FIFO_Read or not buffer_Full); addr_cy(0) <= valid_Write; Addr_Counters : for I in 0 to 3 generate hsum_A(I) <= (FIFO_Read xor addr_i(I)) and (FIFO_Write or not buffer_Empty); MUXCY_L_I : MUXCY_L port map ( DI => addr_i(I), -- [in std_logic] CI => addr_cy(I), -- [in std_logic] S => hsum_A(I), -- [in std_logic] LO => addr_cy(I+1)); -- [out std_logic] XORCY_I : XORCY port map ( LI => hsum_A(I), -- [in std_logic] CI => addr_cy(I), -- [in std_logic] O => sum_A(I)); -- [out std_logic] FDRE_I : FDRE port map ( Q => addr_i(I), -- [out std_logic] C => Clk, -- [in std_logic] CE => data_Exists_I, -- [in std_logic] D => sum_A(I), -- [in std_logic] R => Reset); -- [in std_logic] end generate Addr_Counters; FIFO_RAM : for I in 0 to C_DWIDTH-1 generate SRL16E_I : SRL16E -- pragma translate_off generic map ( INIT => x"0000") -- pragma translate_on port map ( CE => valid_Write, -- [in std_logic] D => Data_In(I), -- [in std_logic] Clk => Clk, -- [in std_logic] A0 => addr_i(0), -- [in std_logic] A1 => addr_i(1), -- [in std_logic] A2 => addr_i(2), -- [in std_logic] A3 => addr_i(3), -- [in std_logic] Q => Data_Out(I)); -- [out std_logic] end generate FIFO_RAM; ------------------------------------------------------------------------------- -- INT_ADDR_PROCESS ------------------------------------------------------------------------------- -- This process assigns the internal address to the output port ------------------------------------------------------------------------------- -- modified the process to flip the bits since the address bits from the -- srl16 are 3 downto 0 and Addr needs to be 0 to 3 INT_ADDR_PROCESS:process (addr_i) begin -- process for i in Addr'range loop Addr(i) <= addr_i(3 - i); -- flip the bits to account for srl16 addr end loop; end process; end architecture imp;
apache-2.0
Stederr/ESCOM
Arquitectura de Computadoras/Practica05_ArquitecturaGenericaFinal/generic0x.vhd
1
3586
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity generic0x is port( clka:in std_logic; codop0x: in std_logic_vector ( 3 downto 0 ); PortA0x: in std_logic_vector ( 7 downto 0 ); PortB0x: in std_logic_vector ( 7 downto 0 ); out0x: out std_logic_vector ( 7 downto 0 ); sinFlag0x: in std_logic ; enable: in std_logic ; en2: in std_logic ; outFlag0x: out std_logic ); end; architecture generic0 of generic0x is signal sPortA0x , sPortB0x: std_logic_vector(7 downto 0); begin pgen0x : process (clka, enable, sinFlag0x) variable aux: bit:='0'; begin if (clka'event and clka = '1') then if (sinFlag0x = '1' or enable ='0') then sPortA0x <= PortA0x; sPortB0x <= PortB0x; outFlag0x <= '0'; elsif (enable = '1') then case codop0x is --xor when "0000" => out0x <= sPortA0x xor sPortB0x; outFlag0x <= '1'; --AND when "0001" => out0x <= sPortA0x and sPortB0x; outFlag0x <= '1'; --NAND when "0010" => out0x <= not (sPortA0x and sPortB0x); outFlag0x <= '1'; --NOR when "0011" => out0x <= sPortA0x nor sPortB0x; outFlag0x <= '1'; --or when "0100" => out0x<= sPortA0x or sPortB0x; outFlag0x <= '1'; --xnor when "0101" => out0x <= sPortA0x xnor sPortB0x; outFlag0x <= '1'; --not when "0110" => out0x <= not(sPortA0x); outFlag0x <= '1'; --com2 when "0111" => out0x <= not(sPortA0x) + 1; outFlag0x <= '1'; --suma when "1000" => out0x <= sPortA0x + sPortB0x; outFlag0x <= '1'; --resta when "1001" => out0x <= sPortA0x - sPortB0x; outFlag0x <= '1'; --shiftr when "1010" => if (aux = '0' and en2 = '0') then aux:='1'; sPortA0x(7) <= '0'; sPortA0x(6 downto 0) <= sPortA0x(7 downto 1); out0x <= sPortA0x; outFlag0x <= '1'; elsif (en2 = '1') then aux:='0'; end if; --shiftl when "1011" => sPortA0x(0) <= '0'; sPortA0x(7 downto 1) <= sPortA0x(6 downto 0); out0x <= sPortA0x; outFlag0x <= '1'; --rotr when "1100" => sPortA0x(7) <= sPortA0x(0); sPortA0x(6 downto 0) <= sPortA0x(7 downto 1); out0x <= sPortA0x; outFlag0x <= '1'; --rotl when "1101" => sPortA0x(0) <= sPortA0x(7); sPortA0x(7 downto 1) <= sPortA0x(6 downto 0); out0x <= sPortA0x; outFlag0x <= '1'; when "1110" => if (sPortA0x < sPortB0x) then out0x <= "00000001"; elsif(sPortA0x = sPortB0x) then out0x <= "00000010"; elsif(sPortA0x > sPortB0x) then out0x <= "00000100"; end if; outFlag0x <= '1'; when others => NULL; end case; else out0x <= (others => 'Z'); outFlag0x <= 'Z'; end if; end if; end process pgen0x; end generic0;
apache-2.0
Stederr/ESCOM
Arquitectura de Computadoras/Practica02_SumadorRestador4Bits/or.vhd
1
371
library ieee; use ieee.std_logic_1164.all; -- IPN - ESCOM -- Arquitectura de Computadoras -- ww ww ww - 3CM9 -- ww.com/arquitectura -- Entidad entity eww is port( entrada1_or: in std_logic; entrada2_or: in std_logic; salida_or: out std_logic); end; -- Arquitectura architecture aww of eww is begin salida_or <= entrada1_or OR entrada2_or; end aww;
apache-2.0
Stederr/ESCOM
Arquitectura de Computadoras/Practica06_SumadorRestador8Bits/topfa00txt.vhd
1
806
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; use packagefa00.all; entity topfa00 is port( C00: in std_logic ; A00: in std_logic ; B00: in std_logic ; S00: out std_logic ; C01: out std_logic ); end; architecture topfa0 of topfa00 is signal Sint1, Cint1, Cint2: std_logic; begin U00: topha00 port map(A0 => A00, B0 => B00, S0 => Sint1, C0 => Cint1); U01: topha00 port map(A0 => C00, B0 => Sint1, S0 => S00, C0 => Cint2); U02: or00 port map(Ao => Cint2, Bo => Cint1, Yo => C01); end topfa0;
apache-2.0
Stederr/ESCOM
Arquitectura de Computadoras/Practica05_ArquitecturaGenericaMultiAportes/package8bita00.vhd
1
780
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; package package8bita00 is component xora00 port (Ax: in std_logic; Bx: in std_logic; Yx: out std_logic); end component; component topfaa00 port (A00: in std_logic; B00: in std_logic; C00: in std_logic; C01: out std_logic; S00: out std_logic); end component; component xnora00 port (Anx: in std_logic; Bnx: in std_logic; Ynx: out std_logic); end component; component anda00 port (Aa: in std_logic; Ba: in std_logic; Ya: out std_logic); end component; end package8bita00;
apache-2.0
Stederr/ESCOM
Arquitectura de Computadoras/Practica02_Semisumador4Bits/top_sumadormedio.vhd
1
903
library ieee; use ieee.std_logic_1164.all; use pack_sum_medio.all; -- IPN - ESCOM -- Arquitectura de Computadoras -- ww ww ww - 3CM9 -- ww.com/arquitectura -- Entidad entity eTopSumMedio is port( entrada1_tsm: in std_logic; entrada2_tsm: in std_logic; resultado_tsm: out std_logic; acarreo_tsm: out std_logic); attribute loc: string; attribute loc of entrada1_tsm: signal is "p125"; attribute loc of entrada2_tsm: signal is "p124"; attribute loc of resultado_tsm: signal is "p4"; attribute loc of acarreo_tsm: signal is "p5"; end; -- Arquitectura architecture aTopSumMedio of eTopSumMedio is begin U1: eAnd port map( entrada1_and => entrada1_tsm, entrada2_and => entrada2_tsm, salida_and => acarreo_tsm); U2: eXor port map( entrada1_xor => entrada1_tsm, entrada2_xor => entrada2_tsm, salida_xor => resultado_tsm); end aTopSumMedio;
apache-2.0
Stederr/ESCOM
Arquitectura de Computadoras/Practica06_SumadorRestador8Bits/nxor00txt.vhd
1
299
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity nxor00 is port( Anx: in std_logic ; Bnx: in std_logic ; Ynx: out std_logic ); end; architecture nxor0 of nxor00 is begin Ynx <= not(Anx xor Bnx); end nxor0;
apache-2.0
Stederr/ESCOM
Arquitectura de Computadoras/Practica05_ArquitecturaGenericaMultiAportes/uc00.vhd
1
2121
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity uc00 is port( clkuc: in std_logic ; inFlaguc: in std_logic ; inFlaguc2: in std_logic ; enable: in std_logic ; inuc: in std_logic_vector ( 7 downto 0 ); outuc: out std_logic_vector ( 7 downto 0 ); outFlaguc: out std_logic ); end; architecture uc0 of uc00 is begin puc: process(clkuc, enable, inuc) begin if(clkuc = '1' and enable = '1') then outFlaguc <= '1'; outuc <= inuc; else outFlaguc <= '0'; outuc <= (others => '0'); end if; end process puc; --puc: process(clkuc, inFlaguc, enable) -- begin -- if (clkuc'event and clkuc = '1') then -- if (inFlaguc = '0' and inFlaguc2 = '0') then -- if (enable = '1') then -- outuc <= inuc; -- outFlaguc <= '1'; -- else -- outuc <= (others => 'Z'); -- outFlaguc <= 'Z'; -- end if; -- elsif (inFlaguc = '0' and inFlaguc2 = '1') then -- if (enable = '1') then -- outuc <= (others => 'Z'); -- outFlaguc <= 'Z'; -- else -- outuc <= (others => 'Z'); -- outFlaguc <= 'Z'; -- end if; -- elsif (inFlaguc = '1' and inFlaguc2 = '0') then -- if (enable = '1') then -- outuc <= inuc; -- outFlaguc <= '1'; -- else -- outuc <= (others => 'Z'); -- outFlaguc <= 'Z'; -- end if; -- elsif (inFlaguc = '1' and inFlaguc2 = '1') then -- if (enable = '1') then -- outuc <= (others => 'Z'); -- outFlaguc <= 'Z'; -- else -- outuc <= (others => 'Z'); -- outFlaguc <= 'Z'; -- end if; -- end if; -- end if; -- end process puc; end uc0;
apache-2.0
Stederr/ESCOM
Arquitectura de Computadoras/Practica02_SumadorRestador4Bits/top_sumador.vhd
1
2704
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; use pack_sum.all; -- IPN - ESCOM -- Arquitectura de Computadoras -- ww ww ww - 3CM9 -- ww.com/arquitectura -- Entidad entity eTopSum is port( carry: in std_logic; entradas1: in std_logic_vector(3 downto 0); entradas2: in std_logic_vector(3 downto 0); salidas: out std_logic_vector(3 downto 0); overflow: out std_logic); attribute loc: string; attribute loc of carry: signal is "p104"; attribute loc of entradas1: signal is "p125, p124, p123, p122"; attribute loc of entradas2: signal is "p121, p120, p117, p110"; attribute loc of salidas: signal is "p21, p22, p23, p24"; attribute loc of overflow: signal is "p12"; end; -- Arquitectura architecture aTopSum of eTopSum is signal sb, cs, sa: std_logic_vector(3 downto 0); signal sao: std_logic; begin U6: eXor port map( entrada1_xor => carry, entrada2_xor => entradas2(0), salida_xor => sb(0)); U7: eXor port map( entrada1_xor => carry, entrada2_xor => entradas2(1), salida_xor => sb(1)); U8: eXor port map( entrada1_xor => carry, entrada2_xor => entradas2(2), salida_xor => sb(2)); U9: eXor port map( entrada1_xor => carry, entrada2_xor => entradas2(3), salida_xor => sb(3)); U10: eTopSumCompleto port map( acarreoI_tsc => carry, entrada1_tsc => entradas1(0), entrada2_tsc => sb(0), acarreoO_tsc => cs(0), resultado_tsc => sa(0)); U11: eTopSumCompleto port map( acarreoI_tsc => cs(0), entrada1_tsc => entradas1(1), entrada2_tsc => sb(1), acarreoO_tsc => cs(1), resultado_tsc => sa(1)); U12: eTopSumCompleto port map( acarreoI_tsc => cs(1), entrada1_tsc => entradas1(2), entrada2_tsc => sb(2), acarreoO_tsc => cs(2), resultado_tsc => sa(2)); U13: eTopSumCompleto port map( acarreoI_tsc => cs(2), entrada1_tsc => entradas1(3), entrada2_tsc => sb(3), acarreoO_tsc => cs(3), resultado_tsc => sa(3)); U14: eAnd port map( entrada1_and => sao, entrada2_and => sa(0), salida_and => salidas(0)); U15: eAnd port map( entrada1_and => sao, entrada2_and => sa(1), salida_and => salidas(1)); U16: eAnd port map( entrada1_and => sao, entrada2_and => sa(2), salida_and => salidas(2)); U17: eAnd port map( entrada1_and => sao, entrada2_and => sa(3), salida_and => salidas(3)); U18: eXnor port map( entrada1_xnor => cs(3), entrada2_xnor => cs(2), salida_xnor => sao); U19: eXor port map( entrada1_xor => cs(3), entrada2_xor => cs(2), salida_xor => overflow); end aTopSum;
apache-2.0
Stederr/ESCOM
Arquitectura de Computadoras/Practica05_ArquitecturaGenericaMultiAportes/not00.vhd
1
1278
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity not00 is port( clkn: in std_logic ; codopn: in std_logic_vector ( 3 downto 0 ); inFlagn: in std_logic; portAn: in std_logic_vector ( 7 downto 0 ); outn: out std_logic_vector ( 7 downto 0 ); outFlagn: out std_logic ); end; architecture not0 of not00 is begin pnot: process(codopn, portAn) begin if(codopn = "0011") then outn <= not portAn; outFlagn <= '1'; else outn <= (others => 'Z'); outFlagn <= 'Z'; end if; end process pnot; -- pnot: process(clkn, codopn, inFlagn) -- --variable auxn: bit:='0'; -- begin -- if (clkn = '1') then ----clkn'event and -- if (codopn = "0011") then -- --if (inFlagn = '1') then -- --if (auxn = '0') then -- --auxn:= '1'; -- outn <= not(portAn); -- outFlagn <= '1'; -- --end if; -- --else -- --outFlagn <= '0'; -- --end if; -- else -- outn <= (others => 'Z'); -- outFlagn <= 'Z'; -- --auxn:='0'; -- end if; -- end if; -- end process pnot; end not0;
apache-2.0