repo_name
stringlengths
6
79
path
stringlengths
5
236
copies
stringclasses
54 values
size
stringlengths
1
8
content
stringlengths
0
1.04M
license
stringclasses
15 values
masaruohashi/tic-tac-toe
logica_jogo/fluxo_dados_logica_jogo.vhd
1
2600
-- VHDL do Fluxo de Dados library ieee; use ieee.std_logic_1164.all; entity fluxo_dados_logica_jogo is port( clock : in std_logic; reset : in std_logic; jogador_atual : in std_logic; -- indica o jogador atual do jogo da velha escreve_memoria : in std_logic; -- habilita a escrita na memoria entrada_dado : in std_logic_vector(6 downto 0); -- entrada de dados para a validacao fim_jogo : out std_logic; -- indica que o jogo acabou por vitoria ou empate jogada_ok : out std_logic; -- indica que a jogada realizada é valida jogador_vencedor : out std_logic; empate : out std_logic ); end fluxo_dados_logica_jogo; architecture exemplo of fluxo_dados_logica_jogo is component mapeador_jogada is port( caractere : in std_logic_vector(6 downto 0); jogada : out std_logic_vector(3 downto 0) ); end component; component valida_jogada is port( caractere : in std_logic_vector(6 downto 0); jogadas : in std_logic_vector(8 downto 0); jogada_ok : out std_logic ); end component; component registrador_jogada is port( clock : in std_logic; reset : in std_logic; guarda_jogada : in std_logic; jogador : in std_logic; entrada : in std_logic_vector(3 downto 0); jogadas_realizadas : out std_logic_vector(8 downto 0); jogadas_jogador : out std_logic_vector(8 downto 0) ); end component; component verifica_fim is port( jogador_atual: in std_logic; jogadas_realizadas: in std_logic_vector(8 downto 0); jogador_responsavel: in std_logic_vector(8 downto 0); fim_jogo: out std_logic; jogador_vencedor : out std_logic; empate : out std_logic ); end component; signal s_jogadas, s_jogador: std_logic_vector(8 downto 0); signal s_posicao: std_logic_vector(3 downto 0); signal s_jogador_atual: std_logic; begin mapeador_jogo: mapeador_jogada port map (entrada_dado, s_posicao); jogadas: registrador_jogada port map (clock, reset, escreve_memoria, s_jogador_atual, s_posicao, s_jogadas, s_jogador); jogada_valida: valida_jogada port map (entrada_dado, s_jogadas, jogada_ok); final_jogo: verifica_fim port map (s_jogador_atual, s_jogadas, s_jogador, fim_jogo, jogador_vencedor, empate); s_jogador_atual <= jogador_atual; end exemplo;
mit
JavierRizzoA/Sacagawea
sources/registers/Register1.vhd
1
520
library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity Register1 is Port ( d : in STD_LOGIC; --Input. ld : in STD_LOGIC; --Load/Enable. clr : in STD_LOGIC; --Async clear. clk : in STD_LOGIC; --Clock. q : out STD_LOGIC --Output ); end Register1; architecture Behavioral of Register1 is begin process(clk, clr) begin if clr = '1' then q <= '0'; elsif rising_edge(clk) then if ld = '1' then q <= d; end if; end if; end process; end Behavioral;
mit
stereoboy/Study
Udemy/EmbeddedSystemDesignWithXilinxZynqFPGAAndVIVADO/Sec08/Lab 81/new.vhd
1
500
---------------------------------------------------------------------------------- --this is Half Adder Module ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity half_adder_vhd is Port ( a : in STD_LOGIC; b : in STD_LOGIC; s : out STD_LOGIC; c : out STD_LOGIC); end half_adder_vhd; architecture Behavioral of half_adder_vhd is begin s<= a xor b; c<= a and b; end Behavioral;
mit
masaruohashi/tic-tac-toe
interface_modem/unidade_controle_modem_recepcao.vhd
1
2124
-- VHDL da Unidade de Controle da transmissão library ieee; use ieee.std_logic_1164.all; entity unidade_controle_modem_recepcao is port(clock : in std_logic; reset : in std_logic; liga : in std_logic; CD : in std_logic; RD : in std_logic; DTR : out std_logic; temDadoRecebido : out std_logic; saida : out std_logic_vector(3 downto 0)); -- controle de estados end unidade_controle_modem_recepcao; architecture unidade_controle of unidade_controle_modem_recepcao is type tipo_estado is (inicial, recepcao, final, desabilitado); signal estado : tipo_estado; begin process (clock, estado, reset) begin if liga = '0' then estado <= desabilitado; elsif reset = '1' then estado <= inicial; elsif (clock'event and clock = '1') then case estado is when inicial => -- Aguarda sinal de inicio if CD = '0' then -- Ativo em baixo estado <= recepcao; end if; when recepcao => -- Recebe o sinal do modem if CD = '1' then -- Ativo em baixo estado <= final; end if; when final => -- Aguarda desativação do sinal RD if RD = '1' then -- Ativo em baixo estado <= inicial; end if; when desabilitado => -- Circuito desabilitado if liga = '1' then estado <= inicial; end if; end case; end if; end process; process (estado) begin case estado is when inicial => DTR <= '0'; saida <= "0000"; temDadoRecebido <= '0'; when recepcao => DTR <= '0'; saida <= "0001"; temDadoRecebido <= '1'; when final => DTR <= '0'; saida <= "0010"; temDadoRecebido <= '0'; when desabilitado => DTR <= '1'; saida <= "1111"; temDadoRecebido <= '0'; end case; end process; end unidade_controle;
mit
fabianz66/cursos-tec
taller-digital/Proyecto Final/Referencias/fpga/program.vhd
1
191072
------------------------------------------------------------------- -- FPGA Audio Project SoC IP -- V0.1 -- Ultra-Embedded.com -- -- This file was derived from the Plasma project by Steve Rhoads. -- It has been modified to support dual port block RAM and contains -- the FPGA-Audio Bootloader image. -- -- Original copyright notice: -- -- TITLE: Random Access Memory for Xilinx -- AUTHOR: Steve Rhoads ([email protected]) -- DATE CREATED: 11/06/05 -- PROJECT: Plasma CPU core -- COPYRIGHT: Software placed into the public domain by the author. -- Software 'as is' without warranty. Author liable for nothing. -- UPDATED: 09/07/10 Olivier Rinaudo ([email protected]) -- new behaviour: 8KB expandable to 64KB of internal RAM -- ------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; library UNISIM; use UNISIM.vcomponents.all; entity ram is generic ( --Number of 8KB blocks of internal RAM, up to 64KB (1 to 8) block_count : integer := 1 ); port ( -- Port A clka_i : in std_logic; ena_i : in std_logic; wea_i : in std_logic_vector(3 downto 0); addra_i : in std_logic_vector(31 downto 2); dataa_i : in std_logic_vector(31 downto 0); dataa_o : out std_logic_vector(31 downto 0); -- Port B clkb_i : in std_logic; enb_i : in std_logic; web_i : in std_logic_vector(3 downto 0); addrb_i : in std_logic_vector(31 downto 2); datab_i : in std_logic_vector(31 downto 0); datab_o : out std_logic_vector(31 downto 0) ); end; architecture logic of ram is ----------------------------------------------- -- Signals ----------------------------------------------- type mem32_vector IS ARRAY (NATURAL RANGE<>) OF std_logic_vector(31 downto 0); -- Which 8KB block alias block_a_sel: std_logic_vector(2 downto 0) is addra_i(15 downto 13); alias block_b_sel: std_logic_vector(2 downto 0) is addrb_i(15 downto 13); -- Address within a 8KB block (without lower two bits) alias block_a_addr : std_logic_vector(10 downto 0) is addra_i(12 downto 2); alias block_b_addr : std_logic_vector(10 downto 0) is addrb_i(12 downto 2); -- Block ena_i with 1 bit per memory block signal block_a_enable: std_logic_vector(7 downto 0); signal block_b_enable: std_logic_vector(7 downto 0); -- Block Data Out signal block_a_do: mem32_vector(7 downto 0); signal block_b_do: mem32_vector(7 downto 0); -- Remember which block was selected signal block_a_sel_buf: std_logic_vector(2 downto 0); signal block_b_sel_buf: std_logic_vector(2 downto 0); constant ZERO : std_logic_vector(31 downto 0) := "00000000000000000000000000000000"; begin ----------------------------------------------- -- Port A ----------------------------------------------- block_a_enable <= "00000001" when (ena_i='1') and (block_a_sel="000") else "00000010" when (ena_i='1') and (block_a_sel="001") else "00000100" when (ena_i='1') and (block_a_sel="010") else "00001000" when (ena_i='1') and (block_a_sel="011") else "00010000" when (ena_i='1') and (block_a_sel="100") else "00100000" when (ena_i='1') and (block_a_sel="101") else "01000000" when (ena_i='1') and (block_a_sel="110") else "10000000" when (ena_i='1') and (block_a_sel="111") else "00000000"; process (clka_i, block_a_sel) is begin if rising_edge(clka_i) then block_a_sel_buf <= block_a_sel; end if; end process; process (block_a_do, block_a_sel_buf) is begin dataa_o <= block_a_do(conv_integer(block_a_sel_buf)); end process; ----------------------------------------------- -- Port B ----------------------------------------------- block_b_enable <= "00000001" when (enb_i='1') and (block_b_sel="000") else "00000010" when (enb_i='1') and (block_b_sel="001") else "00000100" when (enb_i='1') and (block_b_sel="010") else "00001000" when (enb_i='1') and (block_b_sel="011") else "00010000" when (enb_i='1') and (block_b_sel="100") else "00100000" when (enb_i='1') and (block_b_sel="101") else "01000000" when (enb_i='1') and (block_b_sel="110") else "10000000" when (enb_i='1') and (block_b_sel="111") else "00000000"; process (clkb_i, block_b_sel) is begin if rising_edge(clkb_i) then block_b_sel_buf <= block_b_sel; end if; end process; process (block_b_do, block_b_sel_buf) is begin datab_o <= block_b_do(conv_integer(block_b_sel_buf)); end process; ----------------------------------------------- -- BRAM ----------------------------------------------- -- BLOCKS generation block0: if (block_count > 0) generate begin ram_byte3 : RAMB16_S9_S9 generic map ( WRITE_MODE_A => "READ_FIRST", WRITE_MODE_B => "WRITE_FIRST", INIT_00 => X"00243c27038f8faf14af2c27000c40000008000c241400ac273c243c243c273c", INIT_01 => X"0c263c0008020c263c0008020c263c0008020c263c0008020c263c0000008c00", INIT_02 => X"0c00140010000c262426243c0c3c243c40afafafafaf270008020c263c000802", INIT_03 => X"8f8f001430008c3cac3caf12240000af27000300083c0c020c0014000c240c02", INIT_04 => X"82260c2610008000afaf2730038c3c240300038c001030008c3c3c08240c2703", INIT_05 => X"2400900000243c001800108f000300140000008c3c8c3c000327038f8f001400", INIT_06 => X"1424108f2d01110003af01af001424a0009000002424013c8f00148f2d01a014", INIT_07 => X"8f8e3cafafaf2700080000008faf04af020c260caf3c2424af3c3caf27af0800", INIT_08 => X"10afafafafafafafafafaf278faf03af27038f8f8f00142c00008e0004000000", INIT_09 => X"00008f8e00040000008faf008e00008f3c3c24243c24afaf0000242410008f00", INIT_0A => X"008faf008eafafa22610248f0010001000088f0018008faf000024008f00142c", INIT_0B => X"04af00008f8eaf08241400008f8faf0c000024008f00142c00008f8e3c040000", INIT_0C => X"af003c0024248f8f00082400008f240c001400040000008f00102c00008f8e00", INIT_0D => X"8f8f028f0002002400008f000c0008af0caf000024248f001130009191a01025", INIT_0E => X"24000824002490273c002531010091912512af0824a22427038f8f8f8f8f8f8f", INIT_0F => X"00000800153000919126af082415302624120014001430142438000400003010", INIT_10 => X"8f2400008f2400008f240c0008af0caf000024008f241000913014002490273c", INIT_11 => X"4b42004153000000000000000000240832088fafaf2624242624020008240000", INIT_12 => X"0000000000000000617420740a00617420740a0020740a0044214d5446004945", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( DOA => block_a_do(0)(31 downto 24), DOPA => open, ADDRA => block_a_addr, CLKA => clka_i, DIA => dataa_i(31 downto 24), DIPA => ZERO(0 downto 0), ENA => block_a_enable(0), SSRA => ZERO(0), WEA => wea_i(3), DOB => block_b_do(0)(31 downto 24), DOPB => open, ADDRB => block_b_addr, CLKB => clkb_i, DIB => datab_i(31 downto 24), DIPB => ZERO(0 downto 0), ENB => block_b_enable(0), SSRB => ZERO(0), WEB => web_i(3) ); ram_byte2 : RAMB16_S9_S9 generic map ( WRITE_MODE_A => "READ_FIRST", WRITE_MODE_B => "WRITE_FIRST", INIT_00 => X"044202bde0b0bfb040bf82bd0000040000000000a560a4a0bd1d8404a5059c1c", INIT_01 => X"0010100000000010100000000010100000000010100000000010100040008244", INIT_02 => X"0000510050000052117310120013840480b0b1b2b3bfbd000000001010000000", INIT_03 => X"b0bf0040420062035002bf02021004b0bd00e000000400600000500000040040", INIT_04 => X"0410001080008480bfb0bd42e0420202e000e04200606300430202000400bde0", INIT_05 => X"42e26682e8e70700a000a08800e00060646200a305420200e0bde0b0bf008000", INIT_06 => X"6003408902050000e085258044464243006344e246e722078200408902056645", INIT_07 => X"821110b1bfb0bd00000040008280408000001000bf1084a5b0040584bd880000", INIT_08 => X"40b0b1b2b3b5b6b7bebfb4bd8285e084bde0b0b1bf0040425100020041004000", INIT_09 => X"4300a302004100400082a600060040a4131e15161017a5a30000050360008380", INIT_0A => X"0082a30003a0a66246a303a50055005600008200a000a5a20202a200a5004042", INIT_0B => X"41a640008206a6000640c300a3a6a2000202a200a50040424400a40203410040", INIT_0C => X"a6a6086663c6a5a6000004400082110000550040004000820060636400a40300", INIT_0D => X"b7be20bf0080000440008200000000a500a202026205a3002242020902826008", INIT_0E => X"63a200e70503e5c70400084a420a020a0640a600126206bde0b0b1b2b3b4b5b6", INIT_0F => X"00000000224202090268a300032242e205e9004a00e8426063c202a10502c260", INIT_10 => X"82044000820440008211000000a500a202026200a3054300026346654245c204", INIT_11 => X"2152004c590000000000000000001100f70082a6a5310605f784800000044000", INIT_12 => X"00000000000000006c65285242006c6528524200565242004900552141004e58", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( DOA => block_a_do(0)(23 downto 16), DOPA => open, ADDRA => block_a_addr, CLKA => clka_i, DIA => dataa_i(23 downto 16), DIPA => ZERO(0 downto 0), ENA => block_a_enable(0), SSRA => ZERO(0), WEA => wea_i(2), DOB => block_b_do(0)(23 downto 16), DOPB => open, ADDRB => block_b_addr, CLKB => clkb_i, DIB => datab_i(23 downto 16), DIPB => ZERO(0 downto 0), ENB => block_b_enable(0), SSRB => ZERO(0), WEB => web_i(2) ); ram_byte1 : RAMB16_S9_S9 generic map ( WRITE_MODE_A => "READ_FIRST", WRITE_MODE_B => "WRITE_FIRST", INIT_00 => X"2008000000000000000000ff000068000000000000ff18000e000e0009008900", INIT_01 => X"0008000000200009000000200009000000200009000000200009000000000020", INIT_02 => X"0000ff00000000090009000000000900600000000000ff000020000800000020", INIT_03 => X"000000ff000000200020000000868600ff000000001000200000ff0000200020", INIT_04 => X"ff000000000000800000ff00000020ff00000000000000000020200000000000", INIT_05 => X"001800183809001000000080000000ff1818000020002000000000000000ff00", INIT_06 => X"ff00ff800040ff100080288018ff0000000018200009100080180080004000ff", INIT_07 => X"800020000000ff000000f8008080ff80200102010000010200000080ff800000", INIT_08 => X"0100000000000000000000ff80800080000000000000ff0310000000ff00f800", INIT_09 => X"10000000000000f8008000000000f800000000002000000090880000010080a0", INIT_0A => X"008000000000000a000000000000000000018000000000001616ff000000ff03", INIT_0B => X"0000f8008000000100001000000000001616ff000000ff0310000000000000f8", INIT_0C => X"001800200a000000000100f80080ff0000ff00ff00f8008000ff031800000000", INIT_0D => X"0000100028f82000f80080000000010000001616ff000000000010000000ff0a", INIT_0E => X"ff1001002a00000a001000ff5052000000000001000a00000000000000000000", INIT_0F => X"18000100ff001000000a0001000000ff000000ff00ffffffff1030ff2c2cff00", INIT_10 => X"8000f8008000f80080ff0000010000001616ff000000ff000000ff1800000a00", INIT_11 => X"0045004c53000000000000000100ff010001800000000000000af8000100f800", INIT_12 => X"00000000000000002972494f6f002972454f6f00324f6f0056004c0055005454", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( DOA => block_a_do(0)(15 downto 8), DOPA => open, ADDRA => block_a_addr, CLKA => clka_i, DIA => dataa_i(15 downto 8), DIPA => ZERO(0 downto 0), ENA => block_a_enable(0), SSRA => ZERO(0), WEA => wea_i(1), DOB => block_b_do(0)(15 downto 8), DOPB => open, ADDRB => block_b_addr, CLKB => clkb_i, DIB => datab_i(15 downto 8), DIPB => ZERO(0 downto 0), ENB => block_b_enable(0), SSRB => ZERO(0), WEB => web_i(1) ); ram_byte0 : RAMB16_S9_S9 generic map ( WRITE_MODE_A => "READ_FIRST", WRITE_MODE_B => "WRITE_FIRST", INIT_00 => X"80c8001808101410051409e800140000000e004904fd2a008000900070006001", INIT_01 => X"95f800003921950000003321950c00002d21951400002721951c000008000021", INIT_02 => X"9500fb000b00864c49344500950024000014181c2024d800452195ec00003f21", INIT_03 => X"101400fc080004000800140d0a030010e80008005900e3219500f7008600e321", INIT_04 => X"ff016f01070000211410e801080400ff0800080800040100040000770d6f1808", INIT_05 => X"01210021218800210a002318000800fb2a23001000100000081808101400fb00", INIT_06 => X"e701e9148021fd210814211821fb0100000021238088210010211914802100fb", INIT_07 => X"201000181c14e000f70009001018fc142113d0101400bc1810000010e818d500", INIT_08 => X"102024282c34383c404430b81c20081c200814181c00f5e823001000fa000900", INIT_09 => X"230010100015000900201000100009140000180400011418212143100c002021", INIT_0A => X"0020100010141c08827243140027006200301c00c90018180300ff001800f4e8", INIT_0B => X"0e10090020101449157f2a00141c18f90300ff001800f4e82300101000350009", INIT_0C => X"142a002108011c1400bc0609001cfff900c500f40009002000cde82300101000", INIT_0D => X"3c4021442109210609001c00f9004914f9180300ff15180026ff27010200b908", INIT_0E => X"ff26e2010008000b002183ff2100848383301c5a01088348082024282c303438", INIT_0F => X"2100ce00adff2701020814490622ffff803600bc00eefff9ff2140fa0300ff09", INIT_10 => X"1c1809001c1809001cfff9004914f9180300ff001815e40083fffc2101000b00", INIT_11 => X"00410021439494acacc4dcf40c64ffbcff301c1418800610010b0900bc180900", INIT_12 => X"00000000000000000a6e6e4d6f000a6e784d6f000a4d6f00210054004c00215f", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( DOA => block_a_do(0)(7 downto 0), DOPA => open, ADDRA => block_a_addr, CLKA => clka_i, DIA => dataa_i(7 downto 0), DIPA => ZERO(0 downto 0), ENA => block_a_enable(0), SSRA => ZERO(0), WEA => wea_i(0), DOB => block_b_do(0)(7 downto 0), DOPB => open, ADDRB => block_b_addr, CLKB => clkb_i, DIB => datab_i(7 downto 0), DIPB => ZERO(0 downto 0), ENB => block_b_enable(0), SSRB => ZERO(0), WEB => web_i(0) ); end generate; --block0 block1: if (block_count > 1) generate begin ram_byte3 : RAMB16_S9_S9 generic map ( WRITE_MODE_A => "READ_FIRST", WRITE_MODE_B => "WRITE_FIRST", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( DOA => block_a_do(1)(31 downto 24), DOPA => open, ADDRA => block_a_addr, CLKA => clka_i, DIA => dataa_i(31 downto 24), DIPA => ZERO(0 downto 0), ENA => block_a_enable(1), SSRA => ZERO(0), WEA => wea_i(3), DOB => block_b_do(1)(31 downto 24), DOPB => open, ADDRB => block_b_addr, CLKB => clkb_i, DIB => datab_i(31 downto 24), DIPB => ZERO(0 downto 0), ENB => block_b_enable(1), SSRB => ZERO(0), WEB => web_i(3) ); ram_byte2 : RAMB16_S9_S9 generic map ( WRITE_MODE_A => "READ_FIRST", WRITE_MODE_B => "WRITE_FIRST", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( DOA => block_a_do(1)(23 downto 16), DOPA => open, ADDRA => block_a_addr, CLKA => clka_i, DIA => dataa_i(23 downto 16), DIPA => ZERO(0 downto 0), ENA => block_a_enable(1), SSRA => ZERO(0), WEA => wea_i(2), DOB => block_b_do(1)(23 downto 16), DOPB => open, ADDRB => block_b_addr, CLKB => clkb_i, DIB => datab_i(23 downto 16), DIPB => ZERO(0 downto 0), ENB => block_b_enable(1), SSRB => ZERO(0), WEB => web_i(2) ); ram_byte1 : RAMB16_S9_S9 generic map ( WRITE_MODE_A => "READ_FIRST", WRITE_MODE_B => "WRITE_FIRST", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( DOA => block_a_do(1)(15 downto 8), DOPA => open, ADDRA => block_a_addr, CLKA => clka_i, DIA => dataa_i(15 downto 8), DIPA => ZERO(0 downto 0), ENA => block_a_enable(1), SSRA => ZERO(0), WEA => wea_i(1), DOB => block_b_do(1)(15 downto 8), DOPB => open, ADDRB => block_b_addr, CLKB => clkb_i, DIB => datab_i(15 downto 8), DIPB => ZERO(0 downto 0), ENB => block_b_enable(1), SSRB => ZERO(0), WEB => web_i(1) ); ram_byte0 : RAMB16_S9_S9 generic map ( WRITE_MODE_A => "READ_FIRST", WRITE_MODE_B => "WRITE_FIRST", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( DOA => block_a_do(1)(7 downto 0), DOPA => open, ADDRA => block_a_addr, CLKA => clka_i, DIA => dataa_i(7 downto 0), DIPA => ZERO(0 downto 0), ENA => block_a_enable(1), SSRA => ZERO(0), WEA => wea_i(0), DOB => block_b_do(1)(7 downto 0), DOPB => open, ADDRB => block_b_addr, CLKB => clkb_i, DIB => datab_i(7 downto 0), DIPB => ZERO(0 downto 0), ENB => block_b_enable(1), SSRB => ZERO(0), WEB => web_i(0) ); end generate; --block1 block2: if (block_count > 2) generate begin ram_byte3 : RAMB16_S9_S9 generic map ( WRITE_MODE_A => "READ_FIRST", WRITE_MODE_B => "WRITE_FIRST", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( DOA => block_a_do(2)(31 downto 24), DOPA => open, ADDRA => block_a_addr, CLKA => clka_i, DIA => dataa_i(31 downto 24), DIPA => ZERO(0 downto 0), ENA => block_a_enable(2), SSRA => ZERO(0), WEA => wea_i(3), DOB => block_b_do(2)(31 downto 24), DOPB => open, ADDRB => block_b_addr, CLKB => clkb_i, DIB => datab_i(31 downto 24), DIPB => ZERO(0 downto 0), ENB => block_b_enable(2), SSRB => ZERO(0), WEB => web_i(3) ); ram_byte2 : RAMB16_S9_S9 generic map ( WRITE_MODE_A => "READ_FIRST", WRITE_MODE_B => "WRITE_FIRST", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( DOA => block_a_do(2)(23 downto 16), DOPA => open, ADDRA => block_a_addr, CLKA => clka_i, DIA => dataa_i(23 downto 16), DIPA => ZERO(0 downto 0), ENA => block_a_enable(2), SSRA => ZERO(0), WEA => wea_i(2), DOB => block_b_do(2)(23 downto 16), DOPB => open, ADDRB => block_b_addr, CLKB => clkb_i, DIB => datab_i(23 downto 16), DIPB => ZERO(0 downto 0), ENB => block_b_enable(2), SSRB => ZERO(0), WEB => web_i(2) ); ram_byte1 : RAMB16_S9_S9 generic map ( WRITE_MODE_A => "READ_FIRST", WRITE_MODE_B => "WRITE_FIRST", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( DOA => block_a_do(2)(15 downto 8), DOPA => open, ADDRA => block_a_addr, CLKA => clka_i, DIA => dataa_i(15 downto 8), DIPA => ZERO(0 downto 0), ENA => block_a_enable(2), SSRA => ZERO(0), WEA => wea_i(1), DOB => block_b_do(2)(15 downto 8), DOPB => open, ADDRB => block_b_addr, CLKB => clkb_i, DIB => datab_i(15 downto 8), DIPB => ZERO(0 downto 0), ENB => block_b_enable(2), SSRB => ZERO(0), WEB => web_i(1) ); ram_byte0 : RAMB16_S9_S9 generic map ( WRITE_MODE_A => "READ_FIRST", WRITE_MODE_B => "WRITE_FIRST", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( DOA => block_a_do(2)(7 downto 0), DOPA => open, ADDRA => block_a_addr, CLKA => clka_i, DIA => dataa_i(7 downto 0), DIPA => ZERO(0 downto 0), ENA => block_a_enable(2), SSRA => ZERO(0), WEA => wea_i(0), DOB => block_b_do(2)(7 downto 0), DOPB => open, ADDRB => block_b_addr, CLKB => clkb_i, DIB => datab_i(7 downto 0), DIPB => ZERO(0 downto 0), ENB => block_b_enable(2), SSRB => ZERO(0), WEB => web_i(0) ); end generate; --block2 block3: if (block_count > 3) generate begin ram_byte3 : RAMB16_S9_S9 generic map ( WRITE_MODE_A => "READ_FIRST", WRITE_MODE_B => "WRITE_FIRST", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( DOA => block_a_do(3)(31 downto 24), DOPA => open, ADDRA => block_a_addr, CLKA => clka_i, DIA => dataa_i(31 downto 24), DIPA => ZERO(0 downto 0), ENA => block_a_enable(3), SSRA => ZERO(0), WEA => wea_i(3), DOB => block_b_do(3)(31 downto 24), DOPB => open, ADDRB => block_b_addr, CLKB => clkb_i, DIB => datab_i(31 downto 24), DIPB => ZERO(0 downto 0), ENB => block_b_enable(3), SSRB => ZERO(0), WEB => web_i(3) ); ram_byte2 : RAMB16_S9_S9 generic map ( WRITE_MODE_A => "READ_FIRST", WRITE_MODE_B => "WRITE_FIRST", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( DOA => block_a_do(3)(23 downto 16), DOPA => open, ADDRA => block_a_addr, CLKA => clka_i, DIA => dataa_i(23 downto 16), DIPA => ZERO(0 downto 0), ENA => block_a_enable(3), SSRA => ZERO(0), WEA => wea_i(2), DOB => block_b_do(3)(23 downto 16), DOPB => open, ADDRB => block_b_addr, CLKB => clkb_i, DIB => datab_i(23 downto 16), DIPB => ZERO(0 downto 0), ENB => block_b_enable(3), SSRB => ZERO(0), WEB => web_i(2) ); ram_byte1 : RAMB16_S9_S9 generic map ( WRITE_MODE_A => "READ_FIRST", WRITE_MODE_B => "WRITE_FIRST", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( DOA => block_a_do(3)(15 downto 8), DOPA => open, ADDRA => block_a_addr, CLKA => clka_i, DIA => dataa_i(15 downto 8), DIPA => ZERO(0 downto 0), ENA => block_a_enable(3), SSRA => ZERO(0), WEA => wea_i(1), DOB => block_b_do(3)(15 downto 8), DOPB => open, ADDRB => block_b_addr, CLKB => clkb_i, DIB => datab_i(15 downto 8), DIPB => ZERO(0 downto 0), ENB => block_b_enable(3), SSRB => ZERO(0), WEB => web_i(1) ); ram_byte0 : RAMB16_S9_S9 generic map ( WRITE_MODE_A => "READ_FIRST", WRITE_MODE_B => "WRITE_FIRST", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( DOA => block_a_do(3)(7 downto 0), DOPA => open, ADDRA => block_a_addr, CLKA => clka_i, DIA => dataa_i(7 downto 0), DIPA => ZERO(0 downto 0), ENA => block_a_enable(3), SSRA => ZERO(0), WEA => wea_i(0), DOB => block_b_do(3)(7 downto 0), DOPB => open, ADDRB => block_b_addr, CLKB => clkb_i, DIB => datab_i(7 downto 0), DIPB => ZERO(0 downto 0), ENB => block_b_enable(3), SSRB => ZERO(0), WEB => web_i(0) ); end generate; --block3 block4: if (block_count > 4) generate begin ram_byte3 : RAMB16_S9_S9 generic map ( WRITE_MODE_A => "READ_FIRST", WRITE_MODE_B => "WRITE_FIRST", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( DOA => block_a_do(4)(31 downto 24), DOPA => open, ADDRA => block_a_addr, CLKA => clka_i, DIA => dataa_i(31 downto 24), DIPA => ZERO(0 downto 0), ENA => block_a_enable(4), SSRA => ZERO(0), WEA => wea_i(3), DOB => block_b_do(4)(31 downto 24), DOPB => open, ADDRB => block_b_addr, CLKB => clkb_i, DIB => datab_i(31 downto 24), DIPB => ZERO(0 downto 0), ENB => block_b_enable(4), SSRB => ZERO(0), WEB => web_i(3) ); ram_byte2 : RAMB16_S9_S9 generic map ( WRITE_MODE_A => "READ_FIRST", WRITE_MODE_B => "WRITE_FIRST", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( DOA => block_a_do(4)(23 downto 16), DOPA => open, ADDRA => block_a_addr, CLKA => clka_i, DIA => dataa_i(23 downto 16), DIPA => ZERO(0 downto 0), ENA => block_a_enable(4), SSRA => ZERO(0), WEA => wea_i(2), DOB => block_b_do(4)(23 downto 16), DOPB => open, ADDRB => block_b_addr, CLKB => clkb_i, DIB => datab_i(23 downto 16), DIPB => ZERO(0 downto 0), ENB => block_b_enable(4), SSRB => ZERO(0), WEB => web_i(2) ); ram_byte1 : RAMB16_S9_S9 generic map ( WRITE_MODE_A => "READ_FIRST", WRITE_MODE_B => "WRITE_FIRST", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( DOA => block_a_do(4)(15 downto 8), DOPA => open, ADDRA => block_a_addr, CLKA => clka_i, DIA => dataa_i(15 downto 8), DIPA => ZERO(0 downto 0), ENA => block_a_enable(4), SSRA => ZERO(0), WEA => wea_i(1), DOB => block_b_do(4)(15 downto 8), DOPB => open, ADDRB => block_b_addr, CLKB => clkb_i, DIB => datab_i(15 downto 8), DIPB => ZERO(0 downto 0), ENB => block_b_enable(4), SSRB => ZERO(0), WEB => web_i(1) ); ram_byte0 : RAMB16_S9_S9 generic map ( WRITE_MODE_A => "READ_FIRST", WRITE_MODE_B => "WRITE_FIRST", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( DOA => block_a_do(4)(7 downto 0), DOPA => open, ADDRA => block_a_addr, CLKA => clka_i, DIA => dataa_i(7 downto 0), DIPA => ZERO(0 downto 0), ENA => block_a_enable(4), SSRA => ZERO(0), WEA => wea_i(0), DOB => block_b_do(4)(7 downto 0), DOPB => open, ADDRB => block_b_addr, CLKB => clkb_i, DIB => datab_i(7 downto 0), DIPB => ZERO(0 downto 0), ENB => block_b_enable(4), SSRB => ZERO(0), WEB => web_i(0) ); end generate; --block4 block5: if (block_count > 5) generate begin ram_byte3 : RAMB16_S9_S9 generic map ( WRITE_MODE_A => "READ_FIRST", WRITE_MODE_B => "WRITE_FIRST", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( DOA => block_a_do(5)(31 downto 24), DOPA => open, ADDRA => block_a_addr, CLKA => clka_i, DIA => dataa_i(31 downto 24), DIPA => ZERO(0 downto 0), ENA => block_a_enable(5), SSRA => ZERO(0), WEA => wea_i(3), DOB => block_b_do(5)(31 downto 24), DOPB => open, ADDRB => block_b_addr, CLKB => clkb_i, DIB => datab_i(31 downto 24), DIPB => ZERO(0 downto 0), ENB => block_b_enable(5), SSRB => ZERO(0), WEB => web_i(3) ); ram_byte2 : RAMB16_S9_S9 generic map ( WRITE_MODE_A => "READ_FIRST", WRITE_MODE_B => "WRITE_FIRST", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( DOA => block_a_do(5)(23 downto 16), DOPA => open, ADDRA => block_a_addr, CLKA => clka_i, DIA => dataa_i(23 downto 16), DIPA => ZERO(0 downto 0), ENA => block_a_enable(5), SSRA => ZERO(0), WEA => wea_i(2), DOB => block_b_do(5)(23 downto 16), DOPB => open, ADDRB => block_b_addr, CLKB => clkb_i, DIB => datab_i(23 downto 16), DIPB => ZERO(0 downto 0), ENB => block_b_enable(5), SSRB => ZERO(0), WEB => web_i(2) ); ram_byte1 : RAMB16_S9_S9 generic map ( WRITE_MODE_A => "READ_FIRST", WRITE_MODE_B => "WRITE_FIRST", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( DOA => block_a_do(5)(15 downto 8), DOPA => open, ADDRA => block_a_addr, CLKA => clka_i, DIA => dataa_i(15 downto 8), DIPA => ZERO(0 downto 0), ENA => block_a_enable(5), SSRA => ZERO(0), WEA => wea_i(1), DOB => block_b_do(5)(15 downto 8), DOPB => open, ADDRB => block_b_addr, CLKB => clkb_i, DIB => datab_i(15 downto 8), DIPB => ZERO(0 downto 0), ENB => block_b_enable(5), SSRB => ZERO(0), WEB => web_i(1) ); ram_byte0 : RAMB16_S9_S9 generic map ( WRITE_MODE_A => "READ_FIRST", WRITE_MODE_B => "WRITE_FIRST", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( DOA => block_a_do(5)(7 downto 0), DOPA => open, ADDRA => block_a_addr, CLKA => clka_i, DIA => dataa_i(7 downto 0), DIPA => ZERO(0 downto 0), ENA => block_a_enable(5), SSRA => ZERO(0), WEA => wea_i(0), DOB => block_b_do(5)(7 downto 0), DOPB => open, ADDRB => block_b_addr, CLKB => clkb_i, DIB => datab_i(7 downto 0), DIPB => ZERO(0 downto 0), ENB => block_b_enable(5), SSRB => ZERO(0), WEB => web_i(0) ); end generate; --block5 block6: if (block_count > 6) generate begin ram_byte3 : RAMB16_S9_S9 generic map ( WRITE_MODE_A => "READ_FIRST", WRITE_MODE_B => "WRITE_FIRST", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( DOA => block_a_do(6)(31 downto 24), DOPA => open, ADDRA => block_a_addr, CLKA => clka_i, DIA => dataa_i(31 downto 24), DIPA => ZERO(0 downto 0), ENA => block_a_enable(6), SSRA => ZERO(0), WEA => wea_i(3), DOB => block_b_do(6)(31 downto 24), DOPB => open, ADDRB => block_b_addr, CLKB => clkb_i, DIB => datab_i(31 downto 24), DIPB => ZERO(0 downto 0), ENB => block_b_enable(6), SSRB => ZERO(0), WEB => web_i(3) ); ram_byte2 : RAMB16_S9_S9 generic map ( WRITE_MODE_A => "READ_FIRST", WRITE_MODE_B => "WRITE_FIRST", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( DOA => block_a_do(6)(23 downto 16), DOPA => open, ADDRA => block_a_addr, CLKA => clka_i, DIA => dataa_i(23 downto 16), DIPA => ZERO(0 downto 0), ENA => block_a_enable(6), SSRA => ZERO(0), WEA => wea_i(2), DOB => block_b_do(6)(23 downto 16), DOPB => open, ADDRB => block_b_addr, CLKB => clkb_i, DIB => datab_i(23 downto 16), DIPB => ZERO(0 downto 0), ENB => block_b_enable(6), SSRB => ZERO(0), WEB => web_i(2) ); ram_byte1 : RAMB16_S9_S9 generic map ( WRITE_MODE_A => "READ_FIRST", WRITE_MODE_B => "WRITE_FIRST", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( DOA => block_a_do(6)(15 downto 8), DOPA => open, ADDRA => block_a_addr, CLKA => clka_i, DIA => dataa_i(15 downto 8), DIPA => ZERO(0 downto 0), ENA => block_a_enable(6), SSRA => ZERO(0), WEA => wea_i(1), DOB => block_b_do(6)(15 downto 8), DOPB => open, ADDRB => block_b_addr, CLKB => clkb_i, DIB => datab_i(15 downto 8), DIPB => ZERO(0 downto 0), ENB => block_b_enable(6), SSRB => ZERO(0), WEB => web_i(1) ); ram_byte0 : RAMB16_S9_S9 generic map ( WRITE_MODE_A => "READ_FIRST", WRITE_MODE_B => "WRITE_FIRST", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( DOA => block_a_do(6)(7 downto 0), DOPA => open, ADDRA => block_a_addr, CLKA => clka_i, DIA => dataa_i(7 downto 0), DIPA => ZERO(0 downto 0), ENA => block_a_enable(6), SSRA => ZERO(0), WEA => wea_i(0), DOB => block_b_do(6)(7 downto 0), DOPB => open, ADDRB => block_b_addr, CLKB => clkb_i, DIB => datab_i(7 downto 0), DIPB => ZERO(0 downto 0), ENB => block_b_enable(6), SSRB => ZERO(0), WEB => web_i(0) ); end generate; --block6 block7: if (block_count > 7) generate begin ram_byte3 : RAMB16_S9_S9 generic map ( WRITE_MODE_A => "READ_FIRST", WRITE_MODE_B => "WRITE_FIRST", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( DOA => block_a_do(7)(31 downto 24), DOPA => open, ADDRA => block_a_addr, CLKA => clka_i, DIA => dataa_i(31 downto 24), DIPA => ZERO(0 downto 0), ENA => block_a_enable(7), SSRA => ZERO(0), WEA => wea_i(3), DOB => block_b_do(7)(31 downto 24), DOPB => open, ADDRB => block_b_addr, CLKB => clkb_i, DIB => datab_i(31 downto 24), DIPB => ZERO(0 downto 0), ENB => block_b_enable(7), SSRB => ZERO(0), WEB => web_i(3) ); ram_byte2 : RAMB16_S9_S9 generic map ( WRITE_MODE_A => "READ_FIRST", WRITE_MODE_B => "WRITE_FIRST", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( DOA => block_a_do(7)(23 downto 16), DOPA => open, ADDRA => block_a_addr, CLKA => clka_i, DIA => dataa_i(23 downto 16), DIPA => ZERO(0 downto 0), ENA => block_a_enable(7), SSRA => ZERO(0), WEA => wea_i(2), DOB => block_b_do(7)(23 downto 16), DOPB => open, ADDRB => block_b_addr, CLKB => clkb_i, DIB => datab_i(23 downto 16), DIPB => ZERO(0 downto 0), ENB => block_b_enable(7), SSRB => ZERO(0), WEB => web_i(2) ); ram_byte1 : RAMB16_S9_S9 generic map ( WRITE_MODE_A => "READ_FIRST", WRITE_MODE_B => "WRITE_FIRST", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( DOA => block_a_do(7)(15 downto 8), DOPA => open, ADDRA => block_a_addr, CLKA => clka_i, DIA => dataa_i(15 downto 8), DIPA => ZERO(0 downto 0), ENA => block_a_enable(7), SSRA => ZERO(0), WEA => wea_i(1), DOB => block_b_do(7)(15 downto 8), DOPB => open, ADDRB => block_b_addr, CLKB => clkb_i, DIB => datab_i(15 downto 8), DIPB => ZERO(0 downto 0), ENB => block_b_enable(7), SSRB => ZERO(0), WEB => web_i(1) ); ram_byte0 : RAMB16_S9_S9 generic map ( WRITE_MODE_A => "READ_FIRST", WRITE_MODE_B => "WRITE_FIRST", INIT_00 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_01 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_02 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_03 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_04 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_05 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_06 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_07 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_08 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_09 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_0F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_10 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_11 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_12 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_13 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_14 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_15 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_16 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_17 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_18 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_19 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_1F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_20 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_21 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_22 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_23 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_24 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_25 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_26 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_27 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_28 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_29 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_2F => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_30 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_31 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_32 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_33 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_34 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_35 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_36 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_37 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_38 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_39 => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3A => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3B => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3C => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3D => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3E => X"0000000000000000000000000000000000000000000000000000000000000000", INIT_3F => X"0000000000000000000000000000000000000000000000000000000000000000" ) port map ( DOA => block_a_do(7)(7 downto 0), DOPA => open, ADDRA => block_a_addr, CLKA => clka_i, DIA => dataa_i(7 downto 0), DIPA => ZERO(0 downto 0), ENA => block_a_enable(7), SSRA => ZERO(0), WEA => wea_i(0), DOB => block_b_do(7)(7 downto 0), DOPB => open, ADDRB => block_b_addr, CLKB => clkb_i, DIB => datab_i(7 downto 0), DIPB => ZERO(0 downto 0), ENB => block_b_enable(7), SSRB => ZERO(0), WEB => web_i(0) ); end generate; --block7 end; --architecture logic
mit
Reiuiji/VHDL-Emporium
VHDL/UMDRISC_pkg.vhd
1
198
package UMDRISC_PKG is CONSTANT DATA_WIDTH:INTEGER := 24; CONSTANT ADDRESS_WIDTH:INTEGER := 24; CONSTANT PC_WIDTH:INTEGER := 24; end UMDRISC_PKG; package body UMDRISC_PKG is end UMDRISC_PKG;
mit
fabianz66/cursos-tec
taller-digital/Proyecto Final/Referencias/fpga/top.vhd
1
24037
------------------------------------------------------------------- -- FPGA Audio Project SoC IP -- V0.1 -- Ultra-Embedded.com -- Copyright 2011 - 2012 -- -- Email: [email protected] -- -- License: LGPL -- -- If you would like a version with a different license for use -- in commercial projects please contact the above email address -- for more details. ------------------------------------------------------------------- -- -- Copyright (C) 2011 - 2012 Ultra-Embedded.com -- -- This source file may be used and distributed without -- restriction provided that this copyright statement is not -- removed from the file and that any derivative work contains -- the original copyright notice and the associated disclaimer. -- -- This source file is free software; you can redistribute it -- and/or modify it under the terms of the GNU Lesser General -- Public License as published by the Free Software Foundation; -- either version 2.1 of the License, or (at your option) any -- later version. -- -- This source is distributed in the hope that it will be -- useful, but WITHOUT ANY WARRANTY; without even the implied -- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR -- PURPOSE. See the GNU Lesser General Public License for more -- details. -- -- You should have received a copy of the GNU Lesser General -- Public License along with this source; if not, write to the -- Free Software Foundation, Inc., 59 Temple Place, Suite 330, -- Boston, MA 02111-1307 USA ------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; use work.peripherals.all; entity fpga_top is generic ( OSC_KHZ : integer := 8192; CLK_KHZ : integer := (8192 * 7); SD_CLK_KHZ : integer := 12288 ); Port ( clk : in std_logic; -- SRAM 1 (Left) sram1_io : inout std_logic_vector(15 downto 0); sram1_addr : out std_logic_vector(17 downto 0); sram1_ub : out std_logic; sram1_lb : out std_logic; sram1_oe : out std_logic; sram1_ce : out std_logic; sram1_we : out std_logic; -- UART tx : in std_logic; rx : out std_logic; -- UEXT uext : inout std_logic_vector(10 downto 3); -- SD sd_cs : out std_logic; sd_do : in std_logic; sd_di : out std_logic; sd_clk : out std_logic; -- DAC dac_din : out std_logic; dac_bck : out std_logic; dac_lrc : out std_logic; dac_mclk : out std_logic; -- SPI Flash flash_cs : inout std_logic; flash_si : inout std_logic; flash_so : in std_logic; flash_sck : inout std_logic ); end fpga_top; architecture Behavioral of fpga_top is ----------------------------------------------- -- Component Definitions ----------------------------------------------- component ram generic ( -- Number of 8KB blocks of internal RAM, up to 64KB (1 to 8) block_count : integer := 1 ); port ( -- Port A clka_i : in std_logic; ena_i : in std_logic; wea_i : in std_logic_vector(3 downto 0); addra_i : in std_logic_vector(31 downto 2); dataa_i : in std_logic_vector(31 downto 0); dataa_o : out std_logic_vector(31 downto 0); -- Port B clkb_i : in std_logic; enb_i : in std_logic; web_i : in std_logic_vector(3 downto 0); addrb_i : in std_logic_vector(31 downto 2); datab_i : in std_logic_vector(31 downto 0); datab_o : out std_logic_vector(31 downto 0) ); end component; component fifo32 port ( rst : in std_logic; wr_clk : in std_logic; rd_clk : in std_logic; din : in std_logic_vector(31 downto 0); wr_en : in std_logic; rd_en : in std_logic; dout : out std_logic_vector(31 downto 0); full : out std_logic; empty : out std_logic; wr_data_count : out std_logic_vector(9 downto 0) ); end component; component dpram_4_1_xc6 port ( clka : in std_logic; wea : in std_logic_vector(3 downto 0); addra : in std_logic_vector(7 downto 0); dina : in std_logic_vector(31 downto 0); douta : out std_logic_vector(31 downto 0); clkb : in std_logic; web : in std_logic_vector(0 downto 0); addrb : in std_logic_vector(9 downto 0); dinb : in std_logic_vector(7 downto 0); doutb : out std_logic_vector(7 downto 0) ); end component; component clk_dcm_pll generic ( CLK_MULTIPLY : integer := 5 ); port (-- Clock in ports CLK_IN : in std_logic; -- Clock out ports CLK_OUT : out std_logic; CLK_OUT2 : out std_logic ); end component; component hw_multiplier port ( clk : in std_logic; a : in std_logic_vector(31 downto 0); b : in std_logic_vector(31 downto 0); p : out std_logic_vector(63 downto 0) ); end component; ----------------------------------------------- -- Signals ----------------------------------------------- -- Clocks signal clk57_34 : std_logic; signal clk8_192 : std_logic; -- Reset signal reset : std_logic:= '1'; signal rst_next : std_logic:= '1'; -- External memory signal ram_byte_we : std_logic_vector(3 downto 0); signal ram_address : std_logic_vector(31 downto 0); signal ram_data_w : std_logic_vector(31 downto 0); signal ram_data_r : std_logic_vector(31 downto 0); signal ram_read : std_logic; signal ram_done : std_logic; signal ram_busy : std_logic; -- SRAM Data bus signal sram_data_i : std_logic_vector(16-1 downto 0); signal sram_data_o : std_logic_vector(16-1 downto 0); signal sram_data_out_en : std_logic; -- I/O peripheral bus signal io_address : std_logic_vector(31 downto 0); signal io_data_w : std_logic_vector(31 downto 0); signal io_data_r : std_logic_vector(31 downto 0); signal io_wr : std_logic_vector(3 downto 0); signal io_rd : std_logic; -- BootRAM interface signal bram_mem_address : std_logic_vector(31 downto 0); signal bram_mem_data_w : std_logic_vector(31 downto 0); signal bram_mem_data_r : std_logic_vector(31 downto 0); signal bram_mem_wr : std_logic_vector(3 downto 0); -- Dual port RAM (cpu-side) interface (SD-DMA) signal dpram_address1 : std_logic_vector(31 downto 0); signal dpram_data_w1 : std_logic_vector(31 downto 0); signal int_dpram_data_w1: std_logic_vector(31 downto 0); signal dpram_data_r1 : std_logic_vector(31 downto 0); signal int_dpram_data_r1: std_logic_vector(31 downto 0); signal dpram_wr1 : std_logic_vector(3 downto 0); signal int_dpram_wr1 : std_logic_vector(3 downto 0); -- IRQ Status signal intr_in : std_logic_vector(4-1 downto 0); -- SD (DMA side) Memory signal sd_mem_wr : std_logic; signal sd_mem_addr : std_logic_vector(10-1 downto 0); signal sd_mem_data_o : std_logic_vector(7 downto 0); signal sd_mem_data_i : std_logic_vector(7 downto 0); -- SD DMA Control signal sd_dma_start : std_logic; signal sd_dma_rx_only : std_logic; signal sd_dma_busy : std_logic; signal sd_dma_done : std_logic; signal sd_dma_count : std_logic_vector(31 downto 0); signal sd_dma_addr : std_logic_vector(31 downto 0); -- Audio FIFO signal fifo_wr : std_logic; signal fifo_wr_data : std_logic_vector(31 downto 0); signal fifo_rd : std_logic; signal fifo_rd_data : std_logic_vector(31 downto 0); signal fifo_empty : std_logic; signal fifo_full : std_logic; signal fifo_count : std_logic_vector(9 downto 0); signal fifo_underun : std_logic_vector(15 downto 0); signal fifo_i2s_ur : std_logic; signal fifo_low_thresh : std_logic_vector(9 downto 0); signal fifo_low_intr : std_logic; -- Multiplier signal mult_in_a : std_logic_vector(31 downto 0); signal mult_in_b : std_logic_vector(31 downto 0); signal mult_p : std_logic_vector(63 downto 0); -- RAM timing control signal ram_timing : std_logic_vector(31 downto 0); ----------------------------------------------- -- I/O Register Map ----------------------------------------------- constant GPIO_OUT : std_logic_vector(7 downto 0) := X"30"; constant SPI_DMA_CTRL : std_logic_vector(7 downto 0) := X"40"; constant SPI_DMA_STAT : std_logic_vector(7 downto 0) := X"40"; constant SPI_DMA_ADDR : std_logic_vector(7 downto 0) := X"44"; constant MULT_A : std_logic_vector(7 downto 0) := X"60"; constant MULT_HI : std_logic_vector(7 downto 0) := X"60"; constant MULT_B : std_logic_vector(7 downto 0) := X"64"; constant MULT_LO : std_logic_vector(7 downto 0) := X"64"; constant AUDIO_FIFO_WRITE : std_logic_vector(7 downto 0) := X"70"; constant AUDIO_FIFO_UNDERUN : std_logic_vector(7 downto 0) := X"70"; constant AUDIO_FIFO_THRESH : std_logic_vector(7 downto 0) := X"74"; constant AUDIO_FIFO_STATUS : std_logic_vector(7 downto 0) := X"74"; constant SRAM_TIMING : std_logic_vector(7 downto 0) := X"E0"; begin ----------------------------------------------- -- Instantiation ----------------------------------------------- U0_DCM: clk_dcm_pll generic map ( CLK_MULTIPLY => (CLK_KHZ / OSC_KHZ) ) port map ( CLK_IN => clk, CLK_OUT => clk57_34, CLK_OUT2 => clk8_192 ); U1_RAM: ram generic map ( block_count => 7 ) -- 56KB block RAM port map ( clka_i => clk57_34, ena_i => '1', wea_i => bram_mem_wr, addra_i => bram_mem_address(31 downto 2), dataa_i => bram_mem_data_w, dataa_o => bram_mem_data_r, clkb_i => clk57_34, enb_i => '1', web_i => "0000", addrb_i => (others=>'0'), datab_i => (others=>'0'), datab_o => open ); -- CPU SOC U1_CPU: mpx_soc generic map ( CLK_KHZ => CLK_KHZ, UART_BAUD => 115200, EXTERNAL_INTERRUPTS => 4 ) port map ( -- General - clocking & reset clk_i => clk57_34, rst_i => reset, en_i => '1', ext_intr_i => intr_in, fault_o => open, -- UART uart_tx_o => rx, uart_rx_i => tx, -- BootRAM int_mem_addr_o => bram_mem_address, int_mem_data_o => bram_mem_data_w, int_mem_data_i => bram_mem_data_r, int_mem_wr_o => bram_mem_wr, int_mem_rd_o => open, -- External Memory ext_mem_addr_o => ram_address, ext_mem_data_o => ram_data_w, ext_mem_data_i => ram_data_r, ext_mem_wr_o => ram_byte_we, ext_mem_rd_o => ram_read, ext_mem_pause_i => ram_busy, -- External IO ext_io_addr_o => io_address, ext_io_data_o => io_data_w, ext_io_data_i => io_data_r, ext_io_wr_o => io_wr, ext_io_rd_o => io_rd, ext_io_pause_i => io_rd, -- External Shared / DP-RAM ext_dpram_addr_o => dpram_address1, ext_dpram_data_o => dpram_data_w1, ext_dpram_data_i => dpram_data_r1, ext_dpram_wr_o => dpram_wr1, ext_dpram_rd_o => open, ext_dpram_pause_i => '0', -- SPI Flash flash_cs_o => flash_cs, flash_si_o => flash_si, flash_so_i => flash_so, flash_sck_o => flash_sck, -- Debug Register Access dbg_reg_addr_i => "000000000", dbg_reg_out_o => open, dbg_pc_o => open, -- Debug UART Output dbg_uart_data_o => open, dbg_uart_wr_o => open ); -- External SRAM (256x16) interface U2_EXTMEM: asram16_if generic map ( EXT_ADDR_WIDTH => 18 ) port map ( -- Clocking / Reset clk_i => clk57_34, rst_i => reset, -- Timing control register timing_ctrl_i => ram_timing, -- Asynchronous SRAM interface sram_address_o => sram1_addr, sram_data_o => sram_data_o, sram_data_i => sram_data_i, sram_oe_o => sram1_oe, sram_cs_o => sram1_ce, sram_we_o => sram1_we, sram_be_o(0) => sram1_lb, sram_be_o(1) => sram1_ub, sram_dir_out_o => sram_data_out_en, -- Internal access address_i => ram_address, data_i => ram_data_w, data_o => ram_data_r, rd_i => ram_read, wr_i => ram_byte_we, busy_o => ram_busy ); -- SD DMA memory (port A = 32-bit, port B = 8-bit) U4_DPRAM: dpram_4_1_xc6 port map ( -- Port A clka => clk57_34, wea => int_dpram_wr1, addra => dpram_address1(10-1 downto 2), dina => int_dpram_data_w1, douta => int_dpram_data_r1, -- Port B clkb => clk57_34, web(0) => sd_mem_wr, addrb => sd_mem_addr(10-1 downto 0), dinb => sd_mem_data_i, doutb => sd_mem_data_o ); -- Endian swap int_dpram_data_w1 <= dpram_data_w1(7 downto 0) & dpram_data_w1(15 downto 8) & dpram_data_w1(23 downto 16) & dpram_data_w1(31 downto 24); dpram_data_r1 <= int_dpram_data_r1(7 downto 0) & int_dpram_data_r1(15 downto 8) & int_dpram_data_r1(23 downto 16) & int_dpram_data_r1(31 downto 24); int_dpram_wr1(3 downto 0) <= dpram_wr1(0) & dpram_wr1(1) & dpram_wr1(2) & dpram_wr1(3); -- SD Card SPI Master DMA Interface U3_SPI_DMA: spi_dma_ext generic map ( MEM_ADDR_WIDTH => 10, XFER_COUNT_WIDTH => 32, TRANSFER_WIDTH => 8, SPI_CLK_DIV => (CLK_KHZ / SD_CLK_KHZ) ) port map ( -- General clk_i => clk57_34, rst_i => reset, -- Memory interface mem_address_o => sd_mem_addr, mem_data_o => sd_mem_data_i, mem_data_i => sd_mem_data_o, mem_rd_o => open, mem_wr_o => sd_mem_wr, -- SPI spi_clk_o => sd_clk, spi_ss_o => open, spi_mosi_o => sd_di, spi_miso_i => sd_do, -- Control xfer_count_i => sd_dma_count, xfer_address_i => sd_dma_addr(10-1 downto 0), xfer_start_i => sd_dma_start, xfer_rx_only_i => sd_dma_rx_only, xfer_done_o => sd_dma_done, xfer_busy_o => sd_dma_busy ); -- 8.192MHz clock / 6 -> 42666Hz U5_I2S: i2s generic map ( CLK_DIVISOR => 6 ) port map ( -- General clk_i => clk8_192, rst_i => reset, -- Audio PCM input (2x16-bit BE signed data) pcm_data_i => fifo_rd_data, pcm_fifo_empty_i => fifo_empty, pcm_fifo_rd_o => fifo_rd, pcm_fifo_ur_o => fifo_i2s_ur, -- I2S output ws_o => dac_lrc, bclk_o => dac_bck, data_o => dac_din ); -- Audio FIFO (input side clk 57MHz, output side 8.192MHz) U7_FIFO: fifo32 port map ( -- Clock & Reset (system clock) wr_clk => clk57_34, rst => reset, -- In wr_en => fifo_wr, din => fifo_wr_data, -- Out rd_clk => clk8_192, rd_en => fifo_rd, dout => fifo_rd_data, -- Status empty => fifo_empty, full => fifo_full, wr_data_count => fifo_count ); -- Xilinx 32x32 multiplier (64-bit result) U8_MULT: hw_multiplier port map ( clk => clk57_34, a => mult_in_a, b => mult_in_b, p => mult_p ); ----------------------------------------------- -- Implementation ----------------------------------------------- -- Reset Generator process (clk57_34) begin if (rising_edge(clk57_34)) then if (rst_next = '0') then reset <= '0'; else rst_next <= '0'; end if; end if; end process; ----------------------------------------------- -- IO memory space WRITE handler ----------------------------------------------- process (reset,clk57_34) begin if (reset = '1') then sd_cs <= '0'; -- SD sd_dma_start <= '0'; sd_dma_rx_only <= '0'; sd_dma_count <= (others=>'0'); sd_dma_addr <= (others=>'0'); -- Audio FIFO fifo_wr <= '0'; fifo_wr_data <= (others=>'0'); fifo_low_thresh <= (others=>'0'); fifo_low_intr <= '0'; -- Multiplier mult_in_a <= (others=>'0'); mult_in_b <= (others=>'0'); -- Default (slow) SRAM timing ram_timing <= X"00000777"; elsif (rising_edge(clk57_34)) then -- SD sd_dma_start <= '0'; -- Audio FIFO fifo_wr <= '0'; -- FIFO below threshold? if (fifo_count < fifo_low_thresh) then fifo_low_intr <= '1'; else fifo_low_intr <= '0'; end if; -- IO Write Cycle if (io_wr /= "0000") then case io_address(7 downto 0) is when GPIO_OUT => sd_cs <= io_data_w(8); when SPI_DMA_CTRL => sd_dma_count <= io_data_w; sd_dma_start <= '1'; when SPI_DMA_ADDR => sd_dma_addr <= "0" & io_data_w(30 downto 0); sd_dma_rx_only <= io_data_w(31); when MULT_A => mult_in_a <= io_data_w; when MULT_B => mult_in_b <= io_data_w; when AUDIO_FIFO_WRITE => fifo_wr_data <= io_data_w; fifo_wr <= '1'; when AUDIO_FIFO_THRESH => fifo_low_thresh <= io_data_w(9 downto 0); when SRAM_TIMING => ram_timing <= io_data_w; when others => end case; end if; end if; end process; ----------------------------------------------- -- IO memory space READ handler ----------------------------------------------- process (reset,clk57_34) begin if (reset = '1') then io_data_r <= X"00000000"; fifo_underun <= (others=>'0'); elsif (rising_edge(clk57_34)) then -- Audio buffer under-run detected? if (fifo_i2s_ur = '1' and fifo_underun /= X"1111") then fifo_underun <= fifo_underun + "1"; end if; -- Read cycle? if (io_rd = '1') then case io_address(7 downto 0) is when SPI_DMA_STAT => io_data_r <= X"000000" & "0000000" & sd_dma_busy; when MULT_HI => io_data_r <= mult_p(63 downto 32); when MULT_LO => io_data_r <= mult_p(31 downto 0); when AUDIO_FIFO_UNDERUN => io_data_r <= X"0000" & fifo_underun; fifo_underun <= (others=>'0'); when AUDIO_FIFO_STATUS => io_data_r <= X"000" & "00" & fifo_count & "00000" & fifo_low_intr & fifo_full & fifo_empty; when others => io_data_r <= X"00000000"; end case; end if; end if; end process; ----------------------------------------------- -- Combinatorial ----------------------------------------------- intr_in <= '0' & fifo_low_intr & '0' & sd_dma_done; ----------------------------------------------- -- External Interface ----------------------------------------------- -- SRAM Bi-direction data bus sram1_io(0) <= sram_data_o(0) when sram_data_out_en = '1' else 'Z'; sram1_io(1) <= sram_data_o(1) when sram_data_out_en = '1' else 'Z'; sram1_io(2) <= sram_data_o(2) when sram_data_out_en = '1' else 'Z'; sram1_io(3) <= sram_data_o(3) when sram_data_out_en = '1' else 'Z'; sram1_io(4) <= sram_data_o(4) when sram_data_out_en = '1' else 'Z'; sram1_io(5) <= sram_data_o(5) when sram_data_out_en = '1' else 'Z'; sram1_io(6) <= sram_data_o(6) when sram_data_out_en = '1' else 'Z'; sram1_io(7) <= sram_data_o(7) when sram_data_out_en = '1' else 'Z'; sram1_io(8) <= sram_data_o(8) when sram_data_out_en = '1' else 'Z'; sram1_io(9) <= sram_data_o(9) when sram_data_out_en = '1' else 'Z'; sram1_io(10) <= sram_data_o(10) when sram_data_out_en = '1' else 'Z'; sram1_io(11) <= sram_data_o(11) when sram_data_out_en = '1' else 'Z'; sram1_io(12) <= sram_data_o(12) when sram_data_out_en = '1' else 'Z'; sram1_io(13) <= sram_data_o(13) when sram_data_out_en = '1' else 'Z'; sram1_io(14) <= sram_data_o(14) when sram_data_out_en = '1' else 'Z'; sram1_io(15) <= sram_data_o(15) when sram_data_out_en = '1' else 'Z'; sram_data_i(0) <= sram1_io(0); sram_data_i(1) <= sram1_io(1); sram_data_i(2) <= sram1_io(2); sram_data_i(3) <= sram1_io(3); sram_data_i(4) <= sram1_io(4); sram_data_i(5) <= sram1_io(5); sram_data_i(6) <= sram1_io(6); sram_data_i(7) <= sram1_io(7); sram_data_i(8) <= sram1_io(8); sram_data_i(9) <= sram1_io(9); sram_data_i(10) <= sram1_io(10); sram_data_i(11) <= sram1_io(11); sram_data_i(12) <= sram1_io(12); sram_data_i(13) <= sram1_io(13); sram_data_i(14) <= sram1_io(14); sram_data_i(15) <= sram1_io(15); -- UEXT connector uext(3) <= '0'; uext(4) <= '0'; uext(5) <= '0'; uext(6) <= '0'; uext(7) <= '0'; uext(8) <= '0'; uext(9) <= '0'; uext(10) <= '0'; -- DAC MCLK (8.192MHz) dac_mclk <= clk8_192; end Behavioral;
mit
aleksandar-mitrevski/hw_sw
up_down_counter/testbench.vhd
1
1337
Library IEEE; Use IEEE.std_logic_1164.All; Use IEEE.std_logic_unsigned.All; Entity testbench Is End testbench; Architecture tb_upDownCounter Of testbench Is Signal clk : STD_LOGIC := '1'; Signal inputSwitch : STD_LOGIC := '0'; Signal led0 : STD_LOGIC; Signal led1 : STD_LOGIC; Signal led2 : STD_LOGIC; Signal led3 : STD_LOGIC; Signal counter : integer range 0 to 4; Signal clockCounter : integer range 0 to 50000000; Constant twenty_five_nsec : time := 25 ns; Component upDownCounter Port ( clk: in STD_LOGIC; inputSwitch : in STD_LOGIC; led0 : out STD_LOGIC; led1 : out STD_LOGIC; led2 : out STD_LOGIC; led3 : out STD_LOGIC; counter : inout integer range 0 to 4; clockCounter : inout integer range 0 to 5); End Component upDownCounter; Begin upDownCounter1 : upDownCounter Port Map ( inputSwitch => inputSwitch, clk => clk, led0 => led0, led1 => led1, led2 => led2, led3 => led3, counter => counter, clockCounter => clockCounter); create_twenty_Mhz: Process Begin Wait For twenty_five_nsec; clk <= NOT clk; End Process; inputSwitch <= '1' After 500 ns, '0' After 1500 ns; End tb_upDownCounter;
mit
Reiuiji/VHDL-Emporium
VHDL/Memory/TB_RAM_8x24.vhd
1
2500
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 19:50:59 03/16/2014 -- Design Name: -- Module Name: TB_DUAL_RAM - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE ieee.std_logic_unsigned.all; USE ieee.numeric_std.ALL; use work.UMDRISC_pkg.ALL; entity TB_RAM_8x24 is end TB_RAM_8x24; architecture Behavioral of TB_RAM_8x24 is component RAM_8x24 is generic( RAM_WIDTH: integer:=8; -- 00 - FF choice DATA_WIDTH: integer:=24 ); port( CLOCK : in std_logic; WE : in std_logic; --RESETN : in std_logic; --OUTPUT RAM OUT_ADDR : in std_logic_vector(RAM_WIDTH-1 downto 0); OUT_DATA : out std_logic_vector(DATA_WIDTH-1 downto 0); --INPUT RAM IN_ADDR : in std_logic_vector(RAM_WIDTH-1 downto 0); IN_DATA : in std_logic_vector(DATA_WIDTH-1 downto 0) ); end component; CONSTANT RAM_WIDTH:integer:=8; signal CLOCK : STD_LOGIC := '0'; signal WE : STD_LOGIC := '0'; signal IN_ADDR : std_logic_vector(RAM_WIDTH-1 downto 0); signal IN_DATA : std_logic_vector(DATA_WIDTH-1 downto 0); signal OUT_ADDR : std_logic_vector(RAM_WIDTH-1 downto 0); signal OUT_DATA : std_logic_vector(DATA_WIDTH-1 downto 0); constant period : time := 10 ns; begin -- 15 24bit General purpose register Reg1: RAM_8x24 port map( CLOCK => Clock, WE => WE, IN_ADDR => IN_ADDR, IN_DATA => IN_DATA, OUT_ADDR => OUT_ADDR, OUT_DATA => OUT_DATA ); m50MHZ_Clock: process begin CLOCK <= '0'; wait for period; CLOCK <= '1'; wait for period; end process m50MHZ_Clock; tb : process begin -- Wait 100 ns for global reset to finish wait for 5*period; report "Starting [name] Test Bench" severity NOTE; ----- Unit Test ----- IN_ADDR <= (others => '0'); OUT_ADDR <= (others => '0'); IN_DATA <= x"FFFFFF";wait for 2*period; --Enabling the register WE <= '1'; wait for 2*period; WE <= '0'; IN_ADDR <= x"01"; WE <= '1'; wait for 2*period; WE <= '0'; IN_DATA <= x"333333"; wait for 50*period; IN_ADDR <= x"01"; WE <= '1'; wait for 2*period; WE <= '0'; OUT_ADDR <= X"01"; wait for 2*period; OUT_ADDR <= X"02"; end process; end Behavioral;
mit
fabianz66/cursos-tec
taller-digital/Proyecto Final/CON SOLO NCO/tec-drums/ipcore_dir/sounds_mem/example_design/sounds_mem_prod.vhd
2
9916
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7.1 Core - Top-level wrapper -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006-2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -------------------------------------------------------------------------------- -- -- Filename: sounds_mem_prod.vhd -- -- Description: -- This is the top-level BMG wrapper (over BMG core). -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: August 31, 2005 - First Release -------------------------------------------------------------------------------- -- -- Configured Core Parameter Values: -- (Refer to the SIM Parameters table in the datasheet for more information on -- the these parameters.) -- C_FAMILY : spartan6 -- C_XDEVICEFAMILY : spartan6 -- C_INTERFACE_TYPE : 0 -- C_ENABLE_32BIT_ADDRESS : 0 -- C_AXI_TYPE : 1 -- C_AXI_SLAVE_TYPE : 0 -- C_AXI_ID_WIDTH : 4 -- C_MEM_TYPE : 3 -- C_BYTE_SIZE : 9 -- C_ALGORITHM : 1 -- C_PRIM_TYPE : 1 -- C_LOAD_INIT_FILE : 1 -- C_INIT_FILE_NAME : sounds_mem.mif -- C_USE_DEFAULT_DATA : 0 -- C_DEFAULT_DATA : 0 -- C_RST_TYPE : SYNC -- C_HAS_RSTA : 0 -- C_RST_PRIORITY_A : CE -- C_RSTRAM_A : 0 -- C_INITA_VAL : 0 -- C_HAS_ENA : 0 -- C_HAS_REGCEA : 0 -- C_USE_BYTE_WEA : 0 -- C_WEA_WIDTH : 1 -- C_WRITE_MODE_A : WRITE_FIRST -- C_WRITE_WIDTH_A : 32 -- C_READ_WIDTH_A : 32 -- C_WRITE_DEPTH_A : 16 -- C_READ_DEPTH_A : 16 -- C_ADDRA_WIDTH : 4 -- C_HAS_RSTB : 0 -- C_RST_PRIORITY_B : CE -- C_RSTRAM_B : 0 -- C_INITB_VAL : 0 -- C_HAS_ENB : 0 -- C_HAS_REGCEB : 0 -- C_USE_BYTE_WEB : 0 -- C_WEB_WIDTH : 1 -- C_WRITE_MODE_B : WRITE_FIRST -- C_WRITE_WIDTH_B : 32 -- C_READ_WIDTH_B : 32 -- C_WRITE_DEPTH_B : 16 -- C_READ_DEPTH_B : 16 -- C_ADDRB_WIDTH : 4 -- C_HAS_MEM_OUTPUT_REGS_A : 0 -- C_HAS_MEM_OUTPUT_REGS_B : 0 -- C_HAS_MUX_OUTPUT_REGS_A : 0 -- C_HAS_MUX_OUTPUT_REGS_B : 0 -- C_HAS_SOFTECC_INPUT_REGS_A : 0 -- C_HAS_SOFTECC_OUTPUT_REGS_B : 0 -- C_MUX_PIPELINE_STAGES : 0 -- C_USE_ECC : 0 -- C_USE_SOFTECC : 0 -- C_HAS_INJECTERR : 0 -- C_SIM_COLLISION_CHECK : ALL -- C_COMMON_CLK : 0 -- C_DISABLE_WARN_BHV_COLL : 0 -- C_DISABLE_WARN_BHV_RANGE : 0 -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY UNISIM; USE UNISIM.VCOMPONENTS.ALL; -------------------------------------------------------------------------------- -- Entity Declaration -------------------------------------------------------------------------------- ENTITY sounds_mem_prod IS PORT ( --Port A CLKA : IN STD_LOGIC; RSTA : IN STD_LOGIC; --opt port ENA : IN STD_LOGIC; --optional port REGCEA : IN STD_LOGIC; --optional port WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0); ADDRA : IN STD_LOGIC_VECTOR(3 DOWNTO 0); DINA : IN STD_LOGIC_VECTOR(31 DOWNTO 0); DOUTA : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); --Port B CLKB : IN STD_LOGIC; RSTB : IN STD_LOGIC; --opt port ENB : IN STD_LOGIC; --optional port REGCEB : IN STD_LOGIC; --optional port WEB : IN STD_LOGIC_VECTOR(0 DOWNTO 0); ADDRB : IN STD_LOGIC_VECTOR(3 DOWNTO 0); DINB : IN STD_LOGIC_VECTOR(31 DOWNTO 0); DOUTB : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); --ECC INJECTSBITERR : IN STD_LOGIC; --optional port INJECTDBITERR : IN STD_LOGIC; --optional port SBITERR : OUT STD_LOGIC; --optional port DBITERR : OUT STD_LOGIC; --optional port RDADDRECC : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); --optional port -- AXI BMG Input and Output Port Declarations -- AXI Global Signals S_ACLK : IN STD_LOGIC; S_AXI_AWID : IN STD_LOGIC_VECTOR(3 DOWNTO 0); S_AXI_AWADDR : IN STD_LOGIC_VECTOR(31 DOWNTO 0); S_AXI_AWLEN : IN STD_LOGIC_VECTOR(7 DOWNTO 0); S_AXI_AWSIZE : IN STD_LOGIC_VECTOR(2 DOWNTO 0); S_AXI_AWBURST : IN STD_LOGIC_VECTOR(1 DOWNTO 0); S_AXI_AWVALID : IN STD_LOGIC; S_AXI_AWREADY : OUT STD_LOGIC; S_AXI_WDATA : IN STD_LOGIC_VECTOR(31 DOWNTO 0); S_AXI_WSTRB : IN STD_LOGIC_VECTOR(0 DOWNTO 0); S_AXI_WLAST : IN STD_LOGIC; S_AXI_WVALID : IN STD_LOGIC; S_AXI_WREADY : OUT STD_LOGIC; S_AXI_BID : OUT STD_LOGIC_VECTOR(3 DOWNTO 0):= (OTHERS => '0'); S_AXI_BRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); S_AXI_BVALID : OUT STD_LOGIC; S_AXI_BREADY : IN STD_LOGIC; -- AXI Full/Lite Slave Read (Write side) S_AXI_ARID : IN STD_LOGIC_VECTOR(3 DOWNTO 0); S_AXI_ARADDR : IN STD_LOGIC_VECTOR(31 DOWNTO 0); S_AXI_ARLEN : IN STD_LOGIC_VECTOR(7 DOWNTO 0); S_AXI_ARSIZE : IN STD_LOGIC_VECTOR(2 DOWNTO 0); S_AXI_ARBURST : IN STD_LOGIC_VECTOR(1 DOWNTO 0); S_AXI_ARVALID : IN STD_LOGIC; S_AXI_ARREADY : OUT STD_LOGIC; S_AXI_RID : OUT STD_LOGIC_VECTOR(3 DOWNTO 0):= (OTHERS => '0'); S_AXI_RDATA : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); S_AXI_RRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); S_AXI_RLAST : OUT STD_LOGIC; S_AXI_RVALID : OUT STD_LOGIC; S_AXI_RREADY : IN STD_LOGIC; -- AXI Full/Lite Sideband Signals S_AXI_INJECTSBITERR : IN STD_LOGIC; S_AXI_INJECTDBITERR : IN STD_LOGIC; S_AXI_SBITERR : OUT STD_LOGIC; S_AXI_DBITERR : OUT STD_LOGIC; S_AXI_RDADDRECC : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); S_ARESETN : IN STD_LOGIC ); END sounds_mem_prod; ARCHITECTURE xilinx OF sounds_mem_prod IS COMPONENT sounds_mem_exdes IS PORT ( --Port A ADDRA : IN STD_LOGIC_VECTOR(3 DOWNTO 0); DOUTA : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); CLKA : IN STD_LOGIC ); END COMPONENT; BEGIN bmg0 : sounds_mem_exdes PORT MAP ( --Port A ADDRA => ADDRA, DOUTA => DOUTA, CLKA => CLKA ); END xilinx;
mit
Reiuiji/VHDL-Emporium
VHDL/VGA Read - Write/scan_to_hex.vhd
1
3690
-------------------------------------------------------------------------------- -- Company: UMD ECE -- Engineers: Benjamin Doiron, Daniel Noyes -- -- Create Date: 12:35:25 03/26/2014 -- Design Name: Scan to Hex -- Module Name: scan_to_hex -- Project Name: Risc Machine Project 1 -- Target Device: Spartan 3E Board -- Tool versions: Xilinx 14.7 -- Description: This code takes in keystrokes and places them in a buffer. -- The buffer is then read into a translator, changing it from scancode to hex values. -- The hex values are then sent to the FPU once the enter key is pressed. -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: N/A -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; use ieee.numeric_std.all; entity scan_to_hex is Port ( Send : in STD_LOGIC; -- tells when input signal happens Resetn : in STD_LOGIC; scancode : in STD_LOGIC_VECTOR (7 downto 0); -- The input code output : out STD_LOGIC_VECTOR (23 downto 0); -- The output code outCount : out STD_LOGIC_VECTOR (3 downto 0); hexdebug : out STD_LOGIC_VECTOR (3 downto 0); outbufdebug: out STD_LOGIC_VECTOR (63 downto 0) ); end scan_to_hex; architecture Behavioral of scan_to_hex is --signal Counter : STD_LOGIC_VECTOR(3 downto 0):= (others => '0'); -- range (0 to 15); signal Counter : integer range 0 to 15; signal Hex : STD_LOGIC_VECTOR(3 downto 0); signal OutB : STD_LOGIC_VECTOR(23 downto 0); type Buff_type is array (0 to 15) of std_logic_vector (3 downto 0); signal Buff: Buff_type; begin outbufdebug(63 downto 60) <= Buff(0); outbufdebug(59 downto 56) <= Buff(1); outbufdebug(55 downto 52) <= Buff(2); outbufdebug(51 downto 48) <= Buff(3); outbufdebug(47 downto 44) <= Buff(4); outbufdebug(43 downto 40) <= Buff(5); outbufdebug(39 downto 36) <= Buff(6); outbufdebug(35 downto 32) <= Buff(7); outbufdebug(31 downto 28) <= Buff(8); outbufdebug(27 downto 24) <= Buff(9); outbufdebug(23 downto 20) <= Buff(10); outbufdebug(19 downto 16) <= Buff(11); outbufdebug(15 downto 12) <= Buff(12); outbufdebug(11 downto 8) <= Buff(13); outbufdebug(7 downto 4) <= Buff(14); outbufdebug(3 downto 0) <= Buff(15); outCount <= conv_std_logic_vector(counter, 4); with scancode select Hex<=x"0" when x"45",--0 x"1" when x"16",--1 x"2" when x"1e",--2 x"3" when x"26",--3 x"4" when x"25",--4 x"5" when x"2e",--5 x"6" when x"36",--6 x"7" when x"3d",--7 x"8" when x"3e",--8 x"9" when x"46",--9 x"A" when x"1c",--a x"B" when x"32",--b x"C" when x"21",--c x"D" when x"23",--d x"E" when x"24",--e x"F" when x"2b",--f "ZZZZ" when others; Hexdebug <= Hex; output <= OutB; process(Send) begin if Resetn = '0' then OutB <= (others => '0'); Buff <= (others => (others =>'0')); else -- Keyboard Complete Signal if send'event and Send = '0' then if scancode = X"5A" then -- Enter Key OutB(23 downto 20) <= buff(counter - 6); OutB(19 downto 16) <= buff(counter - 5); OutB(15 downto 12) <= buff(counter - 4); OutB(11 downto 8) <= buff(counter - 3); OutB(7 downto 4) <= buff(counter - 2); OutB(3 downto 0) <= buff(counter - 1); else if scancode = X"66" then counter <= counter - 1; else buff(counter) <= Hex; counter <= counter + 1; end if; end if; end if; end if; end process; -- "1111111" when x"66",-- backspace : Initially 1111111, changed to 0001000 due to lab -- -- changed back, brandyn didn't have errors. -- "1111111" when x"29",--space bar -- "0000000" when others; end Behavioral;
mit
sgstair/ledsign
firmware/matrixdriver/usb_phy.vhd
1
21698
-- -- This source is released under the MIT License (MIT) -- -- Copyright (c) 2016 Stephen Stair ([email protected]) -- -- Permission is hereby granted, free of charge, to any person obtaining a copy -- of this software and associated documentation files (the "Software"), to deal -- in the Software without restriction, including without limitation the rights -- to use, copy, modify, merge, publish, distribute, sublicense, and/or sell -- copies of the Software, and to permit persons to whom the Software is -- furnished to do so, subject to the following conditions: -- -- The above copyright notice and this permission notice shall be included in all -- copies or substantial portions of the Software. -- -- THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR -- IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, -- FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE -- AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER -- LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, -- OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE -- SOFTWARE. -- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; entity usb_phy is port ( sysclk : in std_logic; rst : in std_logic; -- USB Physical interface usb_dp : inout std_logic; usb_dm : inout std_logic; -- USB Reset usb_reset : out std_logic; -- Indication that we received a reset signal usb_hold_reset : in std_logic; -- Hold reset of the lower level high until this layer says it's ok to continue. -- Transmit interface usbtx_byte : in std_logic_vector(7 downto 0); usbtx_sendbyte : in std_logic; usbtx_lastbyte : in std_logic; usbtxs_cansend : out std_logic; usbtxs_abort : out std_logic; usbtxs_sending : out std_logic; usbtxs_underrunerror : out std_logic; -- Receive interface usbrx_byte : out std_logic_vector(7 downto 0); usbrx_nextbyte : out std_logic; usbrx_packetend : out std_logic; usbrx_crcerror : out std_logic; usbrx_bitstufferror : out std_logic; usbrx_eopmissing : out std_logic; usbrx_piderror : out std_logic; usbrx_incomplete : out std_logic; usbrx_syncerror : out std_logic; usbrx_error : out std_logic ); end usb_phy; architecture a of usb_phy is signal usb_stable : std_logic; signal usb_validbit : std_logic; signal usb_se0 : std_logic; signal usb_bit : std_logic; signal usb_buffer : std_logic_vector(7 downto 0); signal usb_drivebit : std_logic; signal usb_drivese0 : std_logic; signal usb_out : std_logic; signal usbi_ringpulse : std_logic; signal usbi_ring : std_logic_vector(4 downto 0); signal usbi_ringnext : std_logic; signal usbi_rxactive : std_logic; signal usbi_txactive : std_logic; signal usbi_pid : std_logic_vector(3 downto 0); signal usbi_lastbits : std_logic_vector(5 downto 0); signal usbi_crc5 : std_logic_vector(4 downto 0); signal usbi_crc16 : std_logic_vector(15 downto 0); signal usbi_crcbit : std_logic; signal usbi_crcenable : std_logic; signal usbi_crcreset : std_logic; signal usbi_crc5ok : std_logic; signal usbi_crc16ok : std_logic; signal usbi_rxcrcvalid : std_logic; signal usbi_rxcrclastvalid : std_logic; signal usbi_crcengaged : std_logic; signal usbi_usingcrc : std_logic; signal usbi_usecrc16 : std_logic; signal usbi_bytebuffered : std_logic; signal usbi_receivedlastbyte : std_logic; signal usbi_byte : std_logic_vector(7 downto 0); signal usbi_bit : unsigned(2 downto 0); signal usbi_tempbyte : std_logic_vector(7 downto 0); signal usbi_decide : std_logic; signal usbi_decide_next : std_logic; signal usbi_rxlevel : std_logic; signal usbi_resetring : std_logic_vector(4 downto 0); signal usbi_resetcounter : unsigned(4 downto 0); signal usbi_bitstufferrordetected : std_logic; signal usbi_rxwaitforeop : std_logic; signal usbi_rxbytetemp : std_logic_vector(7 downto 0); signal usbi_rxbytevalid : std_logic; signal usbrx_ipacketend : std_logic; signal usbrx_ierror : std_logic; signal usbtxs_icansend : std_logic; signal usbrx_inextbyte : std_logic; -- The following error fields are cleared at the start of a packet, flagged immediately upon hitting them, and guaranteed to be accurate when packetend pulses. signal usbrxi_crcerror : std_logic; -- Packet was terminated early due to CRC error signal usbrxi_bitstufferror : std_logic; -- Packet was terminated early due to bit stuffing error signal usbrxi_eopmissing : std_logic; -- Packet was terminated because it was too long. signal usbrxi_piderror : std_logic; -- PID field is incorrect (compliment mismatch) - This may also lead to an incorrect CRC error from using the wrong CRC. signal usbrxi_incomplete : std_logic; -- Packet was obviously incomplete (not a multiple of 8 bits) signal usbrxi_syncerror: std_logic; -- Packet sync field was not correct. signal usbrxi_byte : std_logic_vector(7 downto 0); type usb_state is (sync, pid, content, crc1, crc2, eop ); signal usbrxstate : usb_state; signal usbtxstate : usb_state; begin usbrx_packetend <= usbrx_ipacketend; usbrx_nextbyte <= usbrx_inextbyte; usbrx_error <= usbrx_ierror; usbtxs_cansend <= usbtxs_icansend; usbrx_byte <= usbrxi_byte; -- USB front end process(sysclk, rst) variable buffer_dp : std_logic; variable buffer_dm : std_logic; variable temp : std_logic_vector(1 downto 0); begin if rst = '1' then usb_validbit <= '0'; usb_se0 <= '0'; usb_bit <= '0'; usb_buffer <= (others => '0'); usb_stable <= '0'; usb_dp <= 'Z'; usb_dm <= 'Z'; elsif sysclk'event and sysclk='1' then buffer_dp := usb_buffer(5); buffer_dm := usb_buffer(4); usb_validbit <= '0'; usb_se0 <= '0'; usb_bit <= '0'; temp(1) := buffer_dp; temp(0) := buffer_dm; -- Simulation helper if(temp(0) = 'H') then temp(0) := '1'; end if; if(temp(0) = 'L') then temp(0) := '0'; end if; if(temp(1) = 'H') then temp(1) := '1'; end if; if(temp(1) = 'L') then temp(1) := '0'; end if; case (temp) is when "00" => usb_se0 <= '1'; when "01" => usb_validbit <= '1'; usb_bit <= '0'; when "10" => usb_validbit <= '1'; usb_bit <= '1'; when "11" => when others => end case; usb_stable <= '0'; if(usb_buffer(7 downto 6) = usb_buffer(5 downto 4)) then usb_stable <= '1'; end if; if(usb_drivebit = '1') then usb_dp <= usb_out; usb_dm <= not usb_out; elsif(usb_drivese0 = '1') then usb_dp <= '0'; usb_dm <= '0'; else usb_dp <= 'Z'; usb_dm <= 'Z'; end if; usb_buffer <= usb_buffer(5 downto 0) & usb_dp & usb_dm; -- DP in 7, DM in 6 end if; end process; -- Rx/Tx. This section translates the USB interface to a byte stream, handles bit stuffing and identifies/generates CRC. -- This section makes only the most limited attempt to decode the USB packets, just provides the overall byte stream sending and receiving. -- The CRC values are checked internally and included in the data byte stream. (CRC5 vs CRC16 is determined from the PID field) -- Outgoing data automatically generates CRC5 and CRC16 depending on PID. usbrx_crcerror <= usbrxi_crcerror; usbrx_bitstufferror <= usbrxi_bitstufferror; usbrx_eopmissing <= usbrxi_eopmissing; usbrx_piderror <= usbrxi_piderror; usbrx_incomplete <= usbrxi_incomplete; usbrx_syncerror <= usbrxi_syncerror; usbrx_ierror <= usbrxi_crcerror or usbrxi_bitstufferror or usbrxi_eopmissing or usbrxi_piderror or usbrxi_incomplete or usbrxi_syncerror; -- Ring is a 5-bit shift register clock divider configurable to restart at a specific time. -- pulse usbi_ringnext to 1 to cause ring(0) to be high next cycle, and every 5th cycle after that. usbi_ringpulse <= usbi_ringnext or usbi_ring(0); process(sysclk, rst) begin if rst = '1' then usbi_ring <= (others => '0'); elsif sysclk'event and sysclk='1' then if usbi_ringnext = '1' then usbi_ring (1 downto 0) <= "10"; elsif(usbi_ring(3 downto 0) = "0000") then usbi_ring <= usbi_ring(3 downto 0) & '1'; else usbi_ring <= usbi_ring(3 downto 0) & '0'; end if; end if; end process; -- Reset detection logic process(sysclk, rst) begin if rst = '1' then usbi_resetring <= (others => '0'); usbi_resetcounter <= (others => '0'); usb_reset <= '0'; elsif sysclk'event and sysclk='1' then if(usb_se0 = '0') then usb_reset <= '0'; usbi_resetcounter <= (others => '0'); else if(usbi_resetring(0) = '1') then if usbi_resetcounter < 30 then usbi_resetcounter <= usbi_resetcounter + 1; else usb_reset <= '1'; end if; end if; end if; if(usbi_resetring(3 downto 0) = "0000") then usbi_resetring <= usbi_resetring(3 downto 0) & '1'; else usbi_resetring <= usbi_resetring(3 downto 0) & '0'; end if; end if; end process; usbtxs_sending <= usbi_txactive; usbtxs_icansend <= ((not usbi_txactive) or ((not usbi_bytebuffered) and (not usbi_receivedlastbyte))) and (not usbi_rxactive); usbi_usecrc16 <= '1' when usbi_pid(1 downto 0) = "11" else '0'; usbi_rxcrcvalid <= usbi_crc16ok when usbi_usecrc16 = '1' else usbi_crc5ok; process(sysclk, rst) variable temp_bit : std_logic; variable usbi_decide_next_2 : std_logic; begin if rst = '1' then usb_drivebit <= '0'; usb_drivese0 <= '0'; usb_out <= '0'; usbtxs_abort <= '0'; usbtxs_underrunerror <= '0'; usbrxi_byte <= (others => '0'); usbrx_inextbyte <= '0'; usbrx_ipacketend <= '0'; usbrxi_crcerror <= '0'; usbrxi_bitstufferror <= '0'; usbrxi_eopmissing <= '0'; usbrxi_piderror <= '0'; usbrxi_incomplete <= '0'; usbrxi_syncerror <= '0'; usbi_decide <= '0'; usbi_decide_next <= '0'; usbi_ringnext <= '0'; usbi_rxactive <= '0'; usbi_txactive <= '0'; usbi_pid <= (others => '0'); usbi_byte <= (others => '0'); usbi_tempbyte <= (others => '0'); usbi_bit <= (others => '0'); usbi_crcreset <= '0'; usbi_crcenable <= '0'; usbi_crcbit <= '0'; usbi_rxlevel <= '1'; usbrxstate <= sync; usbtxstate <= sync; usbi_bytebuffered <= '0'; usbi_usingcrc <= '0'; usbi_receivedlastbyte <= '0'; usbi_bitstufferrordetected <= '0'; usbi_rxbytetemp <= (others => '0'); usbi_rxbytevalid <= '0'; usbi_crcengaged <= '0'; usbi_rxwaitforeop <= '0'; elsif sysclk'event and sysclk='1' then -- set pulse-drive signals to 0 here, so they will typically only be active for the single cycle they are driven. usbtxs_abort <= '0'; usbrx_inextbyte <= '0'; usbrx_ipacketend <= '0'; usbi_ringnext <= '0'; usbi_crcenable <= '0'; usbi_crcreset <= '0'; usbi_decide_next_2 := '0'; if usb_hold_reset = '1' then -- Usb chipset will hold this signal until the software asks to reset. Ignore all traffic. -- Cancel any pending transactions. usbtxs_abort <= '1'; usbi_txactive <= '0'; usbrx_inextbyte <= '0'; usbrx_ipacketend <= '0'; usb_drivebit <= '0'; usb_drivese0 <= '0'; usb_out <= '0'; if usbi_rxactive = '1' then usbrx_inextbyte <= '1'; usbrx_ipacketend <= '1'; usbrxi_incomplete <= '1'; usbi_rxactive <= '0'; end if; elsif usbi_rxactive = '1' then if usbi_rxwaitforeop = '1' then if usb_se0 = '0' and usb_validbit = '1' and usb_bit = '1' and usb_stable = '1' then -- End condition. Assume that the higher layer will not start a response packet until the appropriate time has passed (just a few cycles away) usbi_rxactive <= '0'; end if; else if(usbi_ringpulse = '1') then -- Todo: consider flagging error if !usb_validbit, which suggests the incoming data is not stable. -- This is probably not a concern, invalid data is unlikely to pass the other checks. if(usb_se0 = '1') then -- End of packet condition, wrap up. if usbi_bit = "000" then -- Ended on an even packet boundary, good! usbrxi_crcerror <= usbi_crcengaged and (not usbi_rxcrcvalid); elsif usbi_bit = "001" then -- Ended after a single bit, this may be dribble - confirm the previous bit was a valid end point for the packet. usbrxi_crcerror <= usbi_crcengaged and (not usbi_rxcrcvalid); else -- Ended at a poor location. Flag this as an error. usbrxi_incomplete <= '1'; end if; if usbrxstate /= content then -- Ensure we have at least received PID. usbrxi_incomplete <= '1'; end if; usbrxi_byte <= usbi_rxbytetemp; usbrx_inextbyte <= '1'; usbrx_ipacketend <= '1'; usbi_rxwaitforeop <= '1'; else usbrxi_bitstufferror <= usbrxi_bitstufferror or usbi_bitstufferrordetected; usbi_bitstufferrordetected <= '0'; temp_bit := usb_bit xor usbi_rxlevel xor '1'; usbi_rxlevel <= usb_bit; if(usbi_lastbits = "111111") then if(temp_bit = '1') then usbi_bitstufferrordetected <= '1'; -- There is one specific circumstance in which this should not immediately flag an error. -- This could happen legitimiately if this is a repeat of the last bit in the packet (dribble) end if; -- Otherwise just a normally bit stuffed bit. else -- This was not a bitstuff bit, so go ahead and record it as a received bit. if(usbi_bit = "011") then usbi_crcengaged <= usbi_usingcrc; -- need to know at the end of the packet whether we were using CRC for more than a single bit time. end if; usbi_byte <= temp_bit & usbi_byte(7 downto 1); usbi_bit <= usbi_bit + 1; usbi_decide_next_2 := '1'; usbi_crcbit <= temp_bit; usbi_crcenable <= usbi_usingcrc; usbi_rxcrclastvalid <= usbi_rxcrcvalid; -- Keep track of CRC valid of the previous bit, also for dribble compensation. end if; usbi_lastbits <= usbi_lastbits(4 downto 0) & temp_bit; end if; end if; if(usbi_decide = '1') then -- Determine what to do with the newly received bit. if(usbi_bit = "000") then usbrxi_byte <= usbi_rxbytetemp; usbrx_inextbyte <= usbi_rxbytevalid; usbi_rxbytetemp <= usbi_byte; usbi_rxbytevalid <= '0'; case usbrxstate is when sync => if usbi_byte /= X"80" then usbrxi_syncerror <= '1'; end if; usbrxstate <= pid; when pid => usbi_rxbytevalid <= '1'; usbrxstate <= content; usbi_usingcrc <= '1'; usbi_pid <= usbi_byte(3 downto 0); if usbi_byte(3 downto 0) /= (not usbi_byte(7 downto 4)) then usbrxi_piderror <= '1'; end if; when content => usbi_rxbytevalid <= '1'; when others => end case; end if; end if; end if; elsif usbi_txactive = '1' then -- When TX is active, we are always sending a bit, unless EOP. if(usbi_ringpulse = '1') then -- Every 5 cycles (12MHz pulse) usb_drivese0 <= '0'; if usbi_lastbits = "111111" then -- Transmit bit stuffing, highest priority usb_out <= not usb_out; usb_drivebit <= '1'; usbi_lastbits <= usbi_lastbits(4 downto 0) & '0'; elsif usbtxstate = eop then -- Send EOP for 2 bits if usbi_bit = "010" then -- We have completed our two bits. Drive J for a cycle.. usbi_txactive <= '0'; usb_drivebit <= '1'; usb_out <= '1'; usb_drivese0 <= '0'; elsif usbi_bit = "011" then -- Finished driving J, return to idle. usb_drivebit <= '0'; usb_drivese0 <= '0'; usbi_txactive <= '0'; else usb_drivebit <= '0'; usb_drivese0 <= '1'; end if; usbi_bit <= usbi_bit + 1; else -- Send next bit in byte. usb_drivebit <= '1'; usb_out <= usbi_byte(0) xor usb_out xor '1'; -- Next bit is NRZI encoded usbi_crcbit <= usbi_byte(0); usbi_lastbits <= usbi_lastbits(4 downto 0) & usbi_byte(0); usbi_byte <= '0' & usbi_byte(7 downto 1); usbi_bit <= usbi_bit + 1; usbi_decide_next_2 := '1'; -- advance the state machine on the next cycle based on the new bit position. usbi_crcenable <= usbi_usingcrc; end if; end if; if(usbi_decide = '1') then -- Decision phase if(usbi_bit = "000") then -- Advance to next byte if(usbi_bytebuffered = '1') then usbi_byte <= usbi_tempbyte; usbi_bytebuffered <= '0'; else if(usbi_receivedlastbyte = '1') then -- Send CRC if CRC16, otherwise EOP if (usbtxstate = content or usbtxstate = pid) and usbi_usecrc16 = '1' then -- consider moving this block and above _byte logic out to a 3rd cycle, for performance reasons. -- expressions feeding to _byte and _tempbyte could become expensive with this approach. -- Capture & send CRC16 usbi_byte <= not usbi_crc16(7 downto 0); usbi_tempbyte <= not usbi_crc16(15 downto 8); usbi_bytebuffered <= '1'; usbtxstate <= crc1; else -- End packet here. usbtxstate <= eop; end if; else -- Underrun error usbtxs_underrunerror <= '1'; usbtxs_abort <= '1'; usbi_txactive <= '0'; end if; end if; case usbtxstate is when sync => usbtxstate <= pid; usbi_pid <= usbi_tempbyte(3 downto 0); -- Save PID when pid => if usbi_receivedlastbyte = '0' then usbtxstate <= content; usbi_usingcrc <= '1'; end if; when content => when crc1 => --usbtxstate <= crc2; -- not really necessary. Above logic will bring us to EOP after CRC. when crc2 => --usbtxstate <= eop; when eop => end case; end if; -- CRC5 case, if we completed the 3rd bit of the last byte, acquire crc5 and send it. if usbtxstate = content and usbi_receivedlastbyte = '1' and usbi_bytebuffered = '0' then if usbi_bit = "011" and usbi_usecrc16 = '0' then usbi_byte(4 downto 0) <= not usbi_crc5; end if; end if; end if; -- Get moar bytes if usbtx_sendbyte = '1' then -- Simple logic, just trust the upper layer to only send that the right time. usbi_bytebuffered <= '1'; usbi_tempbyte <= usbtx_byte; usbi_receivedlastbyte <= usbtx_lastbyte; end if; else -- Identify if we should start tx or rx. usbi_bit <= (others => '0'); usb_drivebit <= '0'; usb_drivese0 <= '0'; usb_out <= '1'; -- Idle state is J, differential 1. usbi_lastbits <= (others => '0'); usbi_decide <= '0'; usbi_usingcrc <= '0'; usbi_bytebuffered <= '0'; usbi_receivedlastbyte <= '0'; usbi_crcreset <= '1'; usbi_rxlevel <= '1'; usbi_rxwaitforeop <= '0'; usbi_rxbytevalid <= '0'; usbi_crcengaged <= '0'; if usb_validbit = '1' and usb_bit = '0' and usb_stable = '1' then -- Start receiving a packet -- validbit is set when the signal has been stable for 2 cycles. -- Set the ringnext flag so next cycle we will receive the first pulse, and every 5th cycle beyond. -- The 3rd cycle we receive the bit should be right in the middle of the bit time for the best conditions possible. usbi_rxactive <= '1'; usbi_ringnext <= '1'; usbrxstate <= sync; -- Clear error flags usbrxi_crcerror <= '0'; usbrxi_bitstufferror <= '0'; usbrxi_eopmissing <= '0'; usbrxi_piderror <= '0'; usbrxi_incomplete <= '0'; usbrxi_syncerror <= '0'; elsif usbtx_sendbyte = '1' then -- This should never occur when receiving a byte due to design of the layer above this one. usbi_txactive <= '1'; usbi_tempbyte <= usbtx_byte; usbi_byte <= "10000000"; -- Sync byte usbtxstate <= sync; usbi_ringnext <= '1'; -- Cause pulse next cycle. usbi_bytebuffered <= '1'; usbtxs_underrunerror <= '0'; usbi_receivedlastbyte <= usbtx_lastbyte; end if; end if; usbi_decide <= usbi_decide_next; usbi_decide_next <= usbi_decide_next_2; -- Delay decision by 2 cycles so the CRC is complete before we decide. end if; end process; usbi_crc5ok <= '1' when usbi_crc5 = "00110" else '0'; usbi_crc16ok <= '1' when usbi_crc16 = "1011000000000001" else '0'; -- usb interface crc process(sysclk, rst) begin if rst = '1' then usbi_crc5 <= (others => '1'); usbi_crc16 <= (others => '1'); elsif sysclk'event and sysclk='1' then if usbi_crcreset = '1' then -- Reset CRC values usbi_crc5 <= (others => '1'); usbi_crc16 <= (others => '1'); elsif usbi_crcenable = '1' then -- Advance CRC computation based on usbi_crcbit -- Doing this backwards from how the spec describes, in order to have the bits here easily transferred to bytes for sending. if((usbi_crc16(0) xor usbi_crcbit) = '1') then usbi_crc16 <= ('0' & usbi_crc16(15 downto 1)) xor "1010000000000001"; else usbi_crc16 <= ('0' & usbi_crc16(15 downto 1)); end if; if((usbi_crc5(0) xor usbi_crcbit) = '1') then usbi_crc5 <= ('0' & usbi_crc5(4 downto 1)) xor "10100"; else usbi_crc5 <= ('0' & usbi_crc5(4 downto 1)); end if; end if; end if; end process; end a;
mit
Reiuiji/VHDL-Emporium
VHDL/Registers/Extra/RegHold_Rising.vhd
1
1617
------------------------------------------------------------ -- Notes: -- HOLD Clocked on RISING EDGE -- OUTPUT Clocked on FALLING EDGE -- -- Revision: -- 0.01 - File Created -- 0.02 - Cleaned up Code given -- 0.03 - Incorporated a enable switch -- 0.04 - Have the register latch data on the falling -- clock cycle. -- 0.05 - Forked and added a input hold for the register -- -- Additional Comments: -- The register latches it's output data on the FALLING edge -- Hold latch on the RISING edge -- The main reason why I included a hold latch was to Prevent -- Any register transfer faults that could occur. -- Mostly acts as a safety buffer. -- ----------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; USE work.UMDRISC_pkg.ALL; ENTITY RegF_LATCH IS PORT( Clock : IN STD_LOGIC; Resetn : IN STD_LOGIC; ENABLE : IN STD_LOGIC; INPUT : IN STD_LOGIC_VECTOR(DATA_WIDTH-1 DOWNTO 0); --HOLD_OUT : OUT STD_LOGIC_VECTOR(DATA_WIDTH-1 DOWNTO 0); OUTPUT : OUT STD_LOGIC_VECTOR(DATA_WIDTH-1 DOWNTO 0) ); END RegF_LATCH; ARCHITECTURE Behavior OF RegF_LATCH IS SIGNAL HOLD : STD_LOGIC_VECTOR(DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); BEGIN --HOLD_OUT <= HOLD; PROCESS(Resetn, Clock) BEGIN IF Resetn = '0' THEN HOLD <= (OTHERS => '0'); OUTPUT <= (OTHERS => '0'); ELSIF ENABLE = '1' THEN IF Clock'EVENT AND Clock = '0' THEN OUTPUT <= HOLD; END IF; IF Clock'EVENT AND Clock = '1' THEN HOLD <= INPUT; END IF; END IF; END PROCESS; END Behavior;
mit
dgfiloso/VHDL_DSED_P3
PIC/DMA.vhd
1
5404
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 12:45:37 11/17/2016 -- Design Name: -- Module Name: DMA - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; USE work.PIC_pkg.all; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity DMA is Port ( Reset : in STD_LOGIC; Clk : in STD_LOGIC; RCVD_Data : in STD_LOGIC_VECTOR (7 downto 0); RX_Full : in STD_LOGIC; RX_Empty : in STD_LOGIC; Data_Read : out STD_LOGIC; ACK_out : in STD_LOGIC; TX_RDY : in STD_LOGIC; Valid_D : out STD_LOGIC; TX_Data : out STD_LOGIC_VECTOR (7 downto 0); Address : out STD_LOGIC_VECTOR (7 downto 0); Databus : inout STD_LOGIC_VECTOR (7 downto 0); Write_en : out STD_LOGIC; OE : out STD_LOGIC; DMA_RQ : out STD_LOGIC; DMA_ACK : in STD_LOGIC; Send_comm : in STD_LOGIC; READY : out STD_LOGIC; FF_Count : in STD_LOGIC_VECTOR(5 downto 0)); end DMA; architecture Behavioral of DMA is type State is (Idle, Tx, Wait_Buses, Rx); signal current_state, next_state : State; signal end_reception : STD_LOGIC; signal begin_tx : STD_LOGIC; component data_counter port ( clk : in std_logic; reset : in std_logic; enable : in std_logic; count : out std_logic_vector(2 downto 0)); end component; signal reset_counter : STD_LOGIC; signal enable_counter : STD_LOGIC; signal count : STD_LOGIC_VECTOR (2 downto 0); begin -- Contador de bytes a transmitir o recibir BitCounter: data_counter port map ( clk => Clk, reset => reset_counter, enable => enable_counter, count => count); clock : process (Reset, Clk) begin if Reset = '0' then current_state <= Idle; elsif clk'event and clk = '1' then current_state <= next_state; end if; end process; changes : process (clk, current_state, RX_Empty, Send_comm, DMA_ACK, end_reception, begin_tx, FF_Count) begin case current_state is when Idle => -- Cuando hay tres bytes en la fifo, tomamos los buses y los guardamos en la ram if FF_Count = "000011" then next_state <= Wait_Buses; elsif Send_comm = '1' then next_state <= Tx; else next_state <= Idle; end if; -- Reception -- when Wait_Buses => if DMA_ACK = '1' then next_state <= Rx; else next_state <= Wait_Buses; end if; when Rx => if DMA_ACK = '0' then next_state <= Idle; else next_state <= Rx; end if; -- Transmission -- when Tx => if Send_comm = '0' then next_state <= Idle; else next_State <= Tx; end if; end case; end process; outputs : process (current_state, RCVD_Data, Databus, Send_comm, count) begin Data_Read <= '0'; Valid_D <= '1'; Address <= (others => 'Z'); Databus <= (others => 'Z'); TX_Data <= (others => '0'); Write_en <= 'Z'; OE <= 'Z'; READY <= '1'; enable_counter <= '0'; reset_counter <= '1'; case current_state is -- Reception -- when Idle => DMA_RQ <= '0'; if Send_comm = '1' then READY <= not Send_comm; end if; when Wait_Buses => DMA_RQ <= '1'; when Rx => Databus <= RCVD_Data; enable_counter <= '1'; reset_counter <= '0'; case count is when "000" => Data_Read <= '1'; when "001" => Address <= DMA_RX_BUFFER_MSB; DMA_RQ <= '1'; Data_Read <= '1'; Write_en <= '1'; when "010" => Address <= DMA_RX_BUFFER_MID; DMA_RQ <= '1'; Data_Read <= '1'; Write_en <= '1'; when "011" => Address <= DMA_RX_BUFFER_LSB; DMA_RQ <= '0'; Data_Read <= '1'; Write_en <= '1'; when others => enable_counter <= '0'; DMA_RQ <= '0'; end case; --Transmission -- when Tx => Valid_D <= '0'; OE <= '0'; enable_counter <= '1'; reset_counter <= '0'; DMA_RQ <= '0'; case count is when "000" => TX_Data <= Databus; Address <= DMA_TX_BUFFER_MSB; READY <= not Send_comm; when "001" => TX_Data <= Databus; Address <= DMA_TX_BUFFER_LSB; READY <= '1'; when others => enable_counter <= '0'; end case; when others => Data_Read <= '0'; Valid_D <= '1'; Address <= (others => 'Z'); Databus <= (others => 'Z'); TX_Data <= (others => '0'); Write_en <= 'Z'; OE <= 'Z'; DMA_RQ <= '0'; READY <= '1'; enable_counter <= '0'; reset_counter <= '1'; end case; end process; end Behavioral;
mit
jayvalentine/vhdl-risc-processor
mux_2_32_bit.vhd
1
1018
-- 2-input 32-bit multiplexer -- this circuit takes 2 32-bit inputs and selects one to output based on a select signal -- all code (c) copyright 2016 Jay Valentine, released under the MIT license library IEEE; use IEEE.STD_LOGIC_1164.all; entity mux_2_32_bit is port ( -- inputs in_32_0 : in std_logic_vector(31 downto 0); in_32_1 : in std_logic_vector(31 downto 0); -- select signal input_select : in std_logic; -- output out_32 : out std_logic_vector(31 downto 0) ); end entity mux_2_32_bit; architecture mux_2_32_bit_arch of mux_2_32_bit is -- this circuit requires no internal signals begin -- design implementation mux : process(input_select, in_32_0, in_32_1) begin -- select 0 is input 0 if input_select = '0' then out_32 <= in_32_0; -- select 1 is input 1 elsif input_select = '1' then out_32 <= in_32_1; -- otherwise invalid input signal, output 0 else out_32 <= (others => '0'); end if; end process mux; end architecture mux_2_32_bit_arch;
mit
euryecetelecom/euryspace
hw/rtl/ccsds_rxtx/ccsds_tx_framer.vhd
1
16155
------------------------------- ---- Project: EurySPACE CCSDS RX/TX with wishbone interface ---- Design Name: ccsds_tx_framer ---- Version: 1.0.0 ---- Description: ---- Implementation of standard CCSDS 132.0-B-2 ------------------------------- ---- Author(s): ---- Guillaume REMBERT ------------------------------- ---- Licence: ---- MIT ------------------------------- ---- Changes list: ---- 2016/02/27: initial release ---- 2016/10/20: rework ---- 2016/10/24: multiple footers generation to ensure higher speed than input max data rate (CCSDS_TX_FRAMER_DATA_BUS_SIZE*CLK_FREQ bits/sec) ---- 2016/10/31: ressources optimization ---- 2016/11/03: add only idle data insertion ------------------------------- --TODO: trailer as option --HEADER (6 up to 70 bytes) / before data / f(idle) --TRANSFER FRAME DATA FIELD => Variable --TRAILER (2 up to 6 bytes) / after data / f(data, header) -- libraries used library ieee; use ieee.std_logic_1164.all; --============================================================================= -- Entity declaration for ccsds_tx / unitary tx framer inputs and outputs --============================================================================= entity ccsds_tx_framer is generic( constant CCSDS_TX_FRAMER_DATA_BUS_SIZE: integer; -- in bits constant CCSDS_TX_FRAMER_DATA_LENGTH: integer; -- in Bytes constant CCSDS_TX_FRAMER_FOOTER_LENGTH: integer; -- in Bytes constant CCSDS_TX_FRAMER_HEADER_LENGTH: integer; -- in Bytes constant CCSDS_TX_FRAMER_PARALLELISM_MAX_RATIO: integer := 16 -- activated max framer parallelism speed ratio / 1 = full speed / 2 = wishbone bus non-pipelined write max speed / ... / CCSDS_TX_FRAMER_DATA_BUS_SIZE = external serial data ); port( -- inputs clk_i: in std_logic; dat_i: in std_logic_vector(CCSDS_TX_FRAMER_DATA_BUS_SIZE-1 downto 0); dat_val_i: in std_logic; rst_i: in std_logic; -- outputs dat_o: out std_logic_vector((CCSDS_TX_FRAMER_HEADER_LENGTH+CCSDS_TX_FRAMER_FOOTER_LENGTH+CCSDS_TX_FRAMER_DATA_LENGTH)*8-1 downto 0); dat_nxt_o: out std_logic; dat_val_o: out std_logic; idl_o: out std_logic ); end ccsds_tx_framer; --============================================================================= -- architecture declaration / internal components and connections --============================================================================= architecture structure of ccsds_tx_framer is component ccsds_tx_header is generic( constant CCSDS_TX_HEADER_LENGTH: integer ); port( clk_i: in std_logic; idl_i: in std_logic; nxt_i: in std_logic; rst_i: in std_logic; dat_o: out std_logic_vector(CCSDS_TX_HEADER_LENGTH*8-1 downto 0); dat_val_o: out std_logic ); end component; component ccsds_tx_footer is generic( constant CCSDS_TX_FOOTER_DATA_LENGTH : integer; constant CCSDS_TX_FOOTER_LENGTH: integer ); port( clk_i: in std_logic; rst_i: in std_logic; nxt_i: in std_logic; bus_o: out std_logic; dat_i: in std_logic_vector(CCSDS_TX_FOOTER_DATA_LENGTH*8-1 downto 0); dat_o: out std_logic_vector((CCSDS_TX_FOOTER_LENGTH+CCSDS_TX_FOOTER_DATA_LENGTH)*8-1 downto 0); dat_val_o: out std_logic ); end component; -- internal constants constant CCSDS_TX_FRAMER_FOOTER_NUMBER : integer := CCSDS_TX_FRAMER_DATA_BUS_SIZE*((CCSDS_TX_FRAMER_HEADER_LENGTH+CCSDS_TX_FRAMER_DATA_LENGTH+CCSDS_TX_FRAMER_FOOTER_LENGTH)*8+1)/(CCSDS_TX_FRAMER_DATA_LENGTH*8*CCSDS_TX_FRAMER_PARALLELISM_MAX_RATIO)+1; -- 8*(HEAD+DATA+FOOT+1) clks / crc ; BUS bits / parallelism * clk ; DATA*8 bits / footer constant CCSDS_TX_FRAMER_OID_PATTERN: std_logic_vector(CCSDS_TX_FRAMER_DATA_LENGTH*8-1 downto 0) := (others => '1'); -- Only Idle Data Pattern transmitted (jam payload for frame stuffing) -- internal variable signals type frame_array is array (CCSDS_TX_FRAMER_FOOTER_NUMBER-1 downto 0) of std_logic_vector((CCSDS_TX_FRAMER_FOOTER_LENGTH+CCSDS_TX_FRAMER_DATA_LENGTH+CCSDS_TX_FRAMER_HEADER_LENGTH)*8-1 downto 0); signal wire_header_data: std_logic_vector(CCSDS_TX_FRAMER_HEADER_LENGTH*8-1 downto 0); signal wire_footer_data_o: frame_array; signal wire_header_data_valid: std_logic; signal wire_footer_data_valid: std_logic_vector(CCSDS_TX_FRAMER_FOOTER_NUMBER-1 downto 0); signal wire_header_next: std_logic := '0'; signal wire_header_idle: std_logic := '0'; signal wire_footer_next: std_logic_vector(CCSDS_TX_FRAMER_FOOTER_NUMBER-1 downto 0) := (others => '0'); signal wire_footer_busy: std_logic_vector(CCSDS_TX_FRAMER_FOOTER_NUMBER-1 downto 0); signal reg_next_frame: std_logic_vector(CCSDS_TX_FRAMER_DATA_LENGTH*8-CCSDS_TX_FRAMER_DATA_BUS_SIZE-1 downto 0); signal reg_current_frame: std_logic_vector((CCSDS_TX_FRAMER_DATA_LENGTH)*8-1 downto 0); signal reg_processing_frame: std_logic_vector((CCSDS_TX_FRAMER_DATA_LENGTH+CCSDS_TX_FRAMER_HEADER_LENGTH)*8-1 downto 0); signal next_processing_frame_pointer : integer range 0 to CCSDS_TX_FRAMER_FOOTER_NUMBER-1 := 0; -- components instanciation and mapping begin tx_header_0: ccsds_tx_header generic map( CCSDS_TX_HEADER_LENGTH => CCSDS_TX_FRAMER_HEADER_LENGTH ) port map( clk_i => clk_i, idl_i => wire_header_idle, nxt_i => wire_header_next, rst_i => rst_i, dat_o => wire_header_data, dat_val_o => wire_header_data_valid ); FOOTERGEN: for i in 0 to CCSDS_TX_FRAMER_FOOTER_NUMBER-1 generate tx_footer_x : ccsds_tx_footer generic map( CCSDS_TX_FOOTER_DATA_LENGTH => CCSDS_TX_FRAMER_DATA_LENGTH+CCSDS_TX_FRAMER_HEADER_LENGTH, CCSDS_TX_FOOTER_LENGTH => CCSDS_TX_FRAMER_FOOTER_LENGTH ) port map( clk_i => clk_i, rst_i => rst_i, nxt_i => wire_footer_next(i), bus_o => wire_footer_busy(i), dat_i => reg_processing_frame, dat_o => wire_footer_data_o(i), dat_val_o => wire_footer_data_valid(i) ); end generate FOOTERGEN; -- presynthesis checks CHKFRAMERP0 : if ((CCSDS_TX_FRAMER_DATA_LENGTH*8) mod CCSDS_TX_FRAMER_DATA_BUS_SIZE /= 0) generate process begin report "ERROR: FRAMER DATA LENGTH SHOULD BE A MULTIPLE OF FRAMER DATA BUS SIZE" severity failure; wait; end process; end generate CHKFRAMERP0; CHKFRAMERP1 : if ((CCSDS_TX_FRAMER_DATA_LENGTH) = 0) generate process begin report "ERROR: FRAMER DATA LENGTH CANNOT BE 0" severity failure; wait; end process; end generate CHKFRAMERP1; CHKFRAMERP2 : if ((CCSDS_TX_FRAMER_PARALLELISM_MAX_RATIO) = 0) generate process begin report "ERROR: PARALLELISM MAX RATIO CANNOT BE 0" severity failure; wait; end process; end generate CHKFRAMERP2; -- internal processing --============================================================================= -- Begin of frameroutputp -- Generate valid frame output on footer data_valid signal --============================================================================= -- read: rst_i, wire_footer_data, wire_footer_data_valid -- write: dat_o, dat_val_o -- r/w: next_valid_frame_pointer FRAMEROUTPUTP: process (clk_i) variable next_valid_frame_pointer : integer range 0 to CCSDS_TX_FRAMER_FOOTER_NUMBER-1 := 0; begin -- on each clock rising edge if rising_edge(clk_i) then -- reset signal received if (rst_i = '1') then next_valid_frame_pointer := 0; dat_o <= (others => '0'); dat_val_o <= '0'; -- generating valid frames output else dat_o <= wire_footer_data_o(next_valid_frame_pointer); if (wire_footer_data_valid(next_valid_frame_pointer) = '1') then dat_val_o <= '1'; if (next_valid_frame_pointer < (CCSDS_TX_FRAMER_FOOTER_NUMBER-1)) then next_valid_frame_pointer := (next_valid_frame_pointer + 1); else next_valid_frame_pointer := 0; end if; else dat_o <= (others => '0'); dat_val_o <= '0'; end if; end if; end if; end process; --============================================================================= -- Begin of framerprocessp -- Start footer computation on valid header signal --============================================================================= -- read: wire_header_data, wire_header_data_valid -- write: next_processing_frame_pointer, reg_processing_frame, wire_footer_next -- r/w: FRAMERPROCESSP: process (clk_i) variable reg_next_processing_frame: std_logic_vector((CCSDS_TX_FRAMER_DATA_LENGTH)*8-1 downto 0); begin -- on each clock rising edge if rising_edge(clk_i) then -- reset signal received if (rst_i = '1') then next_processing_frame_pointer <= 0; wire_footer_next <= (others => '0'); else if(wire_header_data_valid = '1') then reg_processing_frame((CCSDS_TX_FRAMER_DATA_LENGTH+CCSDS_TX_FRAMER_HEADER_LENGTH)*8-1 downto CCSDS_TX_FRAMER_DATA_LENGTH*8) <= wire_header_data; -- idle data to be used if (wire_header_data(10 downto 0) = "11111111110") then reg_processing_frame(CCSDS_TX_FRAMER_DATA_LENGTH*8-1 downto 0) <= CCSDS_TX_FRAMER_OID_PATTERN; reg_next_processing_frame := reg_current_frame; -- current data to be used else -- continuous data flow header is one clk in advance if (CCSDS_TX_FRAMER_DATA_LENGTH*8 = CCSDS_TX_FRAMER_DATA_BUS_SIZE) and (CCSDS_TX_FRAMER_PARALLELISM_MAX_RATIO = 1) then reg_processing_frame(CCSDS_TX_FRAMER_DATA_LENGTH*8-1 downto 0) <= reg_next_processing_frame; reg_next_processing_frame := reg_current_frame; -- header is synchronous with data else reg_processing_frame(CCSDS_TX_FRAMER_DATA_LENGTH*8-1 downto 0) <= reg_current_frame; end if; end if; wire_footer_next(next_processing_frame_pointer) <= '1'; if (next_processing_frame_pointer = CCSDS_TX_FRAMER_FOOTER_NUMBER-1) then next_processing_frame_pointer <= 0; else next_processing_frame_pointer <= (next_processing_frame_pointer + 1); end if; end if; if (next_processing_frame_pointer = 0) then wire_footer_next(CCSDS_TX_FRAMER_FOOTER_NUMBER-1) <= '0'; else wire_footer_next(next_processing_frame_pointer-1) <= '0'; end if; end if; end if; end process; --============================================================================= -- Begin of framergeneratep -- Generate next_frame, start next header generation --============================================================================= -- read: dat_val_i, rst_i -- write: wire_header_next, reg_current_frame, reg_next_frame, dat_nxt_o, idl_o -- r/w: FRAMERGENERATEP: process (clk_i) variable next_frame_write_pos: integer range 0 to (CCSDS_TX_FRAMER_DATA_LENGTH*8/CCSDS_TX_FRAMER_DATA_BUS_SIZE)-1 := (CCSDS_TX_FRAMER_DATA_LENGTH*8/CCSDS_TX_FRAMER_DATA_BUS_SIZE)-1; variable frame_output_counter: integer range 0 to (CCSDS_TX_FRAMER_DATA_LENGTH*CCSDS_TX_FRAMER_PARALLELISM_MAX_RATIO*8/CCSDS_TX_FRAMER_DATA_BUS_SIZE)-1 := 0; variable current_frame_ready: std_logic := '0'; begin -- on each clock rising edge if rising_edge(clk_i) then -- reset signal received if (rst_i = '1') then current_frame_ready := '0'; wire_header_next <= '0'; next_frame_write_pos := (CCSDS_TX_FRAMER_DATA_LENGTH*8/CCSDS_TX_FRAMER_DATA_BUS_SIZE)-1; frame_output_counter := 0; idl_o <= '0'; dat_nxt_o <= '0'; else -- valid data is presented if (dat_val_i = '1') then -- next frame is full if (next_frame_write_pos = 0) then reg_current_frame(CCSDS_TX_FRAMER_DATA_BUS_SIZE-1 downto 0) <= dat_i; reg_current_frame(CCSDS_TX_FRAMER_DATA_LENGTH*8-1 downto CCSDS_TX_FRAMER_DATA_BUS_SIZE) <= reg_next_frame; -- time to start frame computation if (frame_output_counter = 0) then -- CRC is ready to compute if (wire_footer_busy(next_processing_frame_pointer) = '0') then frame_output_counter := (CCSDS_TX_FRAMER_DATA_LENGTH*8*CCSDS_TX_FRAMER_PARALLELISM_MAX_RATIO/CCSDS_TX_FRAMER_DATA_BUS_SIZE)-1; wire_header_next <= '1'; wire_header_idle <= '0'; idl_o <= '0'; -- source data rate overflow / stop buffer output else dat_nxt_o <= '0'; end if; else frame_output_counter := frame_output_counter - 1; -- signal a frame ready for computation if (current_frame_ready = '0') then wire_header_next <= '0'; current_frame_ready := '1'; -- source data rate overflow else dat_nxt_o <= '0'; end if; end if; next_frame_write_pos := CCSDS_TX_FRAMER_DATA_LENGTH*8/CCSDS_TX_FRAMER_DATA_BUS_SIZE-1; else -- filling next frame reg_next_frame(next_frame_write_pos*CCSDS_TX_FRAMER_DATA_BUS_SIZE-1 downto (next_frame_write_pos-1)*CCSDS_TX_FRAMER_DATA_BUS_SIZE) <= dat_i; next_frame_write_pos := next_frame_write_pos-1; -- time to start frame computation if (frame_output_counter = 0) then -- CRC is ready to compute if (wire_footer_busy(next_processing_frame_pointer) = '0') then dat_nxt_o <= '1'; frame_output_counter := (CCSDS_TX_FRAMER_DATA_LENGTH*CCSDS_TX_FRAMER_PARALLELISM_MAX_RATIO*8/CCSDS_TX_FRAMER_DATA_BUS_SIZE)-1; -- no frame is ready / inserting idle data if (current_frame_ready = '0') then wire_header_next <= '1'; wire_header_idle <= '1'; idl_o <= '1'; -- a frame is ready else wire_header_next <= '1'; wire_header_idle <= '0'; current_frame_ready := '0'; idl_o <= '0'; end if; else dat_nxt_o <= '0'; end if; else -- stop data before overflow if (next_frame_write_pos = 1) and (current_frame_ready = '1') then dat_nxt_o <= '0'; end if; frame_output_counter := frame_output_counter - 1; wire_header_next <= '0'; end if; end if; -- no valid data else -- time to start frame computation if (frame_output_counter = 0) then -- CRC is ready to compute if (wire_footer_busy(next_processing_frame_pointer) = '0') then dat_nxt_o <= '1'; frame_output_counter := (CCSDS_TX_FRAMER_DATA_LENGTH*CCSDS_TX_FRAMER_PARALLELISM_MAX_RATIO*8/CCSDS_TX_FRAMER_DATA_BUS_SIZE)-1; if (current_frame_ready = '0') then wire_header_next <= '1'; wire_header_idle <= '1'; idl_o <= '1'; else wire_header_next <= '1'; wire_header_idle <= '0'; current_frame_ready := '0'; idl_o <= '0'; end if; end if; else wire_header_next <= '0'; frame_output_counter := frame_output_counter - 1; end if; end if; end if; end if; end process; end structure;
mit
ziyan/altera-de2-ann
src/lib/float/fp_add.vhd
1
253628
-- megafunction wizard: %ALTFP_ADD_SUB% -- GENERATION: STANDARD -- VERSION: WM1.0 -- MODULE: altfp_add_sub -- ============================================================ -- File Name: fp_add.vhd -- Megafunction Name(s): -- altfp_add_sub -- -- Simulation Library Files(s): -- lpm -- ============================================================ -- ************************************************************ -- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! -- -- 9.1 Build 350 03/24/2010 SP 2 SJ Web Edition -- ************************************************************ --Copyright (C) 1991-2010 Altera Corporation --Your use of Altera Corporation's design tools, logic functions --and other software and tools, and its AMPP partner logic --functions, and any output files from any of the foregoing --(including device programming or simulation files), and any --associated documentation or information are expressly subject --to the terms and conditions of the Altera Program License --Subscription Agreement, Altera MegaCore Function License --Agreement, or other applicable license agreement, including, --without limitation, that your use is for the sole purpose of --programming logic devices manufactured by Altera and sold by --Altera or its authorized distributors. Please refer to the --applicable agreement for further details. --altfp_add_sub CBX_AUTO_BLACKBOX="ALL" DENORMAL_SUPPORT="NO" DEVICE_FAMILY="Cyclone II" DIRECTION="ADD" OPTIMIZE="SPEED" PIPELINE=7 REDUCED_FUNCTIONALITY="NO" WIDTH_EXP=8 WIDTH_MAN=23 aclr clk_en clock dataa datab result --VERSION_BEGIN 9.1SP2 cbx_altbarrel_shift 2010:03:24:20:34:20:SJ cbx_altfp_add_sub 2010:03:24:20:34:20:SJ cbx_altpriority_encoder 2010:03:24:20:34:20:SJ cbx_cycloneii 2010:03:24:20:34:20:SJ cbx_lpm_add_sub 2010:03:24:20:34:20:SJ cbx_lpm_compare 2010:03:24:20:34:20:SJ cbx_mgl 2010:03:24:20:44:08:SJ cbx_stratix 2010:03:24:20:34:20:SJ cbx_stratixii 2010:03:24:20:34:20:SJ VERSION_END --altbarrel_shift CBX_AUTO_BLACKBOX="ALL" DEVICE_FAMILY="Cyclone II" PIPELINE=1 SHIFTDIR="LEFT" WIDTH=26 WIDTHDIST=5 aclr clk_en clock data distance result --VERSION_BEGIN 9.1SP2 cbx_altbarrel_shift 2010:03:24:20:34:20:SJ cbx_mgl 2010:03:24:20:44:08:SJ VERSION_END --synthesis_resources = reg 27 LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY fp_add_altbarrel_shift_h0e IS PORT ( aclr : IN STD_LOGIC := '0'; clk_en : IN STD_LOGIC := '1'; clock : IN STD_LOGIC := '0'; data : IN STD_LOGIC_VECTOR (25 DOWNTO 0); distance : IN STD_LOGIC_VECTOR (4 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (25 DOWNTO 0) ); END fp_add_altbarrel_shift_h0e; ARCHITECTURE RTL OF fp_add_altbarrel_shift_h0e IS SIGNAL dir_pipe : STD_LOGIC_VECTOR(0 DOWNTO 0) -- synopsys translate_off := (OTHERS => '0') -- synopsys translate_on ; SIGNAL sbit_piper1d : STD_LOGIC_VECTOR(25 DOWNTO 0) -- synopsys translate_off := (OTHERS => '0') -- synopsys translate_on ; SIGNAL wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range668w680w681w : STD_LOGIC_VECTOR (25 DOWNTO 0); SIGNAL wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range668w675w676w : STD_LOGIC_VECTOR (25 DOWNTO 0); SIGNAL wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range689w701w702w : STD_LOGIC_VECTOR (25 DOWNTO 0); SIGNAL wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range689w696w697w : STD_LOGIC_VECTOR (25 DOWNTO 0); SIGNAL wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range711w723w724w : STD_LOGIC_VECTOR (25 DOWNTO 0); SIGNAL wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range711w718w719w : STD_LOGIC_VECTOR (25 DOWNTO 0); SIGNAL wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range733w745w746w : STD_LOGIC_VECTOR (25 DOWNTO 0); SIGNAL wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range733w740w741w : STD_LOGIC_VECTOR (25 DOWNTO 0); SIGNAL wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range755w767w768w : STD_LOGIC_VECTOR (25 DOWNTO 0); SIGNAL wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range755w762w763w : STD_LOGIC_VECTOR (25 DOWNTO 0); SIGNAL wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range668w670w671w : STD_LOGIC_VECTOR (25 DOWNTO 0); SIGNAL wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range689w691w692w : STD_LOGIC_VECTOR (25 DOWNTO 0); SIGNAL wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range711w713w714w : STD_LOGIC_VECTOR (25 DOWNTO 0); SIGNAL wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range733w735w736w : STD_LOGIC_VECTOR (25 DOWNTO 0); SIGNAL wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range755w757w758w : STD_LOGIC_VECTOR (25 DOWNTO 0); SIGNAL wire_lbarrel_shift_w_lg_w_sel_w_range668w680w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_lbarrel_shift_w_lg_w_sel_w_range668w675w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_lbarrel_shift_w_lg_w_sel_w_range689w701w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_lbarrel_shift_w_lg_w_sel_w_range689w696w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_lbarrel_shift_w_lg_w_sel_w_range711w723w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_lbarrel_shift_w_lg_w_sel_w_range711w718w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_lbarrel_shift_w_lg_w_sel_w_range733w745w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_lbarrel_shift_w_lg_w_sel_w_range733w740w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_lbarrel_shift_w_lg_w_sel_w_range755w767w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_lbarrel_shift_w_lg_w_sel_w_range755w762w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_lbarrel_shift_w_lg_w_dir_w_range665w679w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_lbarrel_shift_w_lg_w_dir_w_range687w700w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_lbarrel_shift_w_lg_w_dir_w_range708w722w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_lbarrel_shift_w_lg_w_dir_w_range730w744w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_lbarrel_shift_w_lg_w_dir_w_range752w766w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_lbarrel_shift_w_lg_w_sel_w_range668w670w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_lbarrel_shift_w_lg_w_sel_w_range689w691w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_lbarrel_shift_w_lg_w_sel_w_range711w713w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_lbarrel_shift_w_lg_w_sel_w_range733w735w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_lbarrel_shift_w_lg_w_sel_w_range755w757w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_lbarrel_shift_w_lg_w_lg_w_lg_w_sel_w_range668w680w681w682w : STD_LOGIC_VECTOR (25 DOWNTO 0); SIGNAL wire_lbarrel_shift_w_lg_w_lg_w_lg_w_sel_w_range689w701w702w703w : STD_LOGIC_VECTOR (25 DOWNTO 0); SIGNAL wire_lbarrel_shift_w_lg_w_lg_w_lg_w_sel_w_range711w723w724w725w : STD_LOGIC_VECTOR (25 DOWNTO 0); SIGNAL wire_lbarrel_shift_w_lg_w_lg_w_lg_w_sel_w_range733w745w746w747w : STD_LOGIC_VECTOR (25 DOWNTO 0); SIGNAL wire_lbarrel_shift_w_lg_w_lg_w_lg_w_sel_w_range755w767w768w769w : STD_LOGIC_VECTOR (25 DOWNTO 0); SIGNAL wire_lbarrel_shift_w683w : STD_LOGIC_VECTOR (25 DOWNTO 0); SIGNAL wire_lbarrel_shift_w704w : STD_LOGIC_VECTOR (25 DOWNTO 0); SIGNAL wire_lbarrel_shift_w726w : STD_LOGIC_VECTOR (25 DOWNTO 0); SIGNAL wire_lbarrel_shift_w748w : STD_LOGIC_VECTOR (25 DOWNTO 0); SIGNAL wire_lbarrel_shift_w770w : STD_LOGIC_VECTOR (25 DOWNTO 0); SIGNAL dir_w : STD_LOGIC_VECTOR (5 DOWNTO 0); SIGNAL direction_w : STD_LOGIC; SIGNAL pad_w : STD_LOGIC_VECTOR (15 DOWNTO 0); SIGNAL sbit_w : STD_LOGIC_VECTOR (155 DOWNTO 0); SIGNAL sel_w : STD_LOGIC_VECTOR (4 DOWNTO 0); SIGNAL smux_w : STD_LOGIC_VECTOR (129 DOWNTO 0); SIGNAL wire_lbarrel_shift_w674w : STD_LOGIC_VECTOR (25 DOWNTO 0); SIGNAL wire_lbarrel_shift_w678w : STD_LOGIC_VECTOR (25 DOWNTO 0); SIGNAL wire_lbarrel_shift_w695w : STD_LOGIC_VECTOR (25 DOWNTO 0); SIGNAL wire_lbarrel_shift_w699w : STD_LOGIC_VECTOR (25 DOWNTO 0); SIGNAL wire_lbarrel_shift_w717w : STD_LOGIC_VECTOR (25 DOWNTO 0); SIGNAL wire_lbarrel_shift_w721w : STD_LOGIC_VECTOR (25 DOWNTO 0); SIGNAL wire_lbarrel_shift_w739w : STD_LOGIC_VECTOR (25 DOWNTO 0); SIGNAL wire_lbarrel_shift_w743w : STD_LOGIC_VECTOR (25 DOWNTO 0); SIGNAL wire_lbarrel_shift_w761w : STD_LOGIC_VECTOR (25 DOWNTO 0); SIGNAL wire_lbarrel_shift_w765w : STD_LOGIC_VECTOR (25 DOWNTO 0); SIGNAL wire_lbarrel_shift_w_dir_w_range665w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_lbarrel_shift_w_dir_w_range687w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_lbarrel_shift_w_dir_w_range708w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_lbarrel_shift_w_dir_w_range730w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_lbarrel_shift_w_dir_w_range752w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_lbarrel_shift_w_sbit_w_range728w : STD_LOGIC_VECTOR (25 DOWNTO 0); SIGNAL wire_lbarrel_shift_w_sbit_w_range750w : STD_LOGIC_VECTOR (25 DOWNTO 0); SIGNAL wire_lbarrel_shift_w_sbit_w_range663w : STD_LOGIC_VECTOR (25 DOWNTO 0); SIGNAL wire_lbarrel_shift_w_sbit_w_range686w : STD_LOGIC_VECTOR (25 DOWNTO 0); SIGNAL wire_lbarrel_shift_w_sbit_w_range706w : STD_LOGIC_VECTOR (25 DOWNTO 0); SIGNAL wire_lbarrel_shift_w_sel_w_range668w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_lbarrel_shift_w_sel_w_range689w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_lbarrel_shift_w_sel_w_range711w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_lbarrel_shift_w_sel_w_range733w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_lbarrel_shift_w_sel_w_range755w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_lbarrel_shift_w_smux_w_range771w : STD_LOGIC_VECTOR (25 DOWNTO 0); BEGIN loop0 : FOR i IN 0 TO 25 GENERATE wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range668w680w681w(i) <= wire_lbarrel_shift_w_lg_w_sel_w_range668w680w(0) AND wire_lbarrel_shift_w678w(i); END GENERATE loop0; loop1 : FOR i IN 0 TO 25 GENERATE wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range668w675w676w(i) <= wire_lbarrel_shift_w_lg_w_sel_w_range668w675w(0) AND wire_lbarrel_shift_w674w(i); END GENERATE loop1; loop2 : FOR i IN 0 TO 25 GENERATE wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range689w701w702w(i) <= wire_lbarrel_shift_w_lg_w_sel_w_range689w701w(0) AND wire_lbarrel_shift_w699w(i); END GENERATE loop2; loop3 : FOR i IN 0 TO 25 GENERATE wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range689w696w697w(i) <= wire_lbarrel_shift_w_lg_w_sel_w_range689w696w(0) AND wire_lbarrel_shift_w695w(i); END GENERATE loop3; loop4 : FOR i IN 0 TO 25 GENERATE wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range711w723w724w(i) <= wire_lbarrel_shift_w_lg_w_sel_w_range711w723w(0) AND wire_lbarrel_shift_w721w(i); END GENERATE loop4; loop5 : FOR i IN 0 TO 25 GENERATE wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range711w718w719w(i) <= wire_lbarrel_shift_w_lg_w_sel_w_range711w718w(0) AND wire_lbarrel_shift_w717w(i); END GENERATE loop5; loop6 : FOR i IN 0 TO 25 GENERATE wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range733w745w746w(i) <= wire_lbarrel_shift_w_lg_w_sel_w_range733w745w(0) AND wire_lbarrel_shift_w743w(i); END GENERATE loop6; loop7 : FOR i IN 0 TO 25 GENERATE wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range733w740w741w(i) <= wire_lbarrel_shift_w_lg_w_sel_w_range733w740w(0) AND wire_lbarrel_shift_w739w(i); END GENERATE loop7; loop8 : FOR i IN 0 TO 25 GENERATE wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range755w767w768w(i) <= wire_lbarrel_shift_w_lg_w_sel_w_range755w767w(0) AND wire_lbarrel_shift_w765w(i); END GENERATE loop8; loop9 : FOR i IN 0 TO 25 GENERATE wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range755w762w763w(i) <= wire_lbarrel_shift_w_lg_w_sel_w_range755w762w(0) AND wire_lbarrel_shift_w761w(i); END GENERATE loop9; loop10 : FOR i IN 0 TO 25 GENERATE wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range668w670w671w(i) <= wire_lbarrel_shift_w_lg_w_sel_w_range668w670w(0) AND wire_lbarrel_shift_w_sbit_w_range663w(i); END GENERATE loop10; loop11 : FOR i IN 0 TO 25 GENERATE wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range689w691w692w(i) <= wire_lbarrel_shift_w_lg_w_sel_w_range689w691w(0) AND wire_lbarrel_shift_w_sbit_w_range686w(i); END GENERATE loop11; loop12 : FOR i IN 0 TO 25 GENERATE wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range711w713w714w(i) <= wire_lbarrel_shift_w_lg_w_sel_w_range711w713w(0) AND wire_lbarrel_shift_w_sbit_w_range706w(i); END GENERATE loop12; loop13 : FOR i IN 0 TO 25 GENERATE wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range733w735w736w(i) <= wire_lbarrel_shift_w_lg_w_sel_w_range733w735w(0) AND wire_lbarrel_shift_w_sbit_w_range728w(i); END GENERATE loop13; loop14 : FOR i IN 0 TO 25 GENERATE wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range755w757w758w(i) <= wire_lbarrel_shift_w_lg_w_sel_w_range755w757w(0) AND wire_lbarrel_shift_w_sbit_w_range750w(i); END GENERATE loop14; wire_lbarrel_shift_w_lg_w_sel_w_range668w680w(0) <= wire_lbarrel_shift_w_sel_w_range668w(0) AND wire_lbarrel_shift_w_lg_w_dir_w_range665w679w(0); wire_lbarrel_shift_w_lg_w_sel_w_range668w675w(0) <= wire_lbarrel_shift_w_sel_w_range668w(0) AND wire_lbarrel_shift_w_dir_w_range665w(0); wire_lbarrel_shift_w_lg_w_sel_w_range689w701w(0) <= wire_lbarrel_shift_w_sel_w_range689w(0) AND wire_lbarrel_shift_w_lg_w_dir_w_range687w700w(0); wire_lbarrel_shift_w_lg_w_sel_w_range689w696w(0) <= wire_lbarrel_shift_w_sel_w_range689w(0) AND wire_lbarrel_shift_w_dir_w_range687w(0); wire_lbarrel_shift_w_lg_w_sel_w_range711w723w(0) <= wire_lbarrel_shift_w_sel_w_range711w(0) AND wire_lbarrel_shift_w_lg_w_dir_w_range708w722w(0); wire_lbarrel_shift_w_lg_w_sel_w_range711w718w(0) <= wire_lbarrel_shift_w_sel_w_range711w(0) AND wire_lbarrel_shift_w_dir_w_range708w(0); wire_lbarrel_shift_w_lg_w_sel_w_range733w745w(0) <= wire_lbarrel_shift_w_sel_w_range733w(0) AND wire_lbarrel_shift_w_lg_w_dir_w_range730w744w(0); wire_lbarrel_shift_w_lg_w_sel_w_range733w740w(0) <= wire_lbarrel_shift_w_sel_w_range733w(0) AND wire_lbarrel_shift_w_dir_w_range730w(0); wire_lbarrel_shift_w_lg_w_sel_w_range755w767w(0) <= wire_lbarrel_shift_w_sel_w_range755w(0) AND wire_lbarrel_shift_w_lg_w_dir_w_range752w766w(0); wire_lbarrel_shift_w_lg_w_sel_w_range755w762w(0) <= wire_lbarrel_shift_w_sel_w_range755w(0) AND wire_lbarrel_shift_w_dir_w_range752w(0); wire_lbarrel_shift_w_lg_w_dir_w_range665w679w(0) <= NOT wire_lbarrel_shift_w_dir_w_range665w(0); wire_lbarrel_shift_w_lg_w_dir_w_range687w700w(0) <= NOT wire_lbarrel_shift_w_dir_w_range687w(0); wire_lbarrel_shift_w_lg_w_dir_w_range708w722w(0) <= NOT wire_lbarrel_shift_w_dir_w_range708w(0); wire_lbarrel_shift_w_lg_w_dir_w_range730w744w(0) <= NOT wire_lbarrel_shift_w_dir_w_range730w(0); wire_lbarrel_shift_w_lg_w_dir_w_range752w766w(0) <= NOT wire_lbarrel_shift_w_dir_w_range752w(0); wire_lbarrel_shift_w_lg_w_sel_w_range668w670w(0) <= NOT wire_lbarrel_shift_w_sel_w_range668w(0); wire_lbarrel_shift_w_lg_w_sel_w_range689w691w(0) <= NOT wire_lbarrel_shift_w_sel_w_range689w(0); wire_lbarrel_shift_w_lg_w_sel_w_range711w713w(0) <= NOT wire_lbarrel_shift_w_sel_w_range711w(0); wire_lbarrel_shift_w_lg_w_sel_w_range733w735w(0) <= NOT wire_lbarrel_shift_w_sel_w_range733w(0); wire_lbarrel_shift_w_lg_w_sel_w_range755w757w(0) <= NOT wire_lbarrel_shift_w_sel_w_range755w(0); loop15 : FOR i IN 0 TO 25 GENERATE wire_lbarrel_shift_w_lg_w_lg_w_lg_w_sel_w_range668w680w681w682w(i) <= wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range668w680w681w(i) OR wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range668w675w676w(i); END GENERATE loop15; loop16 : FOR i IN 0 TO 25 GENERATE wire_lbarrel_shift_w_lg_w_lg_w_lg_w_sel_w_range689w701w702w703w(i) <= wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range689w701w702w(i) OR wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range689w696w697w(i); END GENERATE loop16; loop17 : FOR i IN 0 TO 25 GENERATE wire_lbarrel_shift_w_lg_w_lg_w_lg_w_sel_w_range711w723w724w725w(i) <= wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range711w723w724w(i) OR wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range711w718w719w(i); END GENERATE loop17; loop18 : FOR i IN 0 TO 25 GENERATE wire_lbarrel_shift_w_lg_w_lg_w_lg_w_sel_w_range733w745w746w747w(i) <= wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range733w745w746w(i) OR wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range733w740w741w(i); END GENERATE loop18; loop19 : FOR i IN 0 TO 25 GENERATE wire_lbarrel_shift_w_lg_w_lg_w_lg_w_sel_w_range755w767w768w769w(i) <= wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range755w767w768w(i) OR wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range755w762w763w(i); END GENERATE loop19; loop20 : FOR i IN 0 TO 25 GENERATE wire_lbarrel_shift_w683w(i) <= wire_lbarrel_shift_w_lg_w_lg_w_lg_w_sel_w_range668w680w681w682w(i) OR wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range668w670w671w(i); END GENERATE loop20; loop21 : FOR i IN 0 TO 25 GENERATE wire_lbarrel_shift_w704w(i) <= wire_lbarrel_shift_w_lg_w_lg_w_lg_w_sel_w_range689w701w702w703w(i) OR wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range689w691w692w(i); END GENERATE loop21; loop22 : FOR i IN 0 TO 25 GENERATE wire_lbarrel_shift_w726w(i) <= wire_lbarrel_shift_w_lg_w_lg_w_lg_w_sel_w_range711w723w724w725w(i) OR wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range711w713w714w(i); END GENERATE loop22; loop23 : FOR i IN 0 TO 25 GENERATE wire_lbarrel_shift_w748w(i) <= wire_lbarrel_shift_w_lg_w_lg_w_lg_w_sel_w_range733w745w746w747w(i) OR wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range733w735w736w(i); END GENERATE loop23; loop24 : FOR i IN 0 TO 25 GENERATE wire_lbarrel_shift_w770w(i) <= wire_lbarrel_shift_w_lg_w_lg_w_lg_w_sel_w_range755w767w768w769w(i) OR wire_lbarrel_shift_w_lg_w_lg_w_sel_w_range755w757w758w(i); END GENERATE loop24; dir_w <= ( dir_pipe(0) & dir_w(3 DOWNTO 0) & direction_w); direction_w <= '0'; pad_w <= (OTHERS => '0'); result <= sbit_w(155 DOWNTO 130); sbit_w <= ( sbit_piper1d & smux_w(103 DOWNTO 0) & data); sel_w <= ( distance(4 DOWNTO 0)); smux_w <= ( wire_lbarrel_shift_w770w & wire_lbarrel_shift_w748w & wire_lbarrel_shift_w726w & wire_lbarrel_shift_w704w & wire_lbarrel_shift_w683w); wire_lbarrel_shift_w674w <= ( pad_w(0) & sbit_w(25 DOWNTO 1)); wire_lbarrel_shift_w678w <= ( sbit_w(24 DOWNTO 0) & pad_w(0)); wire_lbarrel_shift_w695w <= ( pad_w(1 DOWNTO 0) & sbit_w(51 DOWNTO 28)); wire_lbarrel_shift_w699w <= ( sbit_w(49 DOWNTO 26) & pad_w(1 DOWNTO 0)); wire_lbarrel_shift_w717w <= ( pad_w(3 DOWNTO 0) & sbit_w(77 DOWNTO 56)); wire_lbarrel_shift_w721w <= ( sbit_w(73 DOWNTO 52) & pad_w(3 DOWNTO 0)); wire_lbarrel_shift_w739w <= ( pad_w(7 DOWNTO 0) & sbit_w(103 DOWNTO 86)); wire_lbarrel_shift_w743w <= ( sbit_w(95 DOWNTO 78) & pad_w(7 DOWNTO 0)); wire_lbarrel_shift_w761w <= ( pad_w(15 DOWNTO 0) & sbit_w(129 DOWNTO 120)); wire_lbarrel_shift_w765w <= ( sbit_w(113 DOWNTO 104) & pad_w(15 DOWNTO 0)); wire_lbarrel_shift_w_dir_w_range665w(0) <= dir_w(0); wire_lbarrel_shift_w_dir_w_range687w(0) <= dir_w(1); wire_lbarrel_shift_w_dir_w_range708w(0) <= dir_w(2); wire_lbarrel_shift_w_dir_w_range730w(0) <= dir_w(3); wire_lbarrel_shift_w_dir_w_range752w(0) <= dir_w(4); wire_lbarrel_shift_w_sbit_w_range728w <= sbit_w(103 DOWNTO 78); wire_lbarrel_shift_w_sbit_w_range750w <= sbit_w(129 DOWNTO 104); wire_lbarrel_shift_w_sbit_w_range663w <= sbit_w(25 DOWNTO 0); wire_lbarrel_shift_w_sbit_w_range686w <= sbit_w(51 DOWNTO 26); wire_lbarrel_shift_w_sbit_w_range706w <= sbit_w(77 DOWNTO 52); wire_lbarrel_shift_w_sel_w_range668w(0) <= sel_w(0); wire_lbarrel_shift_w_sel_w_range689w(0) <= sel_w(1); wire_lbarrel_shift_w_sel_w_range711w(0) <= sel_w(2); wire_lbarrel_shift_w_sel_w_range733w(0) <= sel_w(3); wire_lbarrel_shift_w_sel_w_range755w(0) <= sel_w(4); wire_lbarrel_shift_w_smux_w_range771w <= smux_w(129 DOWNTO 104); PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN dir_pipe <= (OTHERS => '0'); ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN dir_pipe(0) <= ( dir_w(4)); END IF; END IF; END PROCESS; PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN sbit_piper1d <= (OTHERS => '0'); ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN sbit_piper1d <= wire_lbarrel_shift_w_smux_w_range771w; END IF; END IF; END PROCESS; END RTL; --fp_add_altbarrel_shift_h0e --altbarrel_shift CBX_AUTO_BLACKBOX="ALL" DEVICE_FAMILY="Cyclone II" SHIFTDIR="RIGHT" WIDTH=26 WIDTHDIST=5 data distance result --VERSION_BEGIN 9.1SP2 cbx_altbarrel_shift 2010:03:24:20:34:20:SJ cbx_mgl 2010:03:24:20:44:08:SJ VERSION_END --synthesis_resources = LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY fp_add_altbarrel_shift_6hb IS PORT ( data : IN STD_LOGIC_VECTOR (25 DOWNTO 0); distance : IN STD_LOGIC_VECTOR (4 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (25 DOWNTO 0) ); END fp_add_altbarrel_shift_6hb; ARCHITECTURE RTL OF fp_add_altbarrel_shift_6hb IS SIGNAL wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range782w794w795w : STD_LOGIC_VECTOR (25 DOWNTO 0); SIGNAL wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range782w789w790w : STD_LOGIC_VECTOR (25 DOWNTO 0); SIGNAL wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range803w815w816w : STD_LOGIC_VECTOR (25 DOWNTO 0); SIGNAL wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range803w810w811w : STD_LOGIC_VECTOR (25 DOWNTO 0); SIGNAL wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range825w837w838w : STD_LOGIC_VECTOR (25 DOWNTO 0); SIGNAL wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range825w832w833w : STD_LOGIC_VECTOR (25 DOWNTO 0); SIGNAL wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range847w859w860w : STD_LOGIC_VECTOR (25 DOWNTO 0); SIGNAL wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range847w854w855w : STD_LOGIC_VECTOR (25 DOWNTO 0); SIGNAL wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range869w881w882w : STD_LOGIC_VECTOR (25 DOWNTO 0); SIGNAL wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range869w876w877w : STD_LOGIC_VECTOR (25 DOWNTO 0); SIGNAL wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range782w784w785w : STD_LOGIC_VECTOR (25 DOWNTO 0); SIGNAL wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range803w805w806w : STD_LOGIC_VECTOR (25 DOWNTO 0); SIGNAL wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range825w827w828w : STD_LOGIC_VECTOR (25 DOWNTO 0); SIGNAL wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range847w849w850w : STD_LOGIC_VECTOR (25 DOWNTO 0); SIGNAL wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range869w871w872w : STD_LOGIC_VECTOR (25 DOWNTO 0); SIGNAL wire_rbarrel_shift_w_lg_w_sel_w_range782w794w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_rbarrel_shift_w_lg_w_sel_w_range782w789w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_rbarrel_shift_w_lg_w_sel_w_range803w815w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_rbarrel_shift_w_lg_w_sel_w_range803w810w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_rbarrel_shift_w_lg_w_sel_w_range825w837w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_rbarrel_shift_w_lg_w_sel_w_range825w832w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_rbarrel_shift_w_lg_w_sel_w_range847w859w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_rbarrel_shift_w_lg_w_sel_w_range847w854w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_rbarrel_shift_w_lg_w_sel_w_range869w881w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_rbarrel_shift_w_lg_w_sel_w_range869w876w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_rbarrel_shift_w_lg_w_dir_w_range779w793w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_rbarrel_shift_w_lg_w_dir_w_range801w814w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_rbarrel_shift_w_lg_w_dir_w_range822w836w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_rbarrel_shift_w_lg_w_dir_w_range844w858w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_rbarrel_shift_w_lg_w_dir_w_range866w880w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_rbarrel_shift_w_lg_w_sel_w_range782w784w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_rbarrel_shift_w_lg_w_sel_w_range803w805w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_rbarrel_shift_w_lg_w_sel_w_range825w827w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_rbarrel_shift_w_lg_w_sel_w_range847w849w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_rbarrel_shift_w_lg_w_sel_w_range869w871w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_rbarrel_shift_w_lg_w_lg_w_lg_w_sel_w_range782w794w795w796w : STD_LOGIC_VECTOR (25 DOWNTO 0); SIGNAL wire_rbarrel_shift_w_lg_w_lg_w_lg_w_sel_w_range803w815w816w817w : STD_LOGIC_VECTOR (25 DOWNTO 0); SIGNAL wire_rbarrel_shift_w_lg_w_lg_w_lg_w_sel_w_range825w837w838w839w : STD_LOGIC_VECTOR (25 DOWNTO 0); SIGNAL wire_rbarrel_shift_w_lg_w_lg_w_lg_w_sel_w_range847w859w860w861w : STD_LOGIC_VECTOR (25 DOWNTO 0); SIGNAL wire_rbarrel_shift_w_lg_w_lg_w_lg_w_sel_w_range869w881w882w883w : STD_LOGIC_VECTOR (25 DOWNTO 0); SIGNAL wire_rbarrel_shift_w797w : STD_LOGIC_VECTOR (25 DOWNTO 0); SIGNAL wire_rbarrel_shift_w818w : STD_LOGIC_VECTOR (25 DOWNTO 0); SIGNAL wire_rbarrel_shift_w840w : STD_LOGIC_VECTOR (25 DOWNTO 0); SIGNAL wire_rbarrel_shift_w862w : STD_LOGIC_VECTOR (25 DOWNTO 0); SIGNAL wire_rbarrel_shift_w884w : STD_LOGIC_VECTOR (25 DOWNTO 0); SIGNAL dir_w : STD_LOGIC_VECTOR (5 DOWNTO 0); SIGNAL direction_w : STD_LOGIC; SIGNAL pad_w : STD_LOGIC_VECTOR (15 DOWNTO 0); SIGNAL sbit_w : STD_LOGIC_VECTOR (155 DOWNTO 0); SIGNAL sel_w : STD_LOGIC_VECTOR (4 DOWNTO 0); SIGNAL smux_w : STD_LOGIC_VECTOR (129 DOWNTO 0); SIGNAL wire_rbarrel_shift_w788w : STD_LOGIC_VECTOR (25 DOWNTO 0); SIGNAL wire_rbarrel_shift_w792w : STD_LOGIC_VECTOR (25 DOWNTO 0); SIGNAL wire_rbarrel_shift_w809w : STD_LOGIC_VECTOR (25 DOWNTO 0); SIGNAL wire_rbarrel_shift_w813w : STD_LOGIC_VECTOR (25 DOWNTO 0); SIGNAL wire_rbarrel_shift_w831w : STD_LOGIC_VECTOR (25 DOWNTO 0); SIGNAL wire_rbarrel_shift_w835w : STD_LOGIC_VECTOR (25 DOWNTO 0); SIGNAL wire_rbarrel_shift_w853w : STD_LOGIC_VECTOR (25 DOWNTO 0); SIGNAL wire_rbarrel_shift_w857w : STD_LOGIC_VECTOR (25 DOWNTO 0); SIGNAL wire_rbarrel_shift_w875w : STD_LOGIC_VECTOR (25 DOWNTO 0); SIGNAL wire_rbarrel_shift_w879w : STD_LOGIC_VECTOR (25 DOWNTO 0); SIGNAL wire_rbarrel_shift_w_dir_w_range779w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_rbarrel_shift_w_dir_w_range801w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_rbarrel_shift_w_dir_w_range822w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_rbarrel_shift_w_dir_w_range844w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_rbarrel_shift_w_dir_w_range866w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_rbarrel_shift_w_sbit_w_range842w : STD_LOGIC_VECTOR (25 DOWNTO 0); SIGNAL wire_rbarrel_shift_w_sbit_w_range864w : STD_LOGIC_VECTOR (25 DOWNTO 0); SIGNAL wire_rbarrel_shift_w_sbit_w_range777w : STD_LOGIC_VECTOR (25 DOWNTO 0); SIGNAL wire_rbarrel_shift_w_sbit_w_range800w : STD_LOGIC_VECTOR (25 DOWNTO 0); SIGNAL wire_rbarrel_shift_w_sbit_w_range820w : STD_LOGIC_VECTOR (25 DOWNTO 0); SIGNAL wire_rbarrel_shift_w_sel_w_range782w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_rbarrel_shift_w_sel_w_range803w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_rbarrel_shift_w_sel_w_range825w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_rbarrel_shift_w_sel_w_range847w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_rbarrel_shift_w_sel_w_range869w : STD_LOGIC_VECTOR (0 DOWNTO 0); BEGIN loop25 : FOR i IN 0 TO 25 GENERATE wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range782w794w795w(i) <= wire_rbarrel_shift_w_lg_w_sel_w_range782w794w(0) AND wire_rbarrel_shift_w792w(i); END GENERATE loop25; loop26 : FOR i IN 0 TO 25 GENERATE wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range782w789w790w(i) <= wire_rbarrel_shift_w_lg_w_sel_w_range782w789w(0) AND wire_rbarrel_shift_w788w(i); END GENERATE loop26; loop27 : FOR i IN 0 TO 25 GENERATE wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range803w815w816w(i) <= wire_rbarrel_shift_w_lg_w_sel_w_range803w815w(0) AND wire_rbarrel_shift_w813w(i); END GENERATE loop27; loop28 : FOR i IN 0 TO 25 GENERATE wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range803w810w811w(i) <= wire_rbarrel_shift_w_lg_w_sel_w_range803w810w(0) AND wire_rbarrel_shift_w809w(i); END GENERATE loop28; loop29 : FOR i IN 0 TO 25 GENERATE wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range825w837w838w(i) <= wire_rbarrel_shift_w_lg_w_sel_w_range825w837w(0) AND wire_rbarrel_shift_w835w(i); END GENERATE loop29; loop30 : FOR i IN 0 TO 25 GENERATE wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range825w832w833w(i) <= wire_rbarrel_shift_w_lg_w_sel_w_range825w832w(0) AND wire_rbarrel_shift_w831w(i); END GENERATE loop30; loop31 : FOR i IN 0 TO 25 GENERATE wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range847w859w860w(i) <= wire_rbarrel_shift_w_lg_w_sel_w_range847w859w(0) AND wire_rbarrel_shift_w857w(i); END GENERATE loop31; loop32 : FOR i IN 0 TO 25 GENERATE wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range847w854w855w(i) <= wire_rbarrel_shift_w_lg_w_sel_w_range847w854w(0) AND wire_rbarrel_shift_w853w(i); END GENERATE loop32; loop33 : FOR i IN 0 TO 25 GENERATE wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range869w881w882w(i) <= wire_rbarrel_shift_w_lg_w_sel_w_range869w881w(0) AND wire_rbarrel_shift_w879w(i); END GENERATE loop33; loop34 : FOR i IN 0 TO 25 GENERATE wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range869w876w877w(i) <= wire_rbarrel_shift_w_lg_w_sel_w_range869w876w(0) AND wire_rbarrel_shift_w875w(i); END GENERATE loop34; loop35 : FOR i IN 0 TO 25 GENERATE wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range782w784w785w(i) <= wire_rbarrel_shift_w_lg_w_sel_w_range782w784w(0) AND wire_rbarrel_shift_w_sbit_w_range777w(i); END GENERATE loop35; loop36 : FOR i IN 0 TO 25 GENERATE wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range803w805w806w(i) <= wire_rbarrel_shift_w_lg_w_sel_w_range803w805w(0) AND wire_rbarrel_shift_w_sbit_w_range800w(i); END GENERATE loop36; loop37 : FOR i IN 0 TO 25 GENERATE wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range825w827w828w(i) <= wire_rbarrel_shift_w_lg_w_sel_w_range825w827w(0) AND wire_rbarrel_shift_w_sbit_w_range820w(i); END GENERATE loop37; loop38 : FOR i IN 0 TO 25 GENERATE wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range847w849w850w(i) <= wire_rbarrel_shift_w_lg_w_sel_w_range847w849w(0) AND wire_rbarrel_shift_w_sbit_w_range842w(i); END GENERATE loop38; loop39 : FOR i IN 0 TO 25 GENERATE wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range869w871w872w(i) <= wire_rbarrel_shift_w_lg_w_sel_w_range869w871w(0) AND wire_rbarrel_shift_w_sbit_w_range864w(i); END GENERATE loop39; wire_rbarrel_shift_w_lg_w_sel_w_range782w794w(0) <= wire_rbarrel_shift_w_sel_w_range782w(0) AND wire_rbarrel_shift_w_lg_w_dir_w_range779w793w(0); wire_rbarrel_shift_w_lg_w_sel_w_range782w789w(0) <= wire_rbarrel_shift_w_sel_w_range782w(0) AND wire_rbarrel_shift_w_dir_w_range779w(0); wire_rbarrel_shift_w_lg_w_sel_w_range803w815w(0) <= wire_rbarrel_shift_w_sel_w_range803w(0) AND wire_rbarrel_shift_w_lg_w_dir_w_range801w814w(0); wire_rbarrel_shift_w_lg_w_sel_w_range803w810w(0) <= wire_rbarrel_shift_w_sel_w_range803w(0) AND wire_rbarrel_shift_w_dir_w_range801w(0); wire_rbarrel_shift_w_lg_w_sel_w_range825w837w(0) <= wire_rbarrel_shift_w_sel_w_range825w(0) AND wire_rbarrel_shift_w_lg_w_dir_w_range822w836w(0); wire_rbarrel_shift_w_lg_w_sel_w_range825w832w(0) <= wire_rbarrel_shift_w_sel_w_range825w(0) AND wire_rbarrel_shift_w_dir_w_range822w(0); wire_rbarrel_shift_w_lg_w_sel_w_range847w859w(0) <= wire_rbarrel_shift_w_sel_w_range847w(0) AND wire_rbarrel_shift_w_lg_w_dir_w_range844w858w(0); wire_rbarrel_shift_w_lg_w_sel_w_range847w854w(0) <= wire_rbarrel_shift_w_sel_w_range847w(0) AND wire_rbarrel_shift_w_dir_w_range844w(0); wire_rbarrel_shift_w_lg_w_sel_w_range869w881w(0) <= wire_rbarrel_shift_w_sel_w_range869w(0) AND wire_rbarrel_shift_w_lg_w_dir_w_range866w880w(0); wire_rbarrel_shift_w_lg_w_sel_w_range869w876w(0) <= wire_rbarrel_shift_w_sel_w_range869w(0) AND wire_rbarrel_shift_w_dir_w_range866w(0); wire_rbarrel_shift_w_lg_w_dir_w_range779w793w(0) <= NOT wire_rbarrel_shift_w_dir_w_range779w(0); wire_rbarrel_shift_w_lg_w_dir_w_range801w814w(0) <= NOT wire_rbarrel_shift_w_dir_w_range801w(0); wire_rbarrel_shift_w_lg_w_dir_w_range822w836w(0) <= NOT wire_rbarrel_shift_w_dir_w_range822w(0); wire_rbarrel_shift_w_lg_w_dir_w_range844w858w(0) <= NOT wire_rbarrel_shift_w_dir_w_range844w(0); wire_rbarrel_shift_w_lg_w_dir_w_range866w880w(0) <= NOT wire_rbarrel_shift_w_dir_w_range866w(0); wire_rbarrel_shift_w_lg_w_sel_w_range782w784w(0) <= NOT wire_rbarrel_shift_w_sel_w_range782w(0); wire_rbarrel_shift_w_lg_w_sel_w_range803w805w(0) <= NOT wire_rbarrel_shift_w_sel_w_range803w(0); wire_rbarrel_shift_w_lg_w_sel_w_range825w827w(0) <= NOT wire_rbarrel_shift_w_sel_w_range825w(0); wire_rbarrel_shift_w_lg_w_sel_w_range847w849w(0) <= NOT wire_rbarrel_shift_w_sel_w_range847w(0); wire_rbarrel_shift_w_lg_w_sel_w_range869w871w(0) <= NOT wire_rbarrel_shift_w_sel_w_range869w(0); loop40 : FOR i IN 0 TO 25 GENERATE wire_rbarrel_shift_w_lg_w_lg_w_lg_w_sel_w_range782w794w795w796w(i) <= wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range782w794w795w(i) OR wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range782w789w790w(i); END GENERATE loop40; loop41 : FOR i IN 0 TO 25 GENERATE wire_rbarrel_shift_w_lg_w_lg_w_lg_w_sel_w_range803w815w816w817w(i) <= wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range803w815w816w(i) OR wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range803w810w811w(i); END GENERATE loop41; loop42 : FOR i IN 0 TO 25 GENERATE wire_rbarrel_shift_w_lg_w_lg_w_lg_w_sel_w_range825w837w838w839w(i) <= wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range825w837w838w(i) OR wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range825w832w833w(i); END GENERATE loop42; loop43 : FOR i IN 0 TO 25 GENERATE wire_rbarrel_shift_w_lg_w_lg_w_lg_w_sel_w_range847w859w860w861w(i) <= wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range847w859w860w(i) OR wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range847w854w855w(i); END GENERATE loop43; loop44 : FOR i IN 0 TO 25 GENERATE wire_rbarrel_shift_w_lg_w_lg_w_lg_w_sel_w_range869w881w882w883w(i) <= wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range869w881w882w(i) OR wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range869w876w877w(i); END GENERATE loop44; loop45 : FOR i IN 0 TO 25 GENERATE wire_rbarrel_shift_w797w(i) <= wire_rbarrel_shift_w_lg_w_lg_w_lg_w_sel_w_range782w794w795w796w(i) OR wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range782w784w785w(i); END GENERATE loop45; loop46 : FOR i IN 0 TO 25 GENERATE wire_rbarrel_shift_w818w(i) <= wire_rbarrel_shift_w_lg_w_lg_w_lg_w_sel_w_range803w815w816w817w(i) OR wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range803w805w806w(i); END GENERATE loop46; loop47 : FOR i IN 0 TO 25 GENERATE wire_rbarrel_shift_w840w(i) <= wire_rbarrel_shift_w_lg_w_lg_w_lg_w_sel_w_range825w837w838w839w(i) OR wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range825w827w828w(i); END GENERATE loop47; loop48 : FOR i IN 0 TO 25 GENERATE wire_rbarrel_shift_w862w(i) <= wire_rbarrel_shift_w_lg_w_lg_w_lg_w_sel_w_range847w859w860w861w(i) OR wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range847w849w850w(i); END GENERATE loop48; loop49 : FOR i IN 0 TO 25 GENERATE wire_rbarrel_shift_w884w(i) <= wire_rbarrel_shift_w_lg_w_lg_w_lg_w_sel_w_range869w881w882w883w(i) OR wire_rbarrel_shift_w_lg_w_lg_w_sel_w_range869w871w872w(i); END GENERATE loop49; dir_w <= ( dir_w(4 DOWNTO 0) & direction_w); direction_w <= '1'; pad_w <= (OTHERS => '0'); result <= sbit_w(155 DOWNTO 130); sbit_w <= ( smux_w(129 DOWNTO 0) & data); sel_w <= ( distance(4 DOWNTO 0)); smux_w <= ( wire_rbarrel_shift_w884w & wire_rbarrel_shift_w862w & wire_rbarrel_shift_w840w & wire_rbarrel_shift_w818w & wire_rbarrel_shift_w797w); wire_rbarrel_shift_w788w <= ( pad_w(0) & sbit_w(25 DOWNTO 1)); wire_rbarrel_shift_w792w <= ( sbit_w(24 DOWNTO 0) & pad_w(0)); wire_rbarrel_shift_w809w <= ( pad_w(1 DOWNTO 0) & sbit_w(51 DOWNTO 28)); wire_rbarrel_shift_w813w <= ( sbit_w(49 DOWNTO 26) & pad_w(1 DOWNTO 0)); wire_rbarrel_shift_w831w <= ( pad_w(3 DOWNTO 0) & sbit_w(77 DOWNTO 56)); wire_rbarrel_shift_w835w <= ( sbit_w(73 DOWNTO 52) & pad_w(3 DOWNTO 0)); wire_rbarrel_shift_w853w <= ( pad_w(7 DOWNTO 0) & sbit_w(103 DOWNTO 86)); wire_rbarrel_shift_w857w <= ( sbit_w(95 DOWNTO 78) & pad_w(7 DOWNTO 0)); wire_rbarrel_shift_w875w <= ( pad_w(15 DOWNTO 0) & sbit_w(129 DOWNTO 120)); wire_rbarrel_shift_w879w <= ( sbit_w(113 DOWNTO 104) & pad_w(15 DOWNTO 0)); wire_rbarrel_shift_w_dir_w_range779w(0) <= dir_w(0); wire_rbarrel_shift_w_dir_w_range801w(0) <= dir_w(1); wire_rbarrel_shift_w_dir_w_range822w(0) <= dir_w(2); wire_rbarrel_shift_w_dir_w_range844w(0) <= dir_w(3); wire_rbarrel_shift_w_dir_w_range866w(0) <= dir_w(4); wire_rbarrel_shift_w_sbit_w_range842w <= sbit_w(103 DOWNTO 78); wire_rbarrel_shift_w_sbit_w_range864w <= sbit_w(129 DOWNTO 104); wire_rbarrel_shift_w_sbit_w_range777w <= sbit_w(25 DOWNTO 0); wire_rbarrel_shift_w_sbit_w_range800w <= sbit_w(51 DOWNTO 26); wire_rbarrel_shift_w_sbit_w_range820w <= sbit_w(77 DOWNTO 52); wire_rbarrel_shift_w_sel_w_range782w(0) <= sel_w(0); wire_rbarrel_shift_w_sel_w_range803w(0) <= sel_w(1); wire_rbarrel_shift_w_sel_w_range825w(0) <= sel_w(2); wire_rbarrel_shift_w_sel_w_range847w(0) <= sel_w(3); wire_rbarrel_shift_w_sel_w_range869w(0) <= sel_w(4); END RTL; --fp_add_altbarrel_shift_6hb --altpriority_encoder CBX_AUTO_BLACKBOX="ALL" WIDTH=32 WIDTHAD=5 data q --VERSION_BEGIN 9.1SP2 cbx_altpriority_encoder 2010:03:24:20:34:20:SJ cbx_mgl 2010:03:24:20:44:08:SJ VERSION_END --altpriority_encoder CBX_AUTO_BLACKBOX="ALL" LSB_PRIORITY="NO" WIDTH=16 WIDTHAD=4 data q --VERSION_BEGIN 9.1SP2 cbx_altpriority_encoder 2010:03:24:20:34:20:SJ cbx_mgl 2010:03:24:20:44:08:SJ VERSION_END --altpriority_encoder CBX_AUTO_BLACKBOX="ALL" LSB_PRIORITY="NO" WIDTH=8 WIDTHAD=3 data q zero --VERSION_BEGIN 9.1SP2 cbx_altpriority_encoder 2010:03:24:20:34:20:SJ cbx_mgl 2010:03:24:20:44:08:SJ VERSION_END --altpriority_encoder CBX_AUTO_BLACKBOX="ALL" LSB_PRIORITY="NO" WIDTH=4 WIDTHAD=2 data q zero --VERSION_BEGIN 9.1SP2 cbx_altpriority_encoder 2010:03:24:20:34:20:SJ cbx_mgl 2010:03:24:20:44:08:SJ VERSION_END --altpriority_encoder CBX_AUTO_BLACKBOX="ALL" LSB_PRIORITY="NO" WIDTH=2 WIDTHAD=1 data q zero --VERSION_BEGIN 9.1SP2 cbx_altpriority_encoder 2010:03:24:20:34:20:SJ cbx_mgl 2010:03:24:20:44:08:SJ VERSION_END --synthesis_resources = LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY fp_add_altpriority_encoder_3e8 IS PORT ( data : IN STD_LOGIC_VECTOR (1 DOWNTO 0); q : OUT STD_LOGIC_VECTOR (0 DOWNTO 0); zero : OUT STD_LOGIC ); END fp_add_altpriority_encoder_3e8; ARCHITECTURE RTL OF fp_add_altpriority_encoder_3e8 IS BEGIN q(0) <= ( data(1)); zero <= (NOT (data(0) OR data(1))); END RTL; --fp_add_altpriority_encoder_3e8 --synthesis_resources = LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY fp_add_altpriority_encoder_6e8 IS PORT ( data : IN STD_LOGIC_VECTOR (3 DOWNTO 0); q : OUT STD_LOGIC_VECTOR (1 DOWNTO 0); zero : OUT STD_LOGIC ); END fp_add_altpriority_encoder_6e8; ARCHITECTURE RTL OF fp_add_altpriority_encoder_6e8 IS SIGNAL wire_altpriority_encoder13_q : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_altpriority_encoder13_zero : STD_LOGIC; SIGNAL wire_altpriority_encoder14_w_lg_w_lg_zero920w921w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_altpriority_encoder14_w_lg_zero922w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_altpriority_encoder14_w_lg_zero920w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_altpriority_encoder14_w_lg_w_lg_zero922w923w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_altpriority_encoder14_q : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_altpriority_encoder14_zero : STD_LOGIC; COMPONENT fp_add_altpriority_encoder_3e8 PORT ( data : IN STD_LOGIC_VECTOR(1 DOWNTO 0); q : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); zero : OUT STD_LOGIC ); END COMPONENT; BEGIN q <= ( wire_altpriority_encoder14_w_lg_zero920w & wire_altpriority_encoder14_w_lg_w_lg_zero922w923w); zero <= (wire_altpriority_encoder13_zero AND wire_altpriority_encoder14_zero); altpriority_encoder13 : fp_add_altpriority_encoder_3e8 PORT MAP ( data => data(1 DOWNTO 0), q => wire_altpriority_encoder13_q, zero => wire_altpriority_encoder13_zero ); wire_altpriority_encoder14_w_lg_w_lg_zero920w921w(0) <= wire_altpriority_encoder14_w_lg_zero920w(0) AND wire_altpriority_encoder14_q(0); wire_altpriority_encoder14_w_lg_zero922w(0) <= wire_altpriority_encoder14_zero AND wire_altpriority_encoder13_q(0); wire_altpriority_encoder14_w_lg_zero920w(0) <= NOT wire_altpriority_encoder14_zero; wire_altpriority_encoder14_w_lg_w_lg_zero922w923w(0) <= wire_altpriority_encoder14_w_lg_zero922w(0) OR wire_altpriority_encoder14_w_lg_w_lg_zero920w921w(0); altpriority_encoder14 : fp_add_altpriority_encoder_3e8 PORT MAP ( data => data(3 DOWNTO 2), q => wire_altpriority_encoder14_q, zero => wire_altpriority_encoder14_zero ); END RTL; --fp_add_altpriority_encoder_6e8 --synthesis_resources = LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY fp_add_altpriority_encoder_be8 IS PORT ( data : IN STD_LOGIC_VECTOR (7 DOWNTO 0); q : OUT STD_LOGIC_VECTOR (2 DOWNTO 0); zero : OUT STD_LOGIC ); END fp_add_altpriority_encoder_be8; ARCHITECTURE RTL OF fp_add_altpriority_encoder_be8 IS SIGNAL wire_altpriority_encoder11_q : STD_LOGIC_VECTOR (1 DOWNTO 0); SIGNAL wire_altpriority_encoder11_zero : STD_LOGIC; SIGNAL wire_altpriority_encoder12_w_lg_w_lg_zero910w911w : STD_LOGIC_VECTOR (1 DOWNTO 0); SIGNAL wire_altpriority_encoder12_w_lg_zero912w : STD_LOGIC_VECTOR (1 DOWNTO 0); SIGNAL wire_altpriority_encoder12_w_lg_zero910w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_altpriority_encoder12_w_lg_w_lg_zero912w913w : STD_LOGIC_VECTOR (1 DOWNTO 0); SIGNAL wire_altpriority_encoder12_q : STD_LOGIC_VECTOR (1 DOWNTO 0); SIGNAL wire_altpriority_encoder12_zero : STD_LOGIC; COMPONENT fp_add_altpriority_encoder_6e8 PORT ( data : IN STD_LOGIC_VECTOR(3 DOWNTO 0); q : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); zero : OUT STD_LOGIC ); END COMPONENT; BEGIN q <= ( wire_altpriority_encoder12_w_lg_zero910w & wire_altpriority_encoder12_w_lg_w_lg_zero912w913w); zero <= (wire_altpriority_encoder11_zero AND wire_altpriority_encoder12_zero); altpriority_encoder11 : fp_add_altpriority_encoder_6e8 PORT MAP ( data => data(3 DOWNTO 0), q => wire_altpriority_encoder11_q, zero => wire_altpriority_encoder11_zero ); loop50 : FOR i IN 0 TO 1 GENERATE wire_altpriority_encoder12_w_lg_w_lg_zero910w911w(i) <= wire_altpriority_encoder12_w_lg_zero910w(0) AND wire_altpriority_encoder12_q(i); END GENERATE loop50; loop51 : FOR i IN 0 TO 1 GENERATE wire_altpriority_encoder12_w_lg_zero912w(i) <= wire_altpriority_encoder12_zero AND wire_altpriority_encoder11_q(i); END GENERATE loop51; wire_altpriority_encoder12_w_lg_zero910w(0) <= NOT wire_altpriority_encoder12_zero; loop52 : FOR i IN 0 TO 1 GENERATE wire_altpriority_encoder12_w_lg_w_lg_zero912w913w(i) <= wire_altpriority_encoder12_w_lg_zero912w(i) OR wire_altpriority_encoder12_w_lg_w_lg_zero910w911w(i); END GENERATE loop52; altpriority_encoder12 : fp_add_altpriority_encoder_6e8 PORT MAP ( data => data(7 DOWNTO 4), q => wire_altpriority_encoder12_q, zero => wire_altpriority_encoder12_zero ); END RTL; --fp_add_altpriority_encoder_be8 --altpriority_encoder CBX_AUTO_BLACKBOX="ALL" LSB_PRIORITY="NO" WIDTH=8 WIDTHAD=3 data q --VERSION_BEGIN 9.1SP2 cbx_altpriority_encoder 2010:03:24:20:34:20:SJ cbx_mgl 2010:03:24:20:44:08:SJ VERSION_END --altpriority_encoder CBX_AUTO_BLACKBOX="ALL" LSB_PRIORITY="NO" WIDTH=4 WIDTHAD=2 data q --VERSION_BEGIN 9.1SP2 cbx_altpriority_encoder 2010:03:24:20:34:20:SJ cbx_mgl 2010:03:24:20:44:08:SJ VERSION_END --altpriority_encoder CBX_AUTO_BLACKBOX="ALL" LSB_PRIORITY="NO" WIDTH=2 WIDTHAD=1 data q --VERSION_BEGIN 9.1SP2 cbx_altpriority_encoder 2010:03:24:20:34:20:SJ cbx_mgl 2010:03:24:20:44:08:SJ VERSION_END --synthesis_resources = LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY fp_add_altpriority_encoder_3v7 IS PORT ( data : IN STD_LOGIC_VECTOR (1 DOWNTO 0); q : OUT STD_LOGIC_VECTOR (0 DOWNTO 0) ); END fp_add_altpriority_encoder_3v7; ARCHITECTURE RTL OF fp_add_altpriority_encoder_3v7 IS BEGIN q(0) <= ( data(1)); END RTL; --fp_add_altpriority_encoder_3v7 --synthesis_resources = LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY fp_add_altpriority_encoder_6v7 IS PORT ( data : IN STD_LOGIC_VECTOR (3 DOWNTO 0); q : OUT STD_LOGIC_VECTOR (1 DOWNTO 0) ); END fp_add_altpriority_encoder_6v7; ARCHITECTURE RTL OF fp_add_altpriority_encoder_6v7 IS SIGNAL wire_altpriority_encoder17_q : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_altpriority_encoder18_w_lg_w_lg_zero945w946w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_altpriority_encoder18_w_lg_zero947w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_altpriority_encoder18_w_lg_zero945w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_altpriority_encoder18_w_lg_w_lg_zero947w948w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_altpriority_encoder18_q : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_altpriority_encoder18_zero : STD_LOGIC; COMPONENT fp_add_altpriority_encoder_3v7 PORT ( data : IN STD_LOGIC_VECTOR(1 DOWNTO 0); q : OUT STD_LOGIC_VECTOR(0 DOWNTO 0) ); END COMPONENT; COMPONENT fp_add_altpriority_encoder_3e8 PORT ( data : IN STD_LOGIC_VECTOR(1 DOWNTO 0); q : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); zero : OUT STD_LOGIC ); END COMPONENT; BEGIN q <= ( wire_altpriority_encoder18_w_lg_zero945w & wire_altpriority_encoder18_w_lg_w_lg_zero947w948w); altpriority_encoder17 : fp_add_altpriority_encoder_3v7 PORT MAP ( data => data(1 DOWNTO 0), q => wire_altpriority_encoder17_q ); wire_altpriority_encoder18_w_lg_w_lg_zero945w946w(0) <= wire_altpriority_encoder18_w_lg_zero945w(0) AND wire_altpriority_encoder18_q(0); wire_altpriority_encoder18_w_lg_zero947w(0) <= wire_altpriority_encoder18_zero AND wire_altpriority_encoder17_q(0); wire_altpriority_encoder18_w_lg_zero945w(0) <= NOT wire_altpriority_encoder18_zero; wire_altpriority_encoder18_w_lg_w_lg_zero947w948w(0) <= wire_altpriority_encoder18_w_lg_zero947w(0) OR wire_altpriority_encoder18_w_lg_w_lg_zero945w946w(0); altpriority_encoder18 : fp_add_altpriority_encoder_3e8 PORT MAP ( data => data(3 DOWNTO 2), q => wire_altpriority_encoder18_q, zero => wire_altpriority_encoder18_zero ); END RTL; --fp_add_altpriority_encoder_6v7 --synthesis_resources = LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY fp_add_altpriority_encoder_bv7 IS PORT ( data : IN STD_LOGIC_VECTOR (7 DOWNTO 0); q : OUT STD_LOGIC_VECTOR (2 DOWNTO 0) ); END fp_add_altpriority_encoder_bv7; ARCHITECTURE RTL OF fp_add_altpriority_encoder_bv7 IS SIGNAL wire_altpriority_encoder15_q : STD_LOGIC_VECTOR (1 DOWNTO 0); SIGNAL wire_altpriority_encoder16_w_lg_w_lg_zero936w937w : STD_LOGIC_VECTOR (1 DOWNTO 0); SIGNAL wire_altpriority_encoder16_w_lg_zero938w : STD_LOGIC_VECTOR (1 DOWNTO 0); SIGNAL wire_altpriority_encoder16_w_lg_zero936w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_altpriority_encoder16_w_lg_w_lg_zero938w939w : STD_LOGIC_VECTOR (1 DOWNTO 0); SIGNAL wire_altpriority_encoder16_q : STD_LOGIC_VECTOR (1 DOWNTO 0); SIGNAL wire_altpriority_encoder16_zero : STD_LOGIC; COMPONENT fp_add_altpriority_encoder_6v7 PORT ( data : IN STD_LOGIC_VECTOR(3 DOWNTO 0); q : OUT STD_LOGIC_VECTOR(1 DOWNTO 0) ); END COMPONENT; COMPONENT fp_add_altpriority_encoder_6e8 PORT ( data : IN STD_LOGIC_VECTOR(3 DOWNTO 0); q : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); zero : OUT STD_LOGIC ); END COMPONENT; BEGIN q <= ( wire_altpriority_encoder16_w_lg_zero936w & wire_altpriority_encoder16_w_lg_w_lg_zero938w939w); altpriority_encoder15 : fp_add_altpriority_encoder_6v7 PORT MAP ( data => data(3 DOWNTO 0), q => wire_altpriority_encoder15_q ); loop53 : FOR i IN 0 TO 1 GENERATE wire_altpriority_encoder16_w_lg_w_lg_zero936w937w(i) <= wire_altpriority_encoder16_w_lg_zero936w(0) AND wire_altpriority_encoder16_q(i); END GENERATE loop53; loop54 : FOR i IN 0 TO 1 GENERATE wire_altpriority_encoder16_w_lg_zero938w(i) <= wire_altpriority_encoder16_zero AND wire_altpriority_encoder15_q(i); END GENERATE loop54; wire_altpriority_encoder16_w_lg_zero936w(0) <= NOT wire_altpriority_encoder16_zero; loop55 : FOR i IN 0 TO 1 GENERATE wire_altpriority_encoder16_w_lg_w_lg_zero938w939w(i) <= wire_altpriority_encoder16_w_lg_zero938w(i) OR wire_altpriority_encoder16_w_lg_w_lg_zero936w937w(i); END GENERATE loop55; altpriority_encoder16 : fp_add_altpriority_encoder_6e8 PORT MAP ( data => data(7 DOWNTO 4), q => wire_altpriority_encoder16_q, zero => wire_altpriority_encoder16_zero ); END RTL; --fp_add_altpriority_encoder_bv7 --synthesis_resources = LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY fp_add_altpriority_encoder_r08 IS PORT ( data : IN STD_LOGIC_VECTOR (15 DOWNTO 0); q : OUT STD_LOGIC_VECTOR (3 DOWNTO 0) ); END fp_add_altpriority_encoder_r08; ARCHITECTURE RTL OF fp_add_altpriority_encoder_r08 IS SIGNAL wire_altpriority_encoder10_w_lg_w_lg_zero901w902w : STD_LOGIC_VECTOR (2 DOWNTO 0); SIGNAL wire_altpriority_encoder10_w_lg_zero903w : STD_LOGIC_VECTOR (2 DOWNTO 0); SIGNAL wire_altpriority_encoder10_w_lg_zero901w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_altpriority_encoder10_w_lg_w_lg_zero903w904w : STD_LOGIC_VECTOR (2 DOWNTO 0); SIGNAL wire_altpriority_encoder10_q : STD_LOGIC_VECTOR (2 DOWNTO 0); SIGNAL wire_altpriority_encoder10_zero : STD_LOGIC; SIGNAL wire_altpriority_encoder9_q : STD_LOGIC_VECTOR (2 DOWNTO 0); COMPONENT fp_add_altpriority_encoder_be8 PORT ( data : IN STD_LOGIC_VECTOR(7 DOWNTO 0); q : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); zero : OUT STD_LOGIC ); END COMPONENT; COMPONENT fp_add_altpriority_encoder_bv7 PORT ( data : IN STD_LOGIC_VECTOR(7 DOWNTO 0); q : OUT STD_LOGIC_VECTOR(2 DOWNTO 0) ); END COMPONENT; BEGIN q <= ( wire_altpriority_encoder10_w_lg_zero901w & wire_altpriority_encoder10_w_lg_w_lg_zero903w904w); loop56 : FOR i IN 0 TO 2 GENERATE wire_altpriority_encoder10_w_lg_w_lg_zero901w902w(i) <= wire_altpriority_encoder10_w_lg_zero901w(0) AND wire_altpriority_encoder10_q(i); END GENERATE loop56; loop57 : FOR i IN 0 TO 2 GENERATE wire_altpriority_encoder10_w_lg_zero903w(i) <= wire_altpriority_encoder10_zero AND wire_altpriority_encoder9_q(i); END GENERATE loop57; wire_altpriority_encoder10_w_lg_zero901w(0) <= NOT wire_altpriority_encoder10_zero; loop58 : FOR i IN 0 TO 2 GENERATE wire_altpriority_encoder10_w_lg_w_lg_zero903w904w(i) <= wire_altpriority_encoder10_w_lg_zero903w(i) OR wire_altpriority_encoder10_w_lg_w_lg_zero901w902w(i); END GENERATE loop58; altpriority_encoder10 : fp_add_altpriority_encoder_be8 PORT MAP ( data => data(15 DOWNTO 8), q => wire_altpriority_encoder10_q, zero => wire_altpriority_encoder10_zero ); altpriority_encoder9 : fp_add_altpriority_encoder_bv7 PORT MAP ( data => data(7 DOWNTO 0), q => wire_altpriority_encoder9_q ); END RTL; --fp_add_altpriority_encoder_r08 --altpriority_encoder CBX_AUTO_BLACKBOX="ALL" LSB_PRIORITY="NO" WIDTH=16 WIDTHAD=4 data q zero --VERSION_BEGIN 9.1SP2 cbx_altpriority_encoder 2010:03:24:20:34:20:SJ cbx_mgl 2010:03:24:20:44:08:SJ VERSION_END --synthesis_resources = LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY fp_add_altpriority_encoder_rf8 IS PORT ( data : IN STD_LOGIC_VECTOR (15 DOWNTO 0); q : OUT STD_LOGIC_VECTOR (3 DOWNTO 0); zero : OUT STD_LOGIC ); END fp_add_altpriority_encoder_rf8; ARCHITECTURE RTL OF fp_add_altpriority_encoder_rf8 IS SIGNAL wire_altpriority_encoder19_q : STD_LOGIC_VECTOR (2 DOWNTO 0); SIGNAL wire_altpriority_encoder19_zero : STD_LOGIC; SIGNAL wire_altpriority_encoder20_w_lg_w_lg_zero957w958w : STD_LOGIC_VECTOR (2 DOWNTO 0); SIGNAL wire_altpriority_encoder20_w_lg_zero959w : STD_LOGIC_VECTOR (2 DOWNTO 0); SIGNAL wire_altpriority_encoder20_w_lg_zero957w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_altpriority_encoder20_w_lg_w_lg_zero959w960w : STD_LOGIC_VECTOR (2 DOWNTO 0); SIGNAL wire_altpriority_encoder20_q : STD_LOGIC_VECTOR (2 DOWNTO 0); SIGNAL wire_altpriority_encoder20_zero : STD_LOGIC; COMPONENT fp_add_altpriority_encoder_be8 PORT ( data : IN STD_LOGIC_VECTOR(7 DOWNTO 0); q : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); zero : OUT STD_LOGIC ); END COMPONENT; BEGIN q <= ( wire_altpriority_encoder20_w_lg_zero957w & wire_altpriority_encoder20_w_lg_w_lg_zero959w960w); zero <= (wire_altpriority_encoder19_zero AND wire_altpriority_encoder20_zero); altpriority_encoder19 : fp_add_altpriority_encoder_be8 PORT MAP ( data => data(7 DOWNTO 0), q => wire_altpriority_encoder19_q, zero => wire_altpriority_encoder19_zero ); loop59 : FOR i IN 0 TO 2 GENERATE wire_altpriority_encoder20_w_lg_w_lg_zero957w958w(i) <= wire_altpriority_encoder20_w_lg_zero957w(0) AND wire_altpriority_encoder20_q(i); END GENERATE loop59; loop60 : FOR i IN 0 TO 2 GENERATE wire_altpriority_encoder20_w_lg_zero959w(i) <= wire_altpriority_encoder20_zero AND wire_altpriority_encoder19_q(i); END GENERATE loop60; wire_altpriority_encoder20_w_lg_zero957w(0) <= NOT wire_altpriority_encoder20_zero; loop61 : FOR i IN 0 TO 2 GENERATE wire_altpriority_encoder20_w_lg_w_lg_zero959w960w(i) <= wire_altpriority_encoder20_w_lg_zero959w(i) OR wire_altpriority_encoder20_w_lg_w_lg_zero957w958w(i); END GENERATE loop61; altpriority_encoder20 : fp_add_altpriority_encoder_be8 PORT MAP ( data => data(15 DOWNTO 8), q => wire_altpriority_encoder20_q, zero => wire_altpriority_encoder20_zero ); END RTL; --fp_add_altpriority_encoder_rf8 --synthesis_resources = LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY fp_add_altpriority_encoder_qb6 IS PORT ( data : IN STD_LOGIC_VECTOR (31 DOWNTO 0); q : OUT STD_LOGIC_VECTOR (4 DOWNTO 0) ); END fp_add_altpriority_encoder_qb6; ARCHITECTURE RTL OF fp_add_altpriority_encoder_qb6 IS SIGNAL wire_altpriority_encoder7_q : STD_LOGIC_VECTOR (3 DOWNTO 0); SIGNAL wire_altpriority_encoder8_w_lg_w_lg_zero892w893w : STD_LOGIC_VECTOR (3 DOWNTO 0); SIGNAL wire_altpriority_encoder8_w_lg_zero894w : STD_LOGIC_VECTOR (3 DOWNTO 0); SIGNAL wire_altpriority_encoder8_w_lg_zero892w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_altpriority_encoder8_w_lg_w_lg_zero894w895w : STD_LOGIC_VECTOR (3 DOWNTO 0); SIGNAL wire_altpriority_encoder8_q : STD_LOGIC_VECTOR (3 DOWNTO 0); SIGNAL wire_altpriority_encoder8_zero : STD_LOGIC; COMPONENT fp_add_altpriority_encoder_r08 PORT ( data : IN STD_LOGIC_VECTOR(15 DOWNTO 0); q : OUT STD_LOGIC_VECTOR(3 DOWNTO 0) ); END COMPONENT; COMPONENT fp_add_altpriority_encoder_rf8 PORT ( data : IN STD_LOGIC_VECTOR(15 DOWNTO 0); q : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); zero : OUT STD_LOGIC ); END COMPONENT; BEGIN q <= ( wire_altpriority_encoder8_w_lg_zero892w & wire_altpriority_encoder8_w_lg_w_lg_zero894w895w); altpriority_encoder7 : fp_add_altpriority_encoder_r08 PORT MAP ( data => data(15 DOWNTO 0), q => wire_altpriority_encoder7_q ); loop62 : FOR i IN 0 TO 3 GENERATE wire_altpriority_encoder8_w_lg_w_lg_zero892w893w(i) <= wire_altpriority_encoder8_w_lg_zero892w(0) AND wire_altpriority_encoder8_q(i); END GENERATE loop62; loop63 : FOR i IN 0 TO 3 GENERATE wire_altpriority_encoder8_w_lg_zero894w(i) <= wire_altpriority_encoder8_zero AND wire_altpriority_encoder7_q(i); END GENERATE loop63; wire_altpriority_encoder8_w_lg_zero892w(0) <= NOT wire_altpriority_encoder8_zero; loop64 : FOR i IN 0 TO 3 GENERATE wire_altpriority_encoder8_w_lg_w_lg_zero894w895w(i) <= wire_altpriority_encoder8_w_lg_zero894w(i) OR wire_altpriority_encoder8_w_lg_w_lg_zero892w893w(i); END GENERATE loop64; altpriority_encoder8 : fp_add_altpriority_encoder_rf8 PORT MAP ( data => data(31 DOWNTO 16), q => wire_altpriority_encoder8_q, zero => wire_altpriority_encoder8_zero ); END RTL; --fp_add_altpriority_encoder_qb6 --altpriority_encoder CBX_AUTO_BLACKBOX="ALL" LSB_PRIORITY="YES" WIDTH=32 WIDTHAD=5 data q --VERSION_BEGIN 9.1SP2 cbx_altpriority_encoder 2010:03:24:20:34:20:SJ cbx_mgl 2010:03:24:20:44:08:SJ VERSION_END --altpriority_encoder CBX_AUTO_BLACKBOX="ALL" LSB_PRIORITY="YES" WIDTH=16 WIDTHAD=4 data q zero --VERSION_BEGIN 9.1SP2 cbx_altpriority_encoder 2010:03:24:20:34:20:SJ cbx_mgl 2010:03:24:20:44:08:SJ VERSION_END --altpriority_encoder CBX_AUTO_BLACKBOX="ALL" LSB_PRIORITY="YES" WIDTH=8 WIDTHAD=3 data q zero --VERSION_BEGIN 9.1SP2 cbx_altpriority_encoder 2010:03:24:20:34:20:SJ cbx_mgl 2010:03:24:20:44:08:SJ VERSION_END --altpriority_encoder CBX_AUTO_BLACKBOX="ALL" LSB_PRIORITY="YES" WIDTH=4 WIDTHAD=2 data q zero --VERSION_BEGIN 9.1SP2 cbx_altpriority_encoder 2010:03:24:20:34:20:SJ cbx_mgl 2010:03:24:20:44:08:SJ VERSION_END --altpriority_encoder CBX_AUTO_BLACKBOX="ALL" LSB_PRIORITY="YES" WIDTH=2 WIDTHAD=1 data q zero --VERSION_BEGIN 9.1SP2 cbx_altpriority_encoder 2010:03:24:20:34:20:SJ cbx_mgl 2010:03:24:20:44:08:SJ VERSION_END --synthesis_resources = LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY fp_add_altpriority_encoder_nh8 IS PORT ( data : IN STD_LOGIC_VECTOR (1 DOWNTO 0); q : OUT STD_LOGIC_VECTOR (0 DOWNTO 0); zero : OUT STD_LOGIC ); END fp_add_altpriority_encoder_nh8; ARCHITECTURE RTL OF fp_add_altpriority_encoder_nh8 IS SIGNAL wire_altpriority_encoder27_w_lg_w_data_range1004w1005w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_altpriority_encoder27_w_data_range1004w : STD_LOGIC_VECTOR (0 DOWNTO 0); BEGIN wire_altpriority_encoder27_w_lg_w_data_range1004w1005w(0) <= NOT wire_altpriority_encoder27_w_data_range1004w(0); q <= ( wire_altpriority_encoder27_w_lg_w_data_range1004w1005w); zero <= (NOT (data(0) OR data(1))); wire_altpriority_encoder27_w_data_range1004w(0) <= data(0); END RTL; --fp_add_altpriority_encoder_nh8 --synthesis_resources = LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY fp_add_altpriority_encoder_qh8 IS PORT ( data : IN STD_LOGIC_VECTOR (3 DOWNTO 0); q : OUT STD_LOGIC_VECTOR (1 DOWNTO 0); zero : OUT STD_LOGIC ); END fp_add_altpriority_encoder_qh8; ARCHITECTURE RTL OF fp_add_altpriority_encoder_qh8 IS SIGNAL wire_altpriority_encoder27_w_lg_w_lg_zero996w997w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_altpriority_encoder27_w_lg_zero998w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_altpriority_encoder27_w_lg_zero996w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_altpriority_encoder27_w_lg_w_lg_zero998w999w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_altpriority_encoder27_q : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_altpriority_encoder27_zero : STD_LOGIC; SIGNAL wire_altpriority_encoder28_q : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_altpriority_encoder28_zero : STD_LOGIC; COMPONENT fp_add_altpriority_encoder_nh8 PORT ( data : IN STD_LOGIC_VECTOR(1 DOWNTO 0); q : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); zero : OUT STD_LOGIC ); END COMPONENT; BEGIN q <= ( wire_altpriority_encoder27_zero & wire_altpriority_encoder27_w_lg_w_lg_zero998w999w); zero <= (wire_altpriority_encoder27_zero AND wire_altpriority_encoder28_zero); wire_altpriority_encoder27_w_lg_w_lg_zero996w997w(0) <= wire_altpriority_encoder27_w_lg_zero996w(0) AND wire_altpriority_encoder27_q(0); wire_altpriority_encoder27_w_lg_zero998w(0) <= wire_altpriority_encoder27_zero AND wire_altpriority_encoder28_q(0); wire_altpriority_encoder27_w_lg_zero996w(0) <= NOT wire_altpriority_encoder27_zero; wire_altpriority_encoder27_w_lg_w_lg_zero998w999w(0) <= wire_altpriority_encoder27_w_lg_zero998w(0) OR wire_altpriority_encoder27_w_lg_w_lg_zero996w997w(0); altpriority_encoder27 : fp_add_altpriority_encoder_nh8 PORT MAP ( data => data(1 DOWNTO 0), q => wire_altpriority_encoder27_q, zero => wire_altpriority_encoder27_zero ); altpriority_encoder28 : fp_add_altpriority_encoder_nh8 PORT MAP ( data => data(3 DOWNTO 2), q => wire_altpriority_encoder28_q, zero => wire_altpriority_encoder28_zero ); END RTL; --fp_add_altpriority_encoder_qh8 --synthesis_resources = LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY fp_add_altpriority_encoder_vh8 IS PORT ( data : IN STD_LOGIC_VECTOR (7 DOWNTO 0); q : OUT STD_LOGIC_VECTOR (2 DOWNTO 0); zero : OUT STD_LOGIC ); END fp_add_altpriority_encoder_vh8; ARCHITECTURE RTL OF fp_add_altpriority_encoder_vh8 IS SIGNAL wire_altpriority_encoder25_w_lg_w_lg_zero986w987w : STD_LOGIC_VECTOR (1 DOWNTO 0); SIGNAL wire_altpriority_encoder25_w_lg_zero988w : STD_LOGIC_VECTOR (1 DOWNTO 0); SIGNAL wire_altpriority_encoder25_w_lg_zero986w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_altpriority_encoder25_w_lg_w_lg_zero988w989w : STD_LOGIC_VECTOR (1 DOWNTO 0); SIGNAL wire_altpriority_encoder25_q : STD_LOGIC_VECTOR (1 DOWNTO 0); SIGNAL wire_altpriority_encoder25_zero : STD_LOGIC; SIGNAL wire_altpriority_encoder26_q : STD_LOGIC_VECTOR (1 DOWNTO 0); SIGNAL wire_altpriority_encoder26_zero : STD_LOGIC; COMPONENT fp_add_altpriority_encoder_qh8 PORT ( data : IN STD_LOGIC_VECTOR(3 DOWNTO 0); q : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); zero : OUT STD_LOGIC ); END COMPONENT; BEGIN q <= ( wire_altpriority_encoder25_zero & wire_altpriority_encoder25_w_lg_w_lg_zero988w989w); zero <= (wire_altpriority_encoder25_zero AND wire_altpriority_encoder26_zero); loop65 : FOR i IN 0 TO 1 GENERATE wire_altpriority_encoder25_w_lg_w_lg_zero986w987w(i) <= wire_altpriority_encoder25_w_lg_zero986w(0) AND wire_altpriority_encoder25_q(i); END GENERATE loop65; loop66 : FOR i IN 0 TO 1 GENERATE wire_altpriority_encoder25_w_lg_zero988w(i) <= wire_altpriority_encoder25_zero AND wire_altpriority_encoder26_q(i); END GENERATE loop66; wire_altpriority_encoder25_w_lg_zero986w(0) <= NOT wire_altpriority_encoder25_zero; loop67 : FOR i IN 0 TO 1 GENERATE wire_altpriority_encoder25_w_lg_w_lg_zero988w989w(i) <= wire_altpriority_encoder25_w_lg_zero988w(i) OR wire_altpriority_encoder25_w_lg_w_lg_zero986w987w(i); END GENERATE loop67; altpriority_encoder25 : fp_add_altpriority_encoder_qh8 PORT MAP ( data => data(3 DOWNTO 0), q => wire_altpriority_encoder25_q, zero => wire_altpriority_encoder25_zero ); altpriority_encoder26 : fp_add_altpriority_encoder_qh8 PORT MAP ( data => data(7 DOWNTO 4), q => wire_altpriority_encoder26_q, zero => wire_altpriority_encoder26_zero ); END RTL; --fp_add_altpriority_encoder_vh8 --synthesis_resources = LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY fp_add_altpriority_encoder_fj8 IS PORT ( data : IN STD_LOGIC_VECTOR (15 DOWNTO 0); q : OUT STD_LOGIC_VECTOR (3 DOWNTO 0); zero : OUT STD_LOGIC ); END fp_add_altpriority_encoder_fj8; ARCHITECTURE RTL OF fp_add_altpriority_encoder_fj8 IS SIGNAL wire_altpriority_encoder23_w_lg_w_lg_zero976w977w : STD_LOGIC_VECTOR (2 DOWNTO 0); SIGNAL wire_altpriority_encoder23_w_lg_zero978w : STD_LOGIC_VECTOR (2 DOWNTO 0); SIGNAL wire_altpriority_encoder23_w_lg_zero976w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_altpriority_encoder23_w_lg_w_lg_zero978w979w : STD_LOGIC_VECTOR (2 DOWNTO 0); SIGNAL wire_altpriority_encoder23_q : STD_LOGIC_VECTOR (2 DOWNTO 0); SIGNAL wire_altpriority_encoder23_zero : STD_LOGIC; SIGNAL wire_altpriority_encoder24_q : STD_LOGIC_VECTOR (2 DOWNTO 0); SIGNAL wire_altpriority_encoder24_zero : STD_LOGIC; COMPONENT fp_add_altpriority_encoder_vh8 PORT ( data : IN STD_LOGIC_VECTOR(7 DOWNTO 0); q : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); zero : OUT STD_LOGIC ); END COMPONENT; BEGIN q <= ( wire_altpriority_encoder23_zero & wire_altpriority_encoder23_w_lg_w_lg_zero978w979w); zero <= (wire_altpriority_encoder23_zero AND wire_altpriority_encoder24_zero); loop68 : FOR i IN 0 TO 2 GENERATE wire_altpriority_encoder23_w_lg_w_lg_zero976w977w(i) <= wire_altpriority_encoder23_w_lg_zero976w(0) AND wire_altpriority_encoder23_q(i); END GENERATE loop68; loop69 : FOR i IN 0 TO 2 GENERATE wire_altpriority_encoder23_w_lg_zero978w(i) <= wire_altpriority_encoder23_zero AND wire_altpriority_encoder24_q(i); END GENERATE loop69; wire_altpriority_encoder23_w_lg_zero976w(0) <= NOT wire_altpriority_encoder23_zero; loop70 : FOR i IN 0 TO 2 GENERATE wire_altpriority_encoder23_w_lg_w_lg_zero978w979w(i) <= wire_altpriority_encoder23_w_lg_zero978w(i) OR wire_altpriority_encoder23_w_lg_w_lg_zero976w977w(i); END GENERATE loop70; altpriority_encoder23 : fp_add_altpriority_encoder_vh8 PORT MAP ( data => data(7 DOWNTO 0), q => wire_altpriority_encoder23_q, zero => wire_altpriority_encoder23_zero ); altpriority_encoder24 : fp_add_altpriority_encoder_vh8 PORT MAP ( data => data(15 DOWNTO 8), q => wire_altpriority_encoder24_q, zero => wire_altpriority_encoder24_zero ); END RTL; --fp_add_altpriority_encoder_fj8 --altpriority_encoder CBX_AUTO_BLACKBOX="ALL" LSB_PRIORITY="YES" WIDTH=16 WIDTHAD=4 data q --VERSION_BEGIN 9.1SP2 cbx_altpriority_encoder 2010:03:24:20:34:20:SJ cbx_mgl 2010:03:24:20:44:08:SJ VERSION_END --altpriority_encoder CBX_AUTO_BLACKBOX="ALL" LSB_PRIORITY="YES" WIDTH=8 WIDTHAD=3 data q --VERSION_BEGIN 9.1SP2 cbx_altpriority_encoder 2010:03:24:20:34:20:SJ cbx_mgl 2010:03:24:20:44:08:SJ VERSION_END --altpriority_encoder CBX_AUTO_BLACKBOX="ALL" LSB_PRIORITY="YES" WIDTH=4 WIDTHAD=2 data q --VERSION_BEGIN 9.1SP2 cbx_altpriority_encoder 2010:03:24:20:34:20:SJ cbx_mgl 2010:03:24:20:44:08:SJ VERSION_END --altpriority_encoder CBX_AUTO_BLACKBOX="ALL" LSB_PRIORITY="YES" WIDTH=2 WIDTHAD=1 data q --VERSION_BEGIN 9.1SP2 cbx_altpriority_encoder 2010:03:24:20:34:20:SJ cbx_mgl 2010:03:24:20:44:08:SJ VERSION_END --synthesis_resources = LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY fp_add_altpriority_encoder_n28 IS PORT ( data : IN STD_LOGIC_VECTOR (1 DOWNTO 0); q : OUT STD_LOGIC_VECTOR (0 DOWNTO 0) ); END fp_add_altpriority_encoder_n28; ARCHITECTURE RTL OF fp_add_altpriority_encoder_n28 IS SIGNAL wire_altpriority_encoder34_w_lg_w_data_range1038w1039w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_altpriority_encoder34_w_data_range1038w : STD_LOGIC_VECTOR (0 DOWNTO 0); BEGIN wire_altpriority_encoder34_w_lg_w_data_range1038w1039w(0) <= NOT wire_altpriority_encoder34_w_data_range1038w(0); q <= ( wire_altpriority_encoder34_w_lg_w_data_range1038w1039w); wire_altpriority_encoder34_w_data_range1038w(0) <= data(0); END RTL; --fp_add_altpriority_encoder_n28 --synthesis_resources = LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY fp_add_altpriority_encoder_q28 IS PORT ( data : IN STD_LOGIC_VECTOR (3 DOWNTO 0); q : OUT STD_LOGIC_VECTOR (1 DOWNTO 0) ); END fp_add_altpriority_encoder_q28; ARCHITECTURE RTL OF fp_add_altpriority_encoder_q28 IS SIGNAL wire_altpriority_encoder33_w_lg_w_lg_zero1031w1032w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_altpriority_encoder33_w_lg_zero1033w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_altpriority_encoder33_w_lg_zero1031w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_altpriority_encoder33_w_lg_w_lg_zero1033w1034w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_altpriority_encoder33_q : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_altpriority_encoder33_zero : STD_LOGIC; SIGNAL wire_altpriority_encoder34_q : STD_LOGIC_VECTOR (0 DOWNTO 0); COMPONENT fp_add_altpriority_encoder_nh8 PORT ( data : IN STD_LOGIC_VECTOR(1 DOWNTO 0); q : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); zero : OUT STD_LOGIC ); END COMPONENT; COMPONENT fp_add_altpriority_encoder_n28 PORT ( data : IN STD_LOGIC_VECTOR(1 DOWNTO 0); q : OUT STD_LOGIC_VECTOR(0 DOWNTO 0) ); END COMPONENT; BEGIN q <= ( wire_altpriority_encoder33_zero & wire_altpriority_encoder33_w_lg_w_lg_zero1033w1034w); wire_altpriority_encoder33_w_lg_w_lg_zero1031w1032w(0) <= wire_altpriority_encoder33_w_lg_zero1031w(0) AND wire_altpriority_encoder33_q(0); wire_altpriority_encoder33_w_lg_zero1033w(0) <= wire_altpriority_encoder33_zero AND wire_altpriority_encoder34_q(0); wire_altpriority_encoder33_w_lg_zero1031w(0) <= NOT wire_altpriority_encoder33_zero; wire_altpriority_encoder33_w_lg_w_lg_zero1033w1034w(0) <= wire_altpriority_encoder33_w_lg_zero1033w(0) OR wire_altpriority_encoder33_w_lg_w_lg_zero1031w1032w(0); altpriority_encoder33 : fp_add_altpriority_encoder_nh8 PORT MAP ( data => data(1 DOWNTO 0), q => wire_altpriority_encoder33_q, zero => wire_altpriority_encoder33_zero ); altpriority_encoder34 : fp_add_altpriority_encoder_n28 PORT MAP ( data => data(3 DOWNTO 2), q => wire_altpriority_encoder34_q ); END RTL; --fp_add_altpriority_encoder_q28 --synthesis_resources = LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY fp_add_altpriority_encoder_v28 IS PORT ( data : IN STD_LOGIC_VECTOR (7 DOWNTO 0); q : OUT STD_LOGIC_VECTOR (2 DOWNTO 0) ); END fp_add_altpriority_encoder_v28; ARCHITECTURE RTL OF fp_add_altpriority_encoder_v28 IS SIGNAL wire_altpriority_encoder31_w_lg_w_lg_zero1022w1023w : STD_LOGIC_VECTOR (1 DOWNTO 0); SIGNAL wire_altpriority_encoder31_w_lg_zero1024w : STD_LOGIC_VECTOR (1 DOWNTO 0); SIGNAL wire_altpriority_encoder31_w_lg_zero1022w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_altpriority_encoder31_w_lg_w_lg_zero1024w1025w : STD_LOGIC_VECTOR (1 DOWNTO 0); SIGNAL wire_altpriority_encoder31_q : STD_LOGIC_VECTOR (1 DOWNTO 0); SIGNAL wire_altpriority_encoder31_zero : STD_LOGIC; SIGNAL wire_altpriority_encoder32_q : STD_LOGIC_VECTOR (1 DOWNTO 0); COMPONENT fp_add_altpriority_encoder_qh8 PORT ( data : IN STD_LOGIC_VECTOR(3 DOWNTO 0); q : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); zero : OUT STD_LOGIC ); END COMPONENT; COMPONENT fp_add_altpriority_encoder_q28 PORT ( data : IN STD_LOGIC_VECTOR(3 DOWNTO 0); q : OUT STD_LOGIC_VECTOR(1 DOWNTO 0) ); END COMPONENT; BEGIN q <= ( wire_altpriority_encoder31_zero & wire_altpriority_encoder31_w_lg_w_lg_zero1024w1025w); loop71 : FOR i IN 0 TO 1 GENERATE wire_altpriority_encoder31_w_lg_w_lg_zero1022w1023w(i) <= wire_altpriority_encoder31_w_lg_zero1022w(0) AND wire_altpriority_encoder31_q(i); END GENERATE loop71; loop72 : FOR i IN 0 TO 1 GENERATE wire_altpriority_encoder31_w_lg_zero1024w(i) <= wire_altpriority_encoder31_zero AND wire_altpriority_encoder32_q(i); END GENERATE loop72; wire_altpriority_encoder31_w_lg_zero1022w(0) <= NOT wire_altpriority_encoder31_zero; loop73 : FOR i IN 0 TO 1 GENERATE wire_altpriority_encoder31_w_lg_w_lg_zero1024w1025w(i) <= wire_altpriority_encoder31_w_lg_zero1024w(i) OR wire_altpriority_encoder31_w_lg_w_lg_zero1022w1023w(i); END GENERATE loop73; altpriority_encoder31 : fp_add_altpriority_encoder_qh8 PORT MAP ( data => data(3 DOWNTO 0), q => wire_altpriority_encoder31_q, zero => wire_altpriority_encoder31_zero ); altpriority_encoder32 : fp_add_altpriority_encoder_q28 PORT MAP ( data => data(7 DOWNTO 4), q => wire_altpriority_encoder32_q ); END RTL; --fp_add_altpriority_encoder_v28 --synthesis_resources = LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY fp_add_altpriority_encoder_f48 IS PORT ( data : IN STD_LOGIC_VECTOR (15 DOWNTO 0); q : OUT STD_LOGIC_VECTOR (3 DOWNTO 0) ); END fp_add_altpriority_encoder_f48; ARCHITECTURE RTL OF fp_add_altpriority_encoder_f48 IS SIGNAL wire_altpriority_encoder29_w_lg_w_lg_zero1013w1014w : STD_LOGIC_VECTOR (2 DOWNTO 0); SIGNAL wire_altpriority_encoder29_w_lg_zero1015w : STD_LOGIC_VECTOR (2 DOWNTO 0); SIGNAL wire_altpriority_encoder29_w_lg_zero1013w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_altpriority_encoder29_w_lg_w_lg_zero1015w1016w : STD_LOGIC_VECTOR (2 DOWNTO 0); SIGNAL wire_altpriority_encoder29_q : STD_LOGIC_VECTOR (2 DOWNTO 0); SIGNAL wire_altpriority_encoder29_zero : STD_LOGIC; SIGNAL wire_altpriority_encoder30_q : STD_LOGIC_VECTOR (2 DOWNTO 0); COMPONENT fp_add_altpriority_encoder_vh8 PORT ( data : IN STD_LOGIC_VECTOR(7 DOWNTO 0); q : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); zero : OUT STD_LOGIC ); END COMPONENT; COMPONENT fp_add_altpriority_encoder_v28 PORT ( data : IN STD_LOGIC_VECTOR(7 DOWNTO 0); q : OUT STD_LOGIC_VECTOR(2 DOWNTO 0) ); END COMPONENT; BEGIN q <= ( wire_altpriority_encoder29_zero & wire_altpriority_encoder29_w_lg_w_lg_zero1015w1016w); loop74 : FOR i IN 0 TO 2 GENERATE wire_altpriority_encoder29_w_lg_w_lg_zero1013w1014w(i) <= wire_altpriority_encoder29_w_lg_zero1013w(0) AND wire_altpriority_encoder29_q(i); END GENERATE loop74; loop75 : FOR i IN 0 TO 2 GENERATE wire_altpriority_encoder29_w_lg_zero1015w(i) <= wire_altpriority_encoder29_zero AND wire_altpriority_encoder30_q(i); END GENERATE loop75; wire_altpriority_encoder29_w_lg_zero1013w(0) <= NOT wire_altpriority_encoder29_zero; loop76 : FOR i IN 0 TO 2 GENERATE wire_altpriority_encoder29_w_lg_w_lg_zero1015w1016w(i) <= wire_altpriority_encoder29_w_lg_zero1015w(i) OR wire_altpriority_encoder29_w_lg_w_lg_zero1013w1014w(i); END GENERATE loop76; altpriority_encoder29 : fp_add_altpriority_encoder_vh8 PORT MAP ( data => data(7 DOWNTO 0), q => wire_altpriority_encoder29_q, zero => wire_altpriority_encoder29_zero ); altpriority_encoder30 : fp_add_altpriority_encoder_v28 PORT MAP ( data => data(15 DOWNTO 8), q => wire_altpriority_encoder30_q ); END RTL; --fp_add_altpriority_encoder_f48 --synthesis_resources = LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY fp_add_altpriority_encoder_e48 IS PORT ( data : IN STD_LOGIC_VECTOR (31 DOWNTO 0); q : OUT STD_LOGIC_VECTOR (4 DOWNTO 0) ); END fp_add_altpriority_encoder_e48; ARCHITECTURE RTL OF fp_add_altpriority_encoder_e48 IS SIGNAL wire_altpriority_encoder21_w_lg_w_lg_zero967w968w : STD_LOGIC_VECTOR (3 DOWNTO 0); SIGNAL wire_altpriority_encoder21_w_lg_zero969w : STD_LOGIC_VECTOR (3 DOWNTO 0); SIGNAL wire_altpriority_encoder21_w_lg_zero967w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_altpriority_encoder21_w_lg_w_lg_zero969w970w : STD_LOGIC_VECTOR (3 DOWNTO 0); SIGNAL wire_altpriority_encoder21_q : STD_LOGIC_VECTOR (3 DOWNTO 0); SIGNAL wire_altpriority_encoder21_zero : STD_LOGIC; SIGNAL wire_altpriority_encoder22_q : STD_LOGIC_VECTOR (3 DOWNTO 0); COMPONENT fp_add_altpriority_encoder_fj8 PORT ( data : IN STD_LOGIC_VECTOR(15 DOWNTO 0); q : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); zero : OUT STD_LOGIC ); END COMPONENT; COMPONENT fp_add_altpriority_encoder_f48 PORT ( data : IN STD_LOGIC_VECTOR(15 DOWNTO 0); q : OUT STD_LOGIC_VECTOR(3 DOWNTO 0) ); END COMPONENT; BEGIN q <= ( wire_altpriority_encoder21_zero & wire_altpriority_encoder21_w_lg_w_lg_zero969w970w); loop77 : FOR i IN 0 TO 3 GENERATE wire_altpriority_encoder21_w_lg_w_lg_zero967w968w(i) <= wire_altpriority_encoder21_w_lg_zero967w(0) AND wire_altpriority_encoder21_q(i); END GENERATE loop77; loop78 : FOR i IN 0 TO 3 GENERATE wire_altpriority_encoder21_w_lg_zero969w(i) <= wire_altpriority_encoder21_zero AND wire_altpriority_encoder22_q(i); END GENERATE loop78; wire_altpriority_encoder21_w_lg_zero967w(0) <= NOT wire_altpriority_encoder21_zero; loop79 : FOR i IN 0 TO 3 GENERATE wire_altpriority_encoder21_w_lg_w_lg_zero969w970w(i) <= wire_altpriority_encoder21_w_lg_zero969w(i) OR wire_altpriority_encoder21_w_lg_w_lg_zero967w968w(i); END GENERATE loop79; altpriority_encoder21 : fp_add_altpriority_encoder_fj8 PORT MAP ( data => data(15 DOWNTO 0), q => wire_altpriority_encoder21_q, zero => wire_altpriority_encoder21_zero ); altpriority_encoder22 : fp_add_altpriority_encoder_f48 PORT MAP ( data => data(31 DOWNTO 16), q => wire_altpriority_encoder22_q ); END RTL; --fp_add_altpriority_encoder_e48 LIBRARY lpm; USE lpm.all; --synthesis_resources = lpm_add_sub 14 lpm_compare 1 reg 282 LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY fp_add_altfp_add_sub_13k IS PORT ( aclr : IN STD_LOGIC := '0'; clk_en : IN STD_LOGIC := '1'; clock : IN STD_LOGIC; dataa : IN STD_LOGIC_VECTOR (31 DOWNTO 0); datab : IN STD_LOGIC_VECTOR (31 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) ); END fp_add_altfp_add_sub_13k; ARCHITECTURE RTL OF fp_add_altfp_add_sub_13k IS SIGNAL wire_lbarrel_shift_result : STD_LOGIC_VECTOR (25 DOWNTO 0); SIGNAL wire_rbarrel_shift_data : STD_LOGIC_VECTOR (25 DOWNTO 0); SIGNAL wire_rbarrel_shift_result : STD_LOGIC_VECTOR (25 DOWNTO 0); SIGNAL wire_leading_zeroes_cnt_data : STD_LOGIC_VECTOR (31 DOWNTO 0); SIGNAL wire_leading_zeroes_cnt_q : STD_LOGIC_VECTOR (4 DOWNTO 0); SIGNAL wire_trailing_zeros_cnt_data : STD_LOGIC_VECTOR (31 DOWNTO 0); SIGNAL wire_trailing_zeros_cnt_q : STD_LOGIC_VECTOR (4 DOWNTO 0); SIGNAL both_inputs_are_infinite_dffe1 : STD_LOGIC -- synopsys translate_off := '0' -- synopsys translate_on ; SIGNAL data_exp_dffe1 : STD_LOGIC_VECTOR(7 DOWNTO 0) -- synopsys translate_off := (OTHERS => '0') -- synopsys translate_on ; SIGNAL dataa_man_dffe1 : STD_LOGIC_VECTOR(25 DOWNTO 0) -- synopsys translate_off := (OTHERS => '0') -- synopsys translate_on ; SIGNAL dataa_sign_dffe1 : STD_LOGIC -- synopsys translate_off := '0' -- synopsys translate_on ; SIGNAL datab_man_dffe1 : STD_LOGIC_VECTOR(25 DOWNTO 0) -- synopsys translate_off := (OTHERS => '0') -- synopsys translate_on ; SIGNAL datab_sign_dffe1 : STD_LOGIC -- synopsys translate_off := '0' -- synopsys translate_on ; SIGNAL denormal_res_dffe3 : STD_LOGIC -- synopsys translate_off := '0' -- synopsys translate_on ; SIGNAL denormal_res_dffe4 : STD_LOGIC -- synopsys translate_off := '0' -- synopsys translate_on ; SIGNAL exp_adj_dffe21 : STD_LOGIC_VECTOR(1 DOWNTO 0) -- synopsys translate_off := (OTHERS => '0') -- synopsys translate_on ; SIGNAL exp_out_dffe5 : STD_LOGIC_VECTOR(7 DOWNTO 0) -- synopsys translate_off := (OTHERS => '0') -- synopsys translate_on ; SIGNAL exp_res_dffe2 : STD_LOGIC_VECTOR(7 DOWNTO 0) -- synopsys translate_off := (OTHERS => '0') -- synopsys translate_on ; SIGNAL exp_res_dffe21 : STD_LOGIC_VECTOR(7 DOWNTO 0) -- synopsys translate_off := (OTHERS => '0') -- synopsys translate_on ; SIGNAL exp_res_dffe3 : STD_LOGIC_VECTOR(7 DOWNTO 0) -- synopsys translate_off := (OTHERS => '0') -- synopsys translate_on ; SIGNAL exp_res_dffe4 : STD_LOGIC_VECTOR(7 DOWNTO 0) -- synopsys translate_off := (OTHERS => '0') -- synopsys translate_on ; SIGNAL infinite_output_sign_dffe1 : STD_LOGIC -- synopsys translate_off := '0' -- synopsys translate_on ; SIGNAL infinite_output_sign_dffe2 : STD_LOGIC -- synopsys translate_off := '0' -- synopsys translate_on ; SIGNAL infinite_output_sign_dffe21 : STD_LOGIC -- synopsys translate_off := '0' -- synopsys translate_on ; SIGNAL infinite_output_sign_dffe3 : STD_LOGIC -- synopsys translate_off := '0' -- synopsys translate_on ; SIGNAL infinite_output_sign_dffe31 : STD_LOGIC -- synopsys translate_off := '0' -- synopsys translate_on ; SIGNAL infinite_output_sign_dffe4 : STD_LOGIC -- synopsys translate_off := '0' -- synopsys translate_on ; SIGNAL infinite_res_dffe3 : STD_LOGIC -- synopsys translate_off := '0' -- synopsys translate_on ; SIGNAL infinite_res_dffe4 : STD_LOGIC -- synopsys translate_off := '0' -- synopsys translate_on ; SIGNAL infinity_magnitude_sub_dffe2 : STD_LOGIC -- synopsys translate_off := '0' -- synopsys translate_on ; SIGNAL infinity_magnitude_sub_dffe21 : STD_LOGIC -- synopsys translate_off := '0' -- synopsys translate_on ; SIGNAL infinity_magnitude_sub_dffe3 : STD_LOGIC -- synopsys translate_off := '0' -- synopsys translate_on ; SIGNAL infinity_magnitude_sub_dffe31 : STD_LOGIC -- synopsys translate_off := '0' -- synopsys translate_on ; SIGNAL infinity_magnitude_sub_dffe4 : STD_LOGIC -- synopsys translate_off := '0' -- synopsys translate_on ; SIGNAL input_is_infinite_dffe1 : STD_LOGIC -- synopsys translate_off := '0' -- synopsys translate_on ; SIGNAL input_is_infinite_dffe2 : STD_LOGIC -- synopsys translate_off := '0' -- synopsys translate_on ; SIGNAL input_is_infinite_dffe21 : STD_LOGIC -- synopsys translate_off := '0' -- synopsys translate_on ; SIGNAL input_is_infinite_dffe3 : STD_LOGIC -- synopsys translate_off := '0' -- synopsys translate_on ; SIGNAL input_is_infinite_dffe31 : STD_LOGIC -- synopsys translate_off := '0' -- synopsys translate_on ; SIGNAL input_is_infinite_dffe4 : STD_LOGIC -- synopsys translate_off := '0' -- synopsys translate_on ; SIGNAL input_is_nan_dffe1 : STD_LOGIC -- synopsys translate_off := '0' -- synopsys translate_on ; SIGNAL input_is_nan_dffe2 : STD_LOGIC -- synopsys translate_off := '0' -- synopsys translate_on ; SIGNAL input_is_nan_dffe21 : STD_LOGIC -- synopsys translate_off := '0' -- synopsys translate_on ; SIGNAL input_is_nan_dffe3 : STD_LOGIC -- synopsys translate_off := '0' -- synopsys translate_on ; SIGNAL input_is_nan_dffe31 : STD_LOGIC -- synopsys translate_off := '0' -- synopsys translate_on ; SIGNAL input_is_nan_dffe4 : STD_LOGIC -- synopsys translate_off := '0' -- synopsys translate_on ; SIGNAL man_add_sub_res_mag_dffe21 : STD_LOGIC_VECTOR(25 DOWNTO 0) -- synopsys translate_off := (OTHERS => '0') -- synopsys translate_on ; SIGNAL man_add_sub_res_sign_dffe21 : STD_LOGIC -- synopsys translate_off := '0' -- synopsys translate_on ; SIGNAL man_dffe31 : STD_LOGIC_VECTOR(25 DOWNTO 0) -- synopsys translate_off := (OTHERS => '0') -- synopsys translate_on ; SIGNAL man_leading_zeros_dffe31 : STD_LOGIC_VECTOR(4 DOWNTO 0) -- synopsys translate_off := (OTHERS => '0') -- synopsys translate_on ; SIGNAL man_out_dffe5 : STD_LOGIC_VECTOR(22 DOWNTO 0) -- synopsys translate_off := (OTHERS => '0') -- synopsys translate_on ; SIGNAL man_res_dffe4 : STD_LOGIC_VECTOR(22 DOWNTO 0) -- synopsys translate_off := (OTHERS => '0') -- synopsys translate_on ; SIGNAL man_res_is_not_zero_dffe3 : STD_LOGIC -- synopsys translate_off := '0' -- synopsys translate_on ; SIGNAL man_res_is_not_zero_dffe31 : STD_LOGIC -- synopsys translate_off := '0' -- synopsys translate_on ; SIGNAL man_res_is_not_zero_dffe4 : STD_LOGIC -- synopsys translate_off := '0' -- synopsys translate_on ; SIGNAL need_complement_dffe2 : STD_LOGIC -- synopsys translate_off := '0' -- synopsys translate_on ; SIGNAL round_bit_dffe21 : STD_LOGIC -- synopsys translate_off := '0' -- synopsys translate_on ; SIGNAL round_bit_dffe3 : STD_LOGIC -- synopsys translate_off := '0' -- synopsys translate_on ; SIGNAL round_bit_dffe31 : STD_LOGIC -- synopsys translate_off := '0' -- synopsys translate_on ; SIGNAL rounded_res_infinity_dffe4 : STD_LOGIC -- synopsys translate_off := '0' -- synopsys translate_on ; SIGNAL sign_dffe31 : STD_LOGIC -- synopsys translate_off := '0' -- synopsys translate_on ; SIGNAL sign_out_dffe5 : STD_LOGIC -- synopsys translate_off := '0' -- synopsys translate_on ; SIGNAL sign_res_dffe3 : STD_LOGIC -- synopsys translate_off := '0' -- synopsys translate_on ; SIGNAL sign_res_dffe4 : STD_LOGIC -- synopsys translate_off := '0' -- synopsys translate_on ; SIGNAL sticky_bit_dffe1 : STD_LOGIC -- synopsys translate_off := '0' -- synopsys translate_on ; SIGNAL sticky_bit_dffe2 : STD_LOGIC -- synopsys translate_off := '0' -- synopsys translate_on ; SIGNAL sticky_bit_dffe21 : STD_LOGIC -- synopsys translate_off := '0' -- synopsys translate_on ; SIGNAL sticky_bit_dffe3 : STD_LOGIC -- synopsys translate_off := '0' -- synopsys translate_on ; SIGNAL sticky_bit_dffe31 : STD_LOGIC -- synopsys translate_off := '0' -- synopsys translate_on ; SIGNAL zero_man_sign_dffe2 : STD_LOGIC -- synopsys translate_off := '0' -- synopsys translate_on ; SIGNAL zero_man_sign_dffe21 : STD_LOGIC -- synopsys translate_off := '0' -- synopsys translate_on ; SIGNAL wire_add_sub1_result : STD_LOGIC_VECTOR (8 DOWNTO 0); SIGNAL wire_add_sub2_result : STD_LOGIC_VECTOR (8 DOWNTO 0); SIGNAL wire_add_sub3_result : STD_LOGIC_VECTOR (5 DOWNTO 0); SIGNAL wire_add_sub4_result : STD_LOGIC_VECTOR (8 DOWNTO 0); SIGNAL wire_add_sub5_result : STD_LOGIC_VECTOR (8 DOWNTO 0); SIGNAL wire_add_sub6_result : STD_LOGIC_VECTOR (8 DOWNTO 0); SIGNAL wire_man_2comp_res_lower_w_lg_w_lg_cout367w368w : STD_LOGIC_VECTOR (13 DOWNTO 0); SIGNAL wire_man_2comp_res_lower_w_lg_cout366w : STD_LOGIC_VECTOR (13 DOWNTO 0); SIGNAL wire_man_2comp_res_lower_w_lg_cout367w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_man_2comp_res_lower_w_lg_w_lg_w_lg_cout367w368w369w : STD_LOGIC_VECTOR (13 DOWNTO 0); SIGNAL wire_man_2comp_res_lower_cout : STD_LOGIC; SIGNAL wire_man_2comp_res_lower_result : STD_LOGIC_VECTOR (13 DOWNTO 0); SIGNAL wire_gnd : STD_LOGIC; SIGNAL wire_man_2comp_res_upper0_result : STD_LOGIC_VECTOR (13 DOWNTO 0); SIGNAL wire_vcc : STD_LOGIC; SIGNAL wire_man_2comp_res_upper1_result : STD_LOGIC_VECTOR (13 DOWNTO 0); SIGNAL wire_man_add_sub_lower_w_lg_w_lg_cout354w355w : STD_LOGIC_VECTOR (13 DOWNTO 0); SIGNAL wire_man_add_sub_lower_w_lg_cout353w : STD_LOGIC_VECTOR (13 DOWNTO 0); SIGNAL wire_man_add_sub_lower_w_lg_cout354w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_man_add_sub_lower_w_lg_w_lg_w_lg_cout354w355w356w : STD_LOGIC_VECTOR (13 DOWNTO 0); SIGNAL wire_man_add_sub_lower_cout : STD_LOGIC; SIGNAL wire_man_add_sub_lower_result : STD_LOGIC_VECTOR (13 DOWNTO 0); SIGNAL wire_man_add_sub_upper0_result : STD_LOGIC_VECTOR (13 DOWNTO 0); SIGNAL wire_man_add_sub_upper1_result : STD_LOGIC_VECTOR (13 DOWNTO 0); SIGNAL wire_man_res_rounding_add_sub_lower_w_lg_w_lg_cout580w581w : STD_LOGIC_VECTOR (12 DOWNTO 0); SIGNAL wire_man_res_rounding_add_sub_lower_w_lg_cout579w : STD_LOGIC_VECTOR (12 DOWNTO 0); SIGNAL wire_man_res_rounding_add_sub_lower_w_lg_cout580w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_man_res_rounding_add_sub_lower_w_lg_w_lg_w_lg_cout580w581w582w : STD_LOGIC_VECTOR (12 DOWNTO 0); SIGNAL wire_man_res_rounding_add_sub_lower_cout : STD_LOGIC; SIGNAL wire_man_res_rounding_add_sub_lower_result : STD_LOGIC_VECTOR (12 DOWNTO 0); SIGNAL wire_man_res_rounding_add_sub_upper1_result : STD_LOGIC_VECTOR (12 DOWNTO 0); SIGNAL wire_trailing_zeros_limit_comparator_agb : STD_LOGIC; SIGNAL wire_w248w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w267w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w397w407w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_lg_w_lg_force_zero_w634w635w636w : STD_LOGIC_VECTOR (7 DOWNTO 0); SIGNAL wire_w_lg_w_lg_w_lg_force_zero_w634w635w645w : STD_LOGIC_VECTOR (22 DOWNTO 0); SIGNAL wire_w_lg_w_lg_denormal_result_w558w559w : STD_LOGIC_VECTOR (7 DOWNTO 0); SIGNAL wire_w_lg_w_lg_exp_amb_mux_dffe15_wo316w324w : STD_LOGIC_VECTOR (25 DOWNTO 0); SIGNAL wire_w_lg_w_lg_exp_amb_mux_dffe15_wo316w331w : STD_LOGIC_VECTOR (25 DOWNTO 0); SIGNAL wire_w_lg_w_lg_exp_amb_mux_dffe15_wo316w317w : STD_LOGIC_VECTOR (7 DOWNTO 0); SIGNAL wire_w_lg_w_lg_exp_amb_mux_w275w278w : STD_LOGIC_VECTOR (23 DOWNTO 0); SIGNAL wire_w_lg_w_lg_exp_amb_mux_w275w276w : STD_LOGIC_VECTOR (7 DOWNTO 0); SIGNAL wire_w_lg_w_lg_force_infinity_w629w639w : STD_LOGIC_VECTOR (7 DOWNTO 0); SIGNAL wire_w_lg_w_lg_force_infinity_w629w648w : STD_LOGIC_VECTOR (22 DOWNTO 0); SIGNAL wire_w_lg_w_lg_force_infinity_w629w654w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_lg_force_nan_w630w642w : STD_LOGIC_VECTOR (7 DOWNTO 0); SIGNAL wire_w_lg_w_lg_force_nan_w630w651w : STD_LOGIC_VECTOR (22 DOWNTO 0); SIGNAL wire_w_lg_w_lg_input_dataa_denormal_dffe11_wo233w243w : STD_LOGIC_VECTOR (22 DOWNTO 0); SIGNAL wire_w_lg_w_lg_input_dataa_denormal_dffe11_wo233w234w : STD_LOGIC_VECTOR (7 DOWNTO 0); SIGNAL wire_w_lg_w_lg_input_dataa_infinite_dffe11_wo246w247w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_lg_input_datab_denormal_dffe11_wo252w262w : STD_LOGIC_VECTOR (22 DOWNTO 0); SIGNAL wire_w_lg_w_lg_input_datab_denormal_dffe11_wo252w253w : STD_LOGIC_VECTOR (7 DOWNTO 0); SIGNAL wire_w_lg_w_lg_input_datab_infinite_dffe11_wo265w266w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_lg_input_datab_infinite_dffe15_wo337w338w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_lg_man_res_not_zero_dffe26_wo503w504w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w292w : STD_LOGIC_VECTOR (4 DOWNTO 0); SIGNAL wire_w397w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w383w : STD_LOGIC_VECTOR (1 DOWNTO 0); SIGNAL wire_w412w : STD_LOGIC_VECTOR (25 DOWNTO 0); SIGNAL wire_w_lg_w_lg_w_man_add_sub_w_range372w375w378w : STD_LOGIC_VECTOR (27 DOWNTO 0); SIGNAL wire_w587w : STD_LOGIC_VECTOR (22 DOWNTO 0); SIGNAL wire_w_lg_w_lg_force_zero_w634w637w : STD_LOGIC_VECTOR (7 DOWNTO 0); SIGNAL wire_w_lg_w_lg_force_zero_w634w646w : STD_LOGIC_VECTOR (22 DOWNTO 0); SIGNAL wire_w_lg_exp_amb_mux_dffe15_wo330w : STD_LOGIC_VECTOR (25 DOWNTO 0); SIGNAL wire_w_lg_exp_amb_mux_dffe15_wo323w : STD_LOGIC_VECTOR (25 DOWNTO 0); SIGNAL wire_w_lg_exp_amb_mux_dffe15_wo314w : STD_LOGIC_VECTOR (7 DOWNTO 0); SIGNAL wire_w_lg_exp_amb_mux_w279w : STD_LOGIC_VECTOR (23 DOWNTO 0); SIGNAL wire_w_lg_exp_amb_mux_w273w : STD_LOGIC_VECTOR (7 DOWNTO 0); SIGNAL wire_w_lg_force_infinity_w640w : STD_LOGIC_VECTOR (7 DOWNTO 0); SIGNAL wire_w_lg_force_infinity_w649w : STD_LOGIC_VECTOR (22 DOWNTO 0); SIGNAL wire_w_lg_force_nan_w643w : STD_LOGIC_VECTOR (7 DOWNTO 0); SIGNAL wire_w_lg_force_nan_w652w : STD_LOGIC_VECTOR (22 DOWNTO 0); SIGNAL wire_w_lg_need_complement_dffe22_wo376w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_dataa_range17w23w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_dataa_range27w33w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_dataa_range37w43w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_dataa_range47w53w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_dataa_range57w63w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_dataa_range67w73w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_dataa_range77w83w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_datab_range20w25w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_datab_range30w35w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_datab_range40w45w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_datab_range50w55w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_datab_range60w65w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_datab_range70w75w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_datab_range80w85w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_exp_a_all_one_w_range84w220w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_exp_b_all_one_w_range86w226w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_exp_diff_abs_exceed_max_w_range289w293w : STD_LOGIC_VECTOR (4 DOWNTO 0); SIGNAL wire_w_lg_w_exp_res_max_w_range540w542w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_exp_res_max_w_range543w544w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_exp_res_max_w_range545w546w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_exp_res_max_w_range547w548w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_exp_res_max_w_range549w550w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_exp_res_max_w_range551w552w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_exp_res_max_w_range553w554w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_exp_res_max_w_range555w561w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_exp_rounded_res_max_w_range601w604w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_exp_rounded_res_max_w_range605w607w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_exp_rounded_res_max_w_range608w610w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_exp_rounded_res_max_w_range611w613w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_exp_rounded_res_max_w_range614w616w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_exp_rounded_res_max_w_range617w619w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_exp_rounded_res_max_w_range620w622w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_man_add_sub_res_mag_dffe27_wo_range381w391w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_man_add_sub_res_mag_dffe27_wo_range381w384w : STD_LOGIC_VECTOR (1 DOWNTO 0); SIGNAL wire_w_lg_w_man_add_sub_res_mag_dffe27_wo_range381w414w : STD_LOGIC_VECTOR (25 DOWNTO 0); SIGNAL wire_w_lg_w_man_add_sub_w_range372w379w : STD_LOGIC_VECTOR (27 DOWNTO 0); SIGNAL wire_w_lg_w_man_res_rounding_add_sub_w_range585w589w : STD_LOGIC_VECTOR (22 DOWNTO 0); SIGNAL wire_w_lg_w_lg_force_zero_w634w635w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_add_sub_dffe25_wo491w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_add_sub_w2342w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_denormal_result_w558w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_exp_amb_mux_dffe15_wo316w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_exp_amb_mux_w275w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_force_infinity_w629w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_force_nan_w630w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_force_zero_w628w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_input_dataa_denormal_dffe11_wo233w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_input_dataa_infinite_dffe11_wo246w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_input_dataa_zero_dffe11_wo245w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_input_datab_denormal_dffe11_wo252w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_input_datab_infinite_dffe11_wo265w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_input_datab_infinite_dffe15_wo337w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_input_datab_zero_dffe11_wo264w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_man_res_is_not_zero_dffe4_wo627w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_man_res_not_zero_dffe26_wo503w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_need_complement_dffe22_wo373w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_sticky_bit_dffe1_wo343w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_exp_adjustment2_add_sub_w_range511w560w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_exp_diff_abs_exceed_max_w_range289w291w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_man_a_not_zero_w_range215w219w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_man_add_sub_res_mag_dffe27_wo_range387w390w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_man_add_sub_res_mag_dffe27_wo_range381w382w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_man_add_sub_w_range372w375w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_man_b_not_zero_w_range218w225w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_man_res_rounding_add_sub_w_range585w586w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_lg_w_lg_force_zero_w634w637w638w : STD_LOGIC_VECTOR (7 DOWNTO 0); SIGNAL wire_w_lg_w_lg_w_lg_force_zero_w634w646w647w : STD_LOGIC_VECTOR (22 DOWNTO 0); SIGNAL wire_w_lg_w_lg_force_infinity_w640w641w : STD_LOGIC_VECTOR (7 DOWNTO 0); SIGNAL wire_w_lg_w_lg_force_infinity_w649w650w : STD_LOGIC_VECTOR (22 DOWNTO 0); SIGNAL wire_w_lg_force_zero_w634w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_sticky_bit_dffe27_wo402w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_dataa_range141w142w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_dataa_range147w148w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_dataa_range153w154w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_dataa_range159w160w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_dataa_range165w166w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_dataa_range171w172w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_dataa_range177w178w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_dataa_range183w184w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_dataa_range189w190w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_dataa_range195w196w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_dataa_range87w88w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_dataa_range201w202w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_dataa_range207w208w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_dataa_range213w214w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_dataa_range17w18w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_dataa_range27w28w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_dataa_range37w38w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_dataa_range47w48w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_dataa_range57w58w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_dataa_range67w68w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_dataa_range93w94w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_dataa_range77w78w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_dataa_range99w100w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_dataa_range105w106w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_dataa_range111w112w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_dataa_range117w118w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_dataa_range123w124w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_dataa_range129w130w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_dataa_range135w136w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_datab_range144w145w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_datab_range150w151w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_datab_range156w157w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_datab_range162w163w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_datab_range168w169w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_datab_range174w175w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_datab_range180w181w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_datab_range186w187w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_datab_range192w193w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_datab_range198w199w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_datab_range90w91w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_datab_range204w205w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_datab_range210w211w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_datab_range216w217w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_datab_range20w21w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_datab_range30w31w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_datab_range40w41w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_datab_range50w51w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_datab_range60w61w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_datab_range70w71w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_datab_range96w97w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_datab_range80w81w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_datab_range102w103w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_datab_range108w109w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_datab_range114w115w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_datab_range120w121w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_datab_range126w127w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_datab_range132w133w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_datab_range138w139w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_exp_diff_abs_exceed_max_w_range282w285w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_exp_diff_abs_exceed_max_w_range286w288w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_exp_res_not_zero_w_range516w519w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_exp_res_not_zero_w_range520w522w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_exp_res_not_zero_w_range523w525w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_exp_res_not_zero_w_range526w528w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_exp_res_not_zero_w_range529w531w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_exp_res_not_zero_w_range532w534w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_exp_res_not_zero_w_range535w537w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_exp_res_not_zero_w_range538w539w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_man_res_not_zero_w2_range417w420w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_man_res_not_zero_w2_range448w450w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_man_res_not_zero_w2_range451w453w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_man_res_not_zero_w2_range454w456w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_man_res_not_zero_w2_range457w459w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_man_res_not_zero_w2_range460w462w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_man_res_not_zero_w2_range463w465w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_man_res_not_zero_w2_range466w468w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_man_res_not_zero_w2_range469w471w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_man_res_not_zero_w2_range472w474w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_man_res_not_zero_w2_range475w477w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_man_res_not_zero_w2_range421w423w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_man_res_not_zero_w2_range478w480w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_man_res_not_zero_w2_range481w483w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_man_res_not_zero_w2_range484w486w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_man_res_not_zero_w2_range487w489w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_man_res_not_zero_w2_range424w426w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_man_res_not_zero_w2_range427w429w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_man_res_not_zero_w2_range430w432w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_man_res_not_zero_w2_range433w435w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_man_res_not_zero_w2_range436w438w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_man_res_not_zero_w2_range439w441w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_man_res_not_zero_w2_range442w444w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_lg_w_man_res_not_zero_w2_range445w447w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL add_sub_dffe25_wi : STD_LOGIC; SIGNAL add_sub_dffe25_wo : STD_LOGIC; SIGNAL add_sub_w2 : STD_LOGIC; SIGNAL adder_upper_w : STD_LOGIC_VECTOR (12 DOWNTO 0); SIGNAL aligned_dataa_exp_dffe12_wi : STD_LOGIC_VECTOR (8 DOWNTO 0); SIGNAL aligned_dataa_exp_dffe12_wo : STD_LOGIC_VECTOR (8 DOWNTO 0); SIGNAL aligned_dataa_exp_dffe13_wi : STD_LOGIC_VECTOR (8 DOWNTO 0); SIGNAL aligned_dataa_exp_dffe13_wo : STD_LOGIC_VECTOR (8 DOWNTO 0); SIGNAL aligned_dataa_exp_dffe14_wi : STD_LOGIC_VECTOR (8 DOWNTO 0); SIGNAL aligned_dataa_exp_dffe14_wo : STD_LOGIC_VECTOR (8 DOWNTO 0); SIGNAL aligned_dataa_exp_dffe15_wi : STD_LOGIC_VECTOR (8 DOWNTO 0); SIGNAL aligned_dataa_exp_dffe15_wo : STD_LOGIC_VECTOR (8 DOWNTO 0); SIGNAL aligned_dataa_exp_w : STD_LOGIC_VECTOR (8 DOWNTO 0); SIGNAL aligned_dataa_man_dffe12_wi : STD_LOGIC_VECTOR (23 DOWNTO 0); SIGNAL aligned_dataa_man_dffe12_wo : STD_LOGIC_VECTOR (23 DOWNTO 0); SIGNAL aligned_dataa_man_dffe13_wi : STD_LOGIC_VECTOR (23 DOWNTO 0); SIGNAL aligned_dataa_man_dffe13_wo : STD_LOGIC_VECTOR (23 DOWNTO 0); SIGNAL aligned_dataa_man_dffe14_wi : STD_LOGIC_VECTOR (23 DOWNTO 0); SIGNAL aligned_dataa_man_dffe14_wo : STD_LOGIC_VECTOR (23 DOWNTO 0); SIGNAL aligned_dataa_man_dffe15_w : STD_LOGIC_VECTOR (25 DOWNTO 0); SIGNAL aligned_dataa_man_dffe15_wi : STD_LOGIC_VECTOR (23 DOWNTO 0); SIGNAL aligned_dataa_man_dffe15_wo : STD_LOGIC_VECTOR (23 DOWNTO 0); SIGNAL aligned_dataa_man_w : STD_LOGIC_VECTOR (25 DOWNTO 0); SIGNAL aligned_dataa_sign_dffe12_wi : STD_LOGIC; SIGNAL aligned_dataa_sign_dffe12_wo : STD_LOGIC; SIGNAL aligned_dataa_sign_dffe13_wi : STD_LOGIC; SIGNAL aligned_dataa_sign_dffe13_wo : STD_LOGIC; SIGNAL aligned_dataa_sign_dffe14_wi : STD_LOGIC; SIGNAL aligned_dataa_sign_dffe14_wo : STD_LOGIC; SIGNAL aligned_dataa_sign_dffe15_wi : STD_LOGIC; SIGNAL aligned_dataa_sign_dffe15_wo : STD_LOGIC; SIGNAL aligned_dataa_sign_w : STD_LOGIC; SIGNAL aligned_datab_exp_dffe12_wi : STD_LOGIC_VECTOR (8 DOWNTO 0); SIGNAL aligned_datab_exp_dffe12_wo : STD_LOGIC_VECTOR (8 DOWNTO 0); SIGNAL aligned_datab_exp_dffe13_wi : STD_LOGIC_VECTOR (8 DOWNTO 0); SIGNAL aligned_datab_exp_dffe13_wo : STD_LOGIC_VECTOR (8 DOWNTO 0); SIGNAL aligned_datab_exp_dffe14_wi : STD_LOGIC_VECTOR (8 DOWNTO 0); SIGNAL aligned_datab_exp_dffe14_wo : STD_LOGIC_VECTOR (8 DOWNTO 0); SIGNAL aligned_datab_exp_dffe15_wi : STD_LOGIC_VECTOR (8 DOWNTO 0); SIGNAL aligned_datab_exp_dffe15_wo : STD_LOGIC_VECTOR (8 DOWNTO 0); SIGNAL aligned_datab_exp_w : STD_LOGIC_VECTOR (8 DOWNTO 0); SIGNAL aligned_datab_man_dffe12_wi : STD_LOGIC_VECTOR (23 DOWNTO 0); SIGNAL aligned_datab_man_dffe12_wo : STD_LOGIC_VECTOR (23 DOWNTO 0); SIGNAL aligned_datab_man_dffe13_wi : STD_LOGIC_VECTOR (23 DOWNTO 0); SIGNAL aligned_datab_man_dffe13_wo : STD_LOGIC_VECTOR (23 DOWNTO 0); SIGNAL aligned_datab_man_dffe14_wi : STD_LOGIC_VECTOR (23 DOWNTO 0); SIGNAL aligned_datab_man_dffe14_wo : STD_LOGIC_VECTOR (23 DOWNTO 0); SIGNAL aligned_datab_man_dffe15_w : STD_LOGIC_VECTOR (25 DOWNTO 0); SIGNAL aligned_datab_man_dffe15_wi : STD_LOGIC_VECTOR (23 DOWNTO 0); SIGNAL aligned_datab_man_dffe15_wo : STD_LOGIC_VECTOR (23 DOWNTO 0); SIGNAL aligned_datab_man_w : STD_LOGIC_VECTOR (25 DOWNTO 0); SIGNAL aligned_datab_sign_dffe12_wi : STD_LOGIC; SIGNAL aligned_datab_sign_dffe12_wo : STD_LOGIC; SIGNAL aligned_datab_sign_dffe13_wi : STD_LOGIC; SIGNAL aligned_datab_sign_dffe13_wo : STD_LOGIC; SIGNAL aligned_datab_sign_dffe14_wi : STD_LOGIC; SIGNAL aligned_datab_sign_dffe14_wo : STD_LOGIC; SIGNAL aligned_datab_sign_dffe15_wi : STD_LOGIC; SIGNAL aligned_datab_sign_dffe15_wo : STD_LOGIC; SIGNAL aligned_datab_sign_w : STD_LOGIC; SIGNAL borrow_w : STD_LOGIC; SIGNAL both_inputs_are_infinite_dffe1_wi : STD_LOGIC; SIGNAL both_inputs_are_infinite_dffe1_wo : STD_LOGIC; SIGNAL both_inputs_are_infinite_dffe25_wi : STD_LOGIC; SIGNAL both_inputs_are_infinite_dffe25_wo : STD_LOGIC; SIGNAL data_exp_dffe1_wi : STD_LOGIC_VECTOR (7 DOWNTO 0); SIGNAL data_exp_dffe1_wo : STD_LOGIC_VECTOR (7 DOWNTO 0); SIGNAL dataa_dffe11_wi : STD_LOGIC_VECTOR (31 DOWNTO 0); SIGNAL dataa_dffe11_wo : STD_LOGIC_VECTOR (31 DOWNTO 0); SIGNAL dataa_man_dffe1_wi : STD_LOGIC_VECTOR (25 DOWNTO 0); SIGNAL dataa_man_dffe1_wo : STD_LOGIC_VECTOR (25 DOWNTO 0); SIGNAL dataa_sign_dffe1_wi : STD_LOGIC; SIGNAL dataa_sign_dffe1_wo : STD_LOGIC; SIGNAL dataa_sign_dffe25_wi : STD_LOGIC; SIGNAL dataa_sign_dffe25_wo : STD_LOGIC; SIGNAL datab_dffe11_wi : STD_LOGIC_VECTOR (31 DOWNTO 0); SIGNAL datab_dffe11_wo : STD_LOGIC_VECTOR (31 DOWNTO 0); SIGNAL datab_man_dffe1_wi : STD_LOGIC_VECTOR (25 DOWNTO 0); SIGNAL datab_man_dffe1_wo : STD_LOGIC_VECTOR (25 DOWNTO 0); SIGNAL datab_sign_dffe1_wi : STD_LOGIC; SIGNAL datab_sign_dffe1_wo : STD_LOGIC; SIGNAL denormal_flag_w : STD_LOGIC; SIGNAL denormal_res_dffe32_wi : STD_LOGIC; SIGNAL denormal_res_dffe32_wo : STD_LOGIC; SIGNAL denormal_res_dffe33_wi : STD_LOGIC; SIGNAL denormal_res_dffe33_wo : STD_LOGIC; SIGNAL denormal_res_dffe3_wi : STD_LOGIC; SIGNAL denormal_res_dffe3_wo : STD_LOGIC; SIGNAL denormal_res_dffe41_wi : STD_LOGIC; SIGNAL denormal_res_dffe41_wo : STD_LOGIC; SIGNAL denormal_res_dffe42_wi : STD_LOGIC; SIGNAL denormal_res_dffe42_wo : STD_LOGIC; SIGNAL denormal_res_dffe4_wi : STD_LOGIC; SIGNAL denormal_res_dffe4_wo : STD_LOGIC; SIGNAL denormal_result_w : STD_LOGIC; SIGNAL exp_a_all_one_w : STD_LOGIC_VECTOR (7 DOWNTO 0); SIGNAL exp_a_not_zero_w : STD_LOGIC_VECTOR (7 DOWNTO 0); SIGNAL exp_adj_0pads : STD_LOGIC_VECTOR (6 DOWNTO 0); SIGNAL exp_adj_dffe21_wi : STD_LOGIC_VECTOR (1 DOWNTO 0); SIGNAL exp_adj_dffe21_wo : STD_LOGIC_VECTOR (1 DOWNTO 0); SIGNAL exp_adj_dffe23_wi : STD_LOGIC_VECTOR (1 DOWNTO 0); SIGNAL exp_adj_dffe23_wo : STD_LOGIC_VECTOR (1 DOWNTO 0); SIGNAL exp_adj_dffe26_wi : STD_LOGIC_VECTOR (1 DOWNTO 0); SIGNAL exp_adj_dffe26_wo : STD_LOGIC_VECTOR (1 DOWNTO 0); SIGNAL exp_adjust_by_add1 : STD_LOGIC_VECTOR (1 DOWNTO 0); SIGNAL exp_adjust_by_add2 : STD_LOGIC_VECTOR (1 DOWNTO 0); SIGNAL exp_adjustment2_add_sub_dataa_w : STD_LOGIC_VECTOR (8 DOWNTO 0); SIGNAL exp_adjustment2_add_sub_datab_w : STD_LOGIC_VECTOR (8 DOWNTO 0); SIGNAL exp_adjustment2_add_sub_w : STD_LOGIC_VECTOR (8 DOWNTO 0); SIGNAL exp_adjustment_add_sub_dataa_w : STD_LOGIC_VECTOR (8 DOWNTO 0); SIGNAL exp_adjustment_add_sub_datab_w : STD_LOGIC_VECTOR (8 DOWNTO 0); SIGNAL exp_adjustment_add_sub_w : STD_LOGIC_VECTOR (8 DOWNTO 0); SIGNAL exp_all_ones_w : STD_LOGIC_VECTOR (7 DOWNTO 0); SIGNAL exp_all_zeros_w : STD_LOGIC_VECTOR (7 DOWNTO 0); SIGNAL exp_amb_mux_dffe13_wi : STD_LOGIC; SIGNAL exp_amb_mux_dffe13_wo : STD_LOGIC; SIGNAL exp_amb_mux_dffe14_wi : STD_LOGIC; SIGNAL exp_amb_mux_dffe14_wo : STD_LOGIC; SIGNAL exp_amb_mux_dffe15_wi : STD_LOGIC; SIGNAL exp_amb_mux_dffe15_wo : STD_LOGIC; SIGNAL exp_amb_mux_w : STD_LOGIC; SIGNAL exp_amb_w : STD_LOGIC_VECTOR (8 DOWNTO 0); SIGNAL exp_b_all_one_w : STD_LOGIC_VECTOR (7 DOWNTO 0); SIGNAL exp_b_not_zero_w : STD_LOGIC_VECTOR (7 DOWNTO 0); SIGNAL exp_bma_w : STD_LOGIC_VECTOR (8 DOWNTO 0); SIGNAL exp_diff_abs_exceed_max_w : STD_LOGIC_VECTOR (2 DOWNTO 0); SIGNAL exp_diff_abs_max_w : STD_LOGIC_VECTOR (4 DOWNTO 0); SIGNAL exp_diff_abs_w : STD_LOGIC_VECTOR (7 DOWNTO 0); SIGNAL exp_intermediate_res_dffe41_wi : STD_LOGIC_VECTOR (7 DOWNTO 0); SIGNAL exp_intermediate_res_dffe41_wo : STD_LOGIC_VECTOR (7 DOWNTO 0); SIGNAL exp_intermediate_res_dffe42_wi : STD_LOGIC_VECTOR (7 DOWNTO 0); SIGNAL exp_intermediate_res_dffe42_wo : STD_LOGIC_VECTOR (7 DOWNTO 0); SIGNAL exp_intermediate_res_w : STD_LOGIC_VECTOR (7 DOWNTO 0); SIGNAL exp_out_dffe5_wi : STD_LOGIC_VECTOR (7 DOWNTO 0); SIGNAL exp_out_dffe5_wo : STD_LOGIC_VECTOR (7 DOWNTO 0); SIGNAL exp_res_dffe21_wi : STD_LOGIC_VECTOR (7 DOWNTO 0); SIGNAL exp_res_dffe21_wo : STD_LOGIC_VECTOR (7 DOWNTO 0); SIGNAL exp_res_dffe22_wi : STD_LOGIC_VECTOR (7 DOWNTO 0); SIGNAL exp_res_dffe22_wo : STD_LOGIC_VECTOR (7 DOWNTO 0); SIGNAL exp_res_dffe23_wi : STD_LOGIC_VECTOR (7 DOWNTO 0); SIGNAL exp_res_dffe23_wo : STD_LOGIC_VECTOR (7 DOWNTO 0); SIGNAL exp_res_dffe25_wi : STD_LOGIC_VECTOR (7 DOWNTO 0); SIGNAL exp_res_dffe25_wo : STD_LOGIC_VECTOR (7 DOWNTO 0); SIGNAL exp_res_dffe26_wi : STD_LOGIC_VECTOR (7 DOWNTO 0); SIGNAL exp_res_dffe26_wo : STD_LOGIC_VECTOR (7 DOWNTO 0); SIGNAL exp_res_dffe27_wi : STD_LOGIC_VECTOR (7 DOWNTO 0); SIGNAL exp_res_dffe27_wo : STD_LOGIC_VECTOR (7 DOWNTO 0); SIGNAL exp_res_dffe2_wi : STD_LOGIC_VECTOR (7 DOWNTO 0); SIGNAL exp_res_dffe2_wo : STD_LOGIC_VECTOR (7 DOWNTO 0); SIGNAL exp_res_dffe32_wi : STD_LOGIC_VECTOR (7 DOWNTO 0); SIGNAL exp_res_dffe32_wo : STD_LOGIC_VECTOR (7 DOWNTO 0); SIGNAL exp_res_dffe33_wi : STD_LOGIC_VECTOR (7 DOWNTO 0); SIGNAL exp_res_dffe33_wo : STD_LOGIC_VECTOR (7 DOWNTO 0); SIGNAL exp_res_dffe3_wi : STD_LOGIC_VECTOR (7 DOWNTO 0); SIGNAL exp_res_dffe3_wo : STD_LOGIC_VECTOR (7 DOWNTO 0); SIGNAL exp_res_dffe4_wi : STD_LOGIC_VECTOR (7 DOWNTO 0); SIGNAL exp_res_dffe4_wo : STD_LOGIC_VECTOR (7 DOWNTO 0); SIGNAL exp_res_max_w : STD_LOGIC_VECTOR (7 DOWNTO 0); SIGNAL exp_res_not_zero_w : STD_LOGIC_VECTOR (8 DOWNTO 0); SIGNAL exp_res_rounding_adder_dataa_w : STD_LOGIC_VECTOR (8 DOWNTO 0); SIGNAL exp_res_rounding_adder_w : STD_LOGIC_VECTOR (8 DOWNTO 0); SIGNAL exp_rounded_res_infinity_w : STD_LOGIC; SIGNAL exp_rounded_res_max_w : STD_LOGIC_VECTOR (7 DOWNTO 0); SIGNAL exp_rounded_res_w : STD_LOGIC_VECTOR (7 DOWNTO 0); SIGNAL exp_rounding_adjustment_w : STD_LOGIC_VECTOR (8 DOWNTO 0); SIGNAL exp_value : STD_LOGIC_VECTOR (8 DOWNTO 0); SIGNAL force_infinity_w : STD_LOGIC; SIGNAL force_nan_w : STD_LOGIC; SIGNAL force_zero_w : STD_LOGIC; SIGNAL guard_bit_dffe3_wo : STD_LOGIC; SIGNAL infinite_output_sign_dffe1_wi : STD_LOGIC; SIGNAL infinite_output_sign_dffe1_wo : STD_LOGIC; SIGNAL infinite_output_sign_dffe21_wi : STD_LOGIC; SIGNAL infinite_output_sign_dffe21_wo : STD_LOGIC; SIGNAL infinite_output_sign_dffe22_wi : STD_LOGIC; SIGNAL infinite_output_sign_dffe22_wo : STD_LOGIC; SIGNAL infinite_output_sign_dffe23_wi : STD_LOGIC; SIGNAL infinite_output_sign_dffe23_wo : STD_LOGIC; SIGNAL infinite_output_sign_dffe25_wi : STD_LOGIC; SIGNAL infinite_output_sign_dffe25_wo : STD_LOGIC; SIGNAL infinite_output_sign_dffe26_wi : STD_LOGIC; SIGNAL infinite_output_sign_dffe26_wo : STD_LOGIC; SIGNAL infinite_output_sign_dffe27_wi : STD_LOGIC; SIGNAL infinite_output_sign_dffe27_wo : STD_LOGIC; SIGNAL infinite_output_sign_dffe2_wi : STD_LOGIC; SIGNAL infinite_output_sign_dffe2_wo : STD_LOGIC; SIGNAL infinite_output_sign_dffe31_wi : STD_LOGIC; SIGNAL infinite_output_sign_dffe31_wo : STD_LOGIC; SIGNAL infinite_output_sign_dffe32_wi : STD_LOGIC; SIGNAL infinite_output_sign_dffe32_wo : STD_LOGIC; SIGNAL infinite_output_sign_dffe33_wi : STD_LOGIC; SIGNAL infinite_output_sign_dffe33_wo : STD_LOGIC; SIGNAL infinite_output_sign_dffe3_wi : STD_LOGIC; SIGNAL infinite_output_sign_dffe3_wo : STD_LOGIC; SIGNAL infinite_output_sign_dffe41_wi : STD_LOGIC; SIGNAL infinite_output_sign_dffe41_wo : STD_LOGIC; SIGNAL infinite_output_sign_dffe42_wi : STD_LOGIC; SIGNAL infinite_output_sign_dffe42_wo : STD_LOGIC; SIGNAL infinite_output_sign_dffe4_wi : STD_LOGIC; SIGNAL infinite_output_sign_dffe4_wo : STD_LOGIC; SIGNAL infinite_res_dff32_wi : STD_LOGIC; SIGNAL infinite_res_dff32_wo : STD_LOGIC; SIGNAL infinite_res_dff33_wi : STD_LOGIC; SIGNAL infinite_res_dff33_wo : STD_LOGIC; SIGNAL infinite_res_dffe3_wi : STD_LOGIC; SIGNAL infinite_res_dffe3_wo : STD_LOGIC; SIGNAL infinite_res_dffe41_wi : STD_LOGIC; SIGNAL infinite_res_dffe41_wo : STD_LOGIC; SIGNAL infinite_res_dffe42_wi : STD_LOGIC; SIGNAL infinite_res_dffe42_wo : STD_LOGIC; SIGNAL infinite_res_dffe4_wi : STD_LOGIC; SIGNAL infinite_res_dffe4_wo : STD_LOGIC; SIGNAL infinity_magnitude_sub_dffe21_wi : STD_LOGIC; SIGNAL infinity_magnitude_sub_dffe21_wo : STD_LOGIC; SIGNAL infinity_magnitude_sub_dffe22_wi : STD_LOGIC; SIGNAL infinity_magnitude_sub_dffe22_wo : STD_LOGIC; SIGNAL infinity_magnitude_sub_dffe23_wi : STD_LOGIC; SIGNAL infinity_magnitude_sub_dffe23_wo : STD_LOGIC; SIGNAL infinity_magnitude_sub_dffe26_wi : STD_LOGIC; SIGNAL infinity_magnitude_sub_dffe26_wo : STD_LOGIC; SIGNAL infinity_magnitude_sub_dffe27_wi : STD_LOGIC; SIGNAL infinity_magnitude_sub_dffe27_wo : STD_LOGIC; SIGNAL infinity_magnitude_sub_dffe2_wi : STD_LOGIC; SIGNAL infinity_magnitude_sub_dffe2_wo : STD_LOGIC; SIGNAL infinity_magnitude_sub_dffe31_wi : STD_LOGIC; SIGNAL infinity_magnitude_sub_dffe31_wo : STD_LOGIC; SIGNAL infinity_magnitude_sub_dffe32_wi : STD_LOGIC; SIGNAL infinity_magnitude_sub_dffe32_wo : STD_LOGIC; SIGNAL infinity_magnitude_sub_dffe33_wi : STD_LOGIC; SIGNAL infinity_magnitude_sub_dffe33_wo : STD_LOGIC; SIGNAL infinity_magnitude_sub_dffe3_wi : STD_LOGIC; SIGNAL infinity_magnitude_sub_dffe3_wo : STD_LOGIC; SIGNAL infinity_magnitude_sub_dffe41_wi : STD_LOGIC; SIGNAL infinity_magnitude_sub_dffe41_wo : STD_LOGIC; SIGNAL infinity_magnitude_sub_dffe42_wi : STD_LOGIC; SIGNAL infinity_magnitude_sub_dffe42_wo : STD_LOGIC; SIGNAL infinity_magnitude_sub_dffe4_wi : STD_LOGIC; SIGNAL infinity_magnitude_sub_dffe4_wo : STD_LOGIC; SIGNAL input_dataa_denormal_dffe11_wi : STD_LOGIC; SIGNAL input_dataa_denormal_dffe11_wo : STD_LOGIC; SIGNAL input_dataa_denormal_w : STD_LOGIC; SIGNAL input_dataa_infinite_dffe11_wi : STD_LOGIC; SIGNAL input_dataa_infinite_dffe11_wo : STD_LOGIC; SIGNAL input_dataa_infinite_dffe12_wi : STD_LOGIC; SIGNAL input_dataa_infinite_dffe12_wo : STD_LOGIC; SIGNAL input_dataa_infinite_dffe13_wi : STD_LOGIC; SIGNAL input_dataa_infinite_dffe13_wo : STD_LOGIC; SIGNAL input_dataa_infinite_dffe14_wi : STD_LOGIC; SIGNAL input_dataa_infinite_dffe14_wo : STD_LOGIC; SIGNAL input_dataa_infinite_dffe15_wi : STD_LOGIC; SIGNAL input_dataa_infinite_dffe15_wo : STD_LOGIC; SIGNAL input_dataa_infinite_w : STD_LOGIC; SIGNAL input_dataa_nan_dffe11_wi : STD_LOGIC; SIGNAL input_dataa_nan_dffe11_wo : STD_LOGIC; SIGNAL input_dataa_nan_dffe12_wi : STD_LOGIC; SIGNAL input_dataa_nan_dffe12_wo : STD_LOGIC; SIGNAL input_dataa_nan_w : STD_LOGIC; SIGNAL input_dataa_zero_dffe11_wi : STD_LOGIC; SIGNAL input_dataa_zero_dffe11_wo : STD_LOGIC; SIGNAL input_dataa_zero_w : STD_LOGIC; SIGNAL input_datab_denormal_dffe11_wi : STD_LOGIC; SIGNAL input_datab_denormal_dffe11_wo : STD_LOGIC; SIGNAL input_datab_denormal_w : STD_LOGIC; SIGNAL input_datab_infinite_dffe11_wi : STD_LOGIC; SIGNAL input_datab_infinite_dffe11_wo : STD_LOGIC; SIGNAL input_datab_infinite_dffe12_wi : STD_LOGIC; SIGNAL input_datab_infinite_dffe12_wo : STD_LOGIC; SIGNAL input_datab_infinite_dffe13_wi : STD_LOGIC; SIGNAL input_datab_infinite_dffe13_wo : STD_LOGIC; SIGNAL input_datab_infinite_dffe14_wi : STD_LOGIC; SIGNAL input_datab_infinite_dffe14_wo : STD_LOGIC; SIGNAL input_datab_infinite_dffe15_wi : STD_LOGIC; SIGNAL input_datab_infinite_dffe15_wo : STD_LOGIC; SIGNAL input_datab_infinite_w : STD_LOGIC; SIGNAL input_datab_nan_dffe11_wi : STD_LOGIC; SIGNAL input_datab_nan_dffe11_wo : STD_LOGIC; SIGNAL input_datab_nan_dffe12_wi : STD_LOGIC; SIGNAL input_datab_nan_dffe12_wo : STD_LOGIC; SIGNAL input_datab_nan_w : STD_LOGIC; SIGNAL input_datab_zero_dffe11_wi : STD_LOGIC; SIGNAL input_datab_zero_dffe11_wo : STD_LOGIC; SIGNAL input_datab_zero_w : STD_LOGIC; SIGNAL input_is_infinite_dffe1_wi : STD_LOGIC; SIGNAL input_is_infinite_dffe1_wo : STD_LOGIC; SIGNAL input_is_infinite_dffe21_wi : STD_LOGIC; SIGNAL input_is_infinite_dffe21_wo : STD_LOGIC; SIGNAL input_is_infinite_dffe22_wi : STD_LOGIC; SIGNAL input_is_infinite_dffe22_wo : STD_LOGIC; SIGNAL input_is_infinite_dffe23_wi : STD_LOGIC; SIGNAL input_is_infinite_dffe23_wo : STD_LOGIC; SIGNAL input_is_infinite_dffe25_wi : STD_LOGIC; SIGNAL input_is_infinite_dffe25_wo : STD_LOGIC; SIGNAL input_is_infinite_dffe26_wi : STD_LOGIC; SIGNAL input_is_infinite_dffe26_wo : STD_LOGIC; SIGNAL input_is_infinite_dffe27_wi : STD_LOGIC; SIGNAL input_is_infinite_dffe27_wo : STD_LOGIC; SIGNAL input_is_infinite_dffe2_wi : STD_LOGIC; SIGNAL input_is_infinite_dffe2_wo : STD_LOGIC; SIGNAL input_is_infinite_dffe31_wi : STD_LOGIC; SIGNAL input_is_infinite_dffe31_wo : STD_LOGIC; SIGNAL input_is_infinite_dffe32_wi : STD_LOGIC; SIGNAL input_is_infinite_dffe32_wo : STD_LOGIC; SIGNAL input_is_infinite_dffe33_wi : STD_LOGIC; SIGNAL input_is_infinite_dffe33_wo : STD_LOGIC; SIGNAL input_is_infinite_dffe3_wi : STD_LOGIC; SIGNAL input_is_infinite_dffe3_wo : STD_LOGIC; SIGNAL input_is_infinite_dffe41_wi : STD_LOGIC; SIGNAL input_is_infinite_dffe41_wo : STD_LOGIC; SIGNAL input_is_infinite_dffe42_wi : STD_LOGIC; SIGNAL input_is_infinite_dffe42_wo : STD_LOGIC; SIGNAL input_is_infinite_dffe4_wi : STD_LOGIC; SIGNAL input_is_infinite_dffe4_wo : STD_LOGIC; SIGNAL input_is_nan_dffe13_wi : STD_LOGIC; SIGNAL input_is_nan_dffe13_wo : STD_LOGIC; SIGNAL input_is_nan_dffe14_wi : STD_LOGIC; SIGNAL input_is_nan_dffe14_wo : STD_LOGIC; SIGNAL input_is_nan_dffe15_wi : STD_LOGIC; SIGNAL input_is_nan_dffe15_wo : STD_LOGIC; SIGNAL input_is_nan_dffe1_wi : STD_LOGIC; SIGNAL input_is_nan_dffe1_wo : STD_LOGIC; SIGNAL input_is_nan_dffe21_wi : STD_LOGIC; SIGNAL input_is_nan_dffe21_wo : STD_LOGIC; SIGNAL input_is_nan_dffe22_wi : STD_LOGIC; SIGNAL input_is_nan_dffe22_wo : STD_LOGIC; SIGNAL input_is_nan_dffe23_wi : STD_LOGIC; SIGNAL input_is_nan_dffe23_wo : STD_LOGIC; SIGNAL input_is_nan_dffe25_wi : STD_LOGIC; SIGNAL input_is_nan_dffe25_wo : STD_LOGIC; SIGNAL input_is_nan_dffe26_wi : STD_LOGIC; SIGNAL input_is_nan_dffe26_wo : STD_LOGIC; SIGNAL input_is_nan_dffe27_wi : STD_LOGIC; SIGNAL input_is_nan_dffe27_wo : STD_LOGIC; SIGNAL input_is_nan_dffe2_wi : STD_LOGIC; SIGNAL input_is_nan_dffe2_wo : STD_LOGIC; SIGNAL input_is_nan_dffe31_wi : STD_LOGIC; SIGNAL input_is_nan_dffe31_wo : STD_LOGIC; SIGNAL input_is_nan_dffe32_wi : STD_LOGIC; SIGNAL input_is_nan_dffe32_wo : STD_LOGIC; SIGNAL input_is_nan_dffe33_wi : STD_LOGIC; SIGNAL input_is_nan_dffe33_wo : STD_LOGIC; SIGNAL input_is_nan_dffe3_wi : STD_LOGIC; SIGNAL input_is_nan_dffe3_wo : STD_LOGIC; SIGNAL input_is_nan_dffe41_wi : STD_LOGIC; SIGNAL input_is_nan_dffe41_wo : STD_LOGIC; SIGNAL input_is_nan_dffe42_wi : STD_LOGIC; SIGNAL input_is_nan_dffe42_wo : STD_LOGIC; SIGNAL input_is_nan_dffe4_wi : STD_LOGIC; SIGNAL input_is_nan_dffe4_wo : STD_LOGIC; SIGNAL man_2comp_res_dataa_w : STD_LOGIC_VECTOR (27 DOWNTO 0); SIGNAL man_2comp_res_datab_w : STD_LOGIC_VECTOR (27 DOWNTO 0); SIGNAL man_2comp_res_w : STD_LOGIC_VECTOR (27 DOWNTO 0); SIGNAL man_a_not_zero_w : STD_LOGIC_VECTOR (22 DOWNTO 0); SIGNAL man_add_sub_dataa_w : STD_LOGIC_VECTOR (27 DOWNTO 0); SIGNAL man_add_sub_datab_w : STD_LOGIC_VECTOR (27 DOWNTO 0); SIGNAL man_add_sub_res_mag_dffe21_wi : STD_LOGIC_VECTOR (25 DOWNTO 0); SIGNAL man_add_sub_res_mag_dffe21_wo : STD_LOGIC_VECTOR (25 DOWNTO 0); SIGNAL man_add_sub_res_mag_dffe23_wi : STD_LOGIC_VECTOR (25 DOWNTO 0); SIGNAL man_add_sub_res_mag_dffe23_wo : STD_LOGIC_VECTOR (25 DOWNTO 0); SIGNAL man_add_sub_res_mag_dffe26_wi : STD_LOGIC_VECTOR (25 DOWNTO 0); SIGNAL man_add_sub_res_mag_dffe26_wo : STD_LOGIC_VECTOR (25 DOWNTO 0); SIGNAL man_add_sub_res_mag_dffe27_wi : STD_LOGIC_VECTOR (27 DOWNTO 0); SIGNAL man_add_sub_res_mag_dffe27_wo : STD_LOGIC_VECTOR (27 DOWNTO 0); SIGNAL man_add_sub_res_mag_w2 : STD_LOGIC_VECTOR (27 DOWNTO 0); SIGNAL man_add_sub_res_sign_dffe21_wo : STD_LOGIC; SIGNAL man_add_sub_res_sign_dffe23_wi : STD_LOGIC; SIGNAL man_add_sub_res_sign_dffe23_wo : STD_LOGIC; SIGNAL man_add_sub_res_sign_dffe26_wi : STD_LOGIC; SIGNAL man_add_sub_res_sign_dffe26_wo : STD_LOGIC; SIGNAL man_add_sub_res_sign_dffe27_wi : STD_LOGIC; SIGNAL man_add_sub_res_sign_dffe27_wo : STD_LOGIC; SIGNAL man_add_sub_res_sign_w2 : STD_LOGIC; SIGNAL man_add_sub_w : STD_LOGIC_VECTOR (27 DOWNTO 0); SIGNAL man_all_zeros_w : STD_LOGIC_VECTOR (22 DOWNTO 0); SIGNAL man_b_not_zero_w : STD_LOGIC_VECTOR (22 DOWNTO 0); SIGNAL man_dffe31_wo : STD_LOGIC_VECTOR (25 DOWNTO 0); SIGNAL man_intermediate_res_w : STD_LOGIC_VECTOR (25 DOWNTO 0); SIGNAL man_leading_zeros_cnt_w : STD_LOGIC_VECTOR (4 DOWNTO 0); SIGNAL man_leading_zeros_dffe31_wi : STD_LOGIC_VECTOR (4 DOWNTO 0); SIGNAL man_leading_zeros_dffe31_wo : STD_LOGIC_VECTOR (4 DOWNTO 0); SIGNAL man_nan_w : STD_LOGIC_VECTOR (22 DOWNTO 0); SIGNAL man_out_dffe5_wi : STD_LOGIC_VECTOR (22 DOWNTO 0); SIGNAL man_out_dffe5_wo : STD_LOGIC_VECTOR (22 DOWNTO 0); SIGNAL man_res_dffe4_wi : STD_LOGIC_VECTOR (22 DOWNTO 0); SIGNAL man_res_dffe4_wo : STD_LOGIC_VECTOR (22 DOWNTO 0); SIGNAL man_res_is_not_zero_dffe31_wi : STD_LOGIC; SIGNAL man_res_is_not_zero_dffe31_wo : STD_LOGIC; SIGNAL man_res_is_not_zero_dffe32_wi : STD_LOGIC; SIGNAL man_res_is_not_zero_dffe32_wo : STD_LOGIC; SIGNAL man_res_is_not_zero_dffe33_wi : STD_LOGIC; SIGNAL man_res_is_not_zero_dffe33_wo : STD_LOGIC; SIGNAL man_res_is_not_zero_dffe3_wi : STD_LOGIC; SIGNAL man_res_is_not_zero_dffe3_wo : STD_LOGIC; SIGNAL man_res_is_not_zero_dffe41_wi : STD_LOGIC; SIGNAL man_res_is_not_zero_dffe41_wo : STD_LOGIC; SIGNAL man_res_is_not_zero_dffe42_wi : STD_LOGIC; SIGNAL man_res_is_not_zero_dffe42_wo : STD_LOGIC; SIGNAL man_res_is_not_zero_dffe4_wi : STD_LOGIC; SIGNAL man_res_is_not_zero_dffe4_wo : STD_LOGIC; SIGNAL man_res_mag_w2 : STD_LOGIC_VECTOR (25 DOWNTO 0); SIGNAL man_res_not_zero_dffe23_wi : STD_LOGIC; SIGNAL man_res_not_zero_dffe23_wo : STD_LOGIC; SIGNAL man_res_not_zero_dffe26_wi : STD_LOGIC; SIGNAL man_res_not_zero_dffe26_wo : STD_LOGIC; SIGNAL man_res_not_zero_w2 : STD_LOGIC_VECTOR (24 DOWNTO 0); SIGNAL man_res_rounding_add_sub_datab_w : STD_LOGIC_VECTOR (25 DOWNTO 0); SIGNAL man_res_rounding_add_sub_w : STD_LOGIC_VECTOR (25 DOWNTO 0); SIGNAL man_res_w3 : STD_LOGIC_VECTOR (23 DOWNTO 0); SIGNAL man_rounded_res_w : STD_LOGIC_VECTOR (22 DOWNTO 0); SIGNAL man_rounding_add_value_w : STD_LOGIC; SIGNAL man_smaller_dffe13_wi : STD_LOGIC_VECTOR (23 DOWNTO 0); SIGNAL man_smaller_dffe13_wo : STD_LOGIC_VECTOR (23 DOWNTO 0); SIGNAL man_smaller_w : STD_LOGIC_VECTOR (23 DOWNTO 0); SIGNAL need_complement_dffe22_wi : STD_LOGIC; SIGNAL need_complement_dffe22_wo : STD_LOGIC; SIGNAL need_complement_dffe2_wi : STD_LOGIC; SIGNAL need_complement_dffe2_wo : STD_LOGIC; SIGNAL pos_sign_bit_ext : STD_LOGIC_VECTOR (1 DOWNTO 0); SIGNAL priority_encoder_1pads_w : STD_LOGIC_VECTOR (3 DOWNTO 0); SIGNAL round_bit_dffe21_wi : STD_LOGIC; SIGNAL round_bit_dffe21_wo : STD_LOGIC; SIGNAL round_bit_dffe23_wi : STD_LOGIC; SIGNAL round_bit_dffe23_wo : STD_LOGIC; SIGNAL round_bit_dffe26_wi : STD_LOGIC; SIGNAL round_bit_dffe26_wo : STD_LOGIC; SIGNAL round_bit_dffe31_wi : STD_LOGIC; SIGNAL round_bit_dffe31_wo : STD_LOGIC; SIGNAL round_bit_dffe32_wi : STD_LOGIC; SIGNAL round_bit_dffe32_wo : STD_LOGIC; SIGNAL round_bit_dffe33_wi : STD_LOGIC; SIGNAL round_bit_dffe33_wo : STD_LOGIC; SIGNAL round_bit_dffe3_wi : STD_LOGIC; SIGNAL round_bit_dffe3_wo : STD_LOGIC; SIGNAL round_bit_w : STD_LOGIC; SIGNAL rounded_res_infinity_dffe4_wi : STD_LOGIC; SIGNAL rounded_res_infinity_dffe4_wo : STD_LOGIC; SIGNAL rshift_distance_dffe13_wi : STD_LOGIC_VECTOR (4 DOWNTO 0); SIGNAL rshift_distance_dffe13_wo : STD_LOGIC_VECTOR (4 DOWNTO 0); SIGNAL rshift_distance_dffe14_wi : STD_LOGIC_VECTOR (4 DOWNTO 0); SIGNAL rshift_distance_dffe14_wo : STD_LOGIC_VECTOR (4 DOWNTO 0); SIGNAL rshift_distance_dffe15_wi : STD_LOGIC_VECTOR (4 DOWNTO 0); SIGNAL rshift_distance_dffe15_wo : STD_LOGIC_VECTOR (4 DOWNTO 0); SIGNAL rshift_distance_w : STD_LOGIC_VECTOR (4 DOWNTO 0); SIGNAL sign_dffe31_wi : STD_LOGIC; SIGNAL sign_dffe31_wo : STD_LOGIC; SIGNAL sign_dffe32_wi : STD_LOGIC; SIGNAL sign_dffe32_wo : STD_LOGIC; SIGNAL sign_dffe33_wi : STD_LOGIC; SIGNAL sign_dffe33_wo : STD_LOGIC; SIGNAL sign_out_dffe5_wi : STD_LOGIC; SIGNAL sign_out_dffe5_wo : STD_LOGIC; SIGNAL sign_res_dffe3_wi : STD_LOGIC; SIGNAL sign_res_dffe3_wo : STD_LOGIC; SIGNAL sign_res_dffe41_wi : STD_LOGIC; SIGNAL sign_res_dffe41_wo : STD_LOGIC; SIGNAL sign_res_dffe42_wi : STD_LOGIC; SIGNAL sign_res_dffe42_wo : STD_LOGIC; SIGNAL sign_res_dffe4_wi : STD_LOGIC; SIGNAL sign_res_dffe4_wo : STD_LOGIC; SIGNAL sticky_bit_cnt_dataa_w : STD_LOGIC_VECTOR (5 DOWNTO 0); SIGNAL sticky_bit_cnt_datab_w : STD_LOGIC_VECTOR (5 DOWNTO 0); SIGNAL sticky_bit_cnt_res_w : STD_LOGIC_VECTOR (5 DOWNTO 0); SIGNAL sticky_bit_dffe1_wi : STD_LOGIC; SIGNAL sticky_bit_dffe1_wo : STD_LOGIC; SIGNAL sticky_bit_dffe21_wi : STD_LOGIC; SIGNAL sticky_bit_dffe21_wo : STD_LOGIC; SIGNAL sticky_bit_dffe22_wi : STD_LOGIC; SIGNAL sticky_bit_dffe22_wo : STD_LOGIC; SIGNAL sticky_bit_dffe23_wi : STD_LOGIC; SIGNAL sticky_bit_dffe23_wo : STD_LOGIC; SIGNAL sticky_bit_dffe25_wi : STD_LOGIC; SIGNAL sticky_bit_dffe25_wo : STD_LOGIC; SIGNAL sticky_bit_dffe26_wi : STD_LOGIC; SIGNAL sticky_bit_dffe26_wo : STD_LOGIC; SIGNAL sticky_bit_dffe27_wi : STD_LOGIC; SIGNAL sticky_bit_dffe27_wo : STD_LOGIC; SIGNAL sticky_bit_dffe2_wi : STD_LOGIC; SIGNAL sticky_bit_dffe2_wo : STD_LOGIC; SIGNAL sticky_bit_dffe31_wi : STD_LOGIC; SIGNAL sticky_bit_dffe31_wo : STD_LOGIC; SIGNAL sticky_bit_dffe32_wi : STD_LOGIC; SIGNAL sticky_bit_dffe32_wo : STD_LOGIC; SIGNAL sticky_bit_dffe33_wi : STD_LOGIC; SIGNAL sticky_bit_dffe33_wo : STD_LOGIC; SIGNAL sticky_bit_dffe3_wi : STD_LOGIC; SIGNAL sticky_bit_dffe3_wo : STD_LOGIC; SIGNAL sticky_bit_w : STD_LOGIC; SIGNAL trailing_zeros_limit_w : STD_LOGIC_VECTOR (5 DOWNTO 0); SIGNAL zero_man_sign_dffe21_wi : STD_LOGIC; SIGNAL zero_man_sign_dffe21_wo : STD_LOGIC; SIGNAL zero_man_sign_dffe22_wi : STD_LOGIC; SIGNAL zero_man_sign_dffe22_wo : STD_LOGIC; SIGNAL zero_man_sign_dffe23_wi : STD_LOGIC; SIGNAL zero_man_sign_dffe23_wo : STD_LOGIC; SIGNAL zero_man_sign_dffe26_wi : STD_LOGIC; SIGNAL zero_man_sign_dffe26_wo : STD_LOGIC; SIGNAL zero_man_sign_dffe27_wi : STD_LOGIC; SIGNAL zero_man_sign_dffe27_wo : STD_LOGIC; SIGNAL zero_man_sign_dffe2_wi : STD_LOGIC; SIGNAL zero_man_sign_dffe2_wo : STD_LOGIC; SIGNAL wire_w_aligned_dataa_exp_dffe15_wo_range315w : STD_LOGIC_VECTOR (7 DOWNTO 0); SIGNAL wire_w_aligned_datab_exp_dffe15_wo_range313w : STD_LOGIC_VECTOR (7 DOWNTO 0); SIGNAL wire_w_dataa_range141w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_dataa_range147w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_dataa_range153w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_dataa_range159w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_dataa_range165w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_dataa_range171w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_dataa_range177w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_dataa_range183w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_dataa_range189w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_dataa_range195w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_dataa_range87w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_dataa_range201w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_dataa_range207w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_dataa_range213w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_dataa_range17w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_dataa_range27w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_dataa_range37w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_dataa_range47w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_dataa_range57w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_dataa_range67w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_dataa_range93w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_dataa_range77w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_dataa_range99w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_dataa_range105w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_dataa_range111w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_dataa_range117w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_dataa_range123w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_dataa_range129w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_dataa_range135w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_dataa_dffe11_wo_range242w : STD_LOGIC_VECTOR (22 DOWNTO 0); SIGNAL wire_w_dataa_dffe11_wo_range232w : STD_LOGIC_VECTOR (7 DOWNTO 0); SIGNAL wire_w_datab_range144w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_datab_range150w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_datab_range156w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_datab_range162w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_datab_range168w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_datab_range174w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_datab_range180w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_datab_range186w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_datab_range192w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_datab_range198w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_datab_range90w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_datab_range204w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_datab_range210w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_datab_range216w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_datab_range20w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_datab_range30w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_datab_range40w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_datab_range50w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_datab_range60w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_datab_range70w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_datab_range96w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_datab_range80w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_datab_range102w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_datab_range108w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_datab_range114w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_datab_range120w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_datab_range126w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_datab_range132w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_datab_range138w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_datab_dffe11_wo_range261w : STD_LOGIC_VECTOR (22 DOWNTO 0); SIGNAL wire_w_datab_dffe11_wo_range251w : STD_LOGIC_VECTOR (7 DOWNTO 0); SIGNAL wire_w_exp_a_all_one_w_range7w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_exp_a_all_one_w_range24w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_exp_a_all_one_w_range34w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_exp_a_all_one_w_range44w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_exp_a_all_one_w_range54w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_exp_a_all_one_w_range64w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_exp_a_all_one_w_range74w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_exp_a_all_one_w_range84w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_exp_a_not_zero_w_range2w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_exp_a_not_zero_w_range19w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_exp_a_not_zero_w_range29w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_exp_a_not_zero_w_range39w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_exp_a_not_zero_w_range49w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_exp_a_not_zero_w_range59w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_exp_a_not_zero_w_range69w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_exp_adjustment2_add_sub_w_range518w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_exp_adjustment2_add_sub_w_range521w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_exp_adjustment2_add_sub_w_range524w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_exp_adjustment2_add_sub_w_range527w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_exp_adjustment2_add_sub_w_range530w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_exp_adjustment2_add_sub_w_range533w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_exp_adjustment2_add_sub_w_range557w : STD_LOGIC_VECTOR (7 DOWNTO 0); SIGNAL wire_w_exp_adjustment2_add_sub_w_range536w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_exp_adjustment2_add_sub_w_range511w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_exp_amb_w_range274w : STD_LOGIC_VECTOR (7 DOWNTO 0); SIGNAL wire_w_exp_b_all_one_w_range9w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_exp_b_all_one_w_range26w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_exp_b_all_one_w_range36w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_exp_b_all_one_w_range46w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_exp_b_all_one_w_range56w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_exp_b_all_one_w_range66w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_exp_b_all_one_w_range76w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_exp_b_all_one_w_range86w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_exp_b_not_zero_w_range5w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_exp_b_not_zero_w_range22w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_exp_b_not_zero_w_range32w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_exp_b_not_zero_w_range42w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_exp_b_not_zero_w_range52w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_exp_b_not_zero_w_range62w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_exp_b_not_zero_w_range72w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_exp_bma_w_range272w : STD_LOGIC_VECTOR (7 DOWNTO 0); SIGNAL wire_w_exp_diff_abs_exceed_max_w_range282w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_exp_diff_abs_exceed_max_w_range286w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_exp_diff_abs_exceed_max_w_range289w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_exp_diff_abs_w_range290w : STD_LOGIC_VECTOR (4 DOWNTO 0); SIGNAL wire_w_exp_diff_abs_w_range284w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_exp_diff_abs_w_range287w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_exp_res_max_w_range540w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_exp_res_max_w_range543w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_exp_res_max_w_range545w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_exp_res_max_w_range547w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_exp_res_max_w_range549w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_exp_res_max_w_range551w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_exp_res_max_w_range553w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_exp_res_max_w_range555w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_exp_res_not_zero_w_range516w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_exp_res_not_zero_w_range520w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_exp_res_not_zero_w_range523w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_exp_res_not_zero_w_range526w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_exp_res_not_zero_w_range529w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_exp_res_not_zero_w_range532w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_exp_res_not_zero_w_range535w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_exp_res_not_zero_w_range538w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_exp_rounded_res_max_w_range601w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_exp_rounded_res_max_w_range605w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_exp_rounded_res_max_w_range608w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_exp_rounded_res_max_w_range611w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_exp_rounded_res_max_w_range614w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_exp_rounded_res_max_w_range617w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_exp_rounded_res_max_w_range620w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_exp_rounded_res_w_range603w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_exp_rounded_res_w_range606w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_exp_rounded_res_w_range609w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_exp_rounded_res_w_range612w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_exp_rounded_res_w_range615w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_exp_rounded_res_w_range618w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_exp_rounded_res_w_range621w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_man_a_not_zero_w_range12w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_man_a_not_zero_w_range143w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_man_a_not_zero_w_range149w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_man_a_not_zero_w_range155w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_man_a_not_zero_w_range161w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_man_a_not_zero_w_range167w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_man_a_not_zero_w_range173w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_man_a_not_zero_w_range179w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_man_a_not_zero_w_range185w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_man_a_not_zero_w_range191w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_man_a_not_zero_w_range197w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_man_a_not_zero_w_range89w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_man_a_not_zero_w_range203w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_man_a_not_zero_w_range209w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_man_a_not_zero_w_range215w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_man_a_not_zero_w_range95w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_man_a_not_zero_w_range101w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_man_a_not_zero_w_range107w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_man_a_not_zero_w_range113w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_man_a_not_zero_w_range119w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_man_a_not_zero_w_range125w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_man_a_not_zero_w_range131w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_man_a_not_zero_w_range137w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_man_add_sub_res_mag_dffe21_wo_range443w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_man_add_sub_res_mag_dffe21_wo_range446w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_man_add_sub_res_mag_dffe21_wo_range449w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_man_add_sub_res_mag_dffe21_wo_range452w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_man_add_sub_res_mag_dffe21_wo_range455w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_man_add_sub_res_mag_dffe21_wo_range458w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_man_add_sub_res_mag_dffe21_wo_range461w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_man_add_sub_res_mag_dffe21_wo_range464w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_man_add_sub_res_mag_dffe21_wo_range467w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_man_add_sub_res_mag_dffe21_wo_range470w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_man_add_sub_res_mag_dffe21_wo_range473w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_man_add_sub_res_mag_dffe21_wo_range476w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_man_add_sub_res_mag_dffe21_wo_range479w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_man_add_sub_res_mag_dffe21_wo_range482w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_man_add_sub_res_mag_dffe21_wo_range485w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_man_add_sub_res_mag_dffe21_wo_range488w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_man_add_sub_res_mag_dffe21_wo_range419w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_man_add_sub_res_mag_dffe21_wo_range422w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_man_add_sub_res_mag_dffe21_wo_range425w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_man_add_sub_res_mag_dffe21_wo_range428w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_man_add_sub_res_mag_dffe21_wo_range431w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_man_add_sub_res_mag_dffe21_wo_range434w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_man_add_sub_res_mag_dffe21_wo_range437w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_man_add_sub_res_mag_dffe21_wo_range440w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_man_add_sub_res_mag_dffe27_wo_range396w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_man_add_sub_res_mag_dffe27_wo_range411w : STD_LOGIC_VECTOR (25 DOWNTO 0); SIGNAL wire_w_man_add_sub_res_mag_dffe27_wo_range387w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_man_add_sub_res_mag_dffe27_wo_range413w : STD_LOGIC_VECTOR (25 DOWNTO 0); SIGNAL wire_w_man_add_sub_res_mag_dffe27_wo_range381w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_man_add_sub_w_range372w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_man_b_not_zero_w_range15w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_man_b_not_zero_w_range146w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_man_b_not_zero_w_range152w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_man_b_not_zero_w_range158w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_man_b_not_zero_w_range164w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_man_b_not_zero_w_range170w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_man_b_not_zero_w_range176w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_man_b_not_zero_w_range182w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_man_b_not_zero_w_range188w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_man_b_not_zero_w_range194w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_man_b_not_zero_w_range200w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_man_b_not_zero_w_range92w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_man_b_not_zero_w_range206w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_man_b_not_zero_w_range212w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_man_b_not_zero_w_range218w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_man_b_not_zero_w_range98w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_man_b_not_zero_w_range104w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_man_b_not_zero_w_range110w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_man_b_not_zero_w_range116w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_man_b_not_zero_w_range122w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_man_b_not_zero_w_range128w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_man_b_not_zero_w_range134w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_man_b_not_zero_w_range140w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_man_res_not_zero_w2_range417w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_man_res_not_zero_w2_range448w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_man_res_not_zero_w2_range451w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_man_res_not_zero_w2_range454w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_man_res_not_zero_w2_range457w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_man_res_not_zero_w2_range460w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_man_res_not_zero_w2_range463w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_man_res_not_zero_w2_range466w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_man_res_not_zero_w2_range469w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_man_res_not_zero_w2_range472w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_man_res_not_zero_w2_range475w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_man_res_not_zero_w2_range421w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_man_res_not_zero_w2_range478w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_man_res_not_zero_w2_range481w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_man_res_not_zero_w2_range484w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_man_res_not_zero_w2_range487w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_man_res_not_zero_w2_range424w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_man_res_not_zero_w2_range427w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_man_res_not_zero_w2_range430w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_man_res_not_zero_w2_range433w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_man_res_not_zero_w2_range436w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_man_res_not_zero_w2_range439w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_man_res_not_zero_w2_range442w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_man_res_not_zero_w2_range445w : STD_LOGIC_VECTOR (0 DOWNTO 0); SIGNAL wire_w_man_res_rounding_add_sub_w_range584w : STD_LOGIC_VECTOR (22 DOWNTO 0); SIGNAL wire_w_man_res_rounding_add_sub_w_range588w : STD_LOGIC_VECTOR (22 DOWNTO 0); SIGNAL wire_w_man_res_rounding_add_sub_w_range585w : STD_LOGIC_VECTOR (0 DOWNTO 0); COMPONENT fp_add_altbarrel_shift_h0e PORT ( aclr : IN STD_LOGIC := '0'; clk_en : IN STD_LOGIC := '1'; clock : IN STD_LOGIC := '0'; data : IN STD_LOGIC_VECTOR(25 DOWNTO 0); distance : IN STD_LOGIC_VECTOR(4 DOWNTO 0); result : OUT STD_LOGIC_VECTOR(25 DOWNTO 0) ); END COMPONENT; COMPONENT fp_add_altbarrel_shift_6hb PORT ( data : IN STD_LOGIC_VECTOR(25 DOWNTO 0); distance : IN STD_LOGIC_VECTOR(4 DOWNTO 0); result : OUT STD_LOGIC_VECTOR(25 DOWNTO 0) ); END COMPONENT; COMPONENT fp_add_altpriority_encoder_qb6 PORT ( data : IN STD_LOGIC_VECTOR(31 DOWNTO 0); q : OUT STD_LOGIC_VECTOR(4 DOWNTO 0) ); END COMPONENT; COMPONENT fp_add_altpriority_encoder_e48 PORT ( data : IN STD_LOGIC_VECTOR(31 DOWNTO 0); q : OUT STD_LOGIC_VECTOR(4 DOWNTO 0) ); END COMPONENT; COMPONENT lpm_add_sub GENERIC ( LPM_DIRECTION : STRING := "DEFAULT"; LPM_PIPELINE : NATURAL := 0; LPM_REPRESENTATION : STRING := "SIGNED"; LPM_WIDTH : NATURAL; lpm_hint : STRING := "UNUSED"; lpm_type : STRING := "lpm_add_sub" ); PORT ( aclr : IN STD_LOGIC := '0'; add_sub : IN STD_LOGIC := '1'; cin : IN STD_LOGIC := 'Z'; clken : IN STD_LOGIC := '1'; clock : IN STD_LOGIC := '0'; cout : OUT STD_LOGIC; dataa : IN STD_LOGIC_VECTOR(LPM_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); datab : IN STD_LOGIC_VECTOR(LPM_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); overflow : OUT STD_LOGIC; result : OUT STD_LOGIC_VECTOR(LPM_WIDTH-1 DOWNTO 0) ); END COMPONENT; COMPONENT lpm_compare GENERIC ( LPM_PIPELINE : NATURAL := 0; LPM_REPRESENTATION : STRING := "UNSIGNED"; LPM_WIDTH : NATURAL; lpm_hint : STRING := "UNUSED"; lpm_type : STRING := "lpm_compare" ); PORT ( aclr : IN STD_LOGIC := '0'; aeb : OUT STD_LOGIC; agb : OUT STD_LOGIC; ageb : OUT STD_LOGIC; alb : OUT STD_LOGIC; aleb : OUT STD_LOGIC; aneb : OUT STD_LOGIC; clken : IN STD_LOGIC := '1'; clock : IN STD_LOGIC := '0'; dataa : IN STD_LOGIC_VECTOR(LPM_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); datab : IN STD_LOGIC_VECTOR(LPM_WIDTH-1 DOWNTO 0) := (OTHERS => '0') ); END COMPONENT; BEGIN wire_gnd <= '0'; wire_vcc <= '1'; wire_w248w(0) <= wire_w_lg_w_lg_input_dataa_infinite_dffe11_wo246w247w(0) AND wire_w_lg_input_dataa_zero_dffe11_wo245w(0); wire_w267w(0) <= wire_w_lg_w_lg_input_datab_infinite_dffe11_wo265w266w(0) AND wire_w_lg_input_datab_zero_dffe11_wo264w(0); wire_w_lg_w397w407w(0) <= wire_w397w(0) AND sticky_bit_dffe27_wo; loop80 : FOR i IN 0 TO 7 GENERATE wire_w_lg_w_lg_w_lg_force_zero_w634w635w636w(i) <= wire_w_lg_w_lg_force_zero_w634w635w(0) AND exp_res_dffe4_wo(i); END GENERATE loop80; loop81 : FOR i IN 0 TO 22 GENERATE wire_w_lg_w_lg_w_lg_force_zero_w634w635w645w(i) <= wire_w_lg_w_lg_force_zero_w634w635w(0) AND man_res_dffe4_wo(i); END GENERATE loop81; loop82 : FOR i IN 0 TO 7 GENERATE wire_w_lg_w_lg_denormal_result_w558w559w(i) <= wire_w_lg_denormal_result_w558w(0) AND wire_w_exp_adjustment2_add_sub_w_range557w(i); END GENERATE loop82; loop83 : FOR i IN 0 TO 25 GENERATE wire_w_lg_w_lg_exp_amb_mux_dffe15_wo316w324w(i) <= wire_w_lg_exp_amb_mux_dffe15_wo316w(0) AND aligned_dataa_man_dffe15_w(i); END GENERATE loop83; loop84 : FOR i IN 0 TO 25 GENERATE wire_w_lg_w_lg_exp_amb_mux_dffe15_wo316w331w(i) <= wire_w_lg_exp_amb_mux_dffe15_wo316w(0) AND wire_rbarrel_shift_result(i); END GENERATE loop84; loop85 : FOR i IN 0 TO 7 GENERATE wire_w_lg_w_lg_exp_amb_mux_dffe15_wo316w317w(i) <= wire_w_lg_exp_amb_mux_dffe15_wo316w(0) AND wire_w_aligned_dataa_exp_dffe15_wo_range315w(i); END GENERATE loop85; loop86 : FOR i IN 0 TO 23 GENERATE wire_w_lg_w_lg_exp_amb_mux_w275w278w(i) <= wire_w_lg_exp_amb_mux_w275w(0) AND aligned_datab_man_dffe12_wo(i); END GENERATE loop86; loop87 : FOR i IN 0 TO 7 GENERATE wire_w_lg_w_lg_exp_amb_mux_w275w276w(i) <= wire_w_lg_exp_amb_mux_w275w(0) AND wire_w_exp_amb_w_range274w(i); END GENERATE loop87; loop88 : FOR i IN 0 TO 7 GENERATE wire_w_lg_w_lg_force_infinity_w629w639w(i) <= wire_w_lg_force_infinity_w629w(0) AND wire_w_lg_w_lg_w_lg_force_zero_w634w637w638w(i); END GENERATE loop88; loop89 : FOR i IN 0 TO 22 GENERATE wire_w_lg_w_lg_force_infinity_w629w648w(i) <= wire_w_lg_force_infinity_w629w(0) AND wire_w_lg_w_lg_w_lg_force_zero_w634w646w647w(i); END GENERATE loop89; wire_w_lg_w_lg_force_infinity_w629w654w(0) <= wire_w_lg_force_infinity_w629w(0) AND sign_res_dffe4_wo; loop90 : FOR i IN 0 TO 7 GENERATE wire_w_lg_w_lg_force_nan_w630w642w(i) <= wire_w_lg_force_nan_w630w(0) AND wire_w_lg_w_lg_force_infinity_w640w641w(i); END GENERATE loop90; loop91 : FOR i IN 0 TO 22 GENERATE wire_w_lg_w_lg_force_nan_w630w651w(i) <= wire_w_lg_force_nan_w630w(0) AND wire_w_lg_w_lg_force_infinity_w649w650w(i); END GENERATE loop91; loop92 : FOR i IN 0 TO 22 GENERATE wire_w_lg_w_lg_input_dataa_denormal_dffe11_wo233w243w(i) <= wire_w_lg_input_dataa_denormal_dffe11_wo233w(0) AND wire_w_dataa_dffe11_wo_range242w(i); END GENERATE loop92; loop93 : FOR i IN 0 TO 7 GENERATE wire_w_lg_w_lg_input_dataa_denormal_dffe11_wo233w234w(i) <= wire_w_lg_input_dataa_denormal_dffe11_wo233w(0) AND wire_w_dataa_dffe11_wo_range232w(i); END GENERATE loop93; wire_w_lg_w_lg_input_dataa_infinite_dffe11_wo246w247w(0) <= wire_w_lg_input_dataa_infinite_dffe11_wo246w(0) AND wire_w_lg_input_dataa_denormal_dffe11_wo233w(0); loop94 : FOR i IN 0 TO 22 GENERATE wire_w_lg_w_lg_input_datab_denormal_dffe11_wo252w262w(i) <= wire_w_lg_input_datab_denormal_dffe11_wo252w(0) AND wire_w_datab_dffe11_wo_range261w(i); END GENERATE loop94; loop95 : FOR i IN 0 TO 7 GENERATE wire_w_lg_w_lg_input_datab_denormal_dffe11_wo252w253w(i) <= wire_w_lg_input_datab_denormal_dffe11_wo252w(0) AND wire_w_datab_dffe11_wo_range251w(i); END GENERATE loop95; wire_w_lg_w_lg_input_datab_infinite_dffe11_wo265w266w(0) <= wire_w_lg_input_datab_infinite_dffe11_wo265w(0) AND wire_w_lg_input_datab_denormal_dffe11_wo252w(0); wire_w_lg_w_lg_input_datab_infinite_dffe15_wo337w338w(0) <= wire_w_lg_input_datab_infinite_dffe15_wo337w(0) AND aligned_dataa_sign_dffe15_wo; wire_w_lg_w_lg_man_res_not_zero_dffe26_wo503w504w(0) <= wire_w_lg_man_res_not_zero_dffe26_wo503w(0) AND zero_man_sign_dffe26_wo; loop96 : FOR i IN 0 TO 4 GENERATE wire_w292w(i) <= wire_w_lg_w_exp_diff_abs_exceed_max_w_range289w291w(0) AND wire_w_exp_diff_abs_w_range290w(i); END GENERATE loop96; wire_w397w(0) <= wire_w_lg_w_man_add_sub_res_mag_dffe27_wo_range381w382w(0) AND wire_w_lg_w_man_add_sub_res_mag_dffe27_wo_range387w390w(0); loop97 : FOR i IN 0 TO 1 GENERATE wire_w383w(i) <= wire_w_lg_w_man_add_sub_res_mag_dffe27_wo_range381w382w(0) AND exp_adjust_by_add1(i); END GENERATE loop97; loop98 : FOR i IN 0 TO 25 GENERATE wire_w412w(i) <= wire_w_lg_w_man_add_sub_res_mag_dffe27_wo_range381w382w(0) AND wire_w_man_add_sub_res_mag_dffe27_wo_range411w(i); END GENERATE loop98; loop99 : FOR i IN 0 TO 27 GENERATE wire_w_lg_w_lg_w_man_add_sub_w_range372w375w378w(i) <= wire_w_lg_w_man_add_sub_w_range372w375w(0) AND man_add_sub_w(i); END GENERATE loop99; loop100 : FOR i IN 0 TO 22 GENERATE wire_w587w(i) <= wire_w_lg_w_man_res_rounding_add_sub_w_range585w586w(0) AND wire_w_man_res_rounding_add_sub_w_range584w(i); END GENERATE loop100; loop101 : FOR i IN 0 TO 7 GENERATE wire_w_lg_w_lg_force_zero_w634w637w(i) <= wire_w_lg_force_zero_w634w(0) AND exp_all_zeros_w(i); END GENERATE loop101; loop102 : FOR i IN 0 TO 22 GENERATE wire_w_lg_w_lg_force_zero_w634w646w(i) <= wire_w_lg_force_zero_w634w(0) AND man_all_zeros_w(i); END GENERATE loop102; loop103 : FOR i IN 0 TO 25 GENERATE wire_w_lg_exp_amb_mux_dffe15_wo330w(i) <= exp_amb_mux_dffe15_wo AND aligned_datab_man_dffe15_w(i); END GENERATE loop103; loop104 : FOR i IN 0 TO 25 GENERATE wire_w_lg_exp_amb_mux_dffe15_wo323w(i) <= exp_amb_mux_dffe15_wo AND wire_rbarrel_shift_result(i); END GENERATE loop104; loop105 : FOR i IN 0 TO 7 GENERATE wire_w_lg_exp_amb_mux_dffe15_wo314w(i) <= exp_amb_mux_dffe15_wo AND wire_w_aligned_datab_exp_dffe15_wo_range313w(i); END GENERATE loop105; loop106 : FOR i IN 0 TO 23 GENERATE wire_w_lg_exp_amb_mux_w279w(i) <= exp_amb_mux_w AND aligned_dataa_man_dffe12_wo(i); END GENERATE loop106; loop107 : FOR i IN 0 TO 7 GENERATE wire_w_lg_exp_amb_mux_w273w(i) <= exp_amb_mux_w AND wire_w_exp_bma_w_range272w(i); END GENERATE loop107; loop108 : FOR i IN 0 TO 7 GENERATE wire_w_lg_force_infinity_w640w(i) <= force_infinity_w AND exp_all_ones_w(i); END GENERATE loop108; loop109 : FOR i IN 0 TO 22 GENERATE wire_w_lg_force_infinity_w649w(i) <= force_infinity_w AND man_all_zeros_w(i); END GENERATE loop109; loop110 : FOR i IN 0 TO 7 GENERATE wire_w_lg_force_nan_w643w(i) <= force_nan_w AND exp_all_ones_w(i); END GENERATE loop110; loop111 : FOR i IN 0 TO 22 GENERATE wire_w_lg_force_nan_w652w(i) <= force_nan_w AND man_nan_w(i); END GENERATE loop111; wire_w_lg_need_complement_dffe22_wo376w(0) <= need_complement_dffe22_wo AND wire_w_lg_w_man_add_sub_w_range372w375w(0); wire_w_lg_w_dataa_range17w23w(0) <= wire_w_dataa_range17w(0) AND wire_w_exp_a_all_one_w_range7w(0); wire_w_lg_w_dataa_range27w33w(0) <= wire_w_dataa_range27w(0) AND wire_w_exp_a_all_one_w_range24w(0); wire_w_lg_w_dataa_range37w43w(0) <= wire_w_dataa_range37w(0) AND wire_w_exp_a_all_one_w_range34w(0); wire_w_lg_w_dataa_range47w53w(0) <= wire_w_dataa_range47w(0) AND wire_w_exp_a_all_one_w_range44w(0); wire_w_lg_w_dataa_range57w63w(0) <= wire_w_dataa_range57w(0) AND wire_w_exp_a_all_one_w_range54w(0); wire_w_lg_w_dataa_range67w73w(0) <= wire_w_dataa_range67w(0) AND wire_w_exp_a_all_one_w_range64w(0); wire_w_lg_w_dataa_range77w83w(0) <= wire_w_dataa_range77w(0) AND wire_w_exp_a_all_one_w_range74w(0); wire_w_lg_w_datab_range20w25w(0) <= wire_w_datab_range20w(0) AND wire_w_exp_b_all_one_w_range9w(0); wire_w_lg_w_datab_range30w35w(0) <= wire_w_datab_range30w(0) AND wire_w_exp_b_all_one_w_range26w(0); wire_w_lg_w_datab_range40w45w(0) <= wire_w_datab_range40w(0) AND wire_w_exp_b_all_one_w_range36w(0); wire_w_lg_w_datab_range50w55w(0) <= wire_w_datab_range50w(0) AND wire_w_exp_b_all_one_w_range46w(0); wire_w_lg_w_datab_range60w65w(0) <= wire_w_datab_range60w(0) AND wire_w_exp_b_all_one_w_range56w(0); wire_w_lg_w_datab_range70w75w(0) <= wire_w_datab_range70w(0) AND wire_w_exp_b_all_one_w_range66w(0); wire_w_lg_w_datab_range80w85w(0) <= wire_w_datab_range80w(0) AND wire_w_exp_b_all_one_w_range76w(0); wire_w_lg_w_exp_a_all_one_w_range84w220w(0) <= wire_w_exp_a_all_one_w_range84w(0) AND wire_w_lg_w_man_a_not_zero_w_range215w219w(0); wire_w_lg_w_exp_b_all_one_w_range86w226w(0) <= wire_w_exp_b_all_one_w_range86w(0) AND wire_w_lg_w_man_b_not_zero_w_range218w225w(0); loop112 : FOR i IN 0 TO 4 GENERATE wire_w_lg_w_exp_diff_abs_exceed_max_w_range289w293w(i) <= wire_w_exp_diff_abs_exceed_max_w_range289w(0) AND exp_diff_abs_max_w(i); END GENERATE loop112; wire_w_lg_w_exp_res_max_w_range540w542w(0) <= wire_w_exp_res_max_w_range540w(0) AND wire_w_exp_adjustment2_add_sub_w_range518w(0); wire_w_lg_w_exp_res_max_w_range543w544w(0) <= wire_w_exp_res_max_w_range543w(0) AND wire_w_exp_adjustment2_add_sub_w_range521w(0); wire_w_lg_w_exp_res_max_w_range545w546w(0) <= wire_w_exp_res_max_w_range545w(0) AND wire_w_exp_adjustment2_add_sub_w_range524w(0); wire_w_lg_w_exp_res_max_w_range547w548w(0) <= wire_w_exp_res_max_w_range547w(0) AND wire_w_exp_adjustment2_add_sub_w_range527w(0); wire_w_lg_w_exp_res_max_w_range549w550w(0) <= wire_w_exp_res_max_w_range549w(0) AND wire_w_exp_adjustment2_add_sub_w_range530w(0); wire_w_lg_w_exp_res_max_w_range551w552w(0) <= wire_w_exp_res_max_w_range551w(0) AND wire_w_exp_adjustment2_add_sub_w_range533w(0); wire_w_lg_w_exp_res_max_w_range553w554w(0) <= wire_w_exp_res_max_w_range553w(0) AND wire_w_exp_adjustment2_add_sub_w_range536w(0); wire_w_lg_w_exp_res_max_w_range555w561w(0) <= wire_w_exp_res_max_w_range555w(0) AND wire_w_lg_w_exp_adjustment2_add_sub_w_range511w560w(0); wire_w_lg_w_exp_rounded_res_max_w_range601w604w(0) <= wire_w_exp_rounded_res_max_w_range601w(0) AND wire_w_exp_rounded_res_w_range603w(0); wire_w_lg_w_exp_rounded_res_max_w_range605w607w(0) <= wire_w_exp_rounded_res_max_w_range605w(0) AND wire_w_exp_rounded_res_w_range606w(0); wire_w_lg_w_exp_rounded_res_max_w_range608w610w(0) <= wire_w_exp_rounded_res_max_w_range608w(0) AND wire_w_exp_rounded_res_w_range609w(0); wire_w_lg_w_exp_rounded_res_max_w_range611w613w(0) <= wire_w_exp_rounded_res_max_w_range611w(0) AND wire_w_exp_rounded_res_w_range612w(0); wire_w_lg_w_exp_rounded_res_max_w_range614w616w(0) <= wire_w_exp_rounded_res_max_w_range614w(0) AND wire_w_exp_rounded_res_w_range615w(0); wire_w_lg_w_exp_rounded_res_max_w_range617w619w(0) <= wire_w_exp_rounded_res_max_w_range617w(0) AND wire_w_exp_rounded_res_w_range618w(0); wire_w_lg_w_exp_rounded_res_max_w_range620w622w(0) <= wire_w_exp_rounded_res_max_w_range620w(0) AND wire_w_exp_rounded_res_w_range621w(0); wire_w_lg_w_man_add_sub_res_mag_dffe27_wo_range381w391w(0) <= wire_w_man_add_sub_res_mag_dffe27_wo_range381w(0) AND wire_w_lg_w_man_add_sub_res_mag_dffe27_wo_range387w390w(0); loop113 : FOR i IN 0 TO 1 GENERATE wire_w_lg_w_man_add_sub_res_mag_dffe27_wo_range381w384w(i) <= wire_w_man_add_sub_res_mag_dffe27_wo_range381w(0) AND exp_adjust_by_add2(i); END GENERATE loop113; loop114 : FOR i IN 0 TO 25 GENERATE wire_w_lg_w_man_add_sub_res_mag_dffe27_wo_range381w414w(i) <= wire_w_man_add_sub_res_mag_dffe27_wo_range381w(0) AND wire_w_man_add_sub_res_mag_dffe27_wo_range413w(i); END GENERATE loop114; loop115 : FOR i IN 0 TO 27 GENERATE wire_w_lg_w_man_add_sub_w_range372w379w(i) <= wire_w_man_add_sub_w_range372w(0) AND man_2comp_res_w(i); END GENERATE loop115; loop116 : FOR i IN 0 TO 22 GENERATE wire_w_lg_w_man_res_rounding_add_sub_w_range585w589w(i) <= wire_w_man_res_rounding_add_sub_w_range585w(0) AND wire_w_man_res_rounding_add_sub_w_range588w(i); END GENERATE loop116; wire_w_lg_w_lg_force_zero_w634w635w(0) <= NOT wire_w_lg_force_zero_w634w(0); wire_w_lg_add_sub_dffe25_wo491w(0) <= NOT add_sub_dffe25_wo; wire_w_lg_add_sub_w2342w(0) <= NOT add_sub_w2; wire_w_lg_denormal_result_w558w(0) <= NOT denormal_result_w; wire_w_lg_exp_amb_mux_dffe15_wo316w(0) <= NOT exp_amb_mux_dffe15_wo; wire_w_lg_exp_amb_mux_w275w(0) <= NOT exp_amb_mux_w; wire_w_lg_force_infinity_w629w(0) <= NOT force_infinity_w; wire_w_lg_force_nan_w630w(0) <= NOT force_nan_w; wire_w_lg_force_zero_w628w(0) <= NOT force_zero_w; wire_w_lg_input_dataa_denormal_dffe11_wo233w(0) <= NOT input_dataa_denormal_dffe11_wo; wire_w_lg_input_dataa_infinite_dffe11_wo246w(0) <= NOT input_dataa_infinite_dffe11_wo; wire_w_lg_input_dataa_zero_dffe11_wo245w(0) <= NOT input_dataa_zero_dffe11_wo; wire_w_lg_input_datab_denormal_dffe11_wo252w(0) <= NOT input_datab_denormal_dffe11_wo; wire_w_lg_input_datab_infinite_dffe11_wo265w(0) <= NOT input_datab_infinite_dffe11_wo; wire_w_lg_input_datab_infinite_dffe15_wo337w(0) <= NOT input_datab_infinite_dffe15_wo; wire_w_lg_input_datab_zero_dffe11_wo264w(0) <= NOT input_datab_zero_dffe11_wo; wire_w_lg_man_res_is_not_zero_dffe4_wo627w(0) <= NOT man_res_is_not_zero_dffe4_wo; wire_w_lg_man_res_not_zero_dffe26_wo503w(0) <= NOT man_res_not_zero_dffe26_wo; wire_w_lg_need_complement_dffe22_wo373w(0) <= NOT need_complement_dffe22_wo; wire_w_lg_sticky_bit_dffe1_wo343w(0) <= NOT sticky_bit_dffe1_wo; wire_w_lg_w_exp_adjustment2_add_sub_w_range511w560w(0) <= NOT wire_w_exp_adjustment2_add_sub_w_range511w(0); wire_w_lg_w_exp_diff_abs_exceed_max_w_range289w291w(0) <= NOT wire_w_exp_diff_abs_exceed_max_w_range289w(0); wire_w_lg_w_man_a_not_zero_w_range215w219w(0) <= NOT wire_w_man_a_not_zero_w_range215w(0); wire_w_lg_w_man_add_sub_res_mag_dffe27_wo_range387w390w(0) <= NOT wire_w_man_add_sub_res_mag_dffe27_wo_range387w(0); wire_w_lg_w_man_add_sub_res_mag_dffe27_wo_range381w382w(0) <= NOT wire_w_man_add_sub_res_mag_dffe27_wo_range381w(0); wire_w_lg_w_man_add_sub_w_range372w375w(0) <= NOT wire_w_man_add_sub_w_range372w(0); wire_w_lg_w_man_b_not_zero_w_range218w225w(0) <= NOT wire_w_man_b_not_zero_w_range218w(0); wire_w_lg_w_man_res_rounding_add_sub_w_range585w586w(0) <= NOT wire_w_man_res_rounding_add_sub_w_range585w(0); loop117 : FOR i IN 0 TO 7 GENERATE wire_w_lg_w_lg_w_lg_force_zero_w634w637w638w(i) <= wire_w_lg_w_lg_force_zero_w634w637w(i) OR wire_w_lg_w_lg_w_lg_force_zero_w634w635w636w(i); END GENERATE loop117; loop118 : FOR i IN 0 TO 22 GENERATE wire_w_lg_w_lg_w_lg_force_zero_w634w646w647w(i) <= wire_w_lg_w_lg_force_zero_w634w646w(i) OR wire_w_lg_w_lg_w_lg_force_zero_w634w635w645w(i); END GENERATE loop118; loop119 : FOR i IN 0 TO 7 GENERATE wire_w_lg_w_lg_force_infinity_w640w641w(i) <= wire_w_lg_force_infinity_w640w(i) OR wire_w_lg_w_lg_force_infinity_w629w639w(i); END GENERATE loop119; loop120 : FOR i IN 0 TO 22 GENERATE wire_w_lg_w_lg_force_infinity_w649w650w(i) <= wire_w_lg_force_infinity_w649w(i) OR wire_w_lg_w_lg_force_infinity_w629w648w(i); END GENERATE loop120; wire_w_lg_force_zero_w634w(0) <= force_zero_w OR denormal_flag_w; wire_w_lg_sticky_bit_dffe27_wo402w(0) <= sticky_bit_dffe27_wo OR wire_w_man_add_sub_res_mag_dffe27_wo_range396w(0); wire_w_lg_w_dataa_range141w142w(0) <= wire_w_dataa_range141w(0) OR wire_w_man_a_not_zero_w_range137w(0); wire_w_lg_w_dataa_range147w148w(0) <= wire_w_dataa_range147w(0) OR wire_w_man_a_not_zero_w_range143w(0); wire_w_lg_w_dataa_range153w154w(0) <= wire_w_dataa_range153w(0) OR wire_w_man_a_not_zero_w_range149w(0); wire_w_lg_w_dataa_range159w160w(0) <= wire_w_dataa_range159w(0) OR wire_w_man_a_not_zero_w_range155w(0); wire_w_lg_w_dataa_range165w166w(0) <= wire_w_dataa_range165w(0) OR wire_w_man_a_not_zero_w_range161w(0); wire_w_lg_w_dataa_range171w172w(0) <= wire_w_dataa_range171w(0) OR wire_w_man_a_not_zero_w_range167w(0); wire_w_lg_w_dataa_range177w178w(0) <= wire_w_dataa_range177w(0) OR wire_w_man_a_not_zero_w_range173w(0); wire_w_lg_w_dataa_range183w184w(0) <= wire_w_dataa_range183w(0) OR wire_w_man_a_not_zero_w_range179w(0); wire_w_lg_w_dataa_range189w190w(0) <= wire_w_dataa_range189w(0) OR wire_w_man_a_not_zero_w_range185w(0); wire_w_lg_w_dataa_range195w196w(0) <= wire_w_dataa_range195w(0) OR wire_w_man_a_not_zero_w_range191w(0); wire_w_lg_w_dataa_range87w88w(0) <= wire_w_dataa_range87w(0) OR wire_w_man_a_not_zero_w_range12w(0); wire_w_lg_w_dataa_range201w202w(0) <= wire_w_dataa_range201w(0) OR wire_w_man_a_not_zero_w_range197w(0); wire_w_lg_w_dataa_range207w208w(0) <= wire_w_dataa_range207w(0) OR wire_w_man_a_not_zero_w_range203w(0); wire_w_lg_w_dataa_range213w214w(0) <= wire_w_dataa_range213w(0) OR wire_w_man_a_not_zero_w_range209w(0); wire_w_lg_w_dataa_range17w18w(0) <= wire_w_dataa_range17w(0) OR wire_w_exp_a_not_zero_w_range2w(0); wire_w_lg_w_dataa_range27w28w(0) <= wire_w_dataa_range27w(0) OR wire_w_exp_a_not_zero_w_range19w(0); wire_w_lg_w_dataa_range37w38w(0) <= wire_w_dataa_range37w(0) OR wire_w_exp_a_not_zero_w_range29w(0); wire_w_lg_w_dataa_range47w48w(0) <= wire_w_dataa_range47w(0) OR wire_w_exp_a_not_zero_w_range39w(0); wire_w_lg_w_dataa_range57w58w(0) <= wire_w_dataa_range57w(0) OR wire_w_exp_a_not_zero_w_range49w(0); wire_w_lg_w_dataa_range67w68w(0) <= wire_w_dataa_range67w(0) OR wire_w_exp_a_not_zero_w_range59w(0); wire_w_lg_w_dataa_range93w94w(0) <= wire_w_dataa_range93w(0) OR wire_w_man_a_not_zero_w_range89w(0); wire_w_lg_w_dataa_range77w78w(0) <= wire_w_dataa_range77w(0) OR wire_w_exp_a_not_zero_w_range69w(0); wire_w_lg_w_dataa_range99w100w(0) <= wire_w_dataa_range99w(0) OR wire_w_man_a_not_zero_w_range95w(0); wire_w_lg_w_dataa_range105w106w(0) <= wire_w_dataa_range105w(0) OR wire_w_man_a_not_zero_w_range101w(0); wire_w_lg_w_dataa_range111w112w(0) <= wire_w_dataa_range111w(0) OR wire_w_man_a_not_zero_w_range107w(0); wire_w_lg_w_dataa_range117w118w(0) <= wire_w_dataa_range117w(0) OR wire_w_man_a_not_zero_w_range113w(0); wire_w_lg_w_dataa_range123w124w(0) <= wire_w_dataa_range123w(0) OR wire_w_man_a_not_zero_w_range119w(0); wire_w_lg_w_dataa_range129w130w(0) <= wire_w_dataa_range129w(0) OR wire_w_man_a_not_zero_w_range125w(0); wire_w_lg_w_dataa_range135w136w(0) <= wire_w_dataa_range135w(0) OR wire_w_man_a_not_zero_w_range131w(0); wire_w_lg_w_datab_range144w145w(0) <= wire_w_datab_range144w(0) OR wire_w_man_b_not_zero_w_range140w(0); wire_w_lg_w_datab_range150w151w(0) <= wire_w_datab_range150w(0) OR wire_w_man_b_not_zero_w_range146w(0); wire_w_lg_w_datab_range156w157w(0) <= wire_w_datab_range156w(0) OR wire_w_man_b_not_zero_w_range152w(0); wire_w_lg_w_datab_range162w163w(0) <= wire_w_datab_range162w(0) OR wire_w_man_b_not_zero_w_range158w(0); wire_w_lg_w_datab_range168w169w(0) <= wire_w_datab_range168w(0) OR wire_w_man_b_not_zero_w_range164w(0); wire_w_lg_w_datab_range174w175w(0) <= wire_w_datab_range174w(0) OR wire_w_man_b_not_zero_w_range170w(0); wire_w_lg_w_datab_range180w181w(0) <= wire_w_datab_range180w(0) OR wire_w_man_b_not_zero_w_range176w(0); wire_w_lg_w_datab_range186w187w(0) <= wire_w_datab_range186w(0) OR wire_w_man_b_not_zero_w_range182w(0); wire_w_lg_w_datab_range192w193w(0) <= wire_w_datab_range192w(0) OR wire_w_man_b_not_zero_w_range188w(0); wire_w_lg_w_datab_range198w199w(0) <= wire_w_datab_range198w(0) OR wire_w_man_b_not_zero_w_range194w(0); wire_w_lg_w_datab_range90w91w(0) <= wire_w_datab_range90w(0) OR wire_w_man_b_not_zero_w_range15w(0); wire_w_lg_w_datab_range204w205w(0) <= wire_w_datab_range204w(0) OR wire_w_man_b_not_zero_w_range200w(0); wire_w_lg_w_datab_range210w211w(0) <= wire_w_datab_range210w(0) OR wire_w_man_b_not_zero_w_range206w(0); wire_w_lg_w_datab_range216w217w(0) <= wire_w_datab_range216w(0) OR wire_w_man_b_not_zero_w_range212w(0); wire_w_lg_w_datab_range20w21w(0) <= wire_w_datab_range20w(0) OR wire_w_exp_b_not_zero_w_range5w(0); wire_w_lg_w_datab_range30w31w(0) <= wire_w_datab_range30w(0) OR wire_w_exp_b_not_zero_w_range22w(0); wire_w_lg_w_datab_range40w41w(0) <= wire_w_datab_range40w(0) OR wire_w_exp_b_not_zero_w_range32w(0); wire_w_lg_w_datab_range50w51w(0) <= wire_w_datab_range50w(0) OR wire_w_exp_b_not_zero_w_range42w(0); wire_w_lg_w_datab_range60w61w(0) <= wire_w_datab_range60w(0) OR wire_w_exp_b_not_zero_w_range52w(0); wire_w_lg_w_datab_range70w71w(0) <= wire_w_datab_range70w(0) OR wire_w_exp_b_not_zero_w_range62w(0); wire_w_lg_w_datab_range96w97w(0) <= wire_w_datab_range96w(0) OR wire_w_man_b_not_zero_w_range92w(0); wire_w_lg_w_datab_range80w81w(0) <= wire_w_datab_range80w(0) OR wire_w_exp_b_not_zero_w_range72w(0); wire_w_lg_w_datab_range102w103w(0) <= wire_w_datab_range102w(0) OR wire_w_man_b_not_zero_w_range98w(0); wire_w_lg_w_datab_range108w109w(0) <= wire_w_datab_range108w(0) OR wire_w_man_b_not_zero_w_range104w(0); wire_w_lg_w_datab_range114w115w(0) <= wire_w_datab_range114w(0) OR wire_w_man_b_not_zero_w_range110w(0); wire_w_lg_w_datab_range120w121w(0) <= wire_w_datab_range120w(0) OR wire_w_man_b_not_zero_w_range116w(0); wire_w_lg_w_datab_range126w127w(0) <= wire_w_datab_range126w(0) OR wire_w_man_b_not_zero_w_range122w(0); wire_w_lg_w_datab_range132w133w(0) <= wire_w_datab_range132w(0) OR wire_w_man_b_not_zero_w_range128w(0); wire_w_lg_w_datab_range138w139w(0) <= wire_w_datab_range138w(0) OR wire_w_man_b_not_zero_w_range134w(0); wire_w_lg_w_exp_diff_abs_exceed_max_w_range282w285w(0) <= wire_w_exp_diff_abs_exceed_max_w_range282w(0) OR wire_w_exp_diff_abs_w_range284w(0); wire_w_lg_w_exp_diff_abs_exceed_max_w_range286w288w(0) <= wire_w_exp_diff_abs_exceed_max_w_range286w(0) OR wire_w_exp_diff_abs_w_range287w(0); wire_w_lg_w_exp_res_not_zero_w_range516w519w(0) <= wire_w_exp_res_not_zero_w_range516w(0) OR wire_w_exp_adjustment2_add_sub_w_range518w(0); wire_w_lg_w_exp_res_not_zero_w_range520w522w(0) <= wire_w_exp_res_not_zero_w_range520w(0) OR wire_w_exp_adjustment2_add_sub_w_range521w(0); wire_w_lg_w_exp_res_not_zero_w_range523w525w(0) <= wire_w_exp_res_not_zero_w_range523w(0) OR wire_w_exp_adjustment2_add_sub_w_range524w(0); wire_w_lg_w_exp_res_not_zero_w_range526w528w(0) <= wire_w_exp_res_not_zero_w_range526w(0) OR wire_w_exp_adjustment2_add_sub_w_range527w(0); wire_w_lg_w_exp_res_not_zero_w_range529w531w(0) <= wire_w_exp_res_not_zero_w_range529w(0) OR wire_w_exp_adjustment2_add_sub_w_range530w(0); wire_w_lg_w_exp_res_not_zero_w_range532w534w(0) <= wire_w_exp_res_not_zero_w_range532w(0) OR wire_w_exp_adjustment2_add_sub_w_range533w(0); wire_w_lg_w_exp_res_not_zero_w_range535w537w(0) <= wire_w_exp_res_not_zero_w_range535w(0) OR wire_w_exp_adjustment2_add_sub_w_range536w(0); wire_w_lg_w_exp_res_not_zero_w_range538w539w(0) <= wire_w_exp_res_not_zero_w_range538w(0) OR wire_w_exp_adjustment2_add_sub_w_range511w(0); wire_w_lg_w_man_res_not_zero_w2_range417w420w(0) <= wire_w_man_res_not_zero_w2_range417w(0) OR wire_w_man_add_sub_res_mag_dffe21_wo_range419w(0); wire_w_lg_w_man_res_not_zero_w2_range448w450w(0) <= wire_w_man_res_not_zero_w2_range448w(0) OR wire_w_man_add_sub_res_mag_dffe21_wo_range449w(0); wire_w_lg_w_man_res_not_zero_w2_range451w453w(0) <= wire_w_man_res_not_zero_w2_range451w(0) OR wire_w_man_add_sub_res_mag_dffe21_wo_range452w(0); wire_w_lg_w_man_res_not_zero_w2_range454w456w(0) <= wire_w_man_res_not_zero_w2_range454w(0) OR wire_w_man_add_sub_res_mag_dffe21_wo_range455w(0); wire_w_lg_w_man_res_not_zero_w2_range457w459w(0) <= wire_w_man_res_not_zero_w2_range457w(0) OR wire_w_man_add_sub_res_mag_dffe21_wo_range458w(0); wire_w_lg_w_man_res_not_zero_w2_range460w462w(0) <= wire_w_man_res_not_zero_w2_range460w(0) OR wire_w_man_add_sub_res_mag_dffe21_wo_range461w(0); wire_w_lg_w_man_res_not_zero_w2_range463w465w(0) <= wire_w_man_res_not_zero_w2_range463w(0) OR wire_w_man_add_sub_res_mag_dffe21_wo_range464w(0); wire_w_lg_w_man_res_not_zero_w2_range466w468w(0) <= wire_w_man_res_not_zero_w2_range466w(0) OR wire_w_man_add_sub_res_mag_dffe21_wo_range467w(0); wire_w_lg_w_man_res_not_zero_w2_range469w471w(0) <= wire_w_man_res_not_zero_w2_range469w(0) OR wire_w_man_add_sub_res_mag_dffe21_wo_range470w(0); wire_w_lg_w_man_res_not_zero_w2_range472w474w(0) <= wire_w_man_res_not_zero_w2_range472w(0) OR wire_w_man_add_sub_res_mag_dffe21_wo_range473w(0); wire_w_lg_w_man_res_not_zero_w2_range475w477w(0) <= wire_w_man_res_not_zero_w2_range475w(0) OR wire_w_man_add_sub_res_mag_dffe21_wo_range476w(0); wire_w_lg_w_man_res_not_zero_w2_range421w423w(0) <= wire_w_man_res_not_zero_w2_range421w(0) OR wire_w_man_add_sub_res_mag_dffe21_wo_range422w(0); wire_w_lg_w_man_res_not_zero_w2_range478w480w(0) <= wire_w_man_res_not_zero_w2_range478w(0) OR wire_w_man_add_sub_res_mag_dffe21_wo_range479w(0); wire_w_lg_w_man_res_not_zero_w2_range481w483w(0) <= wire_w_man_res_not_zero_w2_range481w(0) OR wire_w_man_add_sub_res_mag_dffe21_wo_range482w(0); wire_w_lg_w_man_res_not_zero_w2_range484w486w(0) <= wire_w_man_res_not_zero_w2_range484w(0) OR wire_w_man_add_sub_res_mag_dffe21_wo_range485w(0); wire_w_lg_w_man_res_not_zero_w2_range487w489w(0) <= wire_w_man_res_not_zero_w2_range487w(0) OR wire_w_man_add_sub_res_mag_dffe21_wo_range488w(0); wire_w_lg_w_man_res_not_zero_w2_range424w426w(0) <= wire_w_man_res_not_zero_w2_range424w(0) OR wire_w_man_add_sub_res_mag_dffe21_wo_range425w(0); wire_w_lg_w_man_res_not_zero_w2_range427w429w(0) <= wire_w_man_res_not_zero_w2_range427w(0) OR wire_w_man_add_sub_res_mag_dffe21_wo_range428w(0); wire_w_lg_w_man_res_not_zero_w2_range430w432w(0) <= wire_w_man_res_not_zero_w2_range430w(0) OR wire_w_man_add_sub_res_mag_dffe21_wo_range431w(0); wire_w_lg_w_man_res_not_zero_w2_range433w435w(0) <= wire_w_man_res_not_zero_w2_range433w(0) OR wire_w_man_add_sub_res_mag_dffe21_wo_range434w(0); wire_w_lg_w_man_res_not_zero_w2_range436w438w(0) <= wire_w_man_res_not_zero_w2_range436w(0) OR wire_w_man_add_sub_res_mag_dffe21_wo_range437w(0); wire_w_lg_w_man_res_not_zero_w2_range439w441w(0) <= wire_w_man_res_not_zero_w2_range439w(0) OR wire_w_man_add_sub_res_mag_dffe21_wo_range440w(0); wire_w_lg_w_man_res_not_zero_w2_range442w444w(0) <= wire_w_man_res_not_zero_w2_range442w(0) OR wire_w_man_add_sub_res_mag_dffe21_wo_range443w(0); wire_w_lg_w_man_res_not_zero_w2_range445w447w(0) <= wire_w_man_res_not_zero_w2_range445w(0) OR wire_w_man_add_sub_res_mag_dffe21_wo_range446w(0); add_sub_dffe25_wi <= add_sub_w2; add_sub_dffe25_wo <= add_sub_dffe25_wi; add_sub_w2 <= (NOT (dataa_sign_dffe1_wo XOR datab_sign_dffe1_wo)); adder_upper_w <= man_intermediate_res_w(25 DOWNTO 13); aligned_dataa_exp_dffe12_wi <= aligned_dataa_exp_w; aligned_dataa_exp_dffe12_wo <= aligned_dataa_exp_dffe12_wi; aligned_dataa_exp_dffe13_wi <= aligned_dataa_exp_dffe12_wo; aligned_dataa_exp_dffe13_wo <= aligned_dataa_exp_dffe13_wi; aligned_dataa_exp_dffe14_wi <= aligned_dataa_exp_dffe13_wo; aligned_dataa_exp_dffe14_wo <= aligned_dataa_exp_dffe14_wi; aligned_dataa_exp_dffe15_wi <= aligned_dataa_exp_dffe14_wo; aligned_dataa_exp_dffe15_wo <= aligned_dataa_exp_dffe15_wi; aligned_dataa_exp_w <= ( "0" & wire_w_lg_w_lg_input_dataa_denormal_dffe11_wo233w234w); aligned_dataa_man_dffe12_wi <= aligned_dataa_man_w(25 DOWNTO 2); aligned_dataa_man_dffe12_wo <= aligned_dataa_man_dffe12_wi; aligned_dataa_man_dffe13_wi <= aligned_dataa_man_dffe12_wo; aligned_dataa_man_dffe13_wo <= aligned_dataa_man_dffe13_wi; aligned_dataa_man_dffe14_wi <= aligned_dataa_man_dffe13_wo; aligned_dataa_man_dffe14_wo <= aligned_dataa_man_dffe14_wi; aligned_dataa_man_dffe15_w <= ( aligned_dataa_man_dffe15_wo & "00"); aligned_dataa_man_dffe15_wi <= aligned_dataa_man_dffe14_wo; aligned_dataa_man_dffe15_wo <= aligned_dataa_man_dffe15_wi; aligned_dataa_man_w <= ( wire_w248w & wire_w_lg_w_lg_input_dataa_denormal_dffe11_wo233w243w & "00"); aligned_dataa_sign_dffe12_wi <= aligned_dataa_sign_w; aligned_dataa_sign_dffe12_wo <= aligned_dataa_sign_dffe12_wi; aligned_dataa_sign_dffe13_wi <= aligned_dataa_sign_dffe12_wo; aligned_dataa_sign_dffe13_wo <= aligned_dataa_sign_dffe13_wi; aligned_dataa_sign_dffe14_wi <= aligned_dataa_sign_dffe13_wo; aligned_dataa_sign_dffe14_wo <= aligned_dataa_sign_dffe14_wi; aligned_dataa_sign_dffe15_wi <= aligned_dataa_sign_dffe14_wo; aligned_dataa_sign_dffe15_wo <= aligned_dataa_sign_dffe15_wi; aligned_dataa_sign_w <= dataa_dffe11_wo(31); aligned_datab_exp_dffe12_wi <= aligned_datab_exp_w; aligned_datab_exp_dffe12_wo <= aligned_datab_exp_dffe12_wi; aligned_datab_exp_dffe13_wi <= aligned_datab_exp_dffe12_wo; aligned_datab_exp_dffe13_wo <= aligned_datab_exp_dffe13_wi; aligned_datab_exp_dffe14_wi <= aligned_datab_exp_dffe13_wo; aligned_datab_exp_dffe14_wo <= aligned_datab_exp_dffe14_wi; aligned_datab_exp_dffe15_wi <= aligned_datab_exp_dffe14_wo; aligned_datab_exp_dffe15_wo <= aligned_datab_exp_dffe15_wi; aligned_datab_exp_w <= ( "0" & wire_w_lg_w_lg_input_datab_denormal_dffe11_wo252w253w); aligned_datab_man_dffe12_wi <= aligned_datab_man_w(25 DOWNTO 2); aligned_datab_man_dffe12_wo <= aligned_datab_man_dffe12_wi; aligned_datab_man_dffe13_wi <= aligned_datab_man_dffe12_wo; aligned_datab_man_dffe13_wo <= aligned_datab_man_dffe13_wi; aligned_datab_man_dffe14_wi <= aligned_datab_man_dffe13_wo; aligned_datab_man_dffe14_wo <= aligned_datab_man_dffe14_wi; aligned_datab_man_dffe15_w <= ( aligned_datab_man_dffe15_wo & "00"); aligned_datab_man_dffe15_wi <= aligned_datab_man_dffe14_wo; aligned_datab_man_dffe15_wo <= aligned_datab_man_dffe15_wi; aligned_datab_man_w <= ( wire_w267w & wire_w_lg_w_lg_input_datab_denormal_dffe11_wo252w262w & "00"); aligned_datab_sign_dffe12_wi <= aligned_datab_sign_w; aligned_datab_sign_dffe12_wo <= aligned_datab_sign_dffe12_wi; aligned_datab_sign_dffe13_wi <= aligned_datab_sign_dffe12_wo; aligned_datab_sign_dffe13_wo <= aligned_datab_sign_dffe13_wi; aligned_datab_sign_dffe14_wi <= aligned_datab_sign_dffe13_wo; aligned_datab_sign_dffe14_wo <= aligned_datab_sign_dffe14_wi; aligned_datab_sign_dffe15_wi <= aligned_datab_sign_dffe14_wo; aligned_datab_sign_dffe15_wo <= aligned_datab_sign_dffe15_wi; aligned_datab_sign_w <= datab_dffe11_wo(31); borrow_w <= (wire_w_lg_sticky_bit_dffe1_wo343w(0) AND wire_w_lg_add_sub_w2342w(0)); both_inputs_are_infinite_dffe1_wi <= (input_dataa_infinite_dffe15_wo AND input_datab_infinite_dffe15_wo); both_inputs_are_infinite_dffe1_wo <= both_inputs_are_infinite_dffe1; both_inputs_are_infinite_dffe25_wi <= both_inputs_are_infinite_dffe1_wo; both_inputs_are_infinite_dffe25_wo <= both_inputs_are_infinite_dffe25_wi; data_exp_dffe1_wi <= (wire_w_lg_w_lg_exp_amb_mux_dffe15_wo316w317w OR wire_w_lg_exp_amb_mux_dffe15_wo314w); data_exp_dffe1_wo <= data_exp_dffe1; dataa_dffe11_wi <= dataa; dataa_dffe11_wo <= dataa_dffe11_wi; dataa_man_dffe1_wi <= (wire_w_lg_w_lg_exp_amb_mux_dffe15_wo316w324w OR wire_w_lg_exp_amb_mux_dffe15_wo323w); dataa_man_dffe1_wo <= dataa_man_dffe1; dataa_sign_dffe1_wi <= aligned_dataa_sign_dffe15_wo; dataa_sign_dffe1_wo <= dataa_sign_dffe1; dataa_sign_dffe25_wi <= dataa_sign_dffe1_wo; dataa_sign_dffe25_wo <= dataa_sign_dffe25_wi; datab_dffe11_wi <= datab; datab_dffe11_wo <= datab_dffe11_wi; datab_man_dffe1_wi <= (wire_w_lg_w_lg_exp_amb_mux_dffe15_wo316w331w OR wire_w_lg_exp_amb_mux_dffe15_wo330w); datab_man_dffe1_wo <= datab_man_dffe1; datab_sign_dffe1_wi <= aligned_datab_sign_dffe15_wo; datab_sign_dffe1_wo <= datab_sign_dffe1; denormal_flag_w <= (((wire_w_lg_force_nan_w630w(0) AND wire_w_lg_force_infinity_w629w(0)) AND wire_w_lg_force_zero_w628w(0)) AND denormal_res_dffe4_wo); denormal_res_dffe32_wi <= denormal_result_w; denormal_res_dffe32_wo <= denormal_res_dffe32_wi; denormal_res_dffe33_wi <= denormal_res_dffe32_wo; denormal_res_dffe33_wo <= denormal_res_dffe33_wi; denormal_res_dffe3_wi <= denormal_res_dffe33_wo; denormal_res_dffe3_wo <= denormal_res_dffe3; denormal_res_dffe41_wi <= denormal_res_dffe42_wo; denormal_res_dffe41_wo <= denormal_res_dffe41_wi; denormal_res_dffe42_wi <= denormal_res_dffe3_wo; denormal_res_dffe42_wo <= denormal_res_dffe42_wi; denormal_res_dffe4_wi <= denormal_res_dffe41_wo; denormal_res_dffe4_wo <= denormal_res_dffe4; denormal_result_w <= ((NOT exp_res_not_zero_w(8)) OR exp_adjustment2_add_sub_w(8)); exp_a_all_one_w <= ( wire_w_lg_w_dataa_range77w83w & wire_w_lg_w_dataa_range67w73w & wire_w_lg_w_dataa_range57w63w & wire_w_lg_w_dataa_range47w53w & wire_w_lg_w_dataa_range37w43w & wire_w_lg_w_dataa_range27w33w & wire_w_lg_w_dataa_range17w23w & dataa(23)); exp_a_not_zero_w <= ( wire_w_lg_w_dataa_range77w78w & wire_w_lg_w_dataa_range67w68w & wire_w_lg_w_dataa_range57w58w & wire_w_lg_w_dataa_range47w48w & wire_w_lg_w_dataa_range37w38w & wire_w_lg_w_dataa_range27w28w & wire_w_lg_w_dataa_range17w18w & dataa(23)); exp_adj_0pads <= (OTHERS => '0'); exp_adj_dffe21_wi <= (wire_w_lg_w_man_add_sub_res_mag_dffe27_wo_range381w384w OR wire_w383w); exp_adj_dffe21_wo <= exp_adj_dffe21; exp_adj_dffe23_wi <= exp_adj_dffe21_wo; exp_adj_dffe23_wo <= exp_adj_dffe23_wi; exp_adj_dffe26_wi <= exp_adj_dffe23_wo; exp_adj_dffe26_wo <= exp_adj_dffe26_wi; exp_adjust_by_add1 <= "01"; exp_adjust_by_add2 <= "10"; exp_adjustment2_add_sub_dataa_w <= exp_value; exp_adjustment2_add_sub_datab_w <= exp_adjustment_add_sub_w; exp_adjustment2_add_sub_w <= wire_add_sub5_result; exp_adjustment_add_sub_dataa_w <= ( priority_encoder_1pads_w & wire_leading_zeroes_cnt_q); exp_adjustment_add_sub_datab_w <= ( exp_adj_0pads & exp_adj_dffe26_wo); exp_adjustment_add_sub_w <= wire_add_sub4_result; exp_all_ones_w <= (OTHERS => '1'); exp_all_zeros_w <= (OTHERS => '0'); exp_amb_mux_dffe13_wi <= exp_amb_mux_w; exp_amb_mux_dffe13_wo <= exp_amb_mux_dffe13_wi; exp_amb_mux_dffe14_wi <= exp_amb_mux_dffe13_wo; exp_amb_mux_dffe14_wo <= exp_amb_mux_dffe14_wi; exp_amb_mux_dffe15_wi <= exp_amb_mux_dffe14_wo; exp_amb_mux_dffe15_wo <= exp_amb_mux_dffe15_wi; exp_amb_mux_w <= exp_amb_w(8); exp_amb_w <= wire_add_sub1_result; exp_b_all_one_w <= ( wire_w_lg_w_datab_range80w85w & wire_w_lg_w_datab_range70w75w & wire_w_lg_w_datab_range60w65w & wire_w_lg_w_datab_range50w55w & wire_w_lg_w_datab_range40w45w & wire_w_lg_w_datab_range30w35w & wire_w_lg_w_datab_range20w25w & datab(23)); exp_b_not_zero_w <= ( wire_w_lg_w_datab_range80w81w & wire_w_lg_w_datab_range70w71w & wire_w_lg_w_datab_range60w61w & wire_w_lg_w_datab_range50w51w & wire_w_lg_w_datab_range40w41w & wire_w_lg_w_datab_range30w31w & wire_w_lg_w_datab_range20w21w & datab(23)); exp_bma_w <= wire_add_sub2_result; exp_diff_abs_exceed_max_w <= ( wire_w_lg_w_exp_diff_abs_exceed_max_w_range286w288w & wire_w_lg_w_exp_diff_abs_exceed_max_w_range282w285w & exp_diff_abs_w(5)); exp_diff_abs_max_w <= (OTHERS => '1'); exp_diff_abs_w <= (wire_w_lg_w_lg_exp_amb_mux_w275w276w OR wire_w_lg_exp_amb_mux_w273w); exp_intermediate_res_dffe41_wi <= exp_intermediate_res_dffe42_wo; exp_intermediate_res_dffe41_wo <= exp_intermediate_res_dffe41_wi; exp_intermediate_res_dffe42_wi <= exp_intermediate_res_w; exp_intermediate_res_dffe42_wo <= exp_intermediate_res_dffe42_wi; exp_intermediate_res_w <= exp_res_dffe3_wo; exp_out_dffe5_wi <= (wire_w_lg_force_nan_w643w OR wire_w_lg_w_lg_force_nan_w630w642w); exp_out_dffe5_wo <= exp_out_dffe5; exp_res_dffe21_wi <= exp_res_dffe27_wo; exp_res_dffe21_wo <= exp_res_dffe21; exp_res_dffe22_wi <= exp_res_dffe2_wo; exp_res_dffe22_wo <= exp_res_dffe22_wi; exp_res_dffe23_wi <= exp_res_dffe21_wo; exp_res_dffe23_wo <= exp_res_dffe23_wi; exp_res_dffe25_wi <= data_exp_dffe1_wo; exp_res_dffe25_wo <= exp_res_dffe25_wi; exp_res_dffe26_wi <= exp_res_dffe23_wo; exp_res_dffe26_wo <= exp_res_dffe26_wi; exp_res_dffe27_wi <= exp_res_dffe22_wo; exp_res_dffe27_wo <= exp_res_dffe27_wi; exp_res_dffe2_wi <= exp_res_dffe25_wo; exp_res_dffe2_wo <= exp_res_dffe2; exp_res_dffe32_wi <= wire_w_lg_w_lg_denormal_result_w558w559w; exp_res_dffe32_wo <= exp_res_dffe32_wi; exp_res_dffe33_wi <= exp_res_dffe32_wo; exp_res_dffe33_wo <= exp_res_dffe33_wi; exp_res_dffe3_wi <= exp_res_dffe33_wo; exp_res_dffe3_wo <= exp_res_dffe3; exp_res_dffe4_wi <= exp_rounded_res_w; exp_res_dffe4_wo <= exp_res_dffe4; exp_res_max_w <= ( wire_w_lg_w_exp_res_max_w_range553w554w & wire_w_lg_w_exp_res_max_w_range551w552w & wire_w_lg_w_exp_res_max_w_range549w550w & wire_w_lg_w_exp_res_max_w_range547w548w & wire_w_lg_w_exp_res_max_w_range545w546w & wire_w_lg_w_exp_res_max_w_range543w544w & wire_w_lg_w_exp_res_max_w_range540w542w & exp_adjustment2_add_sub_w(0)); exp_res_not_zero_w <= ( wire_w_lg_w_exp_res_not_zero_w_range538w539w & wire_w_lg_w_exp_res_not_zero_w_range535w537w & wire_w_lg_w_exp_res_not_zero_w_range532w534w & wire_w_lg_w_exp_res_not_zero_w_range529w531w & wire_w_lg_w_exp_res_not_zero_w_range526w528w & wire_w_lg_w_exp_res_not_zero_w_range523w525w & wire_w_lg_w_exp_res_not_zero_w_range520w522w & wire_w_lg_w_exp_res_not_zero_w_range516w519w & exp_adjustment2_add_sub_w(0)); exp_res_rounding_adder_dataa_w <= ( "0" & exp_intermediate_res_dffe41_wo); exp_res_rounding_adder_w <= wire_add_sub6_result; exp_rounded_res_infinity_w <= exp_rounded_res_max_w(7); exp_rounded_res_max_w <= ( wire_w_lg_w_exp_rounded_res_max_w_range620w622w & wire_w_lg_w_exp_rounded_res_max_w_range617w619w & wire_w_lg_w_exp_rounded_res_max_w_range614w616w & wire_w_lg_w_exp_rounded_res_max_w_range611w613w & wire_w_lg_w_exp_rounded_res_max_w_range608w610w & wire_w_lg_w_exp_rounded_res_max_w_range605w607w & wire_w_lg_w_exp_rounded_res_max_w_range601w604w & exp_rounded_res_w(0)); exp_rounded_res_w <= exp_res_rounding_adder_w(7 DOWNTO 0); exp_rounding_adjustment_w <= ( "00000000" & man_res_rounding_add_sub_w(24)); exp_value <= ( "0" & exp_res_dffe26_wo); force_infinity_w <= ((input_is_infinite_dffe4_wo OR rounded_res_infinity_dffe4_wo) OR infinite_res_dffe4_wo); force_nan_w <= (infinity_magnitude_sub_dffe4_wo OR input_is_nan_dffe4_wo); force_zero_w <= wire_w_lg_man_res_is_not_zero_dffe4_wo627w(0); guard_bit_dffe3_wo <= man_res_w3(0); infinite_output_sign_dffe1_wi <= (wire_w_lg_w_lg_input_datab_infinite_dffe15_wo337w338w(0) OR (input_datab_infinite_dffe15_wo AND aligned_datab_sign_dffe15_wo)); infinite_output_sign_dffe1_wo <= infinite_output_sign_dffe1; infinite_output_sign_dffe21_wi <= infinite_output_sign_dffe27_wo; infinite_output_sign_dffe21_wo <= infinite_output_sign_dffe21; infinite_output_sign_dffe22_wi <= infinite_output_sign_dffe2_wo; infinite_output_sign_dffe22_wo <= infinite_output_sign_dffe22_wi; infinite_output_sign_dffe23_wi <= infinite_output_sign_dffe21_wo; infinite_output_sign_dffe23_wo <= infinite_output_sign_dffe23_wi; infinite_output_sign_dffe25_wi <= infinite_output_sign_dffe1_wo; infinite_output_sign_dffe25_wo <= infinite_output_sign_dffe25_wi; infinite_output_sign_dffe26_wi <= infinite_output_sign_dffe23_wo; infinite_output_sign_dffe26_wo <= infinite_output_sign_dffe26_wi; infinite_output_sign_dffe27_wi <= infinite_output_sign_dffe22_wo; infinite_output_sign_dffe27_wo <= infinite_output_sign_dffe27_wi; infinite_output_sign_dffe2_wi <= infinite_output_sign_dffe25_wo; infinite_output_sign_dffe2_wo <= infinite_output_sign_dffe2; infinite_output_sign_dffe31_wi <= infinite_output_sign_dffe26_wo; infinite_output_sign_dffe31_wo <= infinite_output_sign_dffe31; infinite_output_sign_dffe32_wi <= infinite_output_sign_dffe31_wo; infinite_output_sign_dffe32_wo <= infinite_output_sign_dffe32_wi; infinite_output_sign_dffe33_wi <= infinite_output_sign_dffe32_wo; infinite_output_sign_dffe33_wo <= infinite_output_sign_dffe33_wi; infinite_output_sign_dffe3_wi <= infinite_output_sign_dffe33_wo; infinite_output_sign_dffe3_wo <= infinite_output_sign_dffe3; infinite_output_sign_dffe41_wi <= infinite_output_sign_dffe42_wo; infinite_output_sign_dffe41_wo <= infinite_output_sign_dffe41_wi; infinite_output_sign_dffe42_wi <= infinite_output_sign_dffe3_wo; infinite_output_sign_dffe42_wo <= infinite_output_sign_dffe42_wi; infinite_output_sign_dffe4_wi <= infinite_output_sign_dffe41_wo; infinite_output_sign_dffe4_wo <= infinite_output_sign_dffe4; infinite_res_dff32_wi <= wire_w_lg_w_exp_res_max_w_range555w561w(0); infinite_res_dff32_wo <= infinite_res_dff32_wi; infinite_res_dff33_wi <= infinite_res_dff32_wo; infinite_res_dff33_wo <= infinite_res_dff33_wi; infinite_res_dffe3_wi <= infinite_res_dff33_wo; infinite_res_dffe3_wo <= infinite_res_dffe3; infinite_res_dffe41_wi <= infinite_res_dffe42_wo; infinite_res_dffe41_wo <= infinite_res_dffe41_wi; infinite_res_dffe42_wi <= infinite_res_dffe3_wo; infinite_res_dffe42_wo <= infinite_res_dffe42_wi; infinite_res_dffe4_wi <= infinite_res_dffe41_wo; infinite_res_dffe4_wo <= infinite_res_dffe4; infinity_magnitude_sub_dffe21_wi <= infinity_magnitude_sub_dffe27_wo; infinity_magnitude_sub_dffe21_wo <= infinity_magnitude_sub_dffe21; infinity_magnitude_sub_dffe22_wi <= infinity_magnitude_sub_dffe2_wo; infinity_magnitude_sub_dffe22_wo <= infinity_magnitude_sub_dffe22_wi; infinity_magnitude_sub_dffe23_wi <= infinity_magnitude_sub_dffe21_wo; infinity_magnitude_sub_dffe23_wo <= infinity_magnitude_sub_dffe23_wi; infinity_magnitude_sub_dffe26_wi <= infinity_magnitude_sub_dffe23_wo; infinity_magnitude_sub_dffe26_wo <= infinity_magnitude_sub_dffe26_wi; infinity_magnitude_sub_dffe27_wi <= infinity_magnitude_sub_dffe22_wo; infinity_magnitude_sub_dffe27_wo <= infinity_magnitude_sub_dffe27_wi; infinity_magnitude_sub_dffe2_wi <= (wire_w_lg_add_sub_dffe25_wo491w(0) AND both_inputs_are_infinite_dffe25_wo); infinity_magnitude_sub_dffe2_wo <= infinity_magnitude_sub_dffe2; infinity_magnitude_sub_dffe31_wi <= infinity_magnitude_sub_dffe26_wo; infinity_magnitude_sub_dffe31_wo <= infinity_magnitude_sub_dffe31; infinity_magnitude_sub_dffe32_wi <= infinity_magnitude_sub_dffe31_wo; infinity_magnitude_sub_dffe32_wo <= infinity_magnitude_sub_dffe32_wi; infinity_magnitude_sub_dffe33_wi <= infinity_magnitude_sub_dffe32_wo; infinity_magnitude_sub_dffe33_wo <= infinity_magnitude_sub_dffe33_wi; infinity_magnitude_sub_dffe3_wi <= infinity_magnitude_sub_dffe33_wo; infinity_magnitude_sub_dffe3_wo <= infinity_magnitude_sub_dffe3; infinity_magnitude_sub_dffe41_wi <= infinity_magnitude_sub_dffe42_wo; infinity_magnitude_sub_dffe41_wo <= infinity_magnitude_sub_dffe41_wi; infinity_magnitude_sub_dffe42_wi <= infinity_magnitude_sub_dffe3_wo; infinity_magnitude_sub_dffe42_wo <= infinity_magnitude_sub_dffe42_wi; infinity_magnitude_sub_dffe4_wi <= infinity_magnitude_sub_dffe41_wo; infinity_magnitude_sub_dffe4_wo <= infinity_magnitude_sub_dffe4; input_dataa_denormal_dffe11_wi <= input_dataa_denormal_w; input_dataa_denormal_dffe11_wo <= input_dataa_denormal_dffe11_wi; input_dataa_denormal_w <= ((NOT exp_a_not_zero_w(7)) AND man_a_not_zero_w(22)); input_dataa_infinite_dffe11_wi <= input_dataa_infinite_w; input_dataa_infinite_dffe11_wo <= input_dataa_infinite_dffe11_wi; input_dataa_infinite_dffe12_wi <= input_dataa_infinite_dffe11_wo; input_dataa_infinite_dffe12_wo <= input_dataa_infinite_dffe12_wi; input_dataa_infinite_dffe13_wi <= input_dataa_infinite_dffe12_wo; input_dataa_infinite_dffe13_wo <= input_dataa_infinite_dffe13_wi; input_dataa_infinite_dffe14_wi <= input_dataa_infinite_dffe13_wo; input_dataa_infinite_dffe14_wo <= input_dataa_infinite_dffe14_wi; input_dataa_infinite_dffe15_wi <= input_dataa_infinite_dffe14_wo; input_dataa_infinite_dffe15_wo <= input_dataa_infinite_dffe15_wi; input_dataa_infinite_w <= wire_w_lg_w_exp_a_all_one_w_range84w220w(0); input_dataa_nan_dffe11_wi <= input_dataa_nan_w; input_dataa_nan_dffe11_wo <= input_dataa_nan_dffe11_wi; input_dataa_nan_dffe12_wi <= input_dataa_nan_dffe11_wo; input_dataa_nan_dffe12_wo <= input_dataa_nan_dffe12_wi; input_dataa_nan_w <= (exp_a_all_one_w(7) AND man_a_not_zero_w(22)); input_dataa_zero_dffe11_wi <= input_dataa_zero_w; input_dataa_zero_dffe11_wo <= input_dataa_zero_dffe11_wi; input_dataa_zero_w <= ((NOT exp_a_not_zero_w(7)) AND wire_w_lg_w_man_a_not_zero_w_range215w219w(0)); input_datab_denormal_dffe11_wi <= input_datab_denormal_w; input_datab_denormal_dffe11_wo <= input_datab_denormal_dffe11_wi; input_datab_denormal_w <= ((NOT exp_b_not_zero_w(7)) AND man_b_not_zero_w(22)); input_datab_infinite_dffe11_wi <= input_datab_infinite_w; input_datab_infinite_dffe11_wo <= input_datab_infinite_dffe11_wi; input_datab_infinite_dffe12_wi <= input_datab_infinite_dffe11_wo; input_datab_infinite_dffe12_wo <= input_datab_infinite_dffe12_wi; input_datab_infinite_dffe13_wi <= input_datab_infinite_dffe12_wo; input_datab_infinite_dffe13_wo <= input_datab_infinite_dffe13_wi; input_datab_infinite_dffe14_wi <= input_datab_infinite_dffe13_wo; input_datab_infinite_dffe14_wo <= input_datab_infinite_dffe14_wi; input_datab_infinite_dffe15_wi <= input_datab_infinite_dffe14_wo; input_datab_infinite_dffe15_wo <= input_datab_infinite_dffe15_wi; input_datab_infinite_w <= wire_w_lg_w_exp_b_all_one_w_range86w226w(0); input_datab_nan_dffe11_wi <= input_datab_nan_w; input_datab_nan_dffe11_wo <= input_datab_nan_dffe11_wi; input_datab_nan_dffe12_wi <= input_datab_nan_dffe11_wo; input_datab_nan_dffe12_wo <= input_datab_nan_dffe12_wi; input_datab_nan_w <= (exp_b_all_one_w(7) AND man_b_not_zero_w(22)); input_datab_zero_dffe11_wi <= input_datab_zero_w; input_datab_zero_dffe11_wo <= input_datab_zero_dffe11_wi; input_datab_zero_w <= ((NOT exp_b_not_zero_w(7)) AND wire_w_lg_w_man_b_not_zero_w_range218w225w(0)); input_is_infinite_dffe1_wi <= (input_dataa_infinite_dffe15_wo OR input_datab_infinite_dffe15_wo); input_is_infinite_dffe1_wo <= input_is_infinite_dffe1; input_is_infinite_dffe21_wi <= input_is_infinite_dffe27_wo; input_is_infinite_dffe21_wo <= input_is_infinite_dffe21; input_is_infinite_dffe22_wi <= input_is_infinite_dffe2_wo; input_is_infinite_dffe22_wo <= input_is_infinite_dffe22_wi; input_is_infinite_dffe23_wi <= input_is_infinite_dffe21_wo; input_is_infinite_dffe23_wo <= input_is_infinite_dffe23_wi; input_is_infinite_dffe25_wi <= input_is_infinite_dffe1_wo; input_is_infinite_dffe25_wo <= input_is_infinite_dffe25_wi; input_is_infinite_dffe26_wi <= input_is_infinite_dffe23_wo; input_is_infinite_dffe26_wo <= input_is_infinite_dffe26_wi; input_is_infinite_dffe27_wi <= input_is_infinite_dffe22_wo; input_is_infinite_dffe27_wo <= input_is_infinite_dffe27_wi; input_is_infinite_dffe2_wi <= input_is_infinite_dffe25_wo; input_is_infinite_dffe2_wo <= input_is_infinite_dffe2; input_is_infinite_dffe31_wi <= input_is_infinite_dffe26_wo; input_is_infinite_dffe31_wo <= input_is_infinite_dffe31; input_is_infinite_dffe32_wi <= input_is_infinite_dffe31_wo; input_is_infinite_dffe32_wo <= input_is_infinite_dffe32_wi; input_is_infinite_dffe33_wi <= input_is_infinite_dffe32_wo; input_is_infinite_dffe33_wo <= input_is_infinite_dffe33_wi; input_is_infinite_dffe3_wi <= input_is_infinite_dffe33_wo; input_is_infinite_dffe3_wo <= input_is_infinite_dffe3; input_is_infinite_dffe41_wi <= input_is_infinite_dffe42_wo; input_is_infinite_dffe41_wo <= input_is_infinite_dffe41_wi; input_is_infinite_dffe42_wi <= input_is_infinite_dffe3_wo; input_is_infinite_dffe42_wo <= input_is_infinite_dffe42_wi; input_is_infinite_dffe4_wi <= input_is_infinite_dffe41_wo; input_is_infinite_dffe4_wo <= input_is_infinite_dffe4; input_is_nan_dffe13_wi <= (input_dataa_nan_dffe12_wo OR input_datab_nan_dffe12_wo); input_is_nan_dffe13_wo <= input_is_nan_dffe13_wi; input_is_nan_dffe14_wi <= input_is_nan_dffe13_wo; input_is_nan_dffe14_wo <= input_is_nan_dffe14_wi; input_is_nan_dffe15_wi <= input_is_nan_dffe14_wo; input_is_nan_dffe15_wo <= input_is_nan_dffe15_wi; input_is_nan_dffe1_wi <= input_is_nan_dffe15_wo; input_is_nan_dffe1_wo <= input_is_nan_dffe1; input_is_nan_dffe21_wi <= input_is_nan_dffe27_wo; input_is_nan_dffe21_wo <= input_is_nan_dffe21; input_is_nan_dffe22_wi <= input_is_nan_dffe2_wo; input_is_nan_dffe22_wo <= input_is_nan_dffe22_wi; input_is_nan_dffe23_wi <= input_is_nan_dffe21_wo; input_is_nan_dffe23_wo <= input_is_nan_dffe23_wi; input_is_nan_dffe25_wi <= input_is_nan_dffe1_wo; input_is_nan_dffe25_wo <= input_is_nan_dffe25_wi; input_is_nan_dffe26_wi <= input_is_nan_dffe23_wo; input_is_nan_dffe26_wo <= input_is_nan_dffe26_wi; input_is_nan_dffe27_wi <= input_is_nan_dffe22_wo; input_is_nan_dffe27_wo <= input_is_nan_dffe27_wi; input_is_nan_dffe2_wi <= input_is_nan_dffe25_wo; input_is_nan_dffe2_wo <= input_is_nan_dffe2; input_is_nan_dffe31_wi <= input_is_nan_dffe26_wo; input_is_nan_dffe31_wo <= input_is_nan_dffe31; input_is_nan_dffe32_wi <= input_is_nan_dffe31_wo; input_is_nan_dffe32_wo <= input_is_nan_dffe32_wi; input_is_nan_dffe33_wi <= input_is_nan_dffe32_wo; input_is_nan_dffe33_wo <= input_is_nan_dffe33_wi; input_is_nan_dffe3_wi <= input_is_nan_dffe33_wo; input_is_nan_dffe3_wo <= input_is_nan_dffe3; input_is_nan_dffe41_wi <= input_is_nan_dffe42_wo; input_is_nan_dffe41_wo <= input_is_nan_dffe41_wi; input_is_nan_dffe42_wi <= input_is_nan_dffe3_wo; input_is_nan_dffe42_wo <= input_is_nan_dffe42_wi; input_is_nan_dffe4_wi <= input_is_nan_dffe41_wo; input_is_nan_dffe4_wo <= input_is_nan_dffe4; man_2comp_res_dataa_w <= ( pos_sign_bit_ext & datab_man_dffe1_wo); man_2comp_res_datab_w <= ( pos_sign_bit_ext & dataa_man_dffe1_wo); man_2comp_res_w <= ( wire_man_2comp_res_lower_w_lg_w_lg_w_lg_cout367w368w369w & wire_man_2comp_res_lower_result); man_a_not_zero_w <= ( wire_w_lg_w_dataa_range213w214w & wire_w_lg_w_dataa_range207w208w & wire_w_lg_w_dataa_range201w202w & wire_w_lg_w_dataa_range195w196w & wire_w_lg_w_dataa_range189w190w & wire_w_lg_w_dataa_range183w184w & wire_w_lg_w_dataa_range177w178w & wire_w_lg_w_dataa_range171w172w & wire_w_lg_w_dataa_range165w166w & wire_w_lg_w_dataa_range159w160w & wire_w_lg_w_dataa_range153w154w & wire_w_lg_w_dataa_range147w148w & wire_w_lg_w_dataa_range141w142w & wire_w_lg_w_dataa_range135w136w & wire_w_lg_w_dataa_range129w130w & wire_w_lg_w_dataa_range123w124w & wire_w_lg_w_dataa_range117w118w & wire_w_lg_w_dataa_range111w112w & wire_w_lg_w_dataa_range105w106w & wire_w_lg_w_dataa_range99w100w & wire_w_lg_w_dataa_range93w94w & wire_w_lg_w_dataa_range87w88w & dataa(0)); man_add_sub_dataa_w <= ( pos_sign_bit_ext & dataa_man_dffe1_wo); man_add_sub_datab_w <= ( pos_sign_bit_ext & datab_man_dffe1_wo); man_add_sub_res_mag_dffe21_wi <= man_res_mag_w2; man_add_sub_res_mag_dffe21_wo <= man_add_sub_res_mag_dffe21; man_add_sub_res_mag_dffe23_wi <= man_add_sub_res_mag_dffe21_wo; man_add_sub_res_mag_dffe23_wo <= man_add_sub_res_mag_dffe23_wi; man_add_sub_res_mag_dffe26_wi <= man_add_sub_res_mag_dffe23_wo; man_add_sub_res_mag_dffe26_wo <= man_add_sub_res_mag_dffe26_wi; man_add_sub_res_mag_dffe27_wi <= man_add_sub_res_mag_w2; man_add_sub_res_mag_dffe27_wo <= man_add_sub_res_mag_dffe27_wi; man_add_sub_res_mag_w2 <= (wire_w_lg_w_man_add_sub_w_range372w379w OR wire_w_lg_w_lg_w_man_add_sub_w_range372w375w378w); man_add_sub_res_sign_dffe21_wo <= man_add_sub_res_sign_dffe21; man_add_sub_res_sign_dffe23_wi <= man_add_sub_res_sign_dffe21_wo; man_add_sub_res_sign_dffe23_wo <= man_add_sub_res_sign_dffe23_wi; man_add_sub_res_sign_dffe26_wi <= man_add_sub_res_sign_dffe23_wo; man_add_sub_res_sign_dffe26_wo <= man_add_sub_res_sign_dffe26_wi; man_add_sub_res_sign_dffe27_wi <= man_add_sub_res_sign_w2; man_add_sub_res_sign_dffe27_wo <= man_add_sub_res_sign_dffe27_wi; man_add_sub_res_sign_w2 <= (wire_w_lg_need_complement_dffe22_wo376w(0) OR (wire_w_lg_need_complement_dffe22_wo373w(0) AND man_add_sub_w(27))); man_add_sub_w <= ( wire_man_add_sub_lower_w_lg_w_lg_w_lg_cout354w355w356w & wire_man_add_sub_lower_result); man_all_zeros_w <= (OTHERS => '0'); man_b_not_zero_w <= ( wire_w_lg_w_datab_range216w217w & wire_w_lg_w_datab_range210w211w & wire_w_lg_w_datab_range204w205w & wire_w_lg_w_datab_range198w199w & wire_w_lg_w_datab_range192w193w & wire_w_lg_w_datab_range186w187w & wire_w_lg_w_datab_range180w181w & wire_w_lg_w_datab_range174w175w & wire_w_lg_w_datab_range168w169w & wire_w_lg_w_datab_range162w163w & wire_w_lg_w_datab_range156w157w & wire_w_lg_w_datab_range150w151w & wire_w_lg_w_datab_range144w145w & wire_w_lg_w_datab_range138w139w & wire_w_lg_w_datab_range132w133w & wire_w_lg_w_datab_range126w127w & wire_w_lg_w_datab_range120w121w & wire_w_lg_w_datab_range114w115w & wire_w_lg_w_datab_range108w109w & wire_w_lg_w_datab_range102w103w & wire_w_lg_w_datab_range96w97w & wire_w_lg_w_datab_range90w91w & datab(0)); man_dffe31_wo <= man_dffe31; man_intermediate_res_w <= ( "00" & man_res_w3); man_leading_zeros_cnt_w <= man_leading_zeros_dffe31_wo; man_leading_zeros_dffe31_wi <= (NOT wire_leading_zeroes_cnt_q); man_leading_zeros_dffe31_wo <= man_leading_zeros_dffe31; man_nan_w <= "10000000000000000000000"; man_out_dffe5_wi <= (wire_w_lg_force_nan_w652w OR wire_w_lg_w_lg_force_nan_w630w651w); man_out_dffe5_wo <= man_out_dffe5; man_res_dffe4_wi <= man_rounded_res_w; man_res_dffe4_wo <= man_res_dffe4; man_res_is_not_zero_dffe31_wi <= man_res_not_zero_dffe26_wo; man_res_is_not_zero_dffe31_wo <= man_res_is_not_zero_dffe31; man_res_is_not_zero_dffe32_wi <= man_res_is_not_zero_dffe31_wo; man_res_is_not_zero_dffe32_wo <= man_res_is_not_zero_dffe32_wi; man_res_is_not_zero_dffe33_wi <= man_res_is_not_zero_dffe32_wo; man_res_is_not_zero_dffe33_wo <= man_res_is_not_zero_dffe33_wi; man_res_is_not_zero_dffe3_wi <= man_res_is_not_zero_dffe33_wo; man_res_is_not_zero_dffe3_wo <= man_res_is_not_zero_dffe3; man_res_is_not_zero_dffe41_wi <= man_res_is_not_zero_dffe42_wo; man_res_is_not_zero_dffe41_wo <= man_res_is_not_zero_dffe41_wi; man_res_is_not_zero_dffe42_wi <= man_res_is_not_zero_dffe3_wo; man_res_is_not_zero_dffe42_wo <= man_res_is_not_zero_dffe42_wi; man_res_is_not_zero_dffe4_wi <= man_res_is_not_zero_dffe41_wo; man_res_is_not_zero_dffe4_wo <= man_res_is_not_zero_dffe4; man_res_mag_w2 <= (wire_w_lg_w_man_add_sub_res_mag_dffe27_wo_range381w414w OR wire_w412w); man_res_not_zero_dffe23_wi <= man_res_not_zero_w2(24); man_res_not_zero_dffe23_wo <= man_res_not_zero_dffe23_wi; man_res_not_zero_dffe26_wi <= man_res_not_zero_dffe23_wo; man_res_not_zero_dffe26_wo <= man_res_not_zero_dffe26_wi; man_res_not_zero_w2 <= ( wire_w_lg_w_man_res_not_zero_w2_range487w489w & wire_w_lg_w_man_res_not_zero_w2_range484w486w & wire_w_lg_w_man_res_not_zero_w2_range481w483w & wire_w_lg_w_man_res_not_zero_w2_range478w480w & wire_w_lg_w_man_res_not_zero_w2_range475w477w & wire_w_lg_w_man_res_not_zero_w2_range472w474w & wire_w_lg_w_man_res_not_zero_w2_range469w471w & wire_w_lg_w_man_res_not_zero_w2_range466w468w & wire_w_lg_w_man_res_not_zero_w2_range463w465w & wire_w_lg_w_man_res_not_zero_w2_range460w462w & wire_w_lg_w_man_res_not_zero_w2_range457w459w & wire_w_lg_w_man_res_not_zero_w2_range454w456w & wire_w_lg_w_man_res_not_zero_w2_range451w453w & wire_w_lg_w_man_res_not_zero_w2_range448w450w & wire_w_lg_w_man_res_not_zero_w2_range445w447w & wire_w_lg_w_man_res_not_zero_w2_range442w444w & wire_w_lg_w_man_res_not_zero_w2_range439w441w & wire_w_lg_w_man_res_not_zero_w2_range436w438w & wire_w_lg_w_man_res_not_zero_w2_range433w435w & wire_w_lg_w_man_res_not_zero_w2_range430w432w & wire_w_lg_w_man_res_not_zero_w2_range427w429w & wire_w_lg_w_man_res_not_zero_w2_range424w426w & wire_w_lg_w_man_res_not_zero_w2_range421w423w & wire_w_lg_w_man_res_not_zero_w2_range417w420w & man_add_sub_res_mag_dffe21_wo(1)); man_res_rounding_add_sub_datab_w <= ( "0000000000000000000000000" & man_rounding_add_value_w); man_res_rounding_add_sub_w <= ( wire_man_res_rounding_add_sub_lower_w_lg_w_lg_w_lg_cout580w581w582w & wire_man_res_rounding_add_sub_lower_result); man_res_w3 <= wire_lbarrel_shift_result(25 DOWNTO 2); man_rounded_res_w <= (wire_w_lg_w_man_res_rounding_add_sub_w_range585w589w OR wire_w587w); man_rounding_add_value_w <= (round_bit_dffe3_wo AND (sticky_bit_dffe3_wo OR guard_bit_dffe3_wo)); man_smaller_dffe13_wi <= man_smaller_w; man_smaller_dffe13_wo <= man_smaller_dffe13_wi; man_smaller_w <= (wire_w_lg_exp_amb_mux_w279w OR wire_w_lg_w_lg_exp_amb_mux_w275w278w); need_complement_dffe22_wi <= need_complement_dffe2_wo; need_complement_dffe22_wo <= need_complement_dffe22_wi; need_complement_dffe2_wi <= dataa_sign_dffe25_wo; need_complement_dffe2_wo <= need_complement_dffe2; pos_sign_bit_ext <= (OTHERS => '0'); priority_encoder_1pads_w <= (OTHERS => '1'); result <= ( sign_out_dffe5_wo & exp_out_dffe5_wo & man_out_dffe5_wo); round_bit_dffe21_wi <= round_bit_w; round_bit_dffe21_wo <= round_bit_dffe21; round_bit_dffe23_wi <= round_bit_dffe21_wo; round_bit_dffe23_wo <= round_bit_dffe23_wi; round_bit_dffe26_wi <= round_bit_dffe23_wo; round_bit_dffe26_wo <= round_bit_dffe26_wi; round_bit_dffe31_wi <= round_bit_dffe26_wo; round_bit_dffe31_wo <= round_bit_dffe31; round_bit_dffe32_wi <= round_bit_dffe31_wo; round_bit_dffe32_wo <= round_bit_dffe32_wi; round_bit_dffe33_wi <= round_bit_dffe32_wo; round_bit_dffe33_wo <= round_bit_dffe33_wi; round_bit_dffe3_wi <= round_bit_dffe33_wo; round_bit_dffe3_wo <= round_bit_dffe3; round_bit_w <= ((((wire_w397w(0) AND man_add_sub_res_mag_dffe27_wo(0)) OR ((wire_w_lg_w_man_add_sub_res_mag_dffe27_wo_range381w382w(0) AND man_add_sub_res_mag_dffe27_wo(25)) AND man_add_sub_res_mag_dffe27_wo(1))) OR (wire_w_lg_w_man_add_sub_res_mag_dffe27_wo_range381w391w(0) AND man_add_sub_res_mag_dffe27_wo(2))) OR ((man_add_sub_res_mag_dffe27_wo(26) AND man_add_sub_res_mag_dffe27_wo(25)) AND man_add_sub_res_mag_dffe27_wo(2))); rounded_res_infinity_dffe4_wi <= exp_rounded_res_infinity_w; rounded_res_infinity_dffe4_wo <= rounded_res_infinity_dffe4; rshift_distance_dffe13_wi <= rshift_distance_w; rshift_distance_dffe13_wo <= rshift_distance_dffe13_wi; rshift_distance_dffe14_wi <= rshift_distance_dffe13_wo; rshift_distance_dffe14_wo <= rshift_distance_dffe14_wi; rshift_distance_dffe15_wi <= rshift_distance_dffe14_wo; rshift_distance_dffe15_wo <= rshift_distance_dffe15_wi; rshift_distance_w <= (wire_w_lg_w_exp_diff_abs_exceed_max_w_range289w293w OR wire_w292w); sign_dffe31_wi <= ((man_res_not_zero_dffe26_wo AND man_add_sub_res_sign_dffe26_wo) OR wire_w_lg_w_lg_man_res_not_zero_dffe26_wo503w504w(0)); sign_dffe31_wo <= sign_dffe31; sign_dffe32_wi <= sign_dffe31_wo; sign_dffe32_wo <= sign_dffe32_wi; sign_dffe33_wi <= sign_dffe32_wo; sign_dffe33_wo <= sign_dffe33_wi; sign_out_dffe5_wi <= (wire_w_lg_force_nan_w630w(0) AND ((force_infinity_w AND infinite_output_sign_dffe4_wo) OR wire_w_lg_w_lg_force_infinity_w629w654w(0))); sign_out_dffe5_wo <= sign_out_dffe5; sign_res_dffe3_wi <= sign_dffe33_wo; sign_res_dffe3_wo <= sign_res_dffe3; sign_res_dffe41_wi <= sign_res_dffe42_wo; sign_res_dffe41_wo <= sign_res_dffe41_wi; sign_res_dffe42_wi <= sign_res_dffe3_wo; sign_res_dffe42_wo <= sign_res_dffe42_wi; sign_res_dffe4_wi <= sign_res_dffe41_wo; sign_res_dffe4_wo <= sign_res_dffe4; sticky_bit_cnt_dataa_w <= ( "0" & rshift_distance_dffe15_wo); sticky_bit_cnt_datab_w <= ( "0" & wire_trailing_zeros_cnt_q); sticky_bit_cnt_res_w <= wire_add_sub3_result; sticky_bit_dffe1_wi <= wire_trailing_zeros_limit_comparator_agb; sticky_bit_dffe1_wo <= sticky_bit_dffe1; sticky_bit_dffe21_wi <= sticky_bit_w; sticky_bit_dffe21_wo <= sticky_bit_dffe21; sticky_bit_dffe22_wi <= sticky_bit_dffe2_wo; sticky_bit_dffe22_wo <= sticky_bit_dffe22_wi; sticky_bit_dffe23_wi <= sticky_bit_dffe21_wo; sticky_bit_dffe23_wo <= sticky_bit_dffe23_wi; sticky_bit_dffe25_wi <= sticky_bit_dffe1_wo; sticky_bit_dffe25_wo <= sticky_bit_dffe25_wi; sticky_bit_dffe26_wi <= sticky_bit_dffe23_wo; sticky_bit_dffe26_wo <= sticky_bit_dffe26_wi; sticky_bit_dffe27_wi <= sticky_bit_dffe22_wo; sticky_bit_dffe27_wo <= sticky_bit_dffe27_wi; sticky_bit_dffe2_wi <= sticky_bit_dffe25_wo; sticky_bit_dffe2_wo <= sticky_bit_dffe2; sticky_bit_dffe31_wi <= sticky_bit_dffe26_wo; sticky_bit_dffe31_wo <= sticky_bit_dffe31; sticky_bit_dffe32_wi <= sticky_bit_dffe31_wo; sticky_bit_dffe32_wo <= sticky_bit_dffe32_wi; sticky_bit_dffe33_wi <= sticky_bit_dffe32_wo; sticky_bit_dffe33_wo <= sticky_bit_dffe33_wi; sticky_bit_dffe3_wi <= sticky_bit_dffe33_wo; sticky_bit_dffe3_wo <= sticky_bit_dffe3; sticky_bit_w <= (((wire_w_lg_w397w407w(0) OR ((wire_w_lg_w_man_add_sub_res_mag_dffe27_wo_range381w382w(0) AND man_add_sub_res_mag_dffe27_wo(25)) AND wire_w_lg_sticky_bit_dffe27_wo402w(0))) OR (wire_w_lg_w_man_add_sub_res_mag_dffe27_wo_range381w391w(0) AND (wire_w_lg_sticky_bit_dffe27_wo402w(0) OR man_add_sub_res_mag_dffe27_wo(1)))) OR ((man_add_sub_res_mag_dffe27_wo(26) AND man_add_sub_res_mag_dffe27_wo(25)) AND (wire_w_lg_sticky_bit_dffe27_wo402w(0) OR man_add_sub_res_mag_dffe27_wo(1)))); trailing_zeros_limit_w <= "000010"; zero_man_sign_dffe21_wi <= zero_man_sign_dffe27_wo; zero_man_sign_dffe21_wo <= zero_man_sign_dffe21; zero_man_sign_dffe22_wi <= zero_man_sign_dffe2_wo; zero_man_sign_dffe22_wo <= zero_man_sign_dffe22_wi; zero_man_sign_dffe23_wi <= zero_man_sign_dffe21_wo; zero_man_sign_dffe23_wo <= zero_man_sign_dffe23_wi; zero_man_sign_dffe26_wi <= zero_man_sign_dffe23_wo; zero_man_sign_dffe26_wo <= zero_man_sign_dffe26_wi; zero_man_sign_dffe27_wi <= zero_man_sign_dffe22_wo; zero_man_sign_dffe27_wo <= zero_man_sign_dffe27_wi; zero_man_sign_dffe2_wi <= (dataa_sign_dffe25_wo AND add_sub_dffe25_wo); zero_man_sign_dffe2_wo <= zero_man_sign_dffe2; wire_w_aligned_dataa_exp_dffe15_wo_range315w <= aligned_dataa_exp_dffe15_wo(7 DOWNTO 0); wire_w_aligned_datab_exp_dffe15_wo_range313w <= aligned_datab_exp_dffe15_wo(7 DOWNTO 0); wire_w_dataa_range141w(0) <= dataa(10); wire_w_dataa_range147w(0) <= dataa(11); wire_w_dataa_range153w(0) <= dataa(12); wire_w_dataa_range159w(0) <= dataa(13); wire_w_dataa_range165w(0) <= dataa(14); wire_w_dataa_range171w(0) <= dataa(15); wire_w_dataa_range177w(0) <= dataa(16); wire_w_dataa_range183w(0) <= dataa(17); wire_w_dataa_range189w(0) <= dataa(18); wire_w_dataa_range195w(0) <= dataa(19); wire_w_dataa_range87w(0) <= dataa(1); wire_w_dataa_range201w(0) <= dataa(20); wire_w_dataa_range207w(0) <= dataa(21); wire_w_dataa_range213w(0) <= dataa(22); wire_w_dataa_range17w(0) <= dataa(24); wire_w_dataa_range27w(0) <= dataa(25); wire_w_dataa_range37w(0) <= dataa(26); wire_w_dataa_range47w(0) <= dataa(27); wire_w_dataa_range57w(0) <= dataa(28); wire_w_dataa_range67w(0) <= dataa(29); wire_w_dataa_range93w(0) <= dataa(2); wire_w_dataa_range77w(0) <= dataa(30); wire_w_dataa_range99w(0) <= dataa(3); wire_w_dataa_range105w(0) <= dataa(4); wire_w_dataa_range111w(0) <= dataa(5); wire_w_dataa_range117w(0) <= dataa(6); wire_w_dataa_range123w(0) <= dataa(7); wire_w_dataa_range129w(0) <= dataa(8); wire_w_dataa_range135w(0) <= dataa(9); wire_w_dataa_dffe11_wo_range242w <= dataa_dffe11_wo(22 DOWNTO 0); wire_w_dataa_dffe11_wo_range232w <= dataa_dffe11_wo(30 DOWNTO 23); wire_w_datab_range144w(0) <= datab(10); wire_w_datab_range150w(0) <= datab(11); wire_w_datab_range156w(0) <= datab(12); wire_w_datab_range162w(0) <= datab(13); wire_w_datab_range168w(0) <= datab(14); wire_w_datab_range174w(0) <= datab(15); wire_w_datab_range180w(0) <= datab(16); wire_w_datab_range186w(0) <= datab(17); wire_w_datab_range192w(0) <= datab(18); wire_w_datab_range198w(0) <= datab(19); wire_w_datab_range90w(0) <= datab(1); wire_w_datab_range204w(0) <= datab(20); wire_w_datab_range210w(0) <= datab(21); wire_w_datab_range216w(0) <= datab(22); wire_w_datab_range20w(0) <= datab(24); wire_w_datab_range30w(0) <= datab(25); wire_w_datab_range40w(0) <= datab(26); wire_w_datab_range50w(0) <= datab(27); wire_w_datab_range60w(0) <= datab(28); wire_w_datab_range70w(0) <= datab(29); wire_w_datab_range96w(0) <= datab(2); wire_w_datab_range80w(0) <= datab(30); wire_w_datab_range102w(0) <= datab(3); wire_w_datab_range108w(0) <= datab(4); wire_w_datab_range114w(0) <= datab(5); wire_w_datab_range120w(0) <= datab(6); wire_w_datab_range126w(0) <= datab(7); wire_w_datab_range132w(0) <= datab(8); wire_w_datab_range138w(0) <= datab(9); wire_w_datab_dffe11_wo_range261w <= datab_dffe11_wo(22 DOWNTO 0); wire_w_datab_dffe11_wo_range251w <= datab_dffe11_wo(30 DOWNTO 23); wire_w_exp_a_all_one_w_range7w(0) <= exp_a_all_one_w(0); wire_w_exp_a_all_one_w_range24w(0) <= exp_a_all_one_w(1); wire_w_exp_a_all_one_w_range34w(0) <= exp_a_all_one_w(2); wire_w_exp_a_all_one_w_range44w(0) <= exp_a_all_one_w(3); wire_w_exp_a_all_one_w_range54w(0) <= exp_a_all_one_w(4); wire_w_exp_a_all_one_w_range64w(0) <= exp_a_all_one_w(5); wire_w_exp_a_all_one_w_range74w(0) <= exp_a_all_one_w(6); wire_w_exp_a_all_one_w_range84w(0) <= exp_a_all_one_w(7); wire_w_exp_a_not_zero_w_range2w(0) <= exp_a_not_zero_w(0); wire_w_exp_a_not_zero_w_range19w(0) <= exp_a_not_zero_w(1); wire_w_exp_a_not_zero_w_range29w(0) <= exp_a_not_zero_w(2); wire_w_exp_a_not_zero_w_range39w(0) <= exp_a_not_zero_w(3); wire_w_exp_a_not_zero_w_range49w(0) <= exp_a_not_zero_w(4); wire_w_exp_a_not_zero_w_range59w(0) <= exp_a_not_zero_w(5); wire_w_exp_a_not_zero_w_range69w(0) <= exp_a_not_zero_w(6); wire_w_exp_adjustment2_add_sub_w_range518w(0) <= exp_adjustment2_add_sub_w(1); wire_w_exp_adjustment2_add_sub_w_range521w(0) <= exp_adjustment2_add_sub_w(2); wire_w_exp_adjustment2_add_sub_w_range524w(0) <= exp_adjustment2_add_sub_w(3); wire_w_exp_adjustment2_add_sub_w_range527w(0) <= exp_adjustment2_add_sub_w(4); wire_w_exp_adjustment2_add_sub_w_range530w(0) <= exp_adjustment2_add_sub_w(5); wire_w_exp_adjustment2_add_sub_w_range533w(0) <= exp_adjustment2_add_sub_w(6); wire_w_exp_adjustment2_add_sub_w_range557w <= exp_adjustment2_add_sub_w(7 DOWNTO 0); wire_w_exp_adjustment2_add_sub_w_range536w(0) <= exp_adjustment2_add_sub_w(7); wire_w_exp_adjustment2_add_sub_w_range511w(0) <= exp_adjustment2_add_sub_w(8); wire_w_exp_amb_w_range274w <= exp_amb_w(7 DOWNTO 0); wire_w_exp_b_all_one_w_range9w(0) <= exp_b_all_one_w(0); wire_w_exp_b_all_one_w_range26w(0) <= exp_b_all_one_w(1); wire_w_exp_b_all_one_w_range36w(0) <= exp_b_all_one_w(2); wire_w_exp_b_all_one_w_range46w(0) <= exp_b_all_one_w(3); wire_w_exp_b_all_one_w_range56w(0) <= exp_b_all_one_w(4); wire_w_exp_b_all_one_w_range66w(0) <= exp_b_all_one_w(5); wire_w_exp_b_all_one_w_range76w(0) <= exp_b_all_one_w(6); wire_w_exp_b_all_one_w_range86w(0) <= exp_b_all_one_w(7); wire_w_exp_b_not_zero_w_range5w(0) <= exp_b_not_zero_w(0); wire_w_exp_b_not_zero_w_range22w(0) <= exp_b_not_zero_w(1); wire_w_exp_b_not_zero_w_range32w(0) <= exp_b_not_zero_w(2); wire_w_exp_b_not_zero_w_range42w(0) <= exp_b_not_zero_w(3); wire_w_exp_b_not_zero_w_range52w(0) <= exp_b_not_zero_w(4); wire_w_exp_b_not_zero_w_range62w(0) <= exp_b_not_zero_w(5); wire_w_exp_b_not_zero_w_range72w(0) <= exp_b_not_zero_w(6); wire_w_exp_bma_w_range272w <= exp_bma_w(7 DOWNTO 0); wire_w_exp_diff_abs_exceed_max_w_range282w(0) <= exp_diff_abs_exceed_max_w(0); wire_w_exp_diff_abs_exceed_max_w_range286w(0) <= exp_diff_abs_exceed_max_w(1); wire_w_exp_diff_abs_exceed_max_w_range289w(0) <= exp_diff_abs_exceed_max_w(2); wire_w_exp_diff_abs_w_range290w <= exp_diff_abs_w(4 DOWNTO 0); wire_w_exp_diff_abs_w_range284w(0) <= exp_diff_abs_w(6); wire_w_exp_diff_abs_w_range287w(0) <= exp_diff_abs_w(7); wire_w_exp_res_max_w_range540w(0) <= exp_res_max_w(0); wire_w_exp_res_max_w_range543w(0) <= exp_res_max_w(1); wire_w_exp_res_max_w_range545w(0) <= exp_res_max_w(2); wire_w_exp_res_max_w_range547w(0) <= exp_res_max_w(3); wire_w_exp_res_max_w_range549w(0) <= exp_res_max_w(4); wire_w_exp_res_max_w_range551w(0) <= exp_res_max_w(5); wire_w_exp_res_max_w_range553w(0) <= exp_res_max_w(6); wire_w_exp_res_max_w_range555w(0) <= exp_res_max_w(7); wire_w_exp_res_not_zero_w_range516w(0) <= exp_res_not_zero_w(0); wire_w_exp_res_not_zero_w_range520w(0) <= exp_res_not_zero_w(1); wire_w_exp_res_not_zero_w_range523w(0) <= exp_res_not_zero_w(2); wire_w_exp_res_not_zero_w_range526w(0) <= exp_res_not_zero_w(3); wire_w_exp_res_not_zero_w_range529w(0) <= exp_res_not_zero_w(4); wire_w_exp_res_not_zero_w_range532w(0) <= exp_res_not_zero_w(5); wire_w_exp_res_not_zero_w_range535w(0) <= exp_res_not_zero_w(6); wire_w_exp_res_not_zero_w_range538w(0) <= exp_res_not_zero_w(7); wire_w_exp_rounded_res_max_w_range601w(0) <= exp_rounded_res_max_w(0); wire_w_exp_rounded_res_max_w_range605w(0) <= exp_rounded_res_max_w(1); wire_w_exp_rounded_res_max_w_range608w(0) <= exp_rounded_res_max_w(2); wire_w_exp_rounded_res_max_w_range611w(0) <= exp_rounded_res_max_w(3); wire_w_exp_rounded_res_max_w_range614w(0) <= exp_rounded_res_max_w(4); wire_w_exp_rounded_res_max_w_range617w(0) <= exp_rounded_res_max_w(5); wire_w_exp_rounded_res_max_w_range620w(0) <= exp_rounded_res_max_w(6); wire_w_exp_rounded_res_w_range603w(0) <= exp_rounded_res_w(1); wire_w_exp_rounded_res_w_range606w(0) <= exp_rounded_res_w(2); wire_w_exp_rounded_res_w_range609w(0) <= exp_rounded_res_w(3); wire_w_exp_rounded_res_w_range612w(0) <= exp_rounded_res_w(4); wire_w_exp_rounded_res_w_range615w(0) <= exp_rounded_res_w(5); wire_w_exp_rounded_res_w_range618w(0) <= exp_rounded_res_w(6); wire_w_exp_rounded_res_w_range621w(0) <= exp_rounded_res_w(7); wire_w_man_a_not_zero_w_range12w(0) <= man_a_not_zero_w(0); wire_w_man_a_not_zero_w_range143w(0) <= man_a_not_zero_w(10); wire_w_man_a_not_zero_w_range149w(0) <= man_a_not_zero_w(11); wire_w_man_a_not_zero_w_range155w(0) <= man_a_not_zero_w(12); wire_w_man_a_not_zero_w_range161w(0) <= man_a_not_zero_w(13); wire_w_man_a_not_zero_w_range167w(0) <= man_a_not_zero_w(14); wire_w_man_a_not_zero_w_range173w(0) <= man_a_not_zero_w(15); wire_w_man_a_not_zero_w_range179w(0) <= man_a_not_zero_w(16); wire_w_man_a_not_zero_w_range185w(0) <= man_a_not_zero_w(17); wire_w_man_a_not_zero_w_range191w(0) <= man_a_not_zero_w(18); wire_w_man_a_not_zero_w_range197w(0) <= man_a_not_zero_w(19); wire_w_man_a_not_zero_w_range89w(0) <= man_a_not_zero_w(1); wire_w_man_a_not_zero_w_range203w(0) <= man_a_not_zero_w(20); wire_w_man_a_not_zero_w_range209w(0) <= man_a_not_zero_w(21); wire_w_man_a_not_zero_w_range215w(0) <= man_a_not_zero_w(22); wire_w_man_a_not_zero_w_range95w(0) <= man_a_not_zero_w(2); wire_w_man_a_not_zero_w_range101w(0) <= man_a_not_zero_w(3); wire_w_man_a_not_zero_w_range107w(0) <= man_a_not_zero_w(4); wire_w_man_a_not_zero_w_range113w(0) <= man_a_not_zero_w(5); wire_w_man_a_not_zero_w_range119w(0) <= man_a_not_zero_w(6); wire_w_man_a_not_zero_w_range125w(0) <= man_a_not_zero_w(7); wire_w_man_a_not_zero_w_range131w(0) <= man_a_not_zero_w(8); wire_w_man_a_not_zero_w_range137w(0) <= man_a_not_zero_w(9); wire_w_man_add_sub_res_mag_dffe21_wo_range443w(0) <= man_add_sub_res_mag_dffe21_wo(10); wire_w_man_add_sub_res_mag_dffe21_wo_range446w(0) <= man_add_sub_res_mag_dffe21_wo(11); wire_w_man_add_sub_res_mag_dffe21_wo_range449w(0) <= man_add_sub_res_mag_dffe21_wo(12); wire_w_man_add_sub_res_mag_dffe21_wo_range452w(0) <= man_add_sub_res_mag_dffe21_wo(13); wire_w_man_add_sub_res_mag_dffe21_wo_range455w(0) <= man_add_sub_res_mag_dffe21_wo(14); wire_w_man_add_sub_res_mag_dffe21_wo_range458w(0) <= man_add_sub_res_mag_dffe21_wo(15); wire_w_man_add_sub_res_mag_dffe21_wo_range461w(0) <= man_add_sub_res_mag_dffe21_wo(16); wire_w_man_add_sub_res_mag_dffe21_wo_range464w(0) <= man_add_sub_res_mag_dffe21_wo(17); wire_w_man_add_sub_res_mag_dffe21_wo_range467w(0) <= man_add_sub_res_mag_dffe21_wo(18); wire_w_man_add_sub_res_mag_dffe21_wo_range470w(0) <= man_add_sub_res_mag_dffe21_wo(19); wire_w_man_add_sub_res_mag_dffe21_wo_range473w(0) <= man_add_sub_res_mag_dffe21_wo(20); wire_w_man_add_sub_res_mag_dffe21_wo_range476w(0) <= man_add_sub_res_mag_dffe21_wo(21); wire_w_man_add_sub_res_mag_dffe21_wo_range479w(0) <= man_add_sub_res_mag_dffe21_wo(22); wire_w_man_add_sub_res_mag_dffe21_wo_range482w(0) <= man_add_sub_res_mag_dffe21_wo(23); wire_w_man_add_sub_res_mag_dffe21_wo_range485w(0) <= man_add_sub_res_mag_dffe21_wo(24); wire_w_man_add_sub_res_mag_dffe21_wo_range488w(0) <= man_add_sub_res_mag_dffe21_wo(25); wire_w_man_add_sub_res_mag_dffe21_wo_range419w(0) <= man_add_sub_res_mag_dffe21_wo(2); wire_w_man_add_sub_res_mag_dffe21_wo_range422w(0) <= man_add_sub_res_mag_dffe21_wo(3); wire_w_man_add_sub_res_mag_dffe21_wo_range425w(0) <= man_add_sub_res_mag_dffe21_wo(4); wire_w_man_add_sub_res_mag_dffe21_wo_range428w(0) <= man_add_sub_res_mag_dffe21_wo(5); wire_w_man_add_sub_res_mag_dffe21_wo_range431w(0) <= man_add_sub_res_mag_dffe21_wo(6); wire_w_man_add_sub_res_mag_dffe21_wo_range434w(0) <= man_add_sub_res_mag_dffe21_wo(7); wire_w_man_add_sub_res_mag_dffe21_wo_range437w(0) <= man_add_sub_res_mag_dffe21_wo(8); wire_w_man_add_sub_res_mag_dffe21_wo_range440w(0) <= man_add_sub_res_mag_dffe21_wo(9); wire_w_man_add_sub_res_mag_dffe27_wo_range396w(0) <= man_add_sub_res_mag_dffe27_wo(0); wire_w_man_add_sub_res_mag_dffe27_wo_range411w <= man_add_sub_res_mag_dffe27_wo(25 DOWNTO 0); wire_w_man_add_sub_res_mag_dffe27_wo_range387w(0) <= man_add_sub_res_mag_dffe27_wo(25); wire_w_man_add_sub_res_mag_dffe27_wo_range413w <= man_add_sub_res_mag_dffe27_wo(26 DOWNTO 1); wire_w_man_add_sub_res_mag_dffe27_wo_range381w(0) <= man_add_sub_res_mag_dffe27_wo(26); wire_w_man_add_sub_w_range372w(0) <= man_add_sub_w(27); wire_w_man_b_not_zero_w_range15w(0) <= man_b_not_zero_w(0); wire_w_man_b_not_zero_w_range146w(0) <= man_b_not_zero_w(10); wire_w_man_b_not_zero_w_range152w(0) <= man_b_not_zero_w(11); wire_w_man_b_not_zero_w_range158w(0) <= man_b_not_zero_w(12); wire_w_man_b_not_zero_w_range164w(0) <= man_b_not_zero_w(13); wire_w_man_b_not_zero_w_range170w(0) <= man_b_not_zero_w(14); wire_w_man_b_not_zero_w_range176w(0) <= man_b_not_zero_w(15); wire_w_man_b_not_zero_w_range182w(0) <= man_b_not_zero_w(16); wire_w_man_b_not_zero_w_range188w(0) <= man_b_not_zero_w(17); wire_w_man_b_not_zero_w_range194w(0) <= man_b_not_zero_w(18); wire_w_man_b_not_zero_w_range200w(0) <= man_b_not_zero_w(19); wire_w_man_b_not_zero_w_range92w(0) <= man_b_not_zero_w(1); wire_w_man_b_not_zero_w_range206w(0) <= man_b_not_zero_w(20); wire_w_man_b_not_zero_w_range212w(0) <= man_b_not_zero_w(21); wire_w_man_b_not_zero_w_range218w(0) <= man_b_not_zero_w(22); wire_w_man_b_not_zero_w_range98w(0) <= man_b_not_zero_w(2); wire_w_man_b_not_zero_w_range104w(0) <= man_b_not_zero_w(3); wire_w_man_b_not_zero_w_range110w(0) <= man_b_not_zero_w(4); wire_w_man_b_not_zero_w_range116w(0) <= man_b_not_zero_w(5); wire_w_man_b_not_zero_w_range122w(0) <= man_b_not_zero_w(6); wire_w_man_b_not_zero_w_range128w(0) <= man_b_not_zero_w(7); wire_w_man_b_not_zero_w_range134w(0) <= man_b_not_zero_w(8); wire_w_man_b_not_zero_w_range140w(0) <= man_b_not_zero_w(9); wire_w_man_res_not_zero_w2_range417w(0) <= man_res_not_zero_w2(0); wire_w_man_res_not_zero_w2_range448w(0) <= man_res_not_zero_w2(10); wire_w_man_res_not_zero_w2_range451w(0) <= man_res_not_zero_w2(11); wire_w_man_res_not_zero_w2_range454w(0) <= man_res_not_zero_w2(12); wire_w_man_res_not_zero_w2_range457w(0) <= man_res_not_zero_w2(13); wire_w_man_res_not_zero_w2_range460w(0) <= man_res_not_zero_w2(14); wire_w_man_res_not_zero_w2_range463w(0) <= man_res_not_zero_w2(15); wire_w_man_res_not_zero_w2_range466w(0) <= man_res_not_zero_w2(16); wire_w_man_res_not_zero_w2_range469w(0) <= man_res_not_zero_w2(17); wire_w_man_res_not_zero_w2_range472w(0) <= man_res_not_zero_w2(18); wire_w_man_res_not_zero_w2_range475w(0) <= man_res_not_zero_w2(19); wire_w_man_res_not_zero_w2_range421w(0) <= man_res_not_zero_w2(1); wire_w_man_res_not_zero_w2_range478w(0) <= man_res_not_zero_w2(20); wire_w_man_res_not_zero_w2_range481w(0) <= man_res_not_zero_w2(21); wire_w_man_res_not_zero_w2_range484w(0) <= man_res_not_zero_w2(22); wire_w_man_res_not_zero_w2_range487w(0) <= man_res_not_zero_w2(23); wire_w_man_res_not_zero_w2_range424w(0) <= man_res_not_zero_w2(2); wire_w_man_res_not_zero_w2_range427w(0) <= man_res_not_zero_w2(3); wire_w_man_res_not_zero_w2_range430w(0) <= man_res_not_zero_w2(4); wire_w_man_res_not_zero_w2_range433w(0) <= man_res_not_zero_w2(5); wire_w_man_res_not_zero_w2_range436w(0) <= man_res_not_zero_w2(6); wire_w_man_res_not_zero_w2_range439w(0) <= man_res_not_zero_w2(7); wire_w_man_res_not_zero_w2_range442w(0) <= man_res_not_zero_w2(8); wire_w_man_res_not_zero_w2_range445w(0) <= man_res_not_zero_w2(9); wire_w_man_res_rounding_add_sub_w_range584w <= man_res_rounding_add_sub_w(22 DOWNTO 0); wire_w_man_res_rounding_add_sub_w_range588w <= man_res_rounding_add_sub_w(23 DOWNTO 1); wire_w_man_res_rounding_add_sub_w_range585w(0) <= man_res_rounding_add_sub_w(24); lbarrel_shift : fp_add_altbarrel_shift_h0e PORT MAP ( aclr => aclr, clk_en => clk_en, clock => clock, data => man_dffe31_wo, distance => man_leading_zeros_cnt_w, result => wire_lbarrel_shift_result ); wire_rbarrel_shift_data <= ( man_smaller_dffe13_wo & "00"); rbarrel_shift : fp_add_altbarrel_shift_6hb PORT MAP ( data => wire_rbarrel_shift_data, distance => rshift_distance_dffe13_wo, result => wire_rbarrel_shift_result ); wire_leading_zeroes_cnt_data <= ( man_add_sub_res_mag_dffe21_wo(25 DOWNTO 1) & "1" & "000000"); leading_zeroes_cnt : fp_add_altpriority_encoder_qb6 PORT MAP ( data => wire_leading_zeroes_cnt_data, q => wire_leading_zeroes_cnt_q ); wire_trailing_zeros_cnt_data <= ( "111111111" & man_smaller_dffe13_wo(22 DOWNTO 0)); trailing_zeros_cnt : fp_add_altpriority_encoder_e48 PORT MAP ( data => wire_trailing_zeros_cnt_data, q => wire_trailing_zeros_cnt_q ); PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN both_inputs_are_infinite_dffe1 <= '0'; ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN both_inputs_are_infinite_dffe1 <= both_inputs_are_infinite_dffe1_wi; END IF; END IF; END PROCESS; PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN data_exp_dffe1 <= (OTHERS => '0'); ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN data_exp_dffe1 <= data_exp_dffe1_wi; END IF; END IF; END PROCESS; PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN dataa_man_dffe1 <= (OTHERS => '0'); ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN dataa_man_dffe1 <= dataa_man_dffe1_wi; END IF; END IF; END PROCESS; PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN dataa_sign_dffe1 <= '0'; ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN dataa_sign_dffe1 <= dataa_sign_dffe1_wi; END IF; END IF; END PROCESS; PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN datab_man_dffe1 <= (OTHERS => '0'); ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN datab_man_dffe1 <= datab_man_dffe1_wi; END IF; END IF; END PROCESS; PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN datab_sign_dffe1 <= '0'; ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN datab_sign_dffe1 <= datab_sign_dffe1_wi; END IF; END IF; END PROCESS; PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN denormal_res_dffe3 <= '0'; ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN denormal_res_dffe3 <= denormal_res_dffe3_wi; END IF; END IF; END PROCESS; PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN denormal_res_dffe4 <= '0'; ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN denormal_res_dffe4 <= denormal_res_dffe4_wi; END IF; END IF; END PROCESS; PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN exp_adj_dffe21 <= (OTHERS => '0'); ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN exp_adj_dffe21 <= exp_adj_dffe21_wi; END IF; END IF; END PROCESS; PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN exp_out_dffe5 <= (OTHERS => '0'); ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN exp_out_dffe5 <= exp_out_dffe5_wi; END IF; END IF; END PROCESS; PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN exp_res_dffe2 <= (OTHERS => '0'); ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN exp_res_dffe2 <= exp_res_dffe2_wi; END IF; END IF; END PROCESS; PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN exp_res_dffe21 <= (OTHERS => '0'); ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN exp_res_dffe21 <= exp_res_dffe21_wi; END IF; END IF; END PROCESS; PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN exp_res_dffe3 <= (OTHERS => '0'); ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN exp_res_dffe3 <= exp_res_dffe3_wi; END IF; END IF; END PROCESS; PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN exp_res_dffe4 <= (OTHERS => '0'); ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN exp_res_dffe4 <= exp_res_dffe4_wi; END IF; END IF; END PROCESS; PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN infinite_output_sign_dffe1 <= '0'; ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN infinite_output_sign_dffe1 <= infinite_output_sign_dffe1_wi; END IF; END IF; END PROCESS; PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN infinite_output_sign_dffe2 <= '0'; ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN infinite_output_sign_dffe2 <= infinite_output_sign_dffe2_wi; END IF; END IF; END PROCESS; PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN infinite_output_sign_dffe21 <= '0'; ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN infinite_output_sign_dffe21 <= infinite_output_sign_dffe21_wi; END IF; END IF; END PROCESS; PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN infinite_output_sign_dffe3 <= '0'; ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN infinite_output_sign_dffe3 <= infinite_output_sign_dffe3_wi; END IF; END IF; END PROCESS; PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN infinite_output_sign_dffe31 <= '0'; ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN infinite_output_sign_dffe31 <= infinite_output_sign_dffe31_wi; END IF; END IF; END PROCESS; PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN infinite_output_sign_dffe4 <= '0'; ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN infinite_output_sign_dffe4 <= infinite_output_sign_dffe4_wi; END IF; END IF; END PROCESS; PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN infinite_res_dffe3 <= '0'; ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN infinite_res_dffe3 <= infinite_res_dffe3_wi; END IF; END IF; END PROCESS; PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN infinite_res_dffe4 <= '0'; ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN infinite_res_dffe4 <= infinite_res_dffe4_wi; END IF; END IF; END PROCESS; PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN infinity_magnitude_sub_dffe2 <= '0'; ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN infinity_magnitude_sub_dffe2 <= infinity_magnitude_sub_dffe2_wi; END IF; END IF; END PROCESS; PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN infinity_magnitude_sub_dffe21 <= '0'; ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN infinity_magnitude_sub_dffe21 <= infinity_magnitude_sub_dffe21_wi; END IF; END IF; END PROCESS; PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN infinity_magnitude_sub_dffe3 <= '0'; ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN infinity_magnitude_sub_dffe3 <= infinity_magnitude_sub_dffe3_wi; END IF; END IF; END PROCESS; PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN infinity_magnitude_sub_dffe31 <= '0'; ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN infinity_magnitude_sub_dffe31 <= infinity_magnitude_sub_dffe31_wi; END IF; END IF; END PROCESS; PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN infinity_magnitude_sub_dffe4 <= '0'; ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN infinity_magnitude_sub_dffe4 <= infinity_magnitude_sub_dffe4_wi; END IF; END IF; END PROCESS; PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN input_is_infinite_dffe1 <= '0'; ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN input_is_infinite_dffe1 <= input_is_infinite_dffe1_wi; END IF; END IF; END PROCESS; PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN input_is_infinite_dffe2 <= '0'; ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN input_is_infinite_dffe2 <= input_is_infinite_dffe2_wi; END IF; END IF; END PROCESS; PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN input_is_infinite_dffe21 <= '0'; ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN input_is_infinite_dffe21 <= input_is_infinite_dffe21_wi; END IF; END IF; END PROCESS; PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN input_is_infinite_dffe3 <= '0'; ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN input_is_infinite_dffe3 <= input_is_infinite_dffe3_wi; END IF; END IF; END PROCESS; PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN input_is_infinite_dffe31 <= '0'; ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN input_is_infinite_dffe31 <= input_is_infinite_dffe31_wi; END IF; END IF; END PROCESS; PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN input_is_infinite_dffe4 <= '0'; ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN input_is_infinite_dffe4 <= input_is_infinite_dffe4_wi; END IF; END IF; END PROCESS; PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN input_is_nan_dffe1 <= '0'; ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN input_is_nan_dffe1 <= input_is_nan_dffe1_wi; END IF; END IF; END PROCESS; PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN input_is_nan_dffe2 <= '0'; ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN input_is_nan_dffe2 <= input_is_nan_dffe2_wi; END IF; END IF; END PROCESS; PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN input_is_nan_dffe21 <= '0'; ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN input_is_nan_dffe21 <= input_is_nan_dffe21_wi; END IF; END IF; END PROCESS; PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN input_is_nan_dffe3 <= '0'; ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN input_is_nan_dffe3 <= input_is_nan_dffe3_wi; END IF; END IF; END PROCESS; PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN input_is_nan_dffe31 <= '0'; ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN input_is_nan_dffe31 <= input_is_nan_dffe31_wi; END IF; END IF; END PROCESS; PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN input_is_nan_dffe4 <= '0'; ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN input_is_nan_dffe4 <= input_is_nan_dffe4_wi; END IF; END IF; END PROCESS; PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN man_add_sub_res_mag_dffe21 <= (OTHERS => '0'); ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN man_add_sub_res_mag_dffe21 <= man_add_sub_res_mag_dffe21_wi; END IF; END IF; END PROCESS; PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN man_add_sub_res_sign_dffe21 <= '0'; ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN man_add_sub_res_sign_dffe21 <= man_add_sub_res_sign_dffe27_wo; END IF; END IF; END PROCESS; PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN man_dffe31 <= (OTHERS => '0'); ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN man_dffe31 <= man_add_sub_res_mag_dffe26_wo; END IF; END IF; END PROCESS; PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN man_leading_zeros_dffe31 <= (OTHERS => '0'); ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN man_leading_zeros_dffe31 <= man_leading_zeros_dffe31_wi; END IF; END IF; END PROCESS; PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN man_out_dffe5 <= (OTHERS => '0'); ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN man_out_dffe5 <= man_out_dffe5_wi; END IF; END IF; END PROCESS; PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN man_res_dffe4 <= (OTHERS => '0'); ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN man_res_dffe4 <= man_res_dffe4_wi; END IF; END IF; END PROCESS; PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN man_res_is_not_zero_dffe3 <= '0'; ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN man_res_is_not_zero_dffe3 <= man_res_is_not_zero_dffe3_wi; END IF; END IF; END PROCESS; PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN man_res_is_not_zero_dffe31 <= '0'; ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN man_res_is_not_zero_dffe31 <= man_res_is_not_zero_dffe31_wi; END IF; END IF; END PROCESS; PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN man_res_is_not_zero_dffe4 <= '0'; ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN man_res_is_not_zero_dffe4 <= man_res_is_not_zero_dffe4_wi; END IF; END IF; END PROCESS; PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN need_complement_dffe2 <= '0'; ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN need_complement_dffe2 <= need_complement_dffe2_wi; END IF; END IF; END PROCESS; PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN round_bit_dffe21 <= '0'; ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN round_bit_dffe21 <= round_bit_dffe21_wi; END IF; END IF; END PROCESS; PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN round_bit_dffe3 <= '0'; ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN round_bit_dffe3 <= round_bit_dffe3_wi; END IF; END IF; END PROCESS; PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN round_bit_dffe31 <= '0'; ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN round_bit_dffe31 <= round_bit_dffe31_wi; END IF; END IF; END PROCESS; PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN rounded_res_infinity_dffe4 <= '0'; ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN rounded_res_infinity_dffe4 <= rounded_res_infinity_dffe4_wi; END IF; END IF; END PROCESS; PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN sign_dffe31 <= '0'; ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN sign_dffe31 <= sign_dffe31_wi; END IF; END IF; END PROCESS; PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN sign_out_dffe5 <= '0'; ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN sign_out_dffe5 <= sign_out_dffe5_wi; END IF; END IF; END PROCESS; PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN sign_res_dffe3 <= '0'; ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN sign_res_dffe3 <= sign_res_dffe3_wi; END IF; END IF; END PROCESS; PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN sign_res_dffe4 <= '0'; ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN sign_res_dffe4 <= sign_res_dffe4_wi; END IF; END IF; END PROCESS; PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN sticky_bit_dffe1 <= '0'; ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN sticky_bit_dffe1 <= sticky_bit_dffe1_wi; END IF; END IF; END PROCESS; PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN sticky_bit_dffe2 <= '0'; ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN sticky_bit_dffe2 <= sticky_bit_dffe2_wi; END IF; END IF; END PROCESS; PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN sticky_bit_dffe21 <= '0'; ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN sticky_bit_dffe21 <= sticky_bit_dffe21_wi; END IF; END IF; END PROCESS; PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN sticky_bit_dffe3 <= '0'; ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN sticky_bit_dffe3 <= sticky_bit_dffe3_wi; END IF; END IF; END PROCESS; PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN sticky_bit_dffe31 <= '0'; ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN sticky_bit_dffe31 <= sticky_bit_dffe31_wi; END IF; END IF; END PROCESS; PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN zero_man_sign_dffe2 <= '0'; ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN zero_man_sign_dffe2 <= zero_man_sign_dffe2_wi; END IF; END IF; END PROCESS; PROCESS (clock, aclr) BEGIN IF (aclr = '1') THEN zero_man_sign_dffe21 <= '0'; ELSIF (clock = '1' AND clock'event) THEN IF (clk_en = '1') THEN zero_man_sign_dffe21 <= zero_man_sign_dffe21_wi; END IF; END IF; END PROCESS; add_sub1 : lpm_add_sub GENERIC MAP ( LPM_DIRECTION => "SUB", LPM_REPRESENTATION => "SIGNED", LPM_WIDTH => 9 ) PORT MAP ( dataa => aligned_dataa_exp_w, datab => aligned_datab_exp_w, result => wire_add_sub1_result ); add_sub2 : lpm_add_sub GENERIC MAP ( LPM_DIRECTION => "SUB", LPM_REPRESENTATION => "SIGNED", LPM_WIDTH => 9 ) PORT MAP ( dataa => aligned_datab_exp_w, datab => aligned_dataa_exp_w, result => wire_add_sub2_result ); add_sub3 : lpm_add_sub GENERIC MAP ( LPM_DIRECTION => "SUB", LPM_REPRESENTATION => "SIGNED", LPM_WIDTH => 6 ) PORT MAP ( dataa => sticky_bit_cnt_dataa_w, datab => sticky_bit_cnt_datab_w, result => wire_add_sub3_result ); add_sub4 : lpm_add_sub GENERIC MAP ( LPM_DIRECTION => "ADD", LPM_REPRESENTATION => "SIGNED", LPM_WIDTH => 9 ) PORT MAP ( dataa => exp_adjustment_add_sub_dataa_w, datab => exp_adjustment_add_sub_datab_w, result => wire_add_sub4_result ); add_sub5 : lpm_add_sub GENERIC MAP ( LPM_DIRECTION => "ADD", LPM_PIPELINE => 1, LPM_REPRESENTATION => "SIGNED", LPM_WIDTH => 9, lpm_hint => "USE_WYS=ON" ) PORT MAP ( aclr => aclr, clken => clk_en, clock => clock, dataa => exp_adjustment2_add_sub_dataa_w, datab => exp_adjustment2_add_sub_datab_w, result => wire_add_sub5_result ); add_sub6 : lpm_add_sub GENERIC MAP ( LPM_DIRECTION => "ADD", LPM_REPRESENTATION => "SIGNED", LPM_WIDTH => 9 ) PORT MAP ( dataa => exp_res_rounding_adder_dataa_w, datab => exp_rounding_adjustment_w, result => wire_add_sub6_result ); loop121 : FOR i IN 0 TO 13 GENERATE wire_man_2comp_res_lower_w_lg_w_lg_cout367w368w(i) <= wire_man_2comp_res_lower_w_lg_cout367w(0) AND wire_man_2comp_res_upper0_result(i); END GENERATE loop121; loop122 : FOR i IN 0 TO 13 GENERATE wire_man_2comp_res_lower_w_lg_cout366w(i) <= wire_man_2comp_res_lower_cout AND wire_man_2comp_res_upper1_result(i); END GENERATE loop122; wire_man_2comp_res_lower_w_lg_cout367w(0) <= NOT wire_man_2comp_res_lower_cout; loop123 : FOR i IN 0 TO 13 GENERATE wire_man_2comp_res_lower_w_lg_w_lg_w_lg_cout367w368w369w(i) <= wire_man_2comp_res_lower_w_lg_w_lg_cout367w368w(i) OR wire_man_2comp_res_lower_w_lg_cout366w(i); END GENERATE loop123; man_2comp_res_lower : lpm_add_sub GENERIC MAP ( LPM_PIPELINE => 1, LPM_REPRESENTATION => "SIGNED", LPM_WIDTH => 14, lpm_hint => "USE_WYS=ON" ) PORT MAP ( aclr => aclr, add_sub => add_sub_w2, cin => borrow_w, clken => clk_en, clock => clock, cout => wire_man_2comp_res_lower_cout, dataa => man_2comp_res_dataa_w(13 DOWNTO 0), datab => man_2comp_res_datab_w(13 DOWNTO 0), result => wire_man_2comp_res_lower_result ); man_2comp_res_upper0 : lpm_add_sub GENERIC MAP ( LPM_PIPELINE => 1, LPM_REPRESENTATION => "SIGNED", LPM_WIDTH => 14, lpm_hint => "USE_WYS=ON" ) PORT MAP ( aclr => aclr, add_sub => add_sub_w2, cin => wire_gnd, clken => clk_en, clock => clock, dataa => man_2comp_res_dataa_w(27 DOWNTO 14), datab => man_2comp_res_datab_w(27 DOWNTO 14), result => wire_man_2comp_res_upper0_result ); man_2comp_res_upper1 : lpm_add_sub GENERIC MAP ( LPM_PIPELINE => 1, LPM_REPRESENTATION => "SIGNED", LPM_WIDTH => 14, lpm_hint => "USE_WYS=ON" ) PORT MAP ( aclr => aclr, add_sub => add_sub_w2, cin => wire_vcc, clken => clk_en, clock => clock, dataa => man_2comp_res_dataa_w(27 DOWNTO 14), datab => man_2comp_res_datab_w(27 DOWNTO 14), result => wire_man_2comp_res_upper1_result ); loop124 : FOR i IN 0 TO 13 GENERATE wire_man_add_sub_lower_w_lg_w_lg_cout354w355w(i) <= wire_man_add_sub_lower_w_lg_cout354w(0) AND wire_man_add_sub_upper0_result(i); END GENERATE loop124; loop125 : FOR i IN 0 TO 13 GENERATE wire_man_add_sub_lower_w_lg_cout353w(i) <= wire_man_add_sub_lower_cout AND wire_man_add_sub_upper1_result(i); END GENERATE loop125; wire_man_add_sub_lower_w_lg_cout354w(0) <= NOT wire_man_add_sub_lower_cout; loop126 : FOR i IN 0 TO 13 GENERATE wire_man_add_sub_lower_w_lg_w_lg_w_lg_cout354w355w356w(i) <= wire_man_add_sub_lower_w_lg_w_lg_cout354w355w(i) OR wire_man_add_sub_lower_w_lg_cout353w(i); END GENERATE loop126; man_add_sub_lower : lpm_add_sub GENERIC MAP ( LPM_PIPELINE => 1, LPM_REPRESENTATION => "SIGNED", LPM_WIDTH => 14, lpm_hint => "USE_WYS=ON" ) PORT MAP ( aclr => aclr, add_sub => add_sub_w2, cin => borrow_w, clken => clk_en, clock => clock, cout => wire_man_add_sub_lower_cout, dataa => man_add_sub_dataa_w(13 DOWNTO 0), datab => man_add_sub_datab_w(13 DOWNTO 0), result => wire_man_add_sub_lower_result ); man_add_sub_upper0 : lpm_add_sub GENERIC MAP ( LPM_PIPELINE => 1, LPM_REPRESENTATION => "SIGNED", LPM_WIDTH => 14, lpm_hint => "USE_WYS=ON" ) PORT MAP ( aclr => aclr, add_sub => add_sub_w2, cin => wire_gnd, clken => clk_en, clock => clock, dataa => man_add_sub_dataa_w(27 DOWNTO 14), datab => man_add_sub_datab_w(27 DOWNTO 14), result => wire_man_add_sub_upper0_result ); man_add_sub_upper1 : lpm_add_sub GENERIC MAP ( LPM_PIPELINE => 1, LPM_REPRESENTATION => "SIGNED", LPM_WIDTH => 14, lpm_hint => "USE_WYS=ON" ) PORT MAP ( aclr => aclr, add_sub => add_sub_w2, cin => wire_vcc, clken => clk_en, clock => clock, dataa => man_add_sub_dataa_w(27 DOWNTO 14), datab => man_add_sub_datab_w(27 DOWNTO 14), result => wire_man_add_sub_upper1_result ); loop127 : FOR i IN 0 TO 12 GENERATE wire_man_res_rounding_add_sub_lower_w_lg_w_lg_cout580w581w(i) <= wire_man_res_rounding_add_sub_lower_w_lg_cout580w(0) AND adder_upper_w(i); END GENERATE loop127; loop128 : FOR i IN 0 TO 12 GENERATE wire_man_res_rounding_add_sub_lower_w_lg_cout579w(i) <= wire_man_res_rounding_add_sub_lower_cout AND wire_man_res_rounding_add_sub_upper1_result(i); END GENERATE loop128; wire_man_res_rounding_add_sub_lower_w_lg_cout580w(0) <= NOT wire_man_res_rounding_add_sub_lower_cout; loop129 : FOR i IN 0 TO 12 GENERATE wire_man_res_rounding_add_sub_lower_w_lg_w_lg_w_lg_cout580w581w582w(i) <= wire_man_res_rounding_add_sub_lower_w_lg_w_lg_cout580w581w(i) OR wire_man_res_rounding_add_sub_lower_w_lg_cout579w(i); END GENERATE loop129; man_res_rounding_add_sub_lower : lpm_add_sub GENERIC MAP ( LPM_DIRECTION => "ADD", LPM_REPRESENTATION => "SIGNED", LPM_WIDTH => 13 ) PORT MAP ( cout => wire_man_res_rounding_add_sub_lower_cout, dataa => man_intermediate_res_w(12 DOWNTO 0), datab => man_res_rounding_add_sub_datab_w(12 DOWNTO 0), result => wire_man_res_rounding_add_sub_lower_result ); man_res_rounding_add_sub_upper1 : lpm_add_sub GENERIC MAP ( LPM_DIRECTION => "ADD", LPM_REPRESENTATION => "SIGNED", LPM_WIDTH => 13 ) PORT MAP ( cin => wire_vcc, dataa => man_intermediate_res_w(25 DOWNTO 13), datab => man_res_rounding_add_sub_datab_w(25 DOWNTO 13), result => wire_man_res_rounding_add_sub_upper1_result ); trailing_zeros_limit_comparator : lpm_compare GENERIC MAP ( LPM_REPRESENTATION => "SIGNED", LPM_WIDTH => 6 ) PORT MAP ( agb => wire_trailing_zeros_limit_comparator_agb, dataa => sticky_bit_cnt_res_w, datab => trailing_zeros_limit_w ); END RTL; --fp_add_altfp_add_sub_13k --VALID FILE LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY fp_add IS PORT ( aclr : IN STD_LOGIC ; clk_en : IN STD_LOGIC ; clock : IN STD_LOGIC ; dataa : IN STD_LOGIC_VECTOR (31 DOWNTO 0); datab : IN STD_LOGIC_VECTOR (31 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) ); END fp_add; ARCHITECTURE RTL OF fp_add IS SIGNAL sub_wire0 : STD_LOGIC_VECTOR (31 DOWNTO 0); COMPONENT fp_add_altfp_add_sub_13k PORT ( aclr : IN STD_LOGIC ; clk_en : IN STD_LOGIC ; clock : IN STD_LOGIC ; datab : IN STD_LOGIC_VECTOR (31 DOWNTO 0); dataa : IN STD_LOGIC_VECTOR (31 DOWNTO 0); result : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) ); END COMPONENT; BEGIN result <= sub_wire0(31 DOWNTO 0); fp_add_altfp_add_sub_13k_component : fp_add_altfp_add_sub_13k PORT MAP ( aclr => aclr, clk_en => clk_en, clock => clock, datab => datab, dataa => dataa, result => sub_wire0 ); END RTL; -- ============================================================ -- CNX file retrieval info -- ============================================================ -- Retrieval info: PRIVATE: FPM_FORMAT NUMERIC "0" -- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone II" -- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0" -- Retrieval info: PRIVATE: WIDTH_DATA NUMERIC "32" -- Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all -- Retrieval info: CONSTANT: DENORMAL_SUPPORT STRING "NO" -- Retrieval info: CONSTANT: DIRECTION STRING "ADD" -- Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone II" -- Retrieval info: CONSTANT: OPTIMIZE STRING "SPEED" -- Retrieval info: CONSTANT: PIPELINE NUMERIC "7" -- Retrieval info: CONSTANT: REDUCED_FUNCTIONALITY STRING "NO" -- Retrieval info: CONSTANT: WIDTH_EXP NUMERIC "8" -- Retrieval info: CONSTANT: WIDTH_MAN NUMERIC "23" -- Retrieval info: USED_PORT: aclr 0 0 0 0 INPUT NODEFVAL "aclr" -- Retrieval info: USED_PORT: clk_en 0 0 0 0 INPUT NODEFVAL "clk_en" -- Retrieval info: USED_PORT: clock 0 0 0 0 INPUT NODEFVAL "clock" -- Retrieval info: USED_PORT: dataa 0 0 32 0 INPUT NODEFVAL "dataa[31..0]" -- Retrieval info: USED_PORT: datab 0 0 32 0 INPUT NODEFVAL "datab[31..0]" -- Retrieval info: USED_PORT: result 0 0 32 0 OUTPUT NODEFVAL "result[31..0]" -- Retrieval info: CONNECT: @aclr 0 0 0 0 aclr 0 0 0 0 -- Retrieval info: CONNECT: @clk_en 0 0 0 0 clk_en 0 0 0 0 -- Retrieval info: CONNECT: @clock 0 0 0 0 clock 0 0 0 0 -- Retrieval info: CONNECT: @dataa 0 0 32 0 dataa 0 0 32 0 -- Retrieval info: CONNECT: @datab 0 0 32 0 datab 0 0 32 0 -- Retrieval info: CONNECT: result 0 0 32 0 @result 0 0 32 0 -- Retrieval info: GEN_FILE: TYPE_NORMAL fp_add.vhd TRUE -- Retrieval info: GEN_FILE: TYPE_NORMAL fp_add.inc FALSE -- Retrieval info: GEN_FILE: TYPE_NORMAL fp_add.cmp TRUE -- Retrieval info: GEN_FILE: TYPE_NORMAL fp_add.bsf FALSE -- Retrieval info: GEN_FILE: TYPE_NORMAL fp_add_inst.vhd FALSE -- Retrieval info: LIB_FILE: lpm
mit
jayvalentine/vhdl-risc-processor
register_file.vhd
1
7113
-- register file circuit -- contains 31 32-bit general-purpose registers, plus 1 register that is always hardcoded to 0 -- all code (c) copyright 2016 Jay Valentine, released under the MIT license library IEEE; use IEEE.STD_LOGIC_1164.all; entity register_file is port ( -- read addresses a_addr : in std_logic_vector(4 downto 0); b_addr : in std_logic_vector(4 downto 0); -- read data a_data : out std_logic_vector(31 downto 0); b_data : out std_logic_vector(31 downto 0); -- write address, enable, clock and data wr_addr : in std_logic_vector(4 downto 0); wr_data : in std_logic_vector(31 downto 0); wr_enable : in std_logic; wr_clk : in std_logic; -- async reset rst : in std_logic ); end entity register_file; architecture register_file_arch of register_file is -- signal declarations -- registers signal r01 : std_logic_vector(31 downto 0); signal r02 : std_logic_vector(31 downto 0); signal r03 : std_logic_vector(31 downto 0); signal r04 : std_logic_vector(31 downto 0); signal r05 : std_logic_vector(31 downto 0); signal r06 : std_logic_vector(31 downto 0); signal r07 : std_logic_vector(31 downto 0); signal r08 : std_logic_vector(31 downto 0); signal r09 : std_logic_vector(31 downto 0); signal r10 : std_logic_vector(31 downto 0); signal r11 : std_logic_vector(31 downto 0); signal r12 : std_logic_vector(31 downto 0); signal r13 : std_logic_vector(31 downto 0); signal r14 : std_logic_vector(31 downto 0); signal r15 : std_logic_vector(31 downto 0); signal r16 : std_logic_vector(31 downto 0); signal r17 : std_logic_vector(31 downto 0); signal r18 : std_logic_vector(31 downto 0); signal r19 : std_logic_vector(31 downto 0); signal r20 : std_logic_vector(31 downto 0); signal r21 : std_logic_vector(31 downto 0); signal r22 : std_logic_vector(31 downto 0); signal r23 : std_logic_vector(31 downto 0); signal r24 : std_logic_vector(31 downto 0); signal r25 : std_logic_vector(31 downto 0); signal r26 : std_logic_vector(31 downto 0); signal r27 : std_logic_vector(31 downto 0); signal r28 : std_logic_vector(31 downto 0); signal r29 : std_logic_vector(31 downto 0); signal r30 : std_logic_vector(31 downto 0); signal r31 : std_logic_vector(31 downto 0); -- constant r0 which is hardcoded 0 constant r00 : std_logic_vector(31 downto 0) := (others => '0'); begin -- design implementation registers : process(a_addr, b_addr, wr_clk, rst) begin -- if reset high, clear all registers if rst = '1' then r01 <= r00; r02 <= r00; r03 <= r00; r04 <= r00; r05 <= r00; r06 <= r00; r07 <= r00; r08 <= r00; r09 <= r00; r10 <= r00; r11 <= r00; r12 <= r00; r13 <= r00; r14 <= r00; r15 <= r00; r16 <= r00; r17 <= r00; r18 <= r00; r19 <= r00; r20 <= r00; r21 <= r00; r22 <= r00; r23 <= r00; r24 <= r00; r25 <= r00; r26 <= r00; r27 <= r00; r28 <= r00; r29 <= r00; r30 <= r00; r31 <= r00; else -- reading from registers, outputting to ports a and b -- case statements for a case a_addr is -- 32 register cases, including r00 when "00000" => a_data <= r00; when "00001" => a_data <= r01; when "00010" => a_data <= r02; when "00011" => a_data <= r03; when "00100" => a_data <= r04; when "00101" => a_data <= r05; when "00110" => a_data <= r06; when "00111" => a_data <= r07; when "01000" => a_data <= r08; when "01001" => a_data <= r09; when "01010" => a_data <= r10; when "01011" => a_data <= r11; when "01100" => a_data <= r12; when "01101" => a_data <= r13; when "01110" => a_data <= r14; when "01111" => a_data <= r15; when "10000" => a_data <= r16; when "10001" => a_data <= r17; when "10010" => a_data <= r18; when "10011" => a_data <= r19; when "10100" => a_data <= r20; when "10101" => a_data <= r21; when "10110" => a_data <= r22; when "10111" => a_data <= r23; when "11000" => a_data <= r24; when "11001" => a_data <= r25; when "11010" => a_data <= r26; when "11011" => a_data <= r27; when "11100" => a_data <= r28; when "11101" => a_data <= r29; when "11110" => a_data <= r30; when "11111" => a_data <= r31; -- exception case when others => a_data <= r00; end case; -- case statements for b case b_addr is -- 32 register cases, including r00 when "00000" => b_data <= r00; when "00001" => b_data <= r01; when "00010" => b_data <= r02; when "00011" => b_data <= r03; when "00100" => b_data <= r04; when "00101" => b_data <= r05; when "00110" => b_data <= r06; when "00111" => b_data <= r07; when "01000" => b_data <= r08; when "01001" => b_data <= r09; when "01010" => b_data <= r10; when "01011" => b_data <= r11; when "01100" => b_data <= r12; when "01101" => b_data <= r13; when "01110" => b_data <= r14; when "01111" => b_data <= r15; when "10000" => b_data <= r16; when "10001" => b_data <= r17; when "10010" => b_data <= r18; when "10011" => b_data <= r19; when "10100" => b_data <= r20; when "10101" => b_data <= r21; when "10110" => b_data <= r22; when "10111" => b_data <= r23; when "11000" => b_data <= r24; when "11001" => b_data <= r25; when "11010" => b_data <= r26; when "11011" => b_data <= r27; when "11100" => b_data <= r28; when "11101" => b_data <= r29; when "11110" => b_data <= r30; when "11111" => b_data <= r31; -- exception case when others => b_data <= r00; end case; -- writing to registers if rising_edge(wr_clk) then if wr_enable = '1' then -- case statement for writing to register case wr_addr is -- note exclusion of address 00000, r00 cannot be written to when "00001" => r01 <= wr_data; when "00010" => r02 <= wr_data; when "00011" => r03 <= wr_data; when "00100" => r04 <= wr_data; when "00101" => r05 <= wr_data; when "00110" => r06 <= wr_data; when "00111" => r07 <= wr_data; when "01000" => r08 <= wr_data; when "01001" => r09 <= wr_data; when "01010" => r10 <= wr_data; when "01011" => r11 <= wr_data; when "01100" => r12 <= wr_data; when "01101" => r13 <= wr_data; when "01110" => r14 <= wr_data; when "01111" => r15 <= wr_data; when "10000" => r16 <= wr_data; when "10001" => r17 <= wr_data; when "10010" => r18 <= wr_data; when "10011" => r19 <= wr_data; when "10100" => r20 <= wr_data; when "10101" => r21 <= wr_data; when "10110" => r22 <= wr_data; when "10111" => r23 <= wr_data; when "11000" => r24 <= wr_data; when "11001" => r25 <= wr_data; when "11010" => r26 <= wr_data; when "11011" => r27 <= wr_data; when "11100" => r28 <= wr_data; when "11101" => r29 <= wr_data; when "11110" => r30 <= wr_data; when "11111" => r31 <= wr_data; end case; end if; end if; end if; end process registers; end architecture register_file_arch;
mit
euryecetelecom/euryspace
hw/rtl/ccsds_rxtx/ccsds_rx_physical_layer.vhd
1
2450
------------------------------- ---- Project: EurySPACE CCSDS RX/TX with wishbone interface ---- Design Name: ccsds_rx_physical_layer ---- Version: 1.0.0 ---- Description: ---- TO BE DONE ------------------------------- ---- Author(s): ---- Guillaume REMBERT ------------------------------- ---- Licence: ---- MIT ------------------------------- ---- Changes list: ---- 2015/11/17: initial release ------------------------------- -- libraries used library ieee; use ieee.std_logic_1164.all; --============================================================================= -- Entity declaration for ccsds_rx_physical_layer / unitary rx physical layer --============================================================================= entity ccsds_rx_physical_layer is generic ( CCSDS_RX_PHYSICAL_DATA_BUS_SIZE: integer := 32; CCSDS_RX_PHYSICAL_SIG_QUANT_DEPTH : integer := 16 ); port( -- inputs clk_i: in std_logic; rst_i: in std_logic; sam_i_i: in std_logic_vector(CCSDS_RX_PHYSICAL_SIG_QUANT_DEPTH-1 downto 0); sam_q_i: in std_logic_vector(CCSDS_RX_PHYSICAL_SIG_QUANT_DEPTH-1 downto 0); -- outputs clk_o: out std_logic; dat_o: out std_logic_vector(CCSDS_RX_PHYSICAL_DATA_BUS_SIZE-1 downto 0) ); end ccsds_rx_physical_layer; --============================================================================= -- architecture declaration / internal processing --============================================================================= architecture rtl of ccsds_rx_physical_layer is --============================================================================= -- architecture begin --============================================================================= begin dat_o(CCSDS_RX_PHYSICAL_DATA_BUS_SIZE-1 downto CCSDS_RX_PHYSICAL_SIG_QUANT_DEPTH) <= sam_q_i; dat_o(CCSDS_RX_PHYSICAL_SIG_QUANT_DEPTH-1 downto 0) <= sam_i_i; clk_o <= clk_i; --============================================================================= -- Begin of physicalp -- TEST PURPOSES / DUMMY PHYSICAL LAYER PROCESS --============================================================================= -- read: clk_i -- write: -- r/w: PHYSICALP : process (clk_i) begin end process; end rtl; --============================================================================= -- architecture end --=============================================================================
mit
euryecetelecom/euryspace
hw/rtl/ccsds_rxtx/ccsds_tx_mapper_bits_symbols.vhd
1
5024
------------------------------- ---- Project: EurySPACE CCSDS RX/TX with wishbone interface ---- Design Name: ccsds_tx_mapper_bits_symbols ---- Version: 1.0.0 ---- Description: ---- Map input bits to complex I&Q symbols depending on modulation type ------------------------------- ---- Author(s): ---- Guillaume REMBERT ------------------------------- ---- Licence: ---- MIT ------------------------------- ---- Changes list: ---- 2016/11/05: initial release ------------------------------- -- libraries used library ieee; use ieee.std_logic_1164.all; --============================================================================= -- Entity declaration for ccsds_tx / unitary tx bits to symbols mapper inputs and outputs --============================================================================= entity ccsds_tx_mapper_bits_symbols is generic( constant CCSDS_TX_MAPPER_BITS_PER_SYMBOL: integer := 1; -- For QAM - 1 bit/symbol <=> QPSK/4-QAM - 2 bits/symbol <=> 16-QAM - 3 bits/symbol <=> 64-QAM - ... - N bits/symbol <=> 2^(N*2)-QAM constant CCSDS_TX_MAPPER_GRAY_CODER: std_logic := '1'; -- Gray coder activation constant CCSDS_TX_MAPPER_MODULATION_TYPE: integer := 1; -- 1=QPSK/QAM - 2=BPSK constant CCSDS_TX_MAPPER_DATA_BUS_SIZE: integer -- in bits ); port( -- inputs clk_i: in std_logic; dat_i: in std_logic_vector(CCSDS_TX_MAPPER_DATA_BUS_SIZE-1 downto 0); dat_val_i: in std_logic; rst_i: in std_logic; -- outputs sym_val_o: out std_logic; sym_i_o: out std_logic_vector(CCSDS_TX_MAPPER_BITS_PER_SYMBOL-1 downto 0); sym_q_o: out std_logic_vector(CCSDS_TX_MAPPER_BITS_PER_SYMBOL-1 downto 0) ); end ccsds_tx_mapper_bits_symbols; --============================================================================= -- architecture declaration / internal components and connections --============================================================================= architecture rtl of ccsds_tx_mapper_bits_symbols is -- internal constants constant MAPPER_SYMBOL_NUMBER_PER_CHANNEL: integer := CCSDS_TX_MAPPER_DATA_BUS_SIZE*CCSDS_TX_MAPPER_MODULATION_TYPE/(2*CCSDS_TX_MAPPER_BITS_PER_SYMBOL); -- internal variable signals -- components instanciation and mapping begin -- presynthesis checks CHKMAPPERP0 : if (CCSDS_TX_MAPPER_DATA_BUS_SIZE mod (CCSDS_TX_MAPPER_BITS_PER_SYMBOL*2*CCSDS_TX_MAPPER_MODULATION_TYPE) /= 0) generate process begin report "ERROR: DATA BUS SIZE HAS TO BE A MULTIPLE OF 2*BITS PER SYMBOLS (EXCEPT FOR BPSK MODULATION)" severity failure; wait; end process; end generate CHKMAPPERP0; CHKMAPPERP1: if (CCSDS_TX_MAPPER_BITS_PER_SYMBOL /= 1) and (CCSDS_TX_MAPPER_MODULATION_TYPE = 2) generate process begin report "ERROR: BPSK MODULATION REQUIRES 1 BIT PER SYMBOL" severity failure; wait; end process; end generate CHKMAPPERP1; CHKMAPPERP2 : if (CCSDS_TX_MAPPER_MODULATION_TYPE /= 1) and (CCSDS_TX_MAPPER_MODULATION_TYPE /= 2) generate process begin report "ERROR: UNKNOWN MODULATION TYPE - 1=QPSK/QAM / 2=BPSK" severity failure; wait; end process; end generate CHKMAPPERP2; -- internal processing --============================================================================= -- Begin of mapperp -- Map bits to symbols --============================================================================= -- read: rst_i, dat_i, dat_val_i -- write: sym_i_o, sym_q_o, sym_val_o -- r/w: MAPPERP: process (clk_i) variable symbol_counter: integer range 1 to MAPPER_SYMBOL_NUMBER_PER_CHANNEL := MAPPER_SYMBOL_NUMBER_PER_CHANNEL; begin -- on each clock rising edge if rising_edge(clk_i) then -- reset signal received if (rst_i = '1') then sym_i_o <= (others => '0'); sym_q_o <= (others => '0'); symbol_counter := MAPPER_SYMBOL_NUMBER_PER_CHANNEL; sym_val_o <= '0'; else if (dat_val_i = '1') then sym_val_o <= '1'; -- BPSK mapping if (CCSDS_TX_MAPPER_BITS_PER_SYMBOL = 1) and (CCSDS_TX_MAPPER_MODULATION_TYPE = 2) then sym_q_o(0) <= '0'; sym_i_o(0) <= dat_i(symbol_counter-1); -- QPSK/QAM mapping else sym_i_o <= dat_i(symbol_counter*CCSDS_TX_MAPPER_BITS_PER_SYMBOL*2-1 downto symbol_counter*2*CCSDS_TX_MAPPER_BITS_PER_SYMBOL-CCSDS_TX_MAPPER_BITS_PER_SYMBOL); sym_q_o <= dat_i(symbol_counter*2*CCSDS_TX_MAPPER_BITS_PER_SYMBOL-CCSDS_TX_MAPPER_BITS_PER_SYMBOL-1 downto symbol_counter*2*CCSDS_TX_MAPPER_BITS_PER_SYMBOL-2*CCSDS_TX_MAPPER_BITS_PER_SYMBOL); end if; if (symbol_counter = 1) then symbol_counter := MAPPER_SYMBOL_NUMBER_PER_CHANNEL; else symbol_counter := symbol_counter - 1; end if; else sym_val_o <= '0'; end if; end if; end if; end process; end rtl;
mit
jandecaluwe/myhdl-examples
gray_counter/vhdl/gray_counter_4.vhd
1
1275
-- File: gray_counter_4.vhd -- Generated by MyHDL 0.8dev -- Date: Sun Feb 3 17:16:41 2013 library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; use std.textio.all; use work.pck_myhdl_08.all; entity gray_counter_4 is port ( gray_count: out unsigned(3 downto 0); enable: in std_logic; clock: in std_logic; reset: in std_logic ); end entity gray_counter_4; architecture MyHDL of gray_counter_4 is signal even: std_logic; signal gray: unsigned(3 downto 0); begin GRAY_COUNTER_4_SEQ: process (clock, reset) is variable found: std_logic; variable word: unsigned(3 downto 0); begin if (reset = '1') then even <= '1'; gray <= (others => '0'); elsif rising_edge(clock) then word := unsigned'("1" & gray((4 - 2)-1 downto 0) & even); if bool(enable) then found := '0'; for i in 0 to 4-1 loop if ((word(i) = '1') and (not bool(found))) then gray(i) <= stdl((not bool(gray(i)))); found := '1'; end if; end loop; even <= stdl((not bool(even))); end if; end if; end process GRAY_COUNTER_4_SEQ; gray_count <= gray; end architecture MyHDL;
mit
IslamKhaledH/ArchitecturePorject
Project/fetch_Buffer.vhd
1
4481
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity fetch_Buffer is Generic ( n : integer := 16); port( Clk : in std_logic; Rst : in std_logic; inport_en_input : in std_logic_vector(15 downto 0); -- instruction_input :in std_logic_vector(15 downto 0); inport_en_output : out std_logic_vector(15 downto 0); -- instruction_output :out std_logic_vector(15 downto 0); OPcode: out std_logic_vector(4 downto 0 ); R1: out std_logic_vector(2 downto 0 ); --addres of reg1 R2: out std_logic_vector(2 downto 0 ); --addres of reg2 Rout: out std_logic_vector(2 downto 0 ); --for write back R_shift: out std_logic_vector(3 downto 0 ); LDD_Memory: out std_logic_vector(9 downto 0 ); --load value from memory to register LDM_immediate: out std_logic_vector(15 downto 0 ) ;--load immediate value from user to register pc_mux_input : in std_logic_vector(1 downto 0); --outport_en_input : in std_logic; --reg_write_input : in std_logic; --mem_write_input : in std_logic; --write_data_reg_mux_input : in std_logic; --Shift_Mux_input : in std_logic; -- to know if make shift or not --write_back_mux_input : in std_logic_vector(1 downto 0); --int_flags_en_input : in std_logic; -- int to take flags from meomry to alu --alu_control_input : in std_logic_vector(4 downto 0); --change it according to alu control (3 bit ****)??? ??? ???? 'musgi' --mem_mux_input : in std_logic; pc_mux_output : out std_logic_vector(1 downto 0) --outport_en_output : out std_logic; --reg_write_output : out std_logic; --mem_write_output : out std_logic; --write_data_reg_mux_output : out std_logic; --Shift_Mux_output : out std_logic; -- to know if make shift or not --write_back_mux_output : out std_logic_vector(1 downto 0); --int_flags_en_output : out std_logic; -- int to take flags from meomry to alu --alu_control_output : out std_logic_vector(4 downto 0); --change it according to alu control (3 bit ****)??? ??? ???? 'musgi' --mem_mux_output : out std_logic ); end fetch_Buffer; architecture fetch_Buffer_arch of fetch_Buffer is component Regis is port( Clk,Rst,enable : in std_logic; d : in std_logic; q : out std_logic ); end component; component nreg is Generic ( n : integer := 16); port( Clk,Rst,enable : in std_logic; d : in std_logic_vector(n-1 downto 0); q : out std_logic_vector(n-1 downto 0) ); end component; signal LDD_Memory_signal : std_logic_vector(9 downto 0 ); --load value from memory to register signal LDM_immediate_signal : std_logic_vector(15 downto 0 ); --load value from memory to register signal OPcode_nop: std_logic_vector(4 downto 0 ); signal en_signal_nop: std_logic; begin inport_en_output<=inport_en_input; --call control unit process(clk) is begin if (rising_edge(clk)) and instruction_input(15 downto 11)="11011" then OPcode_nop <= "00000"; en_signal_nop <='1'; LDM_immediate<=instruction_input(15 downto 0); elsif (rising_edge(clk) ) and ((instruction_input(15 downto 11))="11100" or instruction_input(15 downto 11)="11101") then OPcode_nop <= "00000"; en_signal_nop <='1';--send to control unit LDD_Memory<=instruction_input(15 downto 6); elsif (rising_edge(clk)) then en_signal_nop <='0'; instruction_output<=instruction_input; OPcode<=instruction_input(15 downto 11); R1<=instruction_input(10 downto 8); R2<=instruction_input(7 downto 5); R_shift<=instruction_input(7 downto 4); Rout<=instruction_input(4 downto 2); pc_mux_output <= pc_mux_input; --outport_en_output <=outport_en_input; --reg_write_output <=reg_write_input; --mem_write_output <= mem_write_input; --write_data_reg_mux_output <= write_data_reg_mux_input; --Shift_Mux_output <= Shift_Mux_input; --write_back_mux_output <= write_back_mux_input; --int_flags_en_output <= int_flags_en_input; -- int to take flags from meomry to alu --alu_control_output <= alu_control_input; --change it according to alu control (3 bit ****)??? ??? ???? 'musgi' --mem_mux_output <= mem_mux_input; end if; end process; end fetch_Buffer_arch;
mit
EPiCS/soundgates
hardware/hwt/pcores/hwt_iir_v1_00_a/hdl/vhdl/hwt_iir.vhd
1
17253
-- ____ _ _ -- / ___| ___ _ _ _ __ __| | __ _ __ _| |_ ___ ___ -- \___ \ / _ \| | | | '_ \ / _` |/ _` |/ _` | __/ _ \/ __| -- ___) | (_) | |_| | | | | (_| | (_| | (_| | || __/\__ \ -- |____/ \___/ \__,_|_| |_|\__,_|\__, |\__,_|\__\___||___/ -- |___/ -- ====================================================================== -- -- title: VHDL module - hwt_iir -- -- project: PG-Soundgates -- author: Hendrik Hangmann, University of Paderborn -- -- description: Hardware thread for iir Filter -- ====================================================================== library ieee; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; --library proc_common_v3_00_a; --use proc_common_v3_00_a.proc_common_pkg.all; library reconos_v3_00_c; use reconos_v3_00_c.reconos_pkg.all; library soundgates_v1_00_a; use soundgates_v1_00_a.soundgates_common_pkg.all; use soundgates_v1_00_a.soundgates_reconos_pkg.all; entity hwt_iir is generic( SND_COMP_CLK_FREQ : integer := 100_000_000; IIR_ORDER : integer := 3 -- 4 coefficients ); port ( -- OSIF FIFO ports OSIF_FIFO_Sw2Hw_Data : in std_logic_vector(31 downto 0); OSIF_FIFO_Sw2Hw_Fill : in std_logic_vector(15 downto 0); OSIF_FIFO_Sw2Hw_Empty : in std_logic; OSIF_FIFO_Sw2Hw_RE : out std_logic; OSIF_FIFO_Hw2Sw_Data : out std_logic_vector(31 downto 0); OSIF_FIFO_Hw2Sw_Rem : in std_logic_vector(15 downto 0); OSIF_FIFO_Hw2Sw_Full : in std_logic; OSIF_FIFO_Hw2Sw_WE : out std_logic; -- MEMIF FIFO ports MEMIF_FIFO_Hwt2Mem_Data : out std_logic_vector(31 downto 0); MEMIF_FIFO_Hwt2Mem_Rem : in std_logic_vector(15 downto 0); MEMIF_FIFO_Hwt2Mem_Full : in std_logic; MEMIF_FIFO_Hwt2Mem_WE : out std_logic; MEMIF_FIFO_Mem2Hwt_Data : in std_logic_vector(31 downto 0); MEMIF_FIFO_Mem2Hwt_Fill : in std_logic_vector(15 downto 0); MEMIF_FIFO_Mem2Hwt_Empty : in std_logic; MEMIF_FIFO_Mem2Hwt_RE : out std_logic; HWT_Clk : in std_logic; HWT_Rst : in std_logic ); end hwt_iir; architecture Behavioral of hwt_iir is ---------------------------------------------------------------- -- Subcomponent declarations ---------------------------------------------------------------- -- ?? Was macht das hier? -- memif_read_word(i_memif, o_memif, rlse_amp_addr, rlse_amp, done); -- if done then -- refresh_state <= "0"; -- state <= STATE_PROCESS; signal clk : std_logic; signal rst : std_logic; -- ReconOS Stuff signal i_osif : i_osif_t; signal o_osif : o_osif_t; signal i_memif : i_memif_t; signal o_memif : o_memif_t; signal i_ram : i_ram_t; signal o_ram : o_ram_t; constant MBOX_START : std_logic_vector(31 downto 0) := x"00000000"; constant MBOX_FINISH : std_logic_vector(31 downto 0) := x"00000001"; -- /ReconOS Stuff type STATE_TYPE is (STATE_INIT, STATE_INIT_ADDRESSES, STATE_READ_COEFFICIENTS_ADRESSES, STATE_READ, STATE_WAITING, STATE_PROCESS, STATE_WRITE_MEM, STATE_NOTIFY, STATE_EXIT); signal state : STATE_TYPE; ---------------------------------------------------------------- -- Common sound component signals, constants and types ---------------------------------------------------------------- constant C_MAX_SAMPLE_COUNT : integer := 64; -- define size of local RAM here constant C_LOCAL_RAM_SIZE : integer := C_MAX_SAMPLE_COUNT; constant C_LOCAL_RAM_ADDRESS_WIDTH : integer := 6;--clog2(C_LOCAL_RAM_SIZE); constant C_LOCAL_RAM_SIZE_IN_BYTES : integer := 4*C_LOCAL_RAM_SIZE; type LOCAL_MEMORY_T is array (0 to C_LOCAL_RAM_SIZE-1) of std_logic_vector(31 downto 0); signal o_RAMAddr_iir : std_logic_vector(0 to C_LOCAL_RAM_ADDRESS_WIDTH-1); signal o_RAMData_iir : std_logic_vector(0 to 31); -- iir to local ram signal i_RAMData_iir : std_logic_vector(0 to 31); -- local ram to iir signal o_RAMWE_iir : std_logic; signal o_RAMAddr_reconos : std_logic_vector(0 to C_LOCAL_RAM_ADDRESS_WIDTH-1); signal o_RAMAddr_reconos_2 : std_logic_vector(0 to 31); signal o_RAMData_reconos : std_logic_vector(0 to 31); signal o_RAMWE_reconos : std_logic; signal i_RAMData_reconos : std_logic_vector(0 to 31); signal osif_ctrl_signal : std_logic_vector(31 downto 0); signal ignore : std_logic_vector(31 downto 0); constant o_RAMAddr_max : std_logic_vector(0 to C_LOCAL_RAM_ADDRESS_WIDTH-1) := (others=>'1'); shared variable local_ram : LOCAL_MEMORY_T; signal snd_comp_header : snd_comp_header_msg_t; -- common sound component header signal sample_count : unsigned(15 downto 0) := to_unsigned(C_MAX_SAMPLE_COUNT, 16); ---------------------------------------------------------------- -- Component dependent signals ---------------------------------------------------------------- signal iir_ce : std_logic; -- iir clock enable (like a start/stop signal) signal input_data : signed(31 downto 0); signal iir_data : signed(31 downto 0); signal count : signed (31 downto 0); signal process_state : integer range 0 to 3; type mem32 is array (natural range <>) of std_logic_vector(31 downto 0); type smem32 is array (natural range <>) of signed(31 downto 0); type mem64 is array (natural range <>) of signed(63 downto 0); signal coeffs_mem32 : mem32(IIR_ORDER downto 0); signal coeff_index : signed(31 downto 0); signal inputs_mem32 : mem32(IIR_ORDER downto 0); signal mult_mem64 : mem64(IIR_ORDER downto 0); signal init_state : integer range 0 to 1; signal coefficients_addr : mem32(2 * IIR_ORDER downto 0); signal coefficients : mem32(2 * IIR_ORDER downto 0); signal buffer_states_addr : mem32(IIR_ORDER downto 0); signal buffer_states : mem32(IIR_ORDER downto 0); signal opt_arg : std_logic_vector(31 downto 0); signal coefficient_count_addr : std_logic_vector(31 downto 0); signal coefficient_count : std_logic_vector(31 downto 0); signal addr_counter : integer range 0 to IIR_ORDER + 1; signal input_mem32 : smem32(IIR_ORDER downto 0); signal output_mem64 : mem64(IIR_ORDER downto 0); signal iir_data64 : signed(63 downto 0); signal iir : signed(63 downto 0); ---------------------------------------------------------------- -- OS Communication ---------------------------------------------------------------- constant iir_START : std_logic_vector(31 downto 0) := x"0000000F"; constant iir_EXIT : std_logic_vector(31 downto 0) := x"000000F0"; constant C_START_BANG : std_logic_vector(31 downto 0) := x"00000001"; constant C_STOP_BANG : std_logic_vector(31 downto 0) := x"FFFFFFFF"; begin ----------------------------------- -- Hard wirings ----------------------------------- clk <= HWT_Clk; rst <= HWT_Rst; -- o_RAMData_iir <= std_logic_vector(iir_data); o_RAMAddr_reconos(0 to C_LOCAL_RAM_ADDRESS_WIDTH-1) <= o_RAMAddr_reconos_2((32-C_LOCAL_RAM_ADDRESS_WIDTH) to 31); -- ReconOS Stuff osif_setup ( i_osif, o_osif, OSIF_FIFO_Sw2Hw_Data, OSIF_FIFO_Sw2Hw_Fill, OSIF_FIFO_Sw2Hw_Empty, OSIF_FIFO_Hw2Sw_Rem, OSIF_FIFO_Hw2Sw_Full, OSIF_FIFO_Sw2Hw_RE, OSIF_FIFO_Hw2Sw_Data, OSIF_FIFO_Hw2Sw_WE ); memif_setup ( i_memif, o_memif, MEMIF_FIFO_Mem2Hwt_Data, MEMIF_FIFO_Mem2Hwt_Fill, MEMIF_FIFO_Mem2Hwt_Empty, MEMIF_FIFO_Hwt2Mem_Rem, MEMIF_FIFO_Hwt2Mem_Full, MEMIF_FIFO_Mem2Hwt_RE, MEMIF_FIFO_Hwt2Mem_Data, MEMIF_FIFO_Hwt2Mem_WE ); ram_setup ( i_ram, o_ram, o_RAMAddr_reconos_2, o_RAMWE_reconos, o_RAMData_reconos, i_RAMData_reconos ); -- /ReconOS Stuff local_ram_ctrl_1 : process (clk) is begin if (rising_edge(clk)) then if (o_RAMWE_reconos = '1') then local_ram(to_integer(unsigned(o_RAMAddr_reconos))) := o_RAMData_reconos; else i_RAMData_reconos <= local_ram(to_integer(unsigned(o_RAMAddr_reconos))); end if; end if; end process; local_ram_ctrl_2 : process (clk) is begin if (rising_edge(clk)) then if (o_RAMWE_iir = '1') then local_ram(to_integer(unsigned(o_RAMAddr_iir))) := o_RAMData_iir; else -- else needed, because iir is consuming samples i_RAMData_iir <= local_ram(to_integer(unsigned(o_RAMAddr_iir))); end if; end if; end process; iir_CTRL_FSM_PROC : process (clk, rst, o_osif, o_memif) is variable done : boolean; begin if rst = '1' then osif_reset(o_osif); memif_reset(o_memif); ram_reset(o_ram); addr_counter <= 0; state <= STATE_INIT; osif_ctrl_signal <= (others => '0'); o_RAMWE_iir<= '0'; count <= (others => '0'); done := False; init_state <= 0; sample_count <= to_unsigned(0, 16); elsif rising_edge(clk) then iir_ce <= '0'; o_RAMWE_iir <= '0'; osif_ctrl_signal <= ( others => '0'); case state is -- INIT State gets the address of the header struct when STATE_INIT => snd_comp_get_header(i_osif, o_osif, i_memif, o_memif, snd_comp_header, done); if done then -- Initialize your signals opt_arg <= snd_comp_header.opt_arg_addr; coefficient_count_addr <= opt_arg; -- address to number of coefficients state <= STATE_INIT_ADDRESSES; end if; when STATE_INIT_ADDRESSES => case init_state is when 0 => -- get number of coefficients memif_read_word(i_memif, o_memif, coefficient_count_addr, coefficient_count, done); if done then init_state <= 1; end if; when 1 => coefficients_addr(addr_counter) <= std_logic_vector(unsigned(snd_comp_header.opt_arg_addr) + 4*(addr_counter+1)); addr_counter <= addr_counter + 1; if addr_counter >= 2*to_integer(signed(coefficient_count)) then init_state <= 0; state <= STATE_WAITING; else init_state <= 1; end if; -- for i in 0 to to_integer(signed(coefficient_count)) - 1 loop -- coefficients_addr(i) <= std_logic_vector(unsigned(snd_comp_header.opt_arg_addr) + 4*(i+1)); -- --address to actual coefficients -- end loop; -- init_state <= 0; -- state <= STATE_WAITING; -- when "2" => -- -- buffer_state_count_addr <= std_logic_vector(unsigned(opt_arg + 4*(to_integer(signed(coefficient_count)) + 2))); -- -- address to number of buffer states -- -- for i in 0 to to_integer(signed(buffer_state_count_addr)) - 1 loop -- buffer_states_addr(i) <= std_logic_vector(unsigned(snd_comp_header.opt_arg_addr) + unsigned(4*(i+1))); -- --address to actual buffer states -- end loop; end case; when STATE_WAITING => -- Software process "Synthesizer" sends the start signal via mbox_start osif_mbox_get(i_osif, o_osif, MBOX_START, osif_ctrl_signal, done); if done then if osif_ctrl_signal = iir_START then state <= STATE_READ_COEFFICIENTS_ADRESSES; elsif osif_ctrl_signal = iir_EXIT then state <= STATE_EXIT; end if; end if; when STATE_READ_COEFFICIENTS_ADRESSES => -- read adresses to the iir coefficients values memif_read_word(i_memif, o_memif, coefficients_addr(to_integer(count)), coefficients(to_integer(count)), done); if done then -- write values to iir component count <= count + 1; if count >= 2*signed(coefficient_count) then state <= STATE_READ; count <= (others => '0'); else state <= STATE_READ_COEFFICIENTS_ADRESSES; end if; end if; -- when STATE_READ_BUFFER_STATE_ADRESSES => -- -- read adresses to the iir buffer state values -- memif_read_word(i_memif, o_memif, buffer_states_addr(to_integer(count)), buffer_states(to_integer(count)), done); -- if done then -- -- write values to the iir component -- count <= count + 1; -- -- config_buffer_state_valid <= '1'; -- config_buffer_state_index <= count; -- config_buffer_state_data <= buffer_states(to_integer(count)); -- -- if count > signed(buffer_states) then -- state <= STATE_READ; -- count <= (others => '0'); -- else -- state <= STATE_READ_BUFFER_STATE_ADRESSES; -- end if; -- end if; when STATE_READ => -- store input samples in local ram memif_read(i_ram,o_ram,i_memif,o_memif,snd_comp_header.source_addr,X"00000000",std_logic_vector(to_unsigned(C_LOCAL_RAM_SIZE_IN_BYTES,24)),done); if done then state <= STATE_PROCESS; end if; when STATE_PROCESS => if sample_count < to_unsigned(C_MAX_SAMPLE_COUNT, 16) then case process_state is when 0 => for i in 0 to IIR_ORDER - 1 loop input_mem32(i + 1) <= input_mem32(i); end loop; input_mem32(0) <= signed(i_RAMData_iir); for i in 0 to IIR_ORDER loop output_mem64(i) <= signed(coefficients(i)) * input_mem32(IIR_ORDER - i); iir_data64 <= iir_data64 + output_mem64(i); end loop; process_state <= 1; when 1 => for i in 0 to IIR_ORDER - 1 loop output_mem64(i) <= signed(coefficients(IIR_ORDER + 1 + i)) * input_mem32(2*IIR_ORDER - (IIR_ORDER + 1 + i)); iir <= iir_data64 - output_mem64(i); end loop; process_state <= 2; when 2 => iir_data <= iir(31 downto 0); o_RAMData_iir <= std_logic_vector(iir_data); o_RAMWE_iir <= '1'; count <= count + 1; process_state <= 3; when 3 => o_RAMWE_iir <= '0'; iir_data64 <= (others => '0'); o_RAMAddr_iir <= std_logic_vector(unsigned(o_RAMAddr_iir) + 1); sample_count <= sample_count + 1; process_state <= 0; end case; else -- Samples have been generated o_RAMAddr_iir <= (others => '0'); sample_count <= to_unsigned(0, 16); state <= STATE_WRITE_MEM; end if; when STATE_WRITE_MEM => memif_write(i_ram, o_ram, i_memif, o_memif, X"00000000", snd_comp_header.dest_addr, std_logic_vector(to_unsigned(C_LOCAL_RAM_SIZE_IN_BYTES,24)), done); if done then state <= STATE_NOTIFY; end if; when STATE_NOTIFY => osif_mbox_put(i_osif, o_osif, MBOX_FINISH, snd_comp_header.dest_addr, ignore, done); if done then state <= STATE_WAITING; end if; when STATE_EXIT => osif_thread_exit(i_osif,o_osif); end case; end if; end process; end Behavioral; -- ==================================== -- = RECONOS Function Library - Copy and Paste! -- ==================================== -- osif_mbox_put(i_osif, o_osif, MBOX_NAME, SOURCESIGNAL, ignore, done); -- osif_mbox_get(i_osif, o_osif, MBOX_NAME, TARGETSIGNAL, done); -- Read from shared memory: -- Speicherzugriffe: -- Wortzugriff: -- memif_read_word(i_memif, o_memif, addr, TARGETSIGNAL, done); -- memif_write_word(i_memif, o_memif, addr, SOURCESIGNAL, done); -- Die Laenge ist bei Speicherzugriffen Byte adressiert! -- memif_read(i_ram, o_ram, i_memif, o_memif, SRC_ADDR std_logic_vector(31 downto 0); -- dst_addr std_logic_vector(31 downto 0); -- BYTES std_logic_vector(23 downto 0); -- done); -- memif_write(i_ram, o_ram, i_memif, o_memif, -- src_addr : in std_logic_vector(31 downto 0), -- dst_addr : in std_logic_vector(31 downto 0); -- len : in std_logic_vector(23 downto 0); -- done);
mit
EPiCS/soundgates
hardware/design/reference/cf_lib/edk/pcores/axi_spdif_rx_v1_00_a/hdl/vhdl/rx_decode.vhd
1
10173
---------------------------------------------------------------------- ---- ---- ---- WISHBONE SPDIF IP Core ---- ---- ---- ---- This file is part of the SPDIF project ---- ---- http://www.opencores.org/cores/spdif_interface/ ---- ---- ---- ---- Description ---- ---- Sample decoder. Extract sample words and write to sample ---- ---- buffer. ---- ---- ---- ---- ---- ---- To Do: ---- ---- - ---- ---- ---- ---- Author(s): ---- ---- - Geir Drange, [email protected] ---- ---- ---- ---------------------------------------------------------------------- ---- ---- ---- Copyright (C) 2004 Authors and OPENCORES.ORG ---- ---- ---- ---- This source file may be used and distributed without ---- ---- restriction provided that this copyright statement is not ---- ---- removed from the file and that any derivative work contains ---- ---- the original copyright notice and the associated disclaimer. ---- ---- ---- ---- This source file is free software; you can redistribute it ---- ---- and/or modify it under the terms of the GNU Lesser General ---- ---- Public License as published by the Free Software Foundation; ---- ---- either version 2.1 of the License, or (at your option) any ---- ---- later version. ---- ---- ---- ---- This source is distributed in the hope that it will be ---- ---- useful, but WITHOUT ANY WARRANTY; without even the implied ---- ---- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ---- ---- PURPOSE. See the GNU Lesser General Public License for more ---- ---- details. ---- ---- ---- ---- You should have received a copy of the GNU Lesser General ---- ---- Public License along with this source; if not, download it ---- ---- from http://www.opencores.org/lgpl.shtml ---- ---- ---- ---------------------------------------------------------------------- -- -- CVS Revision History -- -- $Log: not supported by cvs2svn $ -- Revision 1.4 2004/07/11 16:19:50 gedra -- Bug-fix. -- -- Revision 1.3 2004/06/26 14:14:47 gedra -- Converted to numeric_std and fixed a few bugs. -- -- Revision 1.2 2004/06/16 19:04:09 gedra -- Fixed a few bugs. -- -- Revision 1.1 2004/06/13 18:07:47 gedra -- Frame decoder and sample extractor -- -- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity rx_decode is generic (DATA_WIDTH: integer range 16 to 32; ADDR_WIDTH: integer range 8 to 64); port ( up_clk: in std_logic; conf_rxen: in std_logic; conf_sample: in std_logic; conf_valid: in std_logic; conf_mode: in std_logic_vector(3 downto 0); conf_blken: in std_logic; conf_valen: in std_logic; conf_useren: in std_logic; conf_staten: in std_logic; conf_paren: in std_logic; lock: in std_logic; rx_data: in std_logic; rx_data_en: in std_logic; rx_block_start: in std_logic; rx_frame_start: in std_logic; rx_channel_a: in std_logic; wr_en: out std_logic; wr_addr: out std_logic_vector(ADDR_WIDTH - 2 downto 0); wr_data: out std_logic_vector(DATA_WIDTH - 1 downto 0); stat_paritya: out std_logic; stat_parityb: out std_logic; stat_lsbf: out std_logic; stat_hsbf: out std_logic); end rx_decode; architecture rtl of rx_decode is signal adr_cnt : integer range 0 to 2**(ADDR_WIDTH - 1) - 1; type samp_states is (IDLE, CHA_SYNC, GET_SAMP, PAR_CHK); signal sampst : samp_states; signal bit_cnt, par_cnt : integer range 0 to 31; signal samp_start : integer range 0 to 15; signal tmp_data : std_logic_vector(31 downto 0); signal tmp_stat : std_logic_vector(4 downto 0); signal valid, next_is_a, blk_start : std_logic; begin -- output data OD32: if DATA_WIDTH = 32 generate --wr_data(31 downto 27) <= tmp_stat; wr_data(31 downto 0) <= tmp_data(31 downto 0); end generate OD32; OD16: if DATA_WIDTH = 16 generate wr_data(15 downto 0) <= tmp_data(15 downto 0); end generate OD16; -- State machine extracting audio samples SAEX: process (up_clk, conf_rxen) begin -- process SAEX if conf_rxen = '0' then adr_cnt <= 0; next_is_a <= '1'; wr_en <= '0'; wr_addr <= (others => '0'); tmp_data <= (others => '0'); par_cnt <= 0; blk_start <= '0'; stat_paritya <= '0'; stat_parityb <= '0'; stat_lsbf <= '0'; stat_hsbf <= '0'; valid <= '0'; bit_cnt <= 0; sampst <= IDLE; tmp_stat <= (others => '0'); elsif rising_edge(up_clk) then --extract and store samples case sampst is when IDLE => next_is_a <= '1'; if lock = '1' and conf_sample = '1' then sampst <= CHA_SYNC; end if; when CHA_SYNC => wr_addr <= std_logic_vector(to_unsigned(adr_cnt, ADDR_WIDTH - 1)); wr_en <= '0'; bit_cnt <= 0; valid <= '0'; par_cnt <= 0; stat_paritya <= '0'; stat_parityb <= '0'; stat_lsbf <= '0'; stat_hsbf <= '0'; --tmp_data(31 downto 0) <= (others => '0'); if rx_block_start = '1' and conf_blken = '1' then blk_start <= '1'; end if; if rx_frame_start = '1' then --and rx_channel_a = '1' then --next_is_a then next_is_a <= rx_channel_a; if(rx_channel_a = '1') then tmp_data(31 downto 0) <= (others => '0'); end if; sampst <= GET_SAMP; end if; when GET_SAMP => tmp_stat(0) <= blk_start; if rx_data_en = '1' then bit_cnt <= bit_cnt + 1; -- audio part if bit_cnt >= samp_start and bit_cnt <= 23 then if(next_is_a = '1') then tmp_data(bit_cnt - samp_start) <= rx_data; else tmp_data(bit_cnt + 16 - samp_start) <= rx_data; end if; end if; -- status bits case bit_cnt is when 24 => -- validity bit valid <= rx_data; if conf_valen = '1' then tmp_stat(1) <= rx_data; else tmp_stat(1) <= '0'; end if; when 25 => -- user data if conf_useren = '1' then tmp_stat(2) <= rx_data; else tmp_stat(2) <= '0'; end if; when 26 => -- channel status if conf_staten = '1' then tmp_stat(3) <= rx_data; else tmp_stat(3) <= '0'; end if; when 27 => -- parity bit if conf_paren = '1' then tmp_stat(4) <= rx_data; else tmp_stat(4) <= '0'; end if; when others => null; end case; -- parity: count number of 1's if rx_data = '1' then par_cnt <= par_cnt + 1; end if; end if; if bit_cnt = 28 then sampst <= PAR_CHK; end if; when PAR_CHK => blk_start <= '0'; if (((valid = '0' and conf_valid = '1') or conf_valid = '0') and (next_is_a = '0')) then wr_en <= '1'; end if; -- parity check if par_cnt mod 2 /= 0 then if rx_channel_a = '1' then stat_paritya <= '1'; else stat_parityb <= '1'; end if; end if; -- address counter if adr_cnt < 2**(ADDR_WIDTH - 1) - 1 then adr_cnt <= adr_cnt + 1; else adr_cnt <= 0; stat_hsbf <= '1'; -- signal high buffer full end if; if adr_cnt = 2**(ADDR_WIDTH - 2) - 1 then stat_lsbf <= '1'; -- signal low buffer full end if; sampst <= CHA_SYNC; when others => sampst <= IDLE; end case; end if; end process SAEX; -- determine sample resolution from mode bits in 32bit mode M32: if DATA_WIDTH = 32 generate samp_start <= 8 when conf_mode = "0000" else 7 when conf_mode = "0001" else 6 when conf_mode = "0010" else 5 when conf_mode = "0011" else 4 when conf_mode = "0100" else 3 when conf_mode = "0101" else 2 when conf_mode = "0110" else 1 when conf_mode = "0111" else 0 when conf_mode = "1000" else 8; end generate M32; -- in 16bit mode only 16bit of audio is supported M16: if DATA_WIDTH = 16 generate samp_start <= 8; end generate M16; end rtl;
mit
EPiCS/soundgates
hardware/hwt/pcores/hwt_nco_sync_v1_00_a/hdl/vhdl/hwt_nco_sync.vhd
1
15485
-- ____ _ _ -- / ___| ___ _ _ _ __ __| | __ _ __ _| |_ ___ ___ -- \___ \ / _ \| | | | '_ \ / _` |/ _` |/ _` | __/ _ \/ __| -- ___) | (_) | |_| | | | | (_| | (_| | (_| | || __/\__ \ -- |____/ \___/ \__,_|_| |_|\__,_|\__, |\__,_|\__\___||___/ -- |___/ -- ====================================================================== -- -- title: VHDL module - hwt_nco_sync_sync -- -- project: PG-Soundgates -- author: Hendrik Hangmann, University of Paderborn -- -- description: Hardware thread for a synchronized numeric controlled -- oscillator -- -- Synchronization of two oscillators -- Whenever the master's phase ends, reset slave's phase. -- Slave's frequency usually higher and not dividable by -- master's frequency -- -- ====================================================================== library ieee; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; --library proc_common_v3_00_a; --use proc_common_v3_00_a.proc_common_pkg.all; library soundgates_v1_00_a; use soundgates_v1_00_a.soundgates_common_pkg.all; use soundgates_v1_00_a.soundgates_reconos_pkg.all; entity hwt_nco_sync is generic( SND_COMP_CLK_FREQ : integer := 100_000_000; SND_COMP_NCO_SYNC_TPYE : integer := 2 ); port ( -- OSIF FIFO ports OSIF_FIFO_Sw2Hw_Data : in std_logic_vector(31 downto 0); OSIF_FIFO_Sw2Hw_Fill : in std_logic_vector(15 downto 0); OSIF_FIFO_Sw2Hw_Empty : in std_logic; OSIF_FIFO_Sw2Hw_RE : out std_logic; OSIF_FIFO_Hw2Sw_Data : out std_logic_vector(31 downto 0); OSIF_FIFO_Hw2Sw_Rem : in std_logic_vector(15 downto 0); OSIF_FIFO_Hw2Sw_Full : in std_logic; OSIF_FIFO_Hw2Sw_WE : out std_logic; -- MEMIF FIFO ports MEMIF_FIFO_Hwt2Mem_Data : out std_logic_vector(31 downto 0); MEMIF_FIFO_Hwt2Mem_Rem : in std_logic_vector(15 downto 0); MEMIF_FIFO_Hwt2Mem_Full : in std_logic; MEMIF_FIFO_Hwt2Mem_WE : out std_logic; MEMIF_FIFO_Mem2Hwt_Data : in std_logic_vector(31 downto 0); MEMIF_FIFO_Mem2Hwt_Fill : in std_logic_vector(15 downto 0); MEMIF_FIFO_Mem2Hwt_Empty : in std_logic; MEMIF_FIFO_Mem2Hwt_RE : out std_logic; HWT_Clk : in std_logic; HWT_Rst : in std_logic ); end hwt_nco_sync; architecture Behavioral of hwt_nco_sync is ---------------------------------------------------------------- -- Subcomponent declarations ---------------------------------------------------------------- component nco_sync is generic( FPGA_FREQUENCY : integer := 100_000_000; WAVEFORM : WAVEFORM_TYPE := SAW -- sync nco eignet sich eigentlich nur für square oder saw ); Port ( clk : in std_logic; rst : in std_logic; ce : in std_logic; master_phase_offset : in signed(31 downto 0); master_phase_incr : in signed(31 downto 0); slave_phase_offset : in signed(31 downto 0); slave_phase_incr : in signed(31 downto 0); soundout : out signed(31 downto 0) ); end component nco_sync; signal clk : std_logic; signal rst : std_logic; -- ReconOS Stuff signal i_osif : i_osif_t; signal o_osif : o_osif_t; signal i_memif : i_memif_t; signal o_memif : o_memif_t; signal i_ram : i_ram_t; signal o_ram : o_ram_t; constant MBOX_START : std_logic_vector(31 downto 0) := x"00000000"; constant MBOX_FINISH : std_logic_vector(31 downto 0) := x"00000001"; -- /ReconOS Stuff type STATE_TYPE is (STATE_INIT, STATE_WAITING, STATE_REFRESH_INPUT_PHASE_OFFSET, STATE_REFRESH_INPUT_PHASE_INCR, STATE_PROCESS, STATE_WRITE_MEM, STATE_NOTIFY, STATE_EXIT); signal state : STATE_TYPE; ---------------------------------------------------------------- -- Common sound component signals, constants and types ---------------------------------------------------------------- constant C_MAX_SAMPLE_COUNT : integer := 1024; -- define size of local RAM here constant C_LOCAL_RAM_SIZE : integer := C_MAX_SAMPLE_COUNT; constant C_LOCAL_RAM_ADDRESS_WIDTH : integer := 10;--clog2(C_LOCAL_RAM_SIZE); constant C_LOCAL_RAM_SIZE_IN_BYTES : integer := 4*C_LOCAL_RAM_SIZE; type LOCAL_MEMORY_T is array (0 to C_LOCAL_RAM_SIZE-1) of std_logic_vector(31 downto 0); signal o_RAMAddr_nco_sync : std_logic_vector(0 to C_LOCAL_RAM_ADDRESS_WIDTH-1); signal o_RAMData_nco_sync : std_logic_vector(0 to 31); -- nco_sync to local ram signal i_RAMData_nco_sync : std_logic_vector(0 to 31); -- local ram to nco_sync signal o_RAMWE_nco_sync : std_logic; signal o_RAMAddr_reconos : std_logic_vector(0 to C_LOCAL_RAM_ADDRESS_WIDTH-1); signal o_RAMAddr_reconos_2 : std_logic_vector(0 to 31); signal o_RAMData_reconos : std_logic_vector(0 to 31); signal o_RAMWE_reconos : std_logic; signal i_RAMData_reconos : std_logic_vector(0 to 31); signal osif_ctrl_signal : std_logic_vector(31 downto 0); signal ignore : std_logic_vector(31 downto 0); constant o_RAMAddr_max : std_logic_vector(0 to C_LOCAL_RAM_ADDRESS_WIDTH-1) := (others=>'1'); shared variable local_ram : LOCAL_MEMORY_T; signal snd_comp_header : snd_comp_header_msg_t; -- common sound component header signal sample_count : unsigned(15 downto 0) := to_unsigned(C_MAX_SAMPLE_COUNT, 16); ---------------------------------------------------------------- -- Component dependent signals ---------------------------------------------------------------- signal nco_sync_ce : std_logic; -- nco_sync clock enable (like a start/stop signal) signal master_offset_addr : std_logic_vector(31 downto 0); signal master_incr_addr : std_logic_vector(31 downto 0); signal slave_offset_addr : std_logic_vector(31 downto 0); signal slave_incr_addr : std_logic_vector(31 downto 0); signal master_phase_offset : std_logic_vector(31 downto 0); signal master_phase_incr : std_logic_vector(31 downto 0); signal slave_phase_offset : std_logic_vector(31 downto 0); signal slave_phase_incr : std_logic_vector(31 downto 0); signal nco_sync_data : signed(31 downto 0); signal state_inner_process : std_logic; ---------------------------------------------------------------- -- OS Communication ---------------------------------------------------------------- constant nco_sync_START : std_logic_vector(31 downto 0) := x"0000000F"; constant nco_sync_EXIT : std_logic_vector(31 downto 0) := x"000000F0"; begin ----------------------------------- -- Hard wirings ----------------------------------- clk <= HWT_Clk; rst <= HWT_Rst; o_RAMData_nco_sync <= std_logic_vector(nco_sync_data); o_RAMAddr_reconos(0 to C_LOCAL_RAM_ADDRESS_WIDTH-1) <= o_RAMAddr_reconos_2((32-C_LOCAL_RAM_ADDRESS_WIDTH) to 31); -- ReconOS Stufff osif_setup ( i_osif, o_osif, OSIF_FIFO_Sw2Hw_Data, OSIF_FIFO_Sw2Hw_Fill, OSIF_FIFO_Sw2Hw_Empty, OSIF_FIFO_Hw2Sw_Rem, OSIF_FIFO_Hw2Sw_Full, OSIF_FIFO_Sw2Hw_RE, OSIF_FIFO_Hw2Sw_Data, OSIF_FIFO_Hw2Sw_WE ); memif_setup ( i_memif, o_memif, MEMIF_FIFO_Mem2Hwt_Data, MEMIF_FIFO_Mem2Hwt_Fill, MEMIF_FIFO_Mem2Hwt_Empty, MEMIF_FIFO_Hwt2Mem_Rem, MEMIF_FIFO_Hwt2Mem_Full, MEMIF_FIFO_Mem2Hwt_RE, MEMIF_FIFO_Hwt2Mem_Data, MEMIF_FIFO_Hwt2Mem_WE ); ram_setup ( i_ram, o_ram, o_RAMAddr_reconos_2, o_RAMWE_reconos, o_RAMData_reconos, i_RAMData_reconos ); -- /ReconOS Stuff nco_sync_inst : nco_sync generic map( FPGA_FREQUENCY => SND_COMP_CLK_FREQ, WAVEFORM => WAVEFORM_TYPE'val(SND_COMP_NCO_TPYE) ) port map( clk => clk, rst => rst, ce => nco_sync_ce, master_phase_offset => signed(master_phase_offset), master_phase_incr => signed(master_phase_incr), slave_phase_offset => signed(slave_phase_offset), slave_phase_incr => signed(slave_phase_incr), soundout => nco_sync_data ); local_ram_ctrl_1 : process (clk) is begin if (rising_edge(clk)) then if (o_RAMWE_reconos = '1') then local_ram(to_integer(unsigned(o_RAMAddr_reconos))) := o_RAMData_reconos; else i_RAMData_reconos <= local_ram(to_integer(unsigned(o_RAMAddr_reconos))); end if; end if; end process; local_ram_ctrl_2 : process (clk) is begin if (rising_edge(clk)) then if (o_RAMWE_nco_sync = '1') then local_ram(to_integer(unsigned(o_RAMAddr_nco_sync))) := o_RAMData_nco_sync; --else -- else not needed, because nco_sync is not consuming any samples -- i_RAMData_nco_sync <= local_ram(conv_integer(unsigned(o_RAMAddr_nco_sync))); end if; end if; end process; NCO_SYNC_CTRL_FSM_PROC : process (clk, rst, o_osif, o_memif) is variable done : boolean; begin if rst = '1' then osif_reset(o_osif); memif_reset(o_memif); ram_reset(o_ram); state <= STATE_INIT; sample_count <= to_unsigned(C_MAX_SAMPLE_COUNT, 16); osif_ctrl_signal <= (others => '0'); nco_sync_ce <= '0'; o_RAMWE_nco_sync <= '0'; state_inner_process <= '0'; done := False; elsif rising_edge(clk) then nco_sync_ce <= '0'; o_RAMWE_nco_sync <= '0'; osif_ctrl_signal <= ( others => '0'); case state is -- INIT State gets the address of the header struct when STATE_INIT => snd_comp_get_header(i_osif, o_osif, i_memif, o_memif, snd_comp_header, done); if done then -- Initialize your signals master_offset_addr <= snd_comp_header.opt_arg_addr; master_incr_addr <= std_logic_vector(unsigned(snd_comp_header.opt_arg_addr) + 4); master_offset_addr <= std_logic_vector(unsigned(snd_comp_header.opt_arg_addr) + 8); slave_incr_addr <= std_logic_vector(unsigned(snd_comp_header.opt_arg_addr) + 12); state <= STATE_WAITING; end if; when STATE_WAITING => -- Software process "Synthesizer" sends the start signal via mbox_start osif_mbox_get(i_osif, o_osif, MBOX_START, osif_ctrl_signal, done); if done then if osif_ctrl_signal = nco_sync_START then sample_count <= to_unsigned(C_MAX_SAMPLE_COUNT, 16); state <= STATE_REFRESH_INPUT_PHASE_OFFSET; elsif osif_ctrl_signal = nco_sync_EXIT then state <= STATE_EXIT; end if; end if; when STATE_REFRESH_MASTER_INPUT_PHASE_OFFSET => memif_read_word(i_memif, o_memif, master_offset_addr, master_phase_offset, done); if done then state <= STATE_REFRESH_INPUT_PHASE_INCR; end if; when STATE_REFRESH_INPUT_MASTER_PHASE_INCR => memif_read_word(i_memif, o_memif, master_incr_addr, master_phase_incr, done); if done then state <= STATE_REFRESH_MASTER_INPUT_PHASE_OFFSET; end if; when STATE_REFRESH_MASTER_INPUT_PHASE_OFFSET => memif_read_word(i_memif, o_memif, slave_offset_addr, slave_phase_offset, done); if done then state <= STATE_REFRESH_INPUT_SLAVE_PHASE_INCR; end if; when STATE_REFRESH_INPUT_SLAVE_PHASE_INCR => memif_read_word(i_memif, o_memif, slave_incr_addr, slave_phase_incr, done); if done then state <= STATE_PROCESS; end if; when STATE_PROCESS => if sample_count > 0 then case state_inner_process is when '0' => o_RAMWE_nco_sync <= '1'; nco_sync_ce <= '1'; -- ein takt früher state_inner_process <= '1'; when '1' => o_RAMAddr_nco_sync <= std_logic_vector(unsigned(o_RAMAddr_nco_sync) + 1); sample_count <= sample_count - 1; state_inner_process <= '0'; end case; else -- Samples have been generated o_RAMAddr_nco_sync <= (others => '0'); state <= STATE_WRITE_MEM; end if; when STATE_WRITE_MEM => memif_write(i_ram, o_ram, i_memif, o_memif, X"00000000", snd_comp_header.dest_addr, std_logic_vector(to_unsigned(C_LOCAL_RAM_SIZE_IN_BYTES,24)), done); if done then state <= STATE_NOTIFY; end if; when STATE_NOTIFY => osif_mbox_put(i_osif, o_osif, MBOX_FINISH, snd_comp_header.dest_addr, ignore, done); if done then state <= STATE_WAITING; end if; when STATE_EXIT => osif_thread_exit(i_osif,o_osif); end case; end if; end process; end Behavioral; -- ==================================== -- = RECONOS Function Library - Copy and Paste! -- ==================================== -- osif_mbox_put(i_osif, o_osif, MBOX_NAME, SOURCESIGNAL, ignore, done); -- osif_mbox_get(i_osif, o_osif, MBOX_NAME, TARGETSIGNAL, done); -- Read from shared memory: -- Speicherzugriffe: -- Wortzugriff: -- memif_read_word(i_memif, o_memif, addr, TARGETSIGNAL, done); -- memif_write_word(i_memif, o_memif, addr, SOURCESIGNAL, done); -- Die Laenge ist bei Speicherzugriffen Byte adressiert! -- memif_read(i_ram, o_ram, i_memif, o_memif, SRC_ADDR std_logic_vector(31 downto 0); -- dst_addr std_logic_vector(31 downto 0); -- BYTES std_logic_vector(23 downto 0); -- done); -- memif_write(i_ram, o_ram, i_memif, o_memif, -- src_addr : in std_logic_vector(31 downto 0), -- dst_addr : in std_logic_vector(31 downto 0); -- len : in std_logic_vector(23 downto 0); -- done);
mit
EPiCS/soundgates
hardware/design/reference/cf_lib/edk/pcores/util_spi_3w_v1_00_a/hdl/vhdl/util_spi_3w.vhd
1
5638
-- *************************************************************************** -- *************************************************************************** -- Copyright 2011(c) Analog Devices, Inc. -- -- All rights reserved. -- -- Redistribution and use in source and binary forms, with or without modification, -- are permitted provided that the following conditions are met: -- - Redistributions of source code must retain the above copyright -- notice, this list of conditions and the following disclaimer. -- - Redistributions in binary form must reproduce the above copyright -- notice, this list of conditions and the following disclaimer in -- the documentation and/or other materials provided with the -- distribution. -- - Neither the name of Analog Devices, Inc. nor the names of its -- contributors may be used to endorse or promote products derived -- from this software without specific prior written permission. -- - The use of this software may or may not infringe the patent rights -- of one or more patent holders. This license does not release you -- from the requirement that you obtain separate licenses from these -- patent holders to use this software. -- - Use of the software either in source or binary form, must be run -- on or directly connected to an Analog Devices Inc. component. -- -- THIS SOFTWARE IS PROVIDED BY ANALOG DEVICES "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, -- INCLUDING, BUT NOT LIMITED TO, NON-INFRINGEMENT, MERCHANTABILITY AND FITNESS FOR A -- PARTICULAR PURPOSE ARE DISCLAIMED. -- -- IN NO EVENT SHALL ANALOG DEVICES BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, -- EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, INTELLECTUAL PROPERTY -- RIGHTS, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR -- BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, -- STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF -- THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. -- *************************************************************************** -- *************************************************************************** -- this module converts 3 wire spi (physical) to 4 wire spi (internal) library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; library unisim; use unisim.all; entity util_spi_3w is port ( m_clk : in std_logic; -- master clock x_csn : in std_logic_vector(1 downto 0); -- 4 wire csn x_clk : in std_logic; -- 4 wire clock x_mosi : in std_logic; -- 4 wire mosi x_miso : out std_logic; -- 4 wire miso spi_cs0n : out std_logic; -- 3 wire csn (split) spi_cs1n : out std_logic; spi_clk : out std_logic; -- 3 wire clock spi_sdio_T : out std_logic; -- 3 wire sdio (bi-dir) spi_sdio_O : out std_logic; spi_sdio_I : in std_logic; debug_trigger : out std_logic_vector(7 downto 0); debug_data : out std_logic_vector(63 downto 0) ); end util_spi_3w; architecture IMP of util_spi_3w is signal x_clk_d : std_logic := '0'; signal x_csn_d : std_logic := '0'; signal m_enable : std_logic := '0'; signal m_rdwr : std_logic := '0'; signal m_bitcnt : std_logic_vector(5 downto 0) := (others => '0'); signal m_clkcnt : std_logic_vector(5 downto 0) := (others => '0'); signal x_csn_s : std_logic; begin -- pass most of the 4 wire stuff as it is (we only need the tristate controls) x_csn_s <= not(x_csn(0) and x_csn(1)); x_miso <= spi_sdio_I; spi_cs0n <= x_csn(0); spi_cs1n <= x_csn(1); spi_clk <= x_clk; spi_sdio_T <= x_csn_s and m_enable; spi_sdio_O <= x_mosi; -- debug ports debug_trigger <= "0000000" & x_csn_s; debug_data(63 downto 23) <= (others => '0'); debug_data(22) <= m_rdwr; debug_data(21) <= x_csn(1); debug_data(20) <= x_csn(0); debug_data(19) <= x_clk; debug_data(18) <= x_mosi; debug_data(17) <= x_clk_d; debug_data(16) <= x_csn_d; debug_data(15) <= x_csn_s; debug_data(14) <= x_csn_s and m_enable; debug_data(13) <= spi_sdio_I; debug_data(12) <= m_enable; debug_data(11 downto 6) <= m_bitcnt; debug_data( 5 downto 0) <= m_clkcnt; -- adc uses 16bit address phase, so count and change direction if read p_cnts: process(m_clk) begin if (m_clk'event and m_clk = '1') then x_clk_d <= x_clk; x_csn_d <= x_csn_s; if ((m_bitcnt = 16) and (m_clkcnt = 10)) then m_enable <= m_rdwr; elsif ((x_csn_s = '0') and (x_csn_d = '1')) then m_enable <= '0'; end if; if ((x_csn_s = '1') and (x_csn_d = '0')) then m_rdwr <= '0'; m_bitcnt <= (others => '0'); elsif ((x_clk = '1') and (x_clk_d = '0')) then if (m_bitcnt = 0) then m_rdwr <= x_mosi; end if; m_bitcnt <= m_bitcnt + 1; end if; if ((x_clk = '1') and (x_clk_d = '0')) then m_clkcnt <= (others => '0'); else m_clkcnt <= m_clkcnt + 1; end if; end if; end process; end IMP; -- *************************************************************************** -- ***************************************************************************
mit
EPiCS/soundgates
hardware/design/reference/cf_lib/edk/pcores/axi_spdif_rx_v1_00_a/hdl/vhdl/rx_package.vhd
1
11379
---------------------------------------------------------------------- ---- ---- ---- WISHBONE SPDIF IP Core ---- ---- ---- ---- This file is part of the SPDIF project ---- ---- http://www.opencores.org/cores/spdif_interface/ ---- ---- ---- ---- Description ---- ---- SPDIF receiver component package. ---- ---- ---- ---- ---- ---- To Do: ---- ---- - ---- ---- ---- ---- Author(s): ---- ---- - Geir Drange, [email protected] ---- ---- ---- ---------------------------------------------------------------------- ---- ---- ---- Copyright (C) 2004 Authors and OPENCORES.ORG ---- ---- ---- ---- This source file may be used and distributed without ---- ---- restriction provided that this copyright statement is not ---- ---- removed from the file and that any derivative work contains ---- ---- the original copyright notice and the associated disclaimer. ---- ---- ---- ---- This source file is free software; you can redistribute it ---- ---- and/or modify it under the terms of the GNU Lesser General ---- ---- Public License as published by the Free Software Foundation; ---- ---- either version 2.1 of the License, or (at your option) any ---- ---- later version. ---- ---- ---- ---- This source is distributed in the hope that it will be ---- ---- useful, but WITHOUT ANY WARRANTY; without even the implied ---- ---- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ---- ---- PURPOSE. See the GNU Lesser General Public License for more ---- ---- details. ---- ---- ---- ---- You should have received a copy of the GNU Lesser General ---- ---- Public License along with this source; if not, download it ---- ---- from http://www.opencores.org/lgpl.shtml ---- ---- ---- ---------------------------------------------------------------------- -- -- CVS Revision History -- -- $Log: not supported by cvs2svn $ -- Revision 1.8 2004/06/27 16:16:55 gedra -- Signal renaming and bug fix. -- -- Revision 1.7 2004/06/26 14:14:47 gedra -- Converted to numeric_std and fixed a few bugs. -- -- Revision 1.6 2004/06/23 18:10:17 gedra -- Added Wishbone bus cycle decoder. -- -- Revision 1.5 2004/06/16 19:03:45 gedra -- Changed status reg. declaration -- -- Revision 1.4 2004/06/13 18:08:09 gedra -- Added frame decoder and sample extractor -- -- Revision 1.3 2004/06/10 18:57:36 gedra -- Cleaned up lint warnings. -- -- Revision 1.2 2004/06/09 19:24:50 gedra -- Added dual port ram. -- -- Revision 1.1 2004/06/07 18:06:00 gedra -- Receiver component declarations. -- -- library IEEE; use IEEE.std_logic_1164.all; package rx_package is -- type declarations type bus_array is array (0 to 7) of std_logic_vector(31 downto 0); -- components component rx_ver_reg generic (DATA_WIDTH: integer := 32; ADDR_WIDTH: integer := 8; CH_ST_CAPTURE: integer := 1); port ( ver_rd: in std_logic; -- version register read ver_dout: out std_logic_vector(DATA_WIDTH - 1 downto 0)); -- read data end component; component gen_control_reg generic (DATA_WIDTH: integer; -- note that this vector is (0 to xx), reverse order ACTIVE_BIT_MASK: std_logic_vector); port ( clk: in std_logic; -- clock rst: in std_logic; -- reset ctrl_wr: in std_logic; -- control register write ctrl_rd: in std_logic; -- control register read ctrl_din: in std_logic_vector(DATA_WIDTH - 1 downto 0); ctrl_dout: out std_logic_vector(DATA_WIDTH - 1 downto 0); ctrl_bits: out std_logic_vector(DATA_WIDTH - 1 downto 0)); end component; component rx_status_reg generic (DATA_WIDTH: integer := 32); port ( up_clk: in std_logic; -- clock status_rd: in std_logic; -- status register read lock: in std_logic; -- signal lock status chas: in std_logic; -- channel A or B select rx_block_start: in std_logic; -- start of block signal ch_data: in std_logic; -- channel status/user data cs_a_en: in std_logic; -- channel status ch. A enable cs_b_en: in std_logic; -- channel status ch. B enable status_dout: out std_logic_vector(DATA_WIDTH - 1 downto 0)); end component; component gen_event_reg generic (DATA_WIDTH: integer := 32); port ( clk: in std_logic; -- clock rst: in std_logic; -- reset evt_wr: in std_logic; -- event register write evt_rd: in std_logic; -- event register read evt_din: in std_logic_vector(DATA_WIDTH - 1 downto 0); -- write data event: in std_logic_vector(DATA_WIDTH - 1 downto 0); -- event vector evt_mask: in std_logic_vector(DATA_WIDTH - 1 downto 0); -- irq mask evt_en: in std_logic; -- irq enable evt_dout: out std_logic_vector(DATA_WIDTH - 1 downto 0); -- read data evt_irq: out std_logic); -- interrupt request end component; component rx_cap_reg port ( clk: in std_logic; -- clock rst: in std_logic; -- reset --cap_ctrl_wr: in std_logic; -- control register write --cap_ctrl_rd: in std_logic; -- control register read --cap_data_rd: in std_logic; -- data register read cap_reg: in std_logic_vector(31 downto 0); cap_din: in std_logic_vector(31 downto 0); -- write data rx_block_start: in std_logic; -- start of block signal ch_data: in std_logic; -- channel status/user data ud_a_en: in std_logic; -- user data ch. A enable ud_b_en: in std_logic; -- user data ch. B enable cs_a_en: in std_logic; -- channel status ch. A enable cs_b_en: in std_logic; -- channel status ch. B enable cap_dout: out std_logic_vector(31 downto 0); -- read data cap_evt: out std_logic); -- capture event (interrupt) end component; component rx_phase_det generic (AXI_FREQ: natural := 33); -- WishBone frequency in MHz port ( up_clk: in std_logic; rxen: in std_logic; spdif: in std_logic; lock: out std_logic; lock_evt: out std_logic; -- lock status change event rx_data: out std_logic; rx_data_en: out std_logic; rx_block_start: out std_logic; rx_frame_start: out std_logic; rx_channel_a: out std_logic; rx_error: out std_logic; ud_a_en: out std_logic; -- user data ch. A enable ud_b_en: out std_logic; -- user data ch. B enable cs_a_en: out std_logic; -- channel status ch. A enable cs_b_en: out std_logic); -- channel status ch. B enable); end component; component dpram generic (DATA_WIDTH: positive := 32; RAM_WIDTH: positive := 8); port ( clk: in std_logic; rst: in std_logic; -- reset is optional, not used here din: in std_logic_vector(DATA_WIDTH - 1 downto 0); wr_en: in std_logic; rd_en: in std_logic; wr_addr: in std_logic_vector(RAM_WIDTH - 1 downto 0); rd_addr: in std_logic_vector(RAM_WIDTH - 1 downto 0); dout: out std_logic_vector(DATA_WIDTH - 1 downto 0)); end component; component rx_decode generic (DATA_WIDTH: integer range 16 to 32 := 32; ADDR_WIDTH: integer range 8 to 64 := 8); port ( up_clk: in std_logic; conf_rxen: in std_logic; conf_sample: in std_logic; conf_valid: in std_logic; conf_mode: in std_logic_vector(3 downto 0); conf_blken: in std_logic; conf_valen: in std_logic; conf_useren: in std_logic; conf_staten: in std_logic; conf_paren: in std_logic; lock: in std_logic; rx_data: in std_logic; rx_data_en: in std_logic; rx_block_start: in std_logic; rx_frame_start: in std_logic; rx_channel_a: in std_logic; wr_en: out std_logic; wr_addr: out std_logic_vector(ADDR_WIDTH - 2 downto 0); wr_data: out std_logic_vector(DATA_WIDTH - 1 downto 0); stat_paritya: out std_logic; stat_parityb: out std_logic; stat_lsbf: out std_logic; stat_hsbf: out std_logic); end component; component rx_wb_decoder generic (DATA_WIDTH: integer := 32; ADDR_WIDTH: integer := 8); port ( up_clk: in std_logic; -- wishbone clock wb_rst_i: in std_logic; -- reset signal wb_sel_i: in std_logic; -- select input wb_stb_i: in std_logic; -- strobe input wb_we_i: in std_logic; -- write enable wb_cyc_i: in std_logic; -- cycle input wb_bte_i: in std_logic_vector(1 downto 0); -- burts type extension wb_adr_i: in std_logic_vector(ADDR_WIDTH - 1 downto 0); -- address wb_cti_i: in std_logic_vector(2 downto 0); -- cycle type identifier data_out: in std_logic_vector(DATA_WIDTH - 1 downto 0); -- internal bus wb_ack_o: out std_logic; -- acknowledge wb_dat_o: out std_logic_vector(DATA_WIDTH - 1 downto 0); -- data out version_rd: out std_logic; -- Version register read config_rd: out std_logic; -- Config register read config_wr: out std_logic; -- Config register write status_rd: out std_logic; -- Status register read intmask_rd: out std_logic; -- Interrupt mask register read intmask_wr: out std_logic; -- Interrupt mask register write intstat_rd: out std_logic; -- Interrupt status register read intstat_wr: out std_logic; -- Interrupt status register read mem_rd: out std_logic; -- Sample memory read mem_addr: out std_logic_vector(ADDR_WIDTH - 2 downto 0); -- memory addr. ch_st_cap_rd: out std_logic_vector(7 downto 0); -- Ch. status cap. read ch_st_cap_wr: out std_logic_vector(7 downto 0); -- Ch. status cap. write ch_st_data_rd: out std_logic_vector(7 downto 0)); -- Ch. status data read end component; end rx_package;
mit
EPiCS/soundgates
hardware/hwt/pcores/hwt_noise_v1_00_a/hdl/vhdl/hwt_noise.vhd
1
12074
-- ____ _ _ -- / ___| ___ _ _ _ __ __| | __ _ __ _| |_ ___ ___ -- \___ \ / _ \| | | | '_ \ / _` |/ _` |/ _` | __/ _ \/ __| -- ___) | (_) | |_| | | | | (_| | (_| | (_| | || __/\__ \ -- |____/ \___/ \__,_|_| |_|\__,_|\__, |\__,_|\__\___||___/ -- |___/ -- ====================================================================== -- -- title: VHDL module - hwt_noise -- -- project: PG-Soundgates -- author: Hendrik Hangmann, University of Paderborn -- -- description: Hardware thread for generating noise -- -- ====================================================================== library ieee; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; --library proc_common_v3_00_a; --use proc_common_v3_00_a.proc_common_pkg.all; library reconos_v3_00_c; use reconos_v3_00_c.reconos_pkg.all; library soundgates_v1_00_a; use soundgates_v1_00_a.soundgates_common_pkg.all; use soundgates_v1_00_a.soundgates_reconos_pkg.all; entity hwt_noise is generic( SND_COMP_CLK_FREQ : integer := 100_000_000; SND_COMP_NOISE_TPYE : integer := 0 ); port ( -- OSIF FIFO ports OSIF_FIFO_Sw2Hw_Data : in std_logic_vector(31 downto 0); OSIF_FIFO_Sw2Hw_Fill : in std_logic_vector(15 downto 0); OSIF_FIFO_Sw2Hw_Empty : in std_logic; OSIF_FIFO_Sw2Hw_RE : out std_logic; OSIF_FIFO_Hw2Sw_Data : out std_logic_vector(31 downto 0); OSIF_FIFO_Hw2Sw_Rem : in std_logic_vector(15 downto 0); OSIF_FIFO_Hw2Sw_Full : in std_logic; OSIF_FIFO_Hw2Sw_WE : out std_logic; -- MEMIF FIFO ports MEMIF_FIFO_Hwt2Mem_Data : out std_logic_vector(31 downto 0); MEMIF_FIFO_Hwt2Mem_Rem : in std_logic_vector(15 downto 0); MEMIF_FIFO_Hwt2Mem_Full : in std_logic; MEMIF_FIFO_Hwt2Mem_WE : out std_logic; MEMIF_FIFO_Mem2Hwt_Data : in std_logic_vector(31 downto 0); MEMIF_FIFO_Mem2Hwt_Fill : in std_logic_vector(15 downto 0); MEMIF_FIFO_Mem2Hwt_Empty : in std_logic; MEMIF_FIFO_Mem2Hwt_RE : out std_logic; HWT_Clk : in std_logic; HWT_Rst : in std_logic ); end hwt_noise; architecture Behavioral of hwt_noise is ---------------------------------------------------------------- -- Subcomponent declarations ---------------------------------------------------------------- component PRBS is generic( FPGA_FREQUENCY : integer := 100_000_000 ); Port ( clk : in std_logic; rst : in std_logic; ce : in std_logic; rand : out std_logic_vector(31 downto 0) ); end component PRBS; signal clk : std_logic; signal rst : std_logic; -- ReconOS Stuff signal i_osif : i_osif_t; signal o_osif : o_osif_t; signal i_memif : i_memif_t; signal o_memif : o_memif_t; signal i_ram : i_ram_t; signal o_ram : o_ram_t; constant MBOX_START : std_logic_vector(31 downto 0) := x"00000000"; constant MBOX_FINISH : std_logic_vector(31 downto 0) := x"00000001"; -- /ReconOS Stuff type STATE_TYPE is (STATE_INIT, STATE_WAITING, STATE_PROCESS, STATE_WRITE_MEM, STATE_NOTIFY, STATE_EXIT); signal state : STATE_TYPE; ---------------------------------------------------------------- -- Common sound component signals, constants and types ---------------------------------------------------------------- constant C_MAX_SAMPLE_COUNT : integer := 64; -- define size of local RAM here constant C_LOCAL_RAM_SIZE : integer := C_MAX_SAMPLE_COUNT; constant C_LOCAL_RAM_ADDRESS_WIDTH : integer := 6;--clog2(C_LOCAL_RAM_SIZE); constant C_LOCAL_RAM_SIZE_IN_BYTES : integer := 4*C_LOCAL_RAM_SIZE; type LOCAL_MEMORY_T is array (0 to C_LOCAL_RAM_SIZE-1) of std_logic_vector(31 downto 0); signal o_RAMAddr_noise : std_logic_vector(0 to C_LOCAL_RAM_ADDRESS_WIDTH-1); signal o_RAMData_noise : std_logic_vector(0 to 31); -- noise to local ram signal i_RAMData_noise : std_logic_vector(0 to 31); -- local ram to noise signal o_RAMWE_noise : std_logic; signal o_RAMAddr_reconos : std_logic_vector(0 to C_LOCAL_RAM_ADDRESS_WIDTH-1); signal o_RAMAddr_reconos_2 : std_logic_vector(0 to 31); signal o_RAMData_reconos : std_logic_vector(0 to 31); signal o_RAMWE_reconos : std_logic; signal i_RAMData_reconos : std_logic_vector(0 to 31); signal osif_ctrl_signal : std_logic_vector(31 downto 0); signal ignore : std_logic_vector(31 downto 0); constant o_RAMAddr_max : std_logic_vector(0 to C_LOCAL_RAM_ADDRESS_WIDTH-1) := (others=>'1'); shared variable local_ram : LOCAL_MEMORY_T; signal snd_comp_header : snd_comp_header_msg_t; -- common sound component header signal state_inner_process : std_logic; signal sample_count : unsigned(15 downto 0) := to_unsigned(C_MAX_SAMPLE_COUNT, 16); ---------------------------------------------------------------- -- Component dependent signals ---------------------------------------------------------------- signal noise_ce : std_logic; -- noise clock enable (like a start/stop signal) signal noise_data : signed(31 downto 0); ---------------------------------------------------------------- -- OS Communication ---------------------------------------------------------------- constant NOISE_START : std_logic_vector(31 downto 0) := x"0000000F"; constant NOISE_EXIT : std_logic_vector(31 downto 0) := x"000000F0"; begin ----------------------------------- -- Hard wirings ----------------------------------- clk <= HWT_Clk; rst <= HWT_Rst; o_RAMData_noise <= std_logic_vector(noise_data); o_RAMAddr_reconos(0 to C_LOCAL_RAM_ADDRESS_WIDTH-1) <= o_RAMAddr_reconos_2((32-C_LOCAL_RAM_ADDRESS_WIDTH) to 31); -- ReconOS Stuff osif_setup ( i_osif, o_osif, OSIF_FIFO_Sw2Hw_Data, OSIF_FIFO_Sw2Hw_Fill, OSIF_FIFO_Sw2Hw_Empty, OSIF_FIFO_Hw2Sw_Rem, OSIF_FIFO_Hw2Sw_Full, OSIF_FIFO_Sw2Hw_RE, OSIF_FIFO_Hw2Sw_Data, OSIF_FIFO_Hw2Sw_WE ); memif_setup ( i_memif, o_memif, MEMIF_FIFO_Mem2Hwt_Data, MEMIF_FIFO_Mem2Hwt_Fill, MEMIF_FIFO_Mem2Hwt_Empty, MEMIF_FIFO_Hwt2Mem_Rem, MEMIF_FIFO_Hwt2Mem_Full, MEMIF_FIFO_Mem2Hwt_RE, MEMIF_FIFO_Hwt2Mem_Data, MEMIF_FIFO_Hwt2Mem_WE ); ram_setup ( i_ram, o_ram, o_RAMAddr_reconos_2, o_RAMWE_reconos, o_RAMData_reconos, i_RAMData_reconos ); -- /ReconOS Stuff NOISE_INST : PRBS generic map( FPGA_FREQUENCY => SND_COMP_CLK_FREQ ) port map( clk => clk, rst => rst, ce => noise_ce, signed(rand) => noise_data ); local_ram_ctrl_1 : process (clk) is begin if (rising_edge(clk)) then if (o_RAMWE_reconos = '1') then local_ram(to_integer(unsigned(o_RAMAddr_reconos))) := o_RAMData_reconos; else i_RAMData_reconos <= local_ram(to_integer(unsigned(o_RAMAddr_reconos))); end if; end if; end process; local_ram_ctrl_2 : process (clk) is begin if (rising_edge(clk)) then if (o_RAMWE_noise = '1') then local_ram(to_integer(unsigned(o_RAMAddr_noise))) := o_RAMData_noise; --else -- else not needed, because noise is not consuming any samples -- i_RAMData_noise <= local_ram(conv_integer(unsigned(o_RAMAddr_noise))); end if; end if; end process; NOISE_CTRL_FSM_PROC : process (clk, rst, o_osif, o_memif) is variable done : boolean; begin if rst = '1' then osif_reset(o_osif); memif_reset(o_memif); ram_reset(o_ram); state <= STATE_INIT; sample_count <= to_unsigned(C_MAX_SAMPLE_COUNT, 16); osif_ctrl_signal <= (others => '0'); noise_ce <= '0'; o_RAMWE_noise <= '0'; done := False; elsif rising_edge(clk) then noise_ce <= '0'; o_RAMWE_noise <= '0'; osif_ctrl_signal <= ( others => '0'); case state is when STATE_INIT => -- Init not used state <= STATE_WAITING; when STATE_WAITING => -- Software process "Synthesizer" sends the start signal via mbox_start osif_mbox_get(i_osif, o_osif, MBOX_START, osif_ctrl_signal, done); if done then if osif_ctrl_signal = NOISE_START then sample_count <= to_unsigned(C_MAX_SAMPLE_COUNT, 16); state <= STATE_PROCESS; elsif osif_ctrl_signal = NOISE_EXIT then state <= STATE_EXIT; end if; end if; when STATE_PROCESS => if sample_count > 0 then case state_inner_process is when '0' => o_RAMWE_noise <= '1'; noise_ce <= '1'; -- ein takt früher state_inner_process <= '1'; when '1' => o_RAMAddr_noise <= std_logic_vector(unsigned(o_RAMAddr_noise) + 1); sample_count <= sample_count - 1; state_inner_process <= '0'; when others => state_inner_process <= '0'; end case; else -- Samples have been generated o_RAMAddr_noise <= (others => '0'); state <= STATE_WRITE_MEM; end if; when STATE_WRITE_MEM => memif_write(i_ram, o_ram, i_memif, o_memif, X"00000000", snd_comp_header.dest_addr, std_logic_vector(to_unsigned(C_LOCAL_RAM_SIZE_IN_BYTES,24)), done); if done then state <= STATE_NOTIFY; end if; when STATE_NOTIFY => osif_mbox_put(i_osif, o_osif, MBOX_FINISH, snd_comp_header.dest_addr, ignore, done); if done then state <= STATE_WAITING; end if; when STATE_EXIT => osif_thread_exit(i_osif,o_osif); end case; end if; end process; end Behavioral; -- ==================================== -- = RECONOS Function Library - Copy and Paste! -- ==================================== -- osif_mbox_put(i_osif, o_osif, MBOX_NAME, SOURCESIGNAL, ignore, done); -- osif_mbox_get(i_osif, o_osif, MBOX_NAME, TARGETSIGNAL, done); -- Read from shared memory: -- Speicherzugriffe: -- Wortzugriff: -- memif_read_word(i_memif, o_memif, addr, TARGETSIGNAL, done); -- memif_write_word(i_memif, o_memif, addr, SOURCESIGNAL, done); -- Die Laenge ist bei Speicherzugriffen Byte adressiert! -- memif_read(i_ram, o_ram, i_memif, o_memif, SRC_ADDR std_logic_vector(31 downto 0); -- dst_addr std_logic_vector(31 downto 0); -- BYTES std_logic_vector(23 downto 0); -- done); -- memif_write(i_ram, o_ram, i_memif, o_memif, -- src_addr : in std_logic_vector(31 downto 0), -- dst_addr : in std_logic_vector(31 downto 0); -- len : in std_logic_vector(23 downto 0); -- done);
mit
EPiCS/soundgates
hardware/design/reference/cf_lib/edk/pcores/axi_spdif_tx_v1_00_a/hdl/vhdl/tx_encoder.vhd
1
19836
---------------------------------------------------------------------- ---- ---- ---- WISHBONE SPDIF IP Core ---- ---- ---- ---- This file is part of the SPDIF project ---- ---- http://www.opencores.org/cores/spdif_interface/ ---- ---- ---- ---- Description ---- ---- SPDIF transmitter signal encoder. Reads out samples from the ---- ---- sample buffer, assembles frames and subframes and encodes ---- ---- serial data as bi-phase mark code. ---- ---- ---- ---- To Do: ---- ---- - ---- ---- ---- ---- Author(s): ---- ---- - Geir Drange, [email protected] ---- ---- ---- ---------------------------------------------------------------------- ---- ---- ---- Copyright (C) 2004 Authors and OPENCORES.ORG ---- ---- ---- ---- This source file may be used and distributed without ---- ---- restriction provided that this copyright statement is not ---- ---- removed from the file and that any derivative work contains ---- ---- the original copyright notice and the associated disclaimer. ---- ---- ---- ---- This source file is free software; you can redistribute it ---- ---- and/or modify it under the terms of the GNU Lesser General ---- ---- Public License as published by the Free Software Foundation; ---- ---- either version 2.1 of the License, or (at your option) any ---- ---- later version. ---- ---- ---- ---- This source is distributed in the hope that it will be ---- ---- useful, but WITHOUT ANY WARRANTY; without even the implied ---- ---- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR ---- ---- PURPOSE. See the GNU Lesser General Public License for more ---- ---- details. ---- ---- ---- ---- You should have received a copy of the GNU Lesser General ---- ---- Public License along with this source; if not, download it ---- ---- from http://www.opencores.org/lgpl.shtml ---- ---- ---- ---------------------------------------------------------------------- -- -- CVS Revision History -- -- $Log: not supported by cvs2svn $ -- -- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity tx_encoder is generic (DATA_WIDTH: integer range 16 to 32 := 32); port ( up_clk: in std_logic; -- clock data_clk : in std_logic; -- data clock resetn : in std_logic; -- resetn conf_mode: in std_logic_vector(3 downto 0); -- sample format conf_ratio: in std_logic_vector(7 downto 0); -- clock divider conf_txdata: in std_logic; -- sample data enable conf_txen: in std_logic; -- spdif signal enable chstat_freq: in std_logic_vector(1 downto 0); -- sample freq. chstat_gstat: in std_logic; -- generation status chstat_preem: in std_logic; -- preemphasis status chstat_copy: in std_logic; -- copyright bit chstat_audio: in std_logic; -- data format sample_data: in std_logic_vector(DATA_WIDTH - 1 downto 0); -- audio data sample_data_ack: out std_logic; -- sample buffer read channel: out std_logic; spdif_tx_o: out std_logic); end tx_encoder; architecture rtl of tx_encoder is signal spdif_clk_en, spdif_out : std_logic; signal clk_cnt : integer range 0 to 511; type buf_states is (IDLE, READ_CHA, READ_CHB, CHA_RDY, CHB_RDY); signal bufctrl : buf_states; signal cha_samp_ack, chb_samp_ack : std_logic; type frame_states is (IDLE, BLOCK_START, CHANNEL_A, CHANNEL_B); signal framest : frame_states; signal frame_cnt : integer range 0 to 191; signal bit_cnt, par_cnt : integer range 0 to 31; signal inv_preamble, toggle, valid : std_logic; signal def_user_data, def_ch_status : std_logic_vector(191 downto 0); signal active_user_data, active_ch_status : std_logic_vector(191 downto 0); signal audio : std_logic_vector(23 downto 0); signal par_vector : std_logic_vector(26 downto 0); signal send_audio : std_logic; signal tick_counter : std_logic; signal tick_counter_d1 : std_logic; signal tick_counter_d2 : std_logic; constant X_PREAMBLE : std_logic_vector(0 to 7) := "11100010"; constant Y_PREAMBLE : std_logic_vector(0 to 7) := "11100100"; constant Z_PREAMBLE : std_logic_vector(0 to 7) := "11101000"; function encode_bit ( signal bit_cnt : integer; -- sub-frame bit position signal valid : std_logic; -- validity bit signal frame_cnt : integer; -- frame counter signal par_cnt : integer; -- parity counter signal user_data : std_logic_vector(191 downto 0); signal ch_status : std_logic_vector(191 downto 0); signal audio : std_logic_vector(23 downto 0); signal toggle : std_logic; signal prev_spdif : std_logic) -- prev. value of spdif signal return std_logic is variable spdif, next_bit : std_logic; begin if bit_cnt > 3 and bit_cnt < 28 then -- audio part next_bit := audio(bit_cnt - 4); elsif bit_cnt = 28 then -- validity bit next_bit := valid; elsif bit_cnt = 29 then -- user data next_bit := user_data(frame_cnt); elsif bit_cnt = 30 then next_bit := ch_status(frame_cnt); -- channel status elsif bit_cnt = 31 then if par_cnt mod 2 = 1 then next_bit := '1'; else next_bit := '0'; end if; end if; -- bi-phase mark encoding: if next_bit = '0' then if toggle = '0' then spdif := not prev_spdif; else spdif := prev_spdif; end if; else spdif := not prev_spdif; end if; return(spdif); end encode_bit; begin -- SPDIF clock enable generation. The clock is a fraction of the data clock, -- determined by the conf_ratio value. DCLK : process (data_clk) begin if rising_edge(data_clk) then tick_counter <= not tick_counter; end if; end process DCLK; CGEN: process (up_clk) begin if rising_edge(up_clk) then if resetn = '0' or conf_txen = '0' then clk_cnt <= 0; tick_counter_d1 <= '0'; tick_counter_d2 <= '0'; spdif_clk_en <= '0'; else tick_counter_d1 <= tick_counter; tick_counter_d2 <= tick_counter_d1; spdif_clk_en <= '0'; if (tick_counter_d1 xor tick_counter_d2) = '1' then if clk_cnt < to_integer(unsigned(conf_ratio)) then clk_cnt <= clk_cnt + 1; else clk_cnt <= 0; spdif_clk_en <= '1'; end if; end if; end if; end if; end process CGEN; SRD: process (up_clk) begin if rising_edge(up_clk) then if resetn = '0' or conf_txdata = '0' then bufctrl <= IDLE; sample_data_ack <= '0'; channel <= '0'; else case bufctrl is when IDLE => sample_data_ack <= '0'; if conf_txdata = '1' then bufctrl <= READ_CHA; sample_data_ack <='1'; end if; when READ_CHA => channel <= '0'; sample_data_ack <= '0'; bufctrl <= CHA_RDY; when CHA_RDY => if cha_samp_ack = '1' then sample_data_ack <= '1'; bufctrl <= READ_CHB; end if; when READ_CHB => channel <= '1'; sample_data_ack <= '0'; bufctrl <= CHB_RDY; when CHB_RDY => if chb_samp_ack = '1' then sample_data_ack <= '1'; bufctrl <= READ_CHA; end if; when others => bufctrl <= IDLE; end case; end if; end if; end process SRD; TXSYNC: process (data_clk) begin if (rising_edge(data_clk)) then if resetn = '0' then spdif_tx_o <= '0'; else spdif_tx_o <= spdif_out; end if; end if; end process TXSYNC; -- State machine that generates sub-frames and blocks FRST: process (up_clk) begin if rising_edge(up_clk) then if resetn = '0' or conf_txen = '0' then framest <= IDLE; frame_cnt <= 0; bit_cnt <= 0; spdif_out <= '0'; inv_preamble <= '0'; toggle <= '0'; valid <= '1'; send_audio <= '0'; cha_samp_ack <= '0'; chb_samp_ack <= '0'; else if spdif_clk_en = '1' then -- SPDIF clock is twice the bit rate case framest is when IDLE => bit_cnt <= 0; frame_cnt <= 0; inv_preamble <= '0'; toggle <= '0'; framest <= BLOCK_START; when BLOCK_START => -- Start of channels status block/Ch. A chb_samp_ack <= '0'; toggle <= not toggle; -- Each bit uses two clock enables, if toggle = '1' then -- counted by the toggle bit. if bit_cnt < 31 then bit_cnt <= bit_cnt + 1; else bit_cnt <= 0; if send_audio = '1' then cha_samp_ack <= '1'; end if; framest <= CHANNEL_B; end if; end if; -- Block start uses preamble Z. if bit_cnt < 4 then if toggle = '0' then spdif_out <= Z_PREAMBLE(2 * bit_cnt) xor inv_preamble; else spdif_out <= Z_PREAMBLE(2 * bit_cnt + 1) xor inv_preamble; end if; par_cnt <= 0; elsif bit_cnt > 3 and bit_cnt <= 31 then spdif_out <= encode_bit(bit_cnt, valid, frame_cnt, par_cnt, active_user_data, active_ch_status, audio, toggle, spdif_out); if bit_cnt = 31 then inv_preamble <= encode_bit(bit_cnt, valid, frame_cnt, par_cnt, active_user_data, active_ch_status, audio, toggle, spdif_out); end if; if toggle = '0' then if bit_cnt > 3 and bit_cnt < 31 and par_vector(bit_cnt - 4) = '1' then par_cnt <= par_cnt + 1; end if; end if; end if; when CHANNEL_A => -- Sub-frame: channel A. chb_samp_ack <= '0'; toggle <= not toggle; if toggle = '1' then if bit_cnt < 31 then bit_cnt <= bit_cnt + 1; else bit_cnt <= 0; if spdif_out = '1' then inv_preamble <= '1'; else inv_preamble <= '0'; end if; if send_audio = '1' then cha_samp_ack <= '1'; end if; framest <= CHANNEL_B; end if; end if; -- Channel A uses preable X. if bit_cnt < 4 then if toggle = '0' then spdif_out <= X_PREAMBLE(2 * bit_cnt) xor inv_preamble; else spdif_out <= X_PREAMBLE(2 * bit_cnt + 1) xor inv_preamble; end if; par_cnt <= 0; elsif bit_cnt > 3 and bit_cnt <= 31 then spdif_out <= encode_bit(bit_cnt, valid, frame_cnt, par_cnt, active_user_data, active_ch_status, audio, toggle, spdif_out); if bit_cnt = 31 then inv_preamble <= encode_bit(bit_cnt, valid, frame_cnt, par_cnt, active_user_data, active_ch_status, audio, toggle, spdif_out); end if; if toggle = '0' then if bit_cnt > 3 and bit_cnt < 31 and par_vector(bit_cnt - 4) = '1' then par_cnt <= par_cnt + 1; end if; end if; end if; when CHANNEL_B => -- Sub-frame: channel B. cha_samp_ack <= '0'; toggle <= not toggle; if toggle = '1' then if bit_cnt < 31 then bit_cnt <= bit_cnt + 1; else bit_cnt <= 0; valid <= not conf_txdata; if spdif_out = '1' then inv_preamble <= '1'; else inv_preamble <= '0'; end if; send_audio <= conf_txdata; -- 1 if audio samples sohuld be sent if send_audio = '1' then chb_samp_ack <= '1'; end if; if frame_cnt < 191 then -- One block is 192 frames frame_cnt <= frame_cnt + 1; framest <= CHANNEL_A; else frame_cnt <= 0; framest <= BLOCK_START; end if; end if; end if; -- Channel B uses preable Y. if bit_cnt < 4 then if toggle = '0' then spdif_out <= Y_PREAMBLE(2 * bit_cnt) xor inv_preamble; else spdif_out <= Y_PREAMBLE(2 * bit_cnt + 1) xor inv_preamble; end if; par_cnt <= 0; elsif bit_cnt > 3 and bit_cnt <= 31 then spdif_out <= encode_bit(bit_cnt, valid, frame_cnt, par_cnt, active_user_data, active_ch_status, audio, toggle, spdif_out); if bit_cnt = 31 then inv_preamble <= encode_bit(bit_cnt, valid, frame_cnt, par_cnt, active_user_data, active_ch_status, audio, toggle, spdif_out); end if; if toggle = '0' then if bit_cnt > 3 and bit_cnt < 31 and par_vector(bit_cnt - 4) = '1' then par_cnt <= par_cnt + 1; end if; end if; end if; when others => framest <= IDLE; end case; end if; end if; end if; end process FRST; -- Audio data latching DA32: if DATA_WIDTH = 32 generate ALAT: process (up_clk) begin if rising_edge(up_clk) then if send_audio = '0' then audio(23 downto 0) <= (others => '0'); else case to_integer(unsigned(conf_mode)) is when 0 => -- 16 bit audio audio(23 downto 8) <= sample_data(15 downto 0); audio(7 downto 0) <= (others => '0'); when 1 => -- 17 bit audio audio(23 downto 7) <= sample_data(16 downto 0); audio(6 downto 0) <= (others => '0'); when 2 => -- 18 bit audio audio(23 downto 6) <= sample_data(17 downto 0); audio(5 downto 0) <= (others => '0'); when 3 => -- 19 bit audio audio(23 downto 5) <= sample_data(18 downto 0); audio(4 downto 0) <= (others => '0'); when 4 => -- 20 bit audio audio(23 downto 4) <= sample_data(19 downto 0); audio(3 downto 0) <= (others => '0'); when 5 => -- 21 bit audio audio(23 downto 3) <= sample_data(20 downto 0); audio(2 downto 0) <= (others => '0'); when 6 => -- 22 bit audio audio(23 downto 2) <= sample_data(21 downto 0); audio(1 downto 0) <= (others => '0'); when 7 => -- 23 bit audio audio(23 downto 1) <= sample_data(22 downto 0); audio(0) <= '0'; when 8 => -- 24 bit audio audio(23 downto 0) <= sample_data(23 downto 0); when others => -- unsupported modes audio(23 downto 0) <= (others => '0'); end case; end if; end if; end process ALAT; end generate DA32; DA16: if DATA_WIDTH = 16 generate ALAT: process (up_clk) begin if rising_edge(up_clk) then if send_audio = '0' then audio(23 downto 0) <= (others => '0'); else audio(23 downto 8) <= sample_data(15 downto 0); audio(7 downto 0) <= (others => '0'); end if; end if; end process ALAT; end generate DA16; -- Parity vector. These bits are counted to generate even parity par_vector(23 downto 0) <= audio(23 downto 0); par_vector(24) <= valid; par_vector(25) <= active_user_data(frame_cnt); par_vector(26) <= active_ch_status(frame_cnt); -- Channel status and user datat to be used if buffers are disabled. -- User data is then all zero, while channel status bits are taken from -- register TxChStat. def_user_data(191 downto 0) <= (others => '0'); def_ch_status(0) <= '0'; -- consumer mode def_ch_status(1) <= chstat_audio; -- audio bit def_ch_status(2) <= chstat_copy; -- copy right def_ch_status(5 downto 3) <= "000" when chstat_preem = '0' else "001"; -- pre-emphasis def_ch_status(7 downto 6) <= "00"; def_ch_status(14 downto 8) <= (others => '0'); def_ch_status(15) <= chstat_gstat; -- generation status def_ch_status(23 downto 16) <= (others => '0'); def_ch_status(27 downto 24) <= "0000" when chstat_freq = "00" else "0010" when chstat_freq = "01" else "0011" when chstat_freq = "10" else "0001"; def_ch_status(191 downto 28) <= (others => '0'); --191 28 -- Generate channel status vector based on configuration register setting. active_ch_status <= def_ch_status; -- Generate user data vector based on configuration register setting. active_user_data <= def_user_data; end rtl;
mit
IslamKhaledH/ArchitecturePorject
Project/memoryForMemoryStage.vhd
1
1385
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; Entity syncram2 is Generic ( n : integer := 8); port ( clk,rst : in std_logic; we, weStack, stackPushPop : in std_logic; address : in std_logic_vector(n-1 downto 0); datain : in std_logic_vector(15 downto 0); dataout : out std_logic_vector(15 downto 0); dataout0 : out std_logic_vector(15 downto 0); dataout1 : out std_logic_vector(15 downto 0) ); end entity syncram2; architecture syncrama2 of syncram2 is type ram_type is array (0 to (2**n)-1) of std_logic_vector(15 downto 0); signal ram : ram_type; signal stackAdress : std_logic_vector(9 downto 0); begin process(clk,datain,rst) is begin if rst = '1' then stackAdress <= "1111111111"; end if; if rising_edge(clk) then if we = '1' then ram(to_integer(unsigned(address))) <= datain; --end if; elsif weStack = '1' then if stackPushPop = '0' then--push ram(to_integer(unsigned(stackAdress))) <= datain; stackAdress <= std_logic_vector(unsigned(stackAdress)-1); else -- pop stackAdress <= std_logic_vector(unsigned(stackAdress)+1); ram(to_integer(unsigned(stackAdress))) <= datain; end if; end if; end if; end process; dataout <= ram(to_integer(unsigned(stackAdress))) when weStack = '1' and stackPushPop = '1' else ram(to_integer(unsigned(address))); dataout0 <= ram(0); dataout1 <= ram(1); end architecture syncrama2;
mit
EPiCS/soundgates
hardware/design/reference/cf_lib/edk/pcores/axi_adc_2c_v1_00_a/hdl/vhdl/axi_adc_2c.vhd
1
12964
-- *************************************************************************** -- *************************************************************************** -- *************************************************************************** -- *************************************************************************** library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; library proc_common_v3_00_a; use proc_common_v3_00_a.proc_common_pkg.all; use proc_common_v3_00_a.ipif_pkg.all; library axi_lite_ipif_v1_01_a; use axi_lite_ipif_v1_01_a.axi_lite_ipif; entity axi_adc_2c is generic ( C_S_AXI_DATA_WIDTH : integer := 32; C_S_AXI_ADDR_WIDTH : integer := 32; C_S_AXI_MIN_SIZE : std_logic_vector := X"000001FF"; C_USE_WSTRB : integer := 0; C_DPHASE_TIMEOUT : integer := 8; C_BASEADDR : std_logic_vector := X"FFFFFFFF"; C_HIGHADDR : std_logic_vector := X"00000000"; C_FAMILY : string := "virtex6"; C_NUM_REG : integer := 1; C_NUM_MEM : integer := 1; C_SLV_AWIDTH : integer := 32; C_SLV_DWIDTH : integer := 32; C_CF_BUFTYPE : integer := 0; C_IODELAY_GROUP : string := "adc_if_delay_group" ); port ( pid : in std_logic_vector(7 downto 0); adc_clk_in_p : in std_logic; adc_clk_in_n : in std_logic; adc_data_in_p : in std_logic_vector(13 downto 0); adc_data_in_n : in std_logic_vector(13 downto 0); adc_data_or_p : in std_logic; adc_data_or_n : in std_logic; spi_cs0n : out std_logic; spi_cs1n : out std_logic; spi_clk : out std_logic; spi_sdo : out std_logic; spi_sdi : in std_logic; delay_clk : in std_logic; up_status : out std_logic_vector(7 downto 0); up_adc_capture_int : out std_logic; up_adc_capture_ext : in std_logic; dma_dbg_data : out std_logic_vector(63 downto 0); dma_dbg_trigger : out std_logic_vector(7 downto 0); adc_clk : out std_logic; adc_dbg_data : out std_logic_vector(63 downto 0); adc_dbg_trigger : out std_logic_vector(7 downto 0); adc_mon_valid : out std_logic; adc_mon_data : out std_logic_vector(31 downto 0); S_AXIS_S2MM_CLK : in std_logic; S_AXIS_S2MM_TVALID : out std_logic; S_AXIS_S2MM_TDATA : out std_logic_vector(63 downto 0); S_AXIS_S2MM_TKEEP : out std_logic_vector(7 downto 0); S_AXIS_S2MM_TLAST : out std_logic; S_AXIS_S2MM_TREADY : in std_logic; S_AXI_ACLK : in std_logic; S_AXI_ARESETN : in std_logic; S_AXI_AWADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0); S_AXI_AWVALID : in std_logic; S_AXI_WDATA : in std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); S_AXI_WSTRB : in std_logic_vector((C_S_AXI_DATA_WIDTH/8)-1 downto 0); S_AXI_WVALID : in std_logic; S_AXI_BREADY : in std_logic; S_AXI_ARADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0); S_AXI_ARVALID : in std_logic; S_AXI_RREADY : in std_logic; S_AXI_ARREADY : out std_logic; S_AXI_RDATA : out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); S_AXI_RRESP : out std_logic_vector(1 downto 0); S_AXI_RVALID : out std_logic; S_AXI_WREADY : out std_logic; S_AXI_BRESP : out std_logic_vector(1 downto 0); S_AXI_BVALID : out std_logic; S_AXI_AWREADY : out std_logic ); attribute MAX_FANOUT : string; attribute SIGIS : string; attribute MAX_FANOUT of S_AXI_ACLK : signal is "10000"; attribute MAX_FANOUT of S_AXI_ARESETN : signal is "10000"; attribute SIGIS of S_AXI_ACLK : signal is "Clk"; attribute SIGIS of S_AXI_ARESETN : signal is "Rst"; end entity axi_adc_2c; architecture IMP of axi_adc_2c is constant USER_SLV_DWIDTH : integer := C_S_AXI_DATA_WIDTH; constant IPIF_SLV_DWIDTH : integer := C_S_AXI_DATA_WIDTH; constant ZERO_ADDR_PAD : std_logic_vector(0 to 31) := (others => '0'); constant USER_SLV_BASEADDR : std_logic_vector := C_BASEADDR; constant USER_SLV_HIGHADDR : std_logic_vector := C_HIGHADDR; constant IPIF_ARD_ADDR_RANGE_ARRAY : SLV64_ARRAY_TYPE := (ZERO_ADDR_PAD & USER_SLV_BASEADDR, ZERO_ADDR_PAD & USER_SLV_HIGHADDR); constant USER_SLV_NUM_REG : integer := 32; constant USER_NUM_REG : integer := USER_SLV_NUM_REG; constant TOTAL_IPIF_CE : integer := USER_NUM_REG; constant IPIF_ARD_NUM_CE_ARRAY : INTEGER_ARRAY_TYPE := (0 => (USER_SLV_NUM_REG)); constant USER_SLV_CS_INDEX : integer := 0; constant USER_SLV_CE_INDEX : integer := calc_start_ce_index(IPIF_ARD_NUM_CE_ARRAY, USER_SLV_CS_INDEX); constant USER_CE_INDEX : integer := USER_SLV_CE_INDEX; signal ipif_Bus2IP_Clk : std_logic; signal ipif_Bus2IP_Resetn : std_logic; signal ipif_Bus2IP_Addr : std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0); signal ipif_Bus2IP_RNW : std_logic; signal ipif_Bus2IP_BE : std_logic_vector(IPIF_SLV_DWIDTH/8-1 downto 0); signal ipif_Bus2IP_CS : std_logic_vector((IPIF_ARD_ADDR_RANGE_ARRAY'LENGTH)/2-1 downto 0); signal ipif_Bus2IP_RdCE : std_logic_vector(calc_num_ce(IPIF_ARD_NUM_CE_ARRAY)-1 downto 0); signal ipif_Bus2IP_WrCE : std_logic_vector(calc_num_ce(IPIF_ARD_NUM_CE_ARRAY)-1 downto 0); signal ipif_Bus2IP_Data : std_logic_vector(IPIF_SLV_DWIDTH-1 downto 0); signal ipif_IP2Bus_WrAck : std_logic; signal ipif_IP2Bus_RdAck : std_logic; signal ipif_IP2Bus_Error : std_logic; signal ipif_IP2Bus_Data : std_logic_vector(IPIF_SLV_DWIDTH-1 downto 0); signal user_Bus2IP_RdCE : std_logic_vector(USER_NUM_REG-1 downto 0); signal user_Bus2IP_WrCE : std_logic_vector(USER_NUM_REG-1 downto 0); signal user_IP2Bus_Data : std_logic_vector(USER_SLV_DWIDTH-1 downto 0); signal user_IP2Bus_RdAck : std_logic; signal user_IP2Bus_WrAck : std_logic; signal user_IP2Bus_Error : std_logic; component user_logic is generic ( C_NUM_REG : integer := 32; C_SLV_DWIDTH : integer := 32; C_CF_BUFTYPE : integer := 0; C_IODELAY_GROUP : string := "adc_if_delay_group" ); port ( pid : in std_logic_vector(7 downto 0); adc_clk_in_p : in std_logic; adc_clk_in_n : in std_logic; adc_data_in_p : in std_logic_vector(13 downto 0); adc_data_in_n : in std_logic_vector(13 downto 0); adc_data_or_p : in std_logic; adc_data_or_n : in std_logic; spi_cs0n : out std_logic; spi_cs1n : out std_logic; spi_clk : out std_logic; spi_sd_o : out std_logic; spi_sd_i : in std_logic; dma_clk : in std_logic; dma_valid : out std_logic; dma_data : out std_logic_vector(63 downto 0); dma_be : out std_logic_vector(7 downto 0); dma_last : out std_logic; dma_ready : in std_logic; delay_clk : in std_logic; up_status : out std_logic_vector(7 downto 0); up_adc_capture_int : out std_logic; up_adc_capture_ext : in std_logic; dma_dbg_data : out std_logic_vector(63 downto 0); dma_dbg_trigger : out std_logic_vector(7 downto 0); adc_clk : out std_logic; adc_dbg_data : out std_logic_vector(63 downto 0); adc_dbg_trigger : out std_logic_vector(7 downto 0); adc_mon_valid : out std_logic; adc_mon_data : out std_logic_vector(31 downto 0); Bus2IP_Clk : in std_logic; Bus2IP_Resetn : in std_logic; Bus2IP_Data : in std_logic_vector(C_SLV_DWIDTH-1 downto 0); Bus2IP_BE : in std_logic_vector(C_SLV_DWIDTH/8-1 downto 0); Bus2IP_RdCE : in std_logic_vector(C_NUM_REG-1 downto 0); Bus2IP_WrCE : in std_logic_vector(C_NUM_REG-1 downto 0); IP2Bus_Data : out std_logic_vector(C_SLV_DWIDTH-1 downto 0); IP2Bus_RdAck : out std_logic; IP2Bus_WrAck : out std_logic; IP2Bus_Error : out std_logic ); end component user_logic; begin AXI_LITE_IPIF_I : entity axi_lite_ipif_v1_01_a.axi_lite_ipif generic map ( C_S_AXI_DATA_WIDTH => IPIF_SLV_DWIDTH, C_S_AXI_ADDR_WIDTH => C_S_AXI_ADDR_WIDTH, C_S_AXI_MIN_SIZE => C_S_AXI_MIN_SIZE, C_USE_WSTRB => C_USE_WSTRB, C_DPHASE_TIMEOUT => C_DPHASE_TIMEOUT, C_ARD_ADDR_RANGE_ARRAY => IPIF_ARD_ADDR_RANGE_ARRAY, C_ARD_NUM_CE_ARRAY => IPIF_ARD_NUM_CE_ARRAY, C_FAMILY => C_FAMILY ) port map ( S_AXI_ACLK => S_AXI_ACLK, S_AXI_ARESETN => S_AXI_ARESETN, S_AXI_AWADDR => S_AXI_AWADDR, S_AXI_AWVALID => S_AXI_AWVALID, S_AXI_WDATA => S_AXI_WDATA, S_AXI_WSTRB => S_AXI_WSTRB, S_AXI_WVALID => S_AXI_WVALID, S_AXI_BREADY => S_AXI_BREADY, S_AXI_ARADDR => S_AXI_ARADDR, S_AXI_ARVALID => S_AXI_ARVALID, S_AXI_RREADY => S_AXI_RREADY, S_AXI_ARREADY => S_AXI_ARREADY, S_AXI_RDATA => S_AXI_RDATA, S_AXI_RRESP => S_AXI_RRESP, S_AXI_RVALID => S_AXI_RVALID, S_AXI_WREADY => S_AXI_WREADY, S_AXI_BRESP => S_AXI_BRESP, S_AXI_BVALID => S_AXI_BVALID, S_AXI_AWREADY => S_AXI_AWREADY, Bus2IP_Clk => ipif_Bus2IP_Clk, Bus2IP_Resetn => ipif_Bus2IP_Resetn, Bus2IP_Addr => ipif_Bus2IP_Addr, Bus2IP_RNW => ipif_Bus2IP_RNW, Bus2IP_BE => ipif_Bus2IP_BE, Bus2IP_CS => ipif_Bus2IP_CS, Bus2IP_RdCE => ipif_Bus2IP_RdCE, Bus2IP_WrCE => ipif_Bus2IP_WrCE, Bus2IP_Data => ipif_Bus2IP_Data, IP2Bus_WrAck => ipif_IP2Bus_WrAck, IP2Bus_RdAck => ipif_IP2Bus_RdAck, IP2Bus_Error => ipif_IP2Bus_Error, IP2Bus_Data => ipif_IP2Bus_Data ); USER_LOGIC_I : component user_logic generic map ( C_NUM_REG => USER_NUM_REG, C_SLV_DWIDTH => USER_SLV_DWIDTH, C_CF_BUFTYPE => C_CF_BUFTYPE, C_IODELAY_GROUP => C_IODELAY_GROUP ) port map ( pid => pid, adc_clk_in_p => adc_clk_in_p, adc_clk_in_n => adc_clk_in_n, adc_data_in_p => adc_data_in_p, adc_data_in_n => adc_data_in_n, adc_data_or_p => adc_data_or_p, adc_data_or_n => adc_data_or_n, spi_cs0n => spi_cs0n, spi_cs1n => spi_cs1n, spi_clk => spi_clk, spi_sd_o => spi_sdo, spi_sd_i => spi_sdi, dma_clk => S_AXIS_S2MM_CLK, dma_valid => S_AXIS_S2MM_TVALID, dma_data => S_AXIS_S2MM_TDATA, dma_be => S_AXIS_S2MM_TKEEP, dma_last => S_AXIS_S2MM_TLAST, dma_ready => S_AXIS_S2MM_TREADY, delay_clk => delay_clk, up_status => up_status, up_adc_capture_int => up_adc_capture_int, up_adc_capture_ext => up_adc_capture_ext, dma_dbg_data => dma_dbg_data, dma_dbg_trigger => dma_dbg_trigger, adc_clk => adc_clk, adc_dbg_data => adc_dbg_data, adc_dbg_trigger => adc_dbg_trigger, adc_mon_valid => adc_mon_valid, adc_mon_data => adc_mon_data, Bus2IP_Clk => ipif_Bus2IP_Clk, Bus2IP_Resetn => ipif_Bus2IP_Resetn, Bus2IP_Data => ipif_Bus2IP_Data, Bus2IP_BE => ipif_Bus2IP_BE, Bus2IP_RdCE => user_Bus2IP_RdCE, Bus2IP_WrCE => user_Bus2IP_WrCE, IP2Bus_Data => user_IP2Bus_Data, IP2Bus_RdAck => user_IP2Bus_RdAck, IP2Bus_WrAck => user_IP2Bus_WrAck, IP2Bus_Error => user_IP2Bus_Error ); ipif_IP2Bus_Data <= user_IP2Bus_Data; ipif_IP2Bus_WrAck <= user_IP2Bus_WrAck; ipif_IP2Bus_RdAck <= user_IP2Bus_RdAck; ipif_IP2Bus_Error <= user_IP2Bus_Error; user_Bus2IP_RdCE <= ipif_Bus2IP_RdCE(USER_NUM_REG-1 downto 0); user_Bus2IP_WrCE <= ipif_Bus2IP_WrCE(USER_NUM_REG-1 downto 0); end IMP; -- *************************************************************************** -- ***************************************************************************
mit
EPiCS/soundgates
hardware/hwt/pcores/hwt_fir_v1_00_a/hdl/vhdl/hwt_fir.vhd
1
20494
-- ____ _ _ -- / ___| ___ _ _ _ __ __| | __ _ __ _| |_ ___ ___ -- \___ \ / _ \| | | | '_ \ / _` |/ _` |/ _` | __/ _ \/ __| -- ___) | (_) | |_| | | | | (_| | (_| | (_| | || __/\__ \ -- |____/ \___/ \__,_|_| |_|\__,_|\__, |\__,_|\__\___||___/ -- |___/ -- ====================================================================== -- -- title: VHDL module - hwt_fir -- -- project: PG-Soundgates -- author: Hendrik Hangmann, University of Paderborn -- Lukas Funke, University of Paderborn -- -- description: Hardware thread for FIR Filter -- ====================================================================== library ieee; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; library proc_common_v3_00_a; use proc_common_v3_00_a.proc_common_pkg.all; library reconos_v3_00_c; use reconos_v3_00_c.reconos_pkg.all; library soundgates_v1_00_a; use soundgates_v1_00_a.soundgates_common_pkg.all; use soundgates_v1_00_a.soundgates_reconos_pkg.all; entity hwt_fir is generic( SND_COMP_CLK_FREQ : integer := 100_000_000 ); port ( -- OSIF FIFO ports OSIF_FIFO_Sw2Hw_Data : in std_logic_vector(31 downto 0); OSIF_FIFO_Sw2Hw_Fill : in std_logic_vector(15 downto 0); OSIF_FIFO_Sw2Hw_Empty : in std_logic; OSIF_FIFO_Sw2Hw_RE : out std_logic; OSIF_FIFO_Hw2Sw_Data : out std_logic_vector(31 downto 0); OSIF_FIFO_Hw2Sw_Rem : in std_logic_vector(15 downto 0); OSIF_FIFO_Hw2Sw_Full : in std_logic; OSIF_FIFO_Hw2Sw_WE : out std_logic; -- MEMIF FIFO ports MEMIF_FIFO_Hwt2Mem_Data : out std_logic_vector(31 downto 0); MEMIF_FIFO_Hwt2Mem_Rem : in std_logic_vector(15 downto 0); MEMIF_FIFO_Hwt2Mem_Full : in std_logic; MEMIF_FIFO_Hwt2Mem_WE : out std_logic; MEMIF_FIFO_Mem2Hwt_Data : in std_logic_vector(31 downto 0); MEMIF_FIFO_Mem2Hwt_Fill : in std_logic_vector(15 downto 0); MEMIF_FIFO_Mem2Hwt_Empty : in std_logic; MEMIF_FIFO_Mem2Hwt_RE : out std_logic; HWT_Clk : in std_logic; HWT_Rst : in std_logic ); end hwt_fir; architecture Behavioral of hwt_fir is ---------------------------------------------------------------- -- Subcomponent declarations ---------------------------------------------------------------- COMPONENT fir generic( FIR_ORDER : integer ); port( clk : in std_logic; rst : in std_logic; ce : in std_logic; coefficients : in mem16(FIR_ORDER downto 0); x_in : in signed(23 downto 0); y_out : out signed(23 downto 0) ); END COMPONENT; ---------------------------------------------------------------- -- Signal declarations ---------------------------------------------------------------- signal clk : std_logic; signal rst : std_logic; -- ReconOS Stuff signal i_osif : i_osif_t; signal o_osif : o_osif_t; signal i_memif : i_memif_t; signal o_memif : o_memif_t; signal i_ram : i_ram_t; signal o_ram : o_ram_t; constant MBOX_START : std_logic_vector(31 downto 0) := x"00000000"; constant MBOX_FINISH : std_logic_vector(31 downto 0) := x"00000001"; -- /ReconOS Stuff type STATE_TYPE is (STATE_IDLE, STATE_REFRESH_HWT_ARGS, STATE_READ_MEM, STATE_PROCESS, STATE_WRITE_MEM, STATE_NOTIFY, STATE_EXIT); signal state : STATE_TYPE; ---------------------------------------------------------------- -- Common sound component signals, constants and types ---------------------------------------------------------------- constant C_MAX_SAMPLE_COUNT : integer := 64; -- define size of local RAM here constant C_LOCAL_RAM_SIZE : integer := C_MAX_SAMPLE_COUNT; constant C_LOCAL_RAM_ADDRESS_WIDTH : integer := clog2(C_LOCAL_RAM_SIZE); constant C_LOCAL_RAM_SIZE_IN_BYTES : integer := 4*C_LOCAL_RAM_SIZE; type LOCAL_MEMORY_T is array (0 to C_LOCAL_RAM_SIZE-1) of std_logic_vector(31 downto 0); signal o_RAMAddr_fir : std_logic_vector(0 to C_LOCAL_RAM_ADDRESS_WIDTH-1); signal o_RAMData_fir : std_logic_vector(0 to 31); -- fir to local ram signal i_RAMData_fir : std_logic_vector(0 to 31); -- local ram to fir signal o_RAMWE_fir : std_logic; signal o_RAMAddr_reconos : std_logic_vector(0 to C_LOCAL_RAM_ADDRESS_WIDTH-1); signal o_RAMAddr_reconos_2 : std_logic_vector(0 to 31); signal o_RAMData_reconos : std_logic_vector(0 to 31); signal o_RAMWE_reconos : std_logic; signal i_RAMData_reconos : std_logic_vector(0 to 31); signal osif_ctrl_signal : std_logic_vector(31 downto 0); signal ignore : std_logic_vector(31 downto 0); constant o_RAMAddr_max : std_logic_vector(0 to C_LOCAL_RAM_ADDRESS_WIDTH-1) := (others=>'1'); shared variable local_ram : LOCAL_MEMORY_T; constant FIR_ORDER : integer := 28; ---------------------------------------------------------------- -- Memory management ---------------------------------------------------------------- signal ptr : natural range 0 to C_MAX_SAMPLE_COUNT-1; ---------------------------------------------------------------- -- Hardware arguements ---------------------------------------------------------------- signal hwtio : hwtio_t; -- arg[0] = source address -- arg[1] = destination address -- arg[2] = 1. coefficient -- arg[3] = 2. coefficient -- ... -- arg[30] = 29. coefficient -- argc = # 2 + number of coefficients constant hwt_argc : integer := 2 + FIR_ORDER + 1; ---------------------------------------------------------------- -- Component dependent signals ---------------------------------------------------------------- signal sample_count : unsigned(15 downto 0) := to_unsigned(C_MAX_SAMPLE_COUNT, 16); signal fir_ce : std_logic; -- fir clock enable (like a start/stop signal) signal sourceaddr : std_logic_vector(31 downto 0); signal destaddr : std_logic_vector(31 downto 0); signal process_state : integer range 0 to 7; signal x_i : signed(23 downto 0); -- 24 bit internal input sample signal y_i : signed(23 downto 0); -- 24 bit internal output sample signal sample_in : std_logic_vector(SAMPLE_WIDTH - 1 downto 0) := (others =>'0'); signal sample_out : std_logic_vector(SAMPLE_WIDTH - 1 downto 0); signal coefficients_i16 : mem16(FIR_ORDER downto 0); signal coefficients_i_0 : std_logic_vector(31 downto 0); signal coefficients_i_1 : std_logic_vector(31 downto 0); signal coefficients_i_2 : std_logic_vector(31 downto 0); signal coefficients_i_3 : std_logic_vector(31 downto 0); signal coefficients_i_4 : std_logic_vector(31 downto 0); signal coefficients_i_5 : std_logic_vector(31 downto 0); signal coefficients_i_6 : std_logic_vector(31 downto 0); signal coefficients_i_7 : std_logic_vector(31 downto 0); signal coefficients_i_8 : std_logic_vector(31 downto 0); signal coefficients_i_9 : std_logic_vector(31 downto 0); signal coefficients_i_10 : std_logic_vector(31 downto 0); signal coefficients_i_11 : std_logic_vector(31 downto 0); signal coefficients_i_12 : std_logic_vector(31 downto 0); signal coefficients_i_13 : std_logic_vector(31 downto 0); signal coefficients_i_14 : std_logic_vector(31 downto 0); signal coefficients_i_15 : std_logic_vector(31 downto 0); signal coefficients_i_16 : std_logic_vector(31 downto 0); signal coefficients_i_17 : std_logic_vector(31 downto 0); signal coefficients_i_18 : std_logic_vector(31 downto 0); signal coefficients_i_19 : std_logic_vector(31 downto 0); signal coefficients_i_20 : std_logic_vector(31 downto 0); signal coefficients_i_21 : std_logic_vector(31 downto 0); signal coefficients_i_22 : std_logic_vector(31 downto 0); signal coefficients_i_23 : std_logic_vector(31 downto 0); signal coefficients_i_24 : std_logic_vector(31 downto 0); signal coefficients_i_25 : std_logic_vector(31 downto 0); signal coefficients_i_26 : std_logic_vector(31 downto 0); signal coefficients_i_27 : std_logic_vector(31 downto 0); signal coefficients_i_28 : std_logic_vector(31 downto 0); signal coefficients_i_29 : std_logic_vector(31 downto 0); ---------------------------------------------------------------- -- OS Communication ---------------------------------------------------------------- constant FIR_START : std_logic_vector(31 downto 0) := x"0000000F"; constant FIR_EXIT : std_logic_vector(31 downto 0) := x"000000F0"; begin ----------------------------------- -- Component related wiring ----------------------------------- x_i <= signed(sample_in(31 downto 8)); sample_out <= std_logic_vector(y_i) & X"11" when y_i(23) = '1' else std_logic_vector(y_i) & X"00"; sourceaddr <= hwtio.argv(0); destaddr <= hwtio.argv(1); coefficients_i_0 <= hwtio.argv(2); coefficients_i_1 <= hwtio.argv(3); coefficients_i_2 <= hwtio.argv(4); coefficients_i_3 <= hwtio.argv(5); coefficients_i_4 <= hwtio.argv(6); coefficients_i_5 <= hwtio.argv(7); coefficients_i_6 <= hwtio.argv(8); coefficients_i_7 <= hwtio.argv(9); coefficients_i_8 <= hwtio.argv(10); coefficients_i_9 <= hwtio.argv(11); coefficients_i_10 <= hwtio.argv(12); coefficients_i_11 <= hwtio.argv(13); coefficients_i_12 <= hwtio.argv(14); coefficients_i_13 <= hwtio.argv(15); coefficients_i_14 <= hwtio.argv(16); coefficients_i_15 <= hwtio.argv(17); coefficients_i_16 <= hwtio.argv(18); coefficients_i_17 <= hwtio.argv(19); coefficients_i_18 <= hwtio.argv(20); coefficients_i_19 <= hwtio.argv(21); coefficients_i_20 <= hwtio.argv(22); coefficients_i_21 <= hwtio.argv(23); coefficients_i_22 <= hwtio.argv(24); coefficients_i_23 <= hwtio.argv(25); coefficients_i_24 <= hwtio.argv(26); coefficients_i_25 <= hwtio.argv(27); coefficients_i_26 <= hwtio.argv(28); coefficients_i_27 <= hwtio.argv(29); coefficients_i_28 <= hwtio.argv(30); coefficients_i16(0) <= signed(coefficients_i_0(31) & coefficients_i_0(14 downto 0)); coefficients_i16(1) <= signed(coefficients_i_1(31) & coefficients_i_1(14 downto 0)); coefficients_i16(2) <= signed(coefficients_i_2(31) & coefficients_i_2(14 downto 0)); coefficients_i16(3) <= signed(coefficients_i_3(31) & coefficients_i_3(14 downto 0)); coefficients_i16(4) <= signed(coefficients_i_4(31) & coefficients_i_4(14 downto 0)); coefficients_i16(5) <= signed(coefficients_i_5(31) & coefficients_i_5(14 downto 0)); coefficients_i16(6) <= signed(coefficients_i_6(31) & coefficients_i_6(14 downto 0)); coefficients_i16(7) <= signed(coefficients_i_7(31) & coefficients_i_7(14 downto 0)); coefficients_i16(8) <= signed(coefficients_i_8(31) & coefficients_i_8(14 downto 0)); coefficients_i16(9) <= signed(coefficients_i_9(31) & coefficients_i_9(14 downto 0)); coefficients_i16(10) <= signed(coefficients_i_10(31) & coefficients_i_10(14 downto 0)); coefficients_i16(11) <= signed(coefficients_i_11(31) & coefficients_i_11(14 downto 0)); coefficients_i16(12) <= signed(coefficients_i_12(31) & coefficients_i_12(14 downto 0)); coefficients_i16(13) <= signed(coefficients_i_13(31) & coefficients_i_13(14 downto 0)); coefficients_i16(14) <= signed(coefficients_i_14(31) & coefficients_i_14(14 downto 0)); coefficients_i16(15) <= signed(coefficients_i_15(31) & coefficients_i_15(14 downto 0)); coefficients_i16(16) <= signed(coefficients_i_16(31) & coefficients_i_16(14 downto 0)); coefficients_i16(17) <= signed(coefficients_i_17(31) & coefficients_i_17(14 downto 0)); coefficients_i16(18) <= signed(coefficients_i_18(31) & coefficients_i_18(14 downto 0)); coefficients_i16(19) <= signed(coefficients_i_19(31) & coefficients_i_19(14 downto 0)); coefficients_i16(20) <= signed(coefficients_i_20(31) & coefficients_i_20(14 downto 0)); coefficients_i16(21) <= signed(coefficients_i_21(31) & coefficients_i_21(14 downto 0)); coefficients_i16(22) <= signed(coefficients_i_22(31) & coefficients_i_22(14 downto 0)); coefficients_i16(23) <= signed(coefficients_i_23(31) & coefficients_i_23(14 downto 0)); coefficients_i16(24) <= signed(coefficients_i_24(31) & coefficients_i_24(14 downto 0)); coefficients_i16(25) <= signed(coefficients_i_25(31) & coefficients_i_25(14 downto 0)); coefficients_i16(26) <= signed(coefficients_i_26(31) & coefficients_i_26(14 downto 0)); coefficients_i16(27) <= signed(coefficients_i_27(31) & coefficients_i_27(14 downto 0)); coefficients_i16(28) <= signed(coefficients_i_28(31) & coefficients_i_28(14 downto 0)); ----------------------------------------------------------------- -- Memory Management ----------------------------------------------------------------- o_RAMAddr_fir <= std_logic_vector(TO_UNSIGNED(ptr, C_LOCAL_RAM_ADDRESS_WIDTH)); o_RAMData_fir <= sample_out; uut: fir generic map ( FIR_ORDER => FIR_ORDER ) PORT MAP( clk => clk, rst => rst, ce => fir_ce, coefficients => coefficients_i16, x_in => x_i, y_out => y_i ); ----------------------------------- -- Hard wirings ----------------------------------- clk <= HWT_Clk; rst <= HWT_Rst; o_RAMAddr_reconos(0 to C_LOCAL_RAM_ADDRESS_WIDTH-1) <= o_RAMAddr_reconos_2((32-C_LOCAL_RAM_ADDRESS_WIDTH) to 31); -- ReconOS Stuff osif_setup ( i_osif, o_osif, OSIF_FIFO_Sw2Hw_Data, OSIF_FIFO_Sw2Hw_Fill, OSIF_FIFO_Sw2Hw_Empty, OSIF_FIFO_Hw2Sw_Rem, OSIF_FIFO_Hw2Sw_Full, OSIF_FIFO_Sw2Hw_RE, OSIF_FIFO_Hw2Sw_Data, OSIF_FIFO_Hw2Sw_WE ); memif_setup ( i_memif, o_memif, MEMIF_FIFO_Mem2Hwt_Data, MEMIF_FIFO_Mem2Hwt_Fill, MEMIF_FIFO_Mem2Hwt_Empty, MEMIF_FIFO_Hwt2Mem_Rem, MEMIF_FIFO_Hwt2Mem_Full, MEMIF_FIFO_Mem2Hwt_RE, MEMIF_FIFO_Hwt2Mem_Data, MEMIF_FIFO_Hwt2Mem_WE ); ram_setup ( i_ram, o_ram, o_RAMAddr_reconos_2, o_RAMWE_reconos, o_RAMData_reconos, i_RAMData_reconos ); -- /ReconOS Stuff local_ram_ctrl_1 : process (clk) is begin if (rising_edge(clk)) then if (o_RAMWE_reconos = '1') then local_ram(to_integer(unsigned(o_RAMAddr_reconos))) := o_RAMData_reconos; else i_RAMData_reconos <= local_ram(to_integer(unsigned(o_RAMAddr_reconos))); end if; end if; end process; local_ram_ctrl_2 : process (clk) is begin if (rising_edge(clk)) then if (o_RAMWE_fir = '1') then local_ram(to_integer(unsigned(o_RAMAddr_fir))) := o_RAMData_fir; else i_RAMData_fir <= local_ram(to_integer(unsigned(o_RAMAddr_fir))); end if; end if; end process; FIR_CTRL_FSM_PROC : process (clk, rst, o_osif, o_memif) is variable done : boolean; begin if rst = '1' then osif_reset(o_osif); memif_reset(o_memif); ram_reset(o_ram); hwtio_init(hwtio); osif_ctrl_signal <= (others => '0'); state <= STATE_IDLE; o_RAMWE_fir <= '0'; ptr <= 0; sample_count <= to_unsigned(C_MAX_SAMPLE_COUNT, 16); -- number of samples processed done := False; elsif rising_edge(clk) then fir_ce <= '0'; o_RAMWE_fir <= '0'; osif_ctrl_signal <= ( others => '0'); case state is when STATE_IDLE => osif_mbox_get(i_osif, o_osif, MBOX_START, osif_ctrl_signal, done); if done then if osif_ctrl_signal = FIR_START then sample_count <= to_unsigned(C_MAX_SAMPLE_COUNT, 16); state <= STATE_REFRESH_HWT_ARGS; elsif osif_ctrl_signal = FIR_EXIT then state <= STATE_EXIT; end if; end if; when STATE_REFRESH_HWT_ARGS => get_hwt_args(i_osif, o_osif, i_memif, o_memif, hwtio, hwt_argc, done); if done then state <= STATE_READ_MEM; end if; when STATE_READ_MEM => -- store input samples in local ram memif_read(i_ram, o_ram, i_memif, o_memif, sourceaddr, X"00000000", std_logic_vector(to_unsigned(C_LOCAL_RAM_SIZE_IN_BYTES,24)), done); if done then state <= STATE_PROCESS; end if; when STATE_PROCESS => if sample_count > 0 then case process_state is -- Read one sample from local memory when 0 => sample_in <= i_RAMData_fir; -- not sure here process_state <= 2; when 2 => fir_ce <= '1'; o_RAMWE_fir <= '1'; process_state <= 3; when 3 => sample_count <= sample_count - 1; process_state <= 4; -- Write sample back to local memory when 4 => ptr <= ptr + 1; process_state <= 5; when 5 => process_state <= 6; when others => process_state <= 0; end case; else -- Samples have been generated ptr <= 0; state <= STATE_WRITE_MEM; end if; when STATE_WRITE_MEM => memif_write(i_ram, o_ram, i_memif, o_memif, X"00000000", destaddr, std_logic_vector(to_unsigned(C_LOCAL_RAM_SIZE_IN_BYTES,24)), done); if done then state <= STATE_NOTIFY; end if; when STATE_NOTIFY => osif_mbox_put(i_osif, o_osif, MBOX_FINISH, destaddr, ignore, done); if done then state <= STATE_IDLE; end if; when STATE_EXIT => osif_thread_exit(i_osif,o_osif); end case; end if; end process; end Behavioral; -- ==================================== -- = RECONOS Function Library - Copy and Paste! -- ==================================== -- osif_mbox_put(i_osif, o_osif, MBOX_NAME, SOURCESIGNAL, ignore, done); -- osif_mbox_get(i_osif, o_osif, MBOX_NAME, TARGETSIGNAL, done); -- Read from shared memory: -- Speicherzugriffe: -- Wortzugriff: -- memif_read_word(i_memif, o_memif, addr, TARGETSIGNAL, done); -- memif_write_word(i_memif, o_memif, addr, SOURCESIGNAL, done); -- Die Laenge ist bei Speicherzugriffen Byte adressiert! -- memif_read(i_ram, o_ram, i_memif, o_memif, SRC_ADDR std_logic_vector(31 downto 0); -- dst_addr std_logic_vector(31 downto 0); -- BYTES std_logic_vector(23 downto 0); -- done); -- memif_write(i_ram, o_ram, i_memif, o_memif, -- src_addr : in std_logic_vector(31 downto 0), -- dst_addr : in std_logic_vector(31 downto 0); -- len : in std_logic_vector(23 downto 0); -- done);
mit
EPiCS/soundgates
hardware/hwt/pcores/hwt_triangle_v1_00_a/hdl/vhdl/hwt_triangle.vhd
1
13328
-- ____ _ _ -- / ___| ___ _ _ _ __ __| | __ _ __ _| |_ ___ ___ -- \___ \ / _ \| | | | '_ \ / _` |/ _` |/ _` | __/ _ \/ __| -- ___) | (_) | |_| | | | | (_| | (_| | (_| | || __/\__ \ -- |____/ \___/ \__,_|_| |_|\__,_|\__, |\__,_|\__\___||___/ -- |___/ -- ====================================================================== -- -- title: VHDL module - hwt_triangle -- -- project: PG-Soundgates -- author: Hendrik Hangmann, University of Paderborn -- -- description: Hardware thread for a triangle wave -- -- ====================================================================== library ieee; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; --library proc_common_v3_00_a; --use proc_common_v3_00_a.proc_common_pkg.all; library reconos_v3_00_c; use reconos_v3_00_c.reconos_pkg.all; library soundgates_v1_00_a; use soundgates_v1_00_a.soundgates_common_pkg.all; use soundgates_v1_00_a.soundgates_reconos_pkg.all; entity hwt_triangle is generic( SND_COMP_CLK_FREQ : integer := 100_000_000 ); port ( -- OSIF FIFO ports OSIF_FIFO_Sw2Hw_Data : in std_logic_vector(31 downto 0); OSIF_FIFO_Sw2Hw_Fill : in std_logic_vector(15 downto 0); OSIF_FIFO_Sw2Hw_Empty : in std_logic; OSIF_FIFO_Sw2Hw_RE : out std_logic; OSIF_FIFO_Hw2Sw_Data : out std_logic_vector(31 downto 0); OSIF_FIFO_Hw2Sw_Rem : in std_logic_vector(15 downto 0); OSIF_FIFO_Hw2Sw_Full : in std_logic; OSIF_FIFO_Hw2Sw_WE : out std_logic; -- MEMIF FIFO ports MEMIF_FIFO_Hwt2Mem_Data : out std_logic_vector(31 downto 0); MEMIF_FIFO_Hwt2Mem_Rem : in std_logic_vector(15 downto 0); MEMIF_FIFO_Hwt2Mem_Full : in std_logic; MEMIF_FIFO_Hwt2Mem_WE : out std_logic; MEMIF_FIFO_Mem2Hwt_Data : in std_logic_vector(31 downto 0); MEMIF_FIFO_Mem2Hwt_Fill : in std_logic_vector(15 downto 0); MEMIF_FIFO_Mem2Hwt_Empty : in std_logic; MEMIF_FIFO_Mem2Hwt_RE : out std_logic; HWT_Clk : in std_logic; HWT_Rst : in std_logic ); end hwt_triangle; architecture Behavioral of hwt_triangle is ---------------------------------------------------------------- -- Subcomponent declarations ---------------------------------------------------------------- component triangle is port( clk : in std_logic; rst : in std_logic; ce : in std_logic; incr : in signed(31 downto 0); offset : in signed(31 downto 0); tri : out signed(31 downto 0) ); end component; signal clk : std_logic; signal rst : std_logic; -- ReconOS Stuff signal i_osif : i_osif_t; signal o_osif : o_osif_t; signal i_memif : i_memif_t; signal o_memif : o_memif_t; signal i_ram : i_ram_t; signal o_ram : o_ram_t; constant MBOX_START : std_logic_vector(31 downto 0) := x"00000000"; constant MBOX_FINISH : std_logic_vector(31 downto 0) := x"00000001"; -- /ReconOS Stuff type STATE_TYPE is (STATE_INIT, STATE_WAITING, STATE_REFRESH_INPUT_PHASE_OFFSET, STATE_REFRESH_INPUT_PHASE_INCR, STATE_PROCESS, STATE_WRITE_MEM, STATE_NOTIFY, STATE_EXIT); signal state : STATE_TYPE; ---------------------------------------------------------------- -- Common sound component signals, constants and types ---------------------------------------------------------------- constant C_MAX_SAMPLE_COUNT : integer := 64; -- define size of local RAM here constant C_LOCAL_RAM_SIZE : integer := C_MAX_SAMPLE_COUNT; constant C_LOCAL_RAM_ADDRESS_WIDTH : integer := 6;--clog2(C_LOCAL_RAM_SIZE); constant C_LOCAL_RAM_SIZE_IN_BYTES : integer := 4*C_LOCAL_RAM_SIZE; type LOCAL_MEMORY_T is array (0 to C_LOCAL_RAM_SIZE-1) of std_logic_vector(31 downto 0); signal o_RAMAddr_tri : std_logic_vector(0 to C_LOCAL_RAM_ADDRESS_WIDTH-1); signal o_RAMData_tri : std_logic_vector(0 to 31); -- tri to local ram signal i_RAMData_tri : std_logic_vector(0 to 31); -- local ram to tri signal o_RAMWE_tri : std_logic; signal o_RAMAddr_reconos : std_logic_vector(0 to C_LOCAL_RAM_ADDRESS_WIDTH-1); signal o_RAMAddr_reconos_2 : std_logic_vector(0 to 31); signal o_RAMData_reconos : std_logic_vector(0 to 31); signal o_RAMWE_reconos : std_logic; signal i_RAMData_reconos : std_logic_vector(0 to 31); signal osif_ctrl_signal : std_logic_vector(31 downto 0); signal ignore : std_logic_vector(31 downto 0); constant o_RAMAddr_max : std_logic_vector(0 to C_LOCAL_RAM_ADDRESS_WIDTH-1) := (others=>'1'); shared variable local_ram : LOCAL_MEMORY_T; signal snd_comp_header : snd_comp_header_msg_t; -- common sound component header signal sample_count : unsigned(15 downto 0) := to_unsigned(C_MAX_SAMPLE_COUNT, 16); ---------------------------------------------------------------- -- Component dependent signals ---------------------------------------------------------------- signal tri_ce : std_logic; -- tri clock enable (like a start/stop signal) signal phase_offset_addr : std_logic_vector(31 downto 0); signal phase_incr_addr : std_logic_vector(31 downto 0); signal phase_offset : std_logic_vector(31 downto 0); signal phase_incr : std_logic_vector(31 downto 0); signal tri_data : signed(31 downto 0); signal state_inner_process : std_logic; ---------------------------------------------------------------- -- OS Communication ---------------------------------------------------------------- constant tri_START : std_logic_vector(31 downto 0) := x"0000000F"; constant tri_EXIT : std_logic_vector(31 downto 0) := x"000000F0"; begin ----------------------------------- -- Hard wirings ----------------------------------- clk <= HWT_Clk; rst <= HWT_Rst; o_RAMData_tri <= std_logic_vector(tri_data); o_RAMAddr_reconos(0 to C_LOCAL_RAM_ADDRESS_WIDTH-1) <= o_RAMAddr_reconos_2((32-C_LOCAL_RAM_ADDRESS_WIDTH) to 31); -- ReconOS Stuff osif_setup ( i_osif, o_osif, OSIF_FIFO_Sw2Hw_Data, OSIF_FIFO_Sw2Hw_Fill, OSIF_FIFO_Sw2Hw_Empty, OSIF_FIFO_Hw2Sw_Rem, OSIF_FIFO_Hw2Sw_Full, OSIF_FIFO_Sw2Hw_RE, OSIF_FIFO_Hw2Sw_Data, OSIF_FIFO_Hw2Sw_WE ); memif_setup ( i_memif, o_memif, MEMIF_FIFO_Mem2Hwt_Data, MEMIF_FIFO_Mem2Hwt_Fill, MEMIF_FIFO_Mem2Hwt_Empty, MEMIF_FIFO_Hwt2Mem_Rem, MEMIF_FIFO_Hwt2Mem_Full, MEMIF_FIFO_Mem2Hwt_RE, MEMIF_FIFO_Hwt2Mem_Data, MEMIF_FIFO_Hwt2Mem_WE ); ram_setup ( i_ram, o_ram, o_RAMAddr_reconos_2, o_RAMWE_reconos, o_RAMData_reconos, i_RAMData_reconos ); -- /ReconOS Stuff tri_inst : triangle port map( clk => clk, rst => rst, ce => tri_ce, incr => signed(phase_incr), offset => signed(phase_offset), tri => tri_data ); local_ram_ctrl_1 : process (clk) is begin if (rising_edge(clk)) then if (o_RAMWE_reconos = '1') then local_ram(to_integer(unsigned(o_RAMAddr_reconos))) := o_RAMData_reconos; else i_RAMData_reconos <= local_ram(to_integer(unsigned(o_RAMAddr_reconos))); end if; end if; end process; local_ram_ctrl_2 : process (clk) is begin if (rising_edge(clk)) then if (o_RAMWE_tri = '1') then local_ram(to_integer(unsigned(o_RAMAddr_tri))) := o_RAMData_tri; --else -- else not needed, because tri is not consuming any samples -- i_RAMData_tri <= local_ram(conv_integer(unsigned(o_RAMAddr_tri))); end if; end if; end process; tri_CTRL_FSM_PROC : process (clk, rst, o_osif, o_memif) is variable done : boolean; begin if rst = '1' then osif_reset(o_osif); memif_reset(o_memif); ram_reset(o_ram); state <= STATE_INIT; sample_count <= to_unsigned(C_MAX_SAMPLE_COUNT, 16); osif_ctrl_signal <= (others => '0'); tri_ce <= '0'; o_RAMWE_tri <= '0'; state_inner_process <= '0'; done := False; elsif rising_edge(clk) then tri_ce <= '0'; o_RAMWE_tri <= '0'; osif_ctrl_signal <= ( others => '0'); case state is -- INIT State gets the address of the header struct when STATE_INIT => snd_comp_get_header(i_osif, o_osif, i_memif, o_memif, snd_comp_header, done); if done then -- Initialize your signals phase_offset_addr <= snd_comp_header.opt_arg_addr; phase_incr_addr <= std_logic_vector(unsigned(snd_comp_header.opt_arg_addr) + 4); state <= STATE_WAITING; end if; when STATE_WAITING => -- Software process "Synthesizer" sends the start signal via mbox_start osif_mbox_get(i_osif, o_osif, MBOX_START, osif_ctrl_signal, done); if done then if osif_ctrl_signal = tri_START then sample_count <= to_unsigned(C_MAX_SAMPLE_COUNT, 16); state <= STATE_REFRESH_INPUT_PHASE_OFFSET; elsif osif_ctrl_signal = tri_EXIT then state <= STATE_EXIT; end if; end if; when STATE_REFRESH_INPUT_PHASE_OFFSET => memif_read_word(i_memif, o_memif, phase_offset_addr, phase_offset, done); if done then state <= STATE_REFRESH_INPUT_PHASE_INCR; end if; when STATE_REFRESH_INPUT_PHASE_INCR => memif_read_word(i_memif, o_memif, phase_incr_addr, phase_incr, done); if done then state <= STATE_PROCESS; end if; when STATE_PROCESS => if sample_count > 0 then case state_inner_process is when '0' => o_RAMWE_tri <= '1'; tri_ce <= '1'; -- ein takt früher state_inner_process <= '1'; when '1' => o_RAMAddr_tri <= std_logic_vector(unsigned(o_RAMAddr_tri) + 1); sample_count <= sample_count - 1; state_inner_process <= '0'; when others => state_inner_process <= '0'; end case; else -- Samples have been generated o_RAMAddr_tri <= (others => '0'); state <= STATE_WRITE_MEM; end if; when STATE_WRITE_MEM => memif_write(i_ram, o_ram, i_memif, o_memif, X"00000000", snd_comp_header.dest_addr, std_logic_vector(to_unsigned(C_LOCAL_RAM_SIZE_IN_BYTES,24)), done); if done then state <= STATE_NOTIFY; end if; when STATE_NOTIFY => osif_mbox_put(i_osif, o_osif, MBOX_FINISH, snd_comp_header.dest_addr, ignore, done); if done then state <= STATE_WAITING; end if; when STATE_EXIT => osif_thread_exit(i_osif,o_osif); end case; end if; end process; end Behavioral; -- ==================================== -- = RECONOS Function Library - Copy and Paste! -- ==================================== -- osif_mbox_put(i_osif, o_osif, MBOX_NAME, SOURCESIGNAL, ignore, done); -- osif_mbox_get(i_osif, o_osif, MBOX_NAME, TARGETSIGNAL, done); -- Read from shared memory: -- Speicherzugriffe: -- Wortzugriff: -- memif_read_word(i_memif, o_memif, addr, TARGETSIGNAL, done); -- memif_write_word(i_memif, o_memif, addr, SOURCESIGNAL, done); -- Die Laenge ist bei Speicherzugriffen Byte adressiert! -- memif_read(i_ram, o_ram, i_memif, o_memif, SRC_ADDR std_logic_vector(31 downto 0); -- dst_addr std_logic_vector(31 downto 0); -- BYTES std_logic_vector(23 downto 0); -- done); -- memif_write(i_ram, o_ram, i_memif, o_memif, -- src_addr : in std_logic_vector(31 downto 0), -- dst_addr : in std_logic_vector(31 downto 0); -- len : in std_logic_vector(23 downto 0); -- done);
mit
jandecaluwe/myhdl-examples
gray_counter/vhdl/gray_counter_28.vhd
1
1286
-- File: gray_counter_28.vhd -- Generated by MyHDL 0.8dev -- Date: Sun Feb 3 17:16:41 2013 library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; use std.textio.all; use work.pck_myhdl_08.all; entity gray_counter_28 is port ( gray_count: out unsigned(27 downto 0); enable: in std_logic; clock: in std_logic; reset: in std_logic ); end entity gray_counter_28; architecture MyHDL of gray_counter_28 is signal even: std_logic; signal gray: unsigned(27 downto 0); begin GRAY_COUNTER_28_SEQ: process (clock, reset) is variable found: std_logic; variable word: unsigned(27 downto 0); begin if (reset = '1') then even <= '1'; gray <= (others => '0'); elsif rising_edge(clock) then word := unsigned'("1" & gray((28 - 2)-1 downto 0) & even); if bool(enable) then found := '0'; for i in 0 to 28-1 loop if ((word(i) = '1') and (not bool(found))) then gray(i) <= stdl((not bool(gray(i)))); found := '1'; end if; end loop; even <= stdl((not bool(even))); end if; end if; end process GRAY_COUNTER_28_SEQ; gray_count <= gray; end architecture MyHDL;
mit
IslamKhaledH/ArchitecturePorject
Project/Forwarding.vhd
1
819
Library ieee; Use ieee.std_logic_1164.all; use ieee.std_logic_signed.all; ENTITY AddSubIncDec IS port( R1_Reg,R2_Reg,ROut_Alu,ROut_Mem: in std_logic_vector(2 downto 0); R1,R2: out std_logic_vector(15 downto 0); R1_Mux,R2_Mux : out std_logic; Alu_Output , Meomry_Output: in std_logic_vector(15 downto 0) --Alu_Output1 , Meomry_Output1: out std_logic_vector(15 downto 0); --WriteBackSignal : in std_logic ); END AddSubIncDec; Architecture archi of AddSubIncDec is begin R1 <= Alu_Output when R1_Reg = ROut_Alu else Meomry_Output when R1_Reg = ROut_Mem; R2 <= Alu_Output when R2_Reg = ROut_Alu else Meomry_Output when R2_Reg = ROut_Mem; R1_Mux <= '1' when R1_Reg = ROut_Alu or R1_Reg = ROut_Mem else '0'; R2_Mux <= '1' when R2_Reg = ROut_Alu or R2_Reg = ROut_Mem else '0'; end archi;
mit
EPiCS/soundgates
hardware/hwt/pcores/soundgates_v1_00_a/hdl/vhdl/soundgates_common_pkg.vhd
1
3997
-- ____ _ _ -- / ___| ___ _ _ _ __ __| | __ _ __ _| |_ ___ ___ -- \___ \ / _ \| | | | '_ \ / _` |/ _` |/ _` | __/ _ \/ __| -- ___) | (_) | |_| | | | | (_| | (_| | (_| | || __/\__ \ -- |____/ \___/ \__,_|_| |_|\__,_|\__, |\__,_|\__\___||___/ -- |___/ -- ====================================================================== -- -- title: VHDL Package - soundgates_common_pkg -- -- project: PG-Soundgates -- author: Lukas Funke, University of Paderborn -- -- description: Common functions, declaration, constants for sound -- processing components -- -- ====================================================================== library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.NUMERIC_STD.ALL; use IEEE.MATH_REAL.ALL; package soundgates_common_pkg is -- Constant declarations constant SOUNDGATE_FIX_PT_SCALING : real := 28.0; constant MAX_NCO_FREQUNCY : integer := 16000; constant SAMPLE_WIDTH : integer := 32; -- Type declarations type Phase_Increment_Array is array(0 to MAX_NCO_FREQUNCY) of signed(31 downto 0); type WAVEFORM_TYPE is ( SIN, SQU, SAW, TRI); type NOISE_TYPE is ( WHITE, PINK, GREY ); type ARITHMETIC_TYPE is ( ADD, SUB, MUL ); type mem16 is array (natural range <>) of signed(15 downto 0); type mem24 is array (natural range <>) of signed(23 downto 0); type mem32 is array (natural range <>) of signed(31 downto 0); type mem64 is array (natural range <>) of signed(63 downto 0); ------------------------------------------------------------ -- Functions and Procedure declarations ------------------------------------------------------------ ------------------------------------------------------------ function Precalculate_Phase_Increments (FPGA_FREQUENCY : integer) return Phase_Increment_Array; function Precalculate_Cordic_Phase_Increments (FPGA_FREQUENCY : integer) return Phase_Increment_Array; function Get_Cordic_Phase_Increment (FPGA_FREQUENCY, SIN_FREQUENCY : integer) return signed; ------------------------------------------------------------ end package soundgates_common_pkg; package body soundgates_common_pkg is function Get_Cordic_Phase_Increment (FPGA_FREQUENCY, SIN_FREQUENCY : integer) return signed is variable stepsize : integer; variable phi_incr_real : real; variable phi_incr_signed : signed(31 downto 0); begin if SIN_FREQUENCY > 0 then --stepsize := FPGA_FREQUENCY / SIN_FREQUENCY; --phi_incr_real := MATH_PI * 2.0 / real(stepsize); --phi_incr_signed := to_signed(integer(real(phi_incr_real) * 2**SOUNDGATE_FIX_PT_SCALING), 32); phi_incr_real := (MATH_PI * 2.0 * real(SIN_FREQUENCY) / 44100.0) * 2**SOUNDGATE_FIX_PT_SCALING; phi_incr_signed := to_signed(integer(phi_incr_real), 32); else phi_incr_signed := to_signed(0, 32); end if; return phi_incr_signed; end Get_Cordic_Phase_Increment; function Precalculate_Cordic_Phase_Increments (FPGA_FREQUENCY : integer) return Phase_Increment_Array is variable tmp : phase_increment_array; variable stepsize : integer; variable phi_offset : real; begin for i in 0 to MAX_NCO_FREQUNCY loop if i > 0 then stepsize := FPGA_FREQUENCY / i; phi_offset := MATH_PI * 2.0 / real(stepsize); tmp(i) := to_signed(integer(real(phi_offset) * 2**SOUNDGATE_FIX_PT_SCALING), 32); else tmp(i) := to_signed(0, 32); end if; end loop; return tmp; end Precalculate_Cordic_Phase_Increments; function Precalculate_Phase_Increments (FPGA_FREQUENCY : integer) return Phase_Increment_Array is variable tmp : phase_increment_array; begin for i in 0 to MAX_NCO_FREQUNCY loop if i > 0 then tmp(i) := to_signed(FPGA_FREQUENCY / i, 32); else tmp(i) := to_signed(0, 32); end if; end loop; return tmp; end Precalculate_Phase_Increments; end package body soundgates_common_pkg;
mit
bver/GERET
sample/vhdl_design/adder.vhdl
1
502
-- The original source comes from: -- http://ghdl.free.fr/ghdl/A-full-adder.html entity adder is -- i0, i1 and the carry-in ci are inputs of the adder. -- s is the sum output, co is the carry-out. port (i0, i1 : in bit; ci : in bit; s : out bit; co : out bit); end adder; architecture rtl of adder is begin -- This full-adder architecture contains two concurrent assignment. -- Compute the sum. s <= i0 xor i1 xor ci; co <= (i0 and i1) or (i0 and ci) or (i1 and ci); end rtl;
mit
FranciscoKnebel/ufrgs-projects
neander/neanderImplementation/ipcore_dir/dualBRAM/simulation/dualBRAM_tb.vhd
1
4517
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Top File for the Example Testbench -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- Filename: dualBRAM_tb.vhd -- Description: -- Testbench Top -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY work; USE work.ALL; ENTITY dualBRAM_tb IS END ENTITY; ARCHITECTURE dualBRAM_tb_ARCH OF dualBRAM_tb IS SIGNAL STATUS : STD_LOGIC_VECTOR(8 DOWNTO 0); SIGNAL CLK : STD_LOGIC := '1'; SIGNAL CLKB : STD_LOGIC := '1'; SIGNAL RESET : STD_LOGIC; BEGIN CLK_GEN: PROCESS BEGIN CLK <= NOT CLK; WAIT FOR 100 NS; CLK <= NOT CLK; WAIT FOR 100 NS; END PROCESS; CLKB_GEN: PROCESS BEGIN CLKB <= NOT CLKB; WAIT FOR 100 NS; CLKB <= NOT CLKB; WAIT FOR 100 NS; END PROCESS; RST_GEN: PROCESS BEGIN RESET <= '1'; WAIT FOR 1000 NS; RESET <= '0'; WAIT; END PROCESS; --STOP_SIM: PROCESS BEGIN -- WAIT FOR 200 US; -- STOP SIMULATION AFTER 1 MS -- ASSERT FALSE -- REPORT "END SIMULATION TIME REACHED" -- SEVERITY FAILURE; --END PROCESS; -- PROCESS BEGIN WAIT UNTIL STATUS(8)='1'; IF( STATUS(7 downto 0)/="0") THEN ASSERT false REPORT "Test Completed Successfully" SEVERITY NOTE; REPORT "Simulation Failed" SEVERITY FAILURE; ELSE ASSERT false REPORT "TEST PASS" SEVERITY NOTE; REPORT "Test Completed Successfully" SEVERITY FAILURE; END IF; END PROCESS; dualBRAM_synth_inst:ENTITY work.dualBRAM_synth PORT MAP( CLK_IN => CLK, CLKB_IN => CLK, RESET_IN => RESET, STATUS => STATUS ); END ARCHITECTURE;
mit
hamsternz/FPGA_DisplayPort
src/test_streams/insert_main_stream_attrbutes_one_channel.vhd
1
13069
---------------------------------------------------------------------------------- -- Module Name: insert_main_stream_attrbutes - Behavioral -- -- Description: Add the Main Stream Attributes into a DisplayPort stream. -- -- Places the MSA after the first VIB-ID which has the vblank -- bit set (after allowing for repeated VB-ID/Mvid/Maud sequences -- -- The MSA requires up to 39 cycles (for signal channel) or -- Only 12 cycles (for four channels). -- -- ---------------------------------------------------------------------------------- -- FPGA_DisplayPort from https://github.com/hamsternz/FPGA_DisplayPort ------------------------------------------------------------------------------------ -- The MIT License (MIT) -- -- Copyright (c) 2015 Michael Alan Field <[email protected]> -- -- Permission is hereby granted, free of charge, to any person obtaining a copy -- of this software and associated documentation files (the "Software"), to deal -- in the Software without restriction, including without limitation the rights -- to use, copy, modify, merge, publish, distribute, sublicense, and/or sell -- copies of the Software, and to permit persons to whom the Software is -- furnished to do so, subject to the following conditions: -- -- The above copyright notice and this permission notice shall be included in -- all copies or substantial portions of the Software. -- -- THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR -- IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, -- FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE -- AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER -- LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, -- OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN -- THE SOFTWARE. ------------------------------------------------------------------------------------ ----- Want to say thanks? ---------------------------------------------------------- ------------------------------------------------------------------------------------ -- -- This design has taken many hours - 3 months of work. I'm more than happy -- to share it if you can make use of it. It is released under the MIT license, -- so you are not under any onus to say thanks, but.... -- -- If you what to say thanks for this design either drop me an email, or how about -- trying PayPal to my email ([email protected])? -- -- Educational use - Enough for a beer -- Hobbyist use - Enough for a pizza -- Research use - Enough to take the family out to dinner -- Commercial use - A weeks pay for an engineer (I wish!) -------------------------------------------------------------------------------------- -- Ver | Date | Change --------+------------+--------------------------------------------------------------- -- 0.1 | 2015-09-17 | Initial Version ------------------------------------------------------------------------------------ library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; entity insert_main_stream_attrbutes_one_channel is port ( clk : std_logic; ----------------------------------------------------- -- This determines how the MSA is packed ----------------------------------------------------- active : std_logic; ----------------------------------------------------- -- The MSA values (some are range reduced and could -- be 16 bits ins size) ----------------------------------------------------- M_value : in std_logic_vector(23 downto 0); N_value : in std_logic_vector(23 downto 0); H_visible : in std_logic_vector(11 downto 0); V_visible : in std_logic_vector(11 downto 0); H_total : in std_logic_vector(11 downto 0); V_total : in std_logic_vector(11 downto 0); H_sync_width : in std_logic_vector(11 downto 0); V_sync_width : in std_logic_vector(11 downto 0); H_start : in std_logic_vector(11 downto 0); V_start : in std_logic_vector(11 downto 0); H_vsync_active_high : in std_logic; V_vsync_active_high : in std_logic; flag_sync_clock : in std_logic; flag_YCCnRGB : in std_logic; flag_422n444 : in std_logic; flag_range_reduced : in std_logic; flag_interlaced_even : in std_logic; flag_YCC_colour_709 : in std_logic; flags_3d_Indicators : in std_logic_vector(1 downto 0); bits_per_colour : in std_logic_vector(4 downto 0); ----------------------------------------------------- -- The stream of pixel data coming in and out ----------------------------------------------------- in_data : in std_logic_vector(72 downto 0); out_data : out std_logic_vector(72 downto 0) := (others => '0')); end entity; architecture arch of insert_main_stream_attrbutes_one_channel is constant SS : std_logic_vector(8 downto 0) := "101011100"; -- K28.2 constant SE : std_logic_vector(8 downto 0) := "111111101"; -- K29.7 constant BS : std_logic_vector(8 downto 0) := "110111100"; -- K28.5 type t_msa is array(0 to 39) of std_logic_vector(8 downto 0); signal msa : t_msa := (others => (others => '0')); signal Misc0 : std_logic_vector(7 downto 0); signal Misc1 : std_logic_vector(7 downto 0); signal count : signed(4 downto 0) := (others => '0'); signal last_was_bs : std_logic := '0'; signal armed : std_logic := '0'; begin with bits_per_colour select misc0(7 downto 5)<= "000" when "00110", -- 6 bpc "001" when "01000", -- 8 bpp "010" when "01010", -- 10 bpp "011" when "01100", -- 12 bpp "100" when "10000", -- 16 bpp "001" when others; -- default to 8 misc0(4) <= flag_YCC_colour_709; misc0(3) <= flag_range_reduced; misc0(2 downto 1) <= "00" when flag_YCCnRGB = '0' else -- RGB444 "01" when flag_422n444 = '1' else -- YCC422 "10"; -- YCC444 misc0(0) <= flag_sync_clock; misc1 <= "00000" & flags_3d_Indicators & flag_interlaced_even; -------------------------------------------- -- Build data fields for 4 lane case. -- SS and SE symbols are set in declaration. -------------------------------------------- process(clk) begin if rising_edge(clk) then -- default to copying the input data across out_data <= in_data; case count is when "00000" => NULL; -- while waiting for BS symbol when "00001" => NULL; -- reserved for VB-ID, Maud, Mvid when "00010" => NULL; -- reserved for VB-ID, Maud, Mvid when "00011" => NULL; -- reserved for VB-ID, Maud, Mvid when "00100" => NULL; -- reserved for VB-ID, Maud, Mvid when "00101" => NULL; -- reserved for VB-ID, Maud, Mvid when "00110" => NULL; -- reserved for VB-ID, Maud, Mvid when "00111" => out_data(17 downto 0) <= SS & SS; when "01000" => out_data(17 downto 0) <= "0" & M_value(15 downto 8) & "0" & M_value(23 downto 16); when "01001" => out_data(17 downto 0) <= "0" & "0000" & H_total(11 downto 8) & "0" & M_value( 7 downto 0); when "01010" => out_data(17 downto 0) <= "0" & "0000" & V_total(11 downto 8) & "0" & H_total( 7 downto 0); when "01011" => out_data(17 downto 0) <= "0" & H_vsync_active_high & "000" & H_sync_width(11 downto 8) & "0" & V_total( 7 downto 0); when "01100" => out_data(17 downto 0) <= "0" & M_value(23 downto 16) & "0" & H_sync_width(7 downto 0); when "01101" => out_data(17 downto 0) <= "0" & M_value( 7 downto 0) & "0" & M_value(15 downto 8); when "01110" => out_data(17 downto 0) <= "0" & H_start( 7 downto 0) & "0" & "0000" & H_start(11 downto 8); when "01111" => out_data(17 downto 0) <= "0" & V_start( 7 downto 0) & "0" & "0000" & V_start(11 downto 8); when "10000" => out_data(17 downto 0) <= "0" & V_sync_width(7 downto 0) & "0" & V_vsync_active_high & "000" & V_sync_width(11 downto 8); when "10001" => out_data(17 downto 0) <= "0" & M_value(15 downto 8) & "0" & M_value(23 downto 16); when "10010" => out_data(17 downto 0) <= "0" & "0000" & H_visible(11 downto 8) & "0" & M_value( 7 downto 0); when "10011" => out_data(17 downto 0) <= "0" & "0000" & V_visible(11 downto 8) & "0" & H_visible( 7 downto 0); when "10100" => out_data(17 downto 0) <= "0" & "00000000" & "0" & V_visible( 7 downto 0); when "10101" => out_data(17 downto 0) <= "0" & M_value(23 downto 16) & "0" & "00000000"; when "10110" => out_data(17 downto 0) <= "0" & M_value( 7 downto 0) & "0" & M_value(15 downto 8); when "10111" => out_data(17 downto 0) <= "0" & N_value(15 downto 8) & "0" & N_value(23 downto 16); when "11000" => out_data(17 downto 0) <= "0" & Misc0 & "0" & N_value( 7 downto 0); when "11001" => out_data(17 downto 0) <= "0" & "00000000" & "0" & Misc1; when "11010" => out_data(17 downto 0) <= "0" & "00000000" & SE; when others => NULL; end case; ----------------------------------------------------------- -- Update the counter ------------------------------------------------------------ if count = "11011" then count <= (others => '0'); elsif count /= "00000" then count <= count + 1; end if; --------------------------------------------- -- Was the BS in the channel 0's data1 symbol -- during the last cycle? --------------------------------------------- if last_was_bs = '1' then --------------------------------- -- This time in_ch0_data0 = VB-ID -- First, see if this is a line in -- the VSYNC --------------------------------- if in_data(0) = '1' then if armed = '1' then count <= "00001"; armed <= '0'; end if; else -- Not in the Vblank. so arm the trigger to send the MSA -- when the next BS with Vblank asserted occurs armed <= active; end if; end if; --------------------------------------------- -- Is the BS in the channel 0's data0 symbol? --------------------------------------------- if in_data(8 downto 0) = BS then --------------------------------- -- This time in_data(17 downto 9) = VB-ID -- First, see if this is a line in -- the VSYNC --------------------------------- if in_data(9) = '1' then if armed = '1' then count <= "00001"; armed <= '0'; end if; else -- Not in the Vblank. so arm the trigger to send the MSA -- when the next BS with Vblank asserted occurs armed <= '1'; end if; end if; --------------------------------------------- -- Is the BS in the channel 0's data1 symbol? --------------------------------------------- if in_data(17 downto 9) = BS then last_was_bs <= '1'; else last_was_bs <= '0'; end if; end if; end process; end architecture;
mit
hamsternz/FPGA_DisplayPort
src/vga_to_dp_stream/memory_32x36_r128x9.vhd
1
2484
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 10:22:40 10/12/2015 -- Design Name: -- Module Name: memory_32x36_r128x9 - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; entity memory_32x36_r128x9 is Port ( clk_a : in STD_LOGIC; addr_a : in STD_LOGIC_VECTOR (4 downto 0); data_a : in STD_LOGIC_VECTOR (35 downto 0); we_a : in STD_LOGIC; clk_bc : in STD_LOGIC; addr_b : in STD_LOGIC_VECTOR (6 downto 0); data_b_0 : out STD_LOGIC_VECTOR (8 downto 0) := (others => '0'); data_b_1 : out STD_LOGIC_VECTOR (8 downto 0) := (others => '0')); end memory_32x36_r128x9; architecture Behavioral of memory_32x36_r128x9 is type a_mem is array(0 to 31) of std_logic_vector(35 downto 0); signal mem : a_mem := (others => (others => '0')); signal this_b : std_logic_vector(addr_b'high-2 downto 0); signal next_b : std_logic_vector(addr_b'high-2 downto 0); begin this_b <= std_logic_vector(unsigned(addr_b(addr_b'high downto 2))+1); next_b <= std_logic_vector(unsigned(addr_b(addr_b'high downto 2))+1); process(clk_a) begin if rising_edge(clk_a) then if we_a = '1' then mem(to_integer(unsigned(addr_a))) <= data_a; end if; end if; end process; process(clk_bc) begin if rising_edge(clk_bc) then case addr_b(1 downto 0) is when "00" => data_b_0 <= mem(to_integer(unsigned(this_b)))( 8 downto 0); data_b_1 <= mem(to_integer(unsigned(this_b)))(17 downto 9); when "01" => data_b_0 <= mem(to_integer(unsigned(this_b)))(17 downto 9); data_b_1 <= mem(to_integer(unsigned(this_b)))(26 downto 18); when others => data_b_0 <= mem(to_integer(unsigned(this_b)))(26 downto 18); data_b_1 <= mem(to_integer(unsigned(next_b)))( 8 downto 0); end case; end if; end process; end Behavioral;
mit
FranciscoKnebel/ufrgs-projects
neander/neanderImplementation/ipcore_dir/dualBRAM/simulation/addr_gen.vhd
101
4409
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Address Generator -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: addr_gen.vhd -- -- Description: -- Address Generator -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY work; USE work.ALL; ENTITY ADDR_GEN IS GENERIC ( C_MAX_DEPTH : INTEGER := 1024 ; RST_VALUE : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS=> '0'); RST_INC : INTEGER := 0); PORT ( CLK : IN STD_LOGIC; RST : IN STD_LOGIC; EN : IN STD_LOGIC; LOAD :IN STD_LOGIC; LOAD_VALUE : IN STD_LOGIC_VECTOR (31 DOWNTO 0) := (OTHERS => '0'); ADDR_OUT : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) --OUTPUT VECTOR ); END ADDR_GEN; ARCHITECTURE BEHAVIORAL OF ADDR_GEN IS SIGNAL ADDR_TEMP : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS =>'0'); BEGIN ADDR_OUT <= ADDR_TEMP; PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(RST='1') THEN ADDR_TEMP<= RST_VALUE + conv_std_logic_vector(RST_INC,32 ); ELSE IF(EN='1') THEN IF(LOAD='1') THEN ADDR_TEMP <=LOAD_VALUE; ELSE IF(ADDR_TEMP = C_MAX_DEPTH-1) THEN ADDR_TEMP<= RST_VALUE + conv_std_logic_vector(RST_INC,32 ); ELSE ADDR_TEMP <= ADDR_TEMP + '1'; END IF; END IF; END IF; END IF; END IF; END PROCESS; END ARCHITECTURE;
mit
hamsternz/FPGA_DisplayPort
src/pixel_x4_generator.vhd
1
7969
---------------------------------------------------------------------------------- -- Module Name: pixel_x4_generator - Behavioral -- -- Description: A module to generate a group of pixels at a time. -- Not yet in use in the main project. -- ---------------------------------------------------------------------------------- -- NOTE FOR THIS TO WORK CORRECTLY h_visible_en, h_blank_len, h_front_len & h_sync_len -- MUST BE DIVISIBLE BY 4!!!!! ------------------------------------------------------------------------------------------ ---------------------------------------------------------------------------------- -- FPGA_DisplayPort from https://github.com/hamsternz/FPGA_DisplayPort ------------------------------------------------------------------------------------ -- The MIT License (MIT) -- -- Copyright (c) 2015 Michael Alan Field <[email protected]> -- -- Permission is hereby granted, free of charge, to any person obtaining a copy -- of this software and associated documentation files (the "Software"), to deal -- in the Software without restriction, including without limitation the rights -- to use, copy, modify, merge, publish, distribute, sublicense, and/or sell -- copies of the Software, and to permit persons to whom the Software is -- furnished to do so, subject to the following conditions: -- -- The above copyright notice and this permission notice shall be included in -- all copies or substantial portions of the Software. -- -- THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR -- IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, -- FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE -- AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER -- LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, -- OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN -- THE SOFTWARE. ------------------------------------------------------------------------------------ ----- Want to say thanks? ---------------------------------------------------------- ------------------------------------------------------------------------------------ -- -- This design has taken many hours - 3 months of work. I'm more than happy -- to share it if you can make use of it. It is released under the MIT license, -- so you are not under any onus to say thanks, but.... -- -- If you what to say thanks for this design either drop me an email, or how about -- trying PayPal to my email ([email protected])? -- -- Educational use - Enough for a beer -- Hobbyist use - Enough for a pizza -- Research use - Enough to take the family out to dinner -- Commercial use - A weeks pay for an engineer (I wish!) -------------------------------------------------------------------------------------- -- Ver | Date | Change --------+------------+--------------------------------------------------------------- -- 0.1 | 2015-09-17 | Initial Version ------------------------------------------------------------------------------------ library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; entity pixel_x4_generator is port ( clk_135 : in std_logic; pixel_rate_div_10k : in std_logic(15 downto 0); -- 0 to 643.5 MHz rates h_visible_len : in std_logic(11 downto 0); h_blank_len : in std_logic(11 downto 0); h_front_len : in std_logic(11 downto 0); h_sync_len : in std_logic(11 downto 0); v_visible_len : in std_logic(11 downto 0); v_blank_len : in std_logic(11 downto 0); v_front_len : in std_logic(11 downto 0); v_sync_len : in std_logic(11 downto 0); ----------------------------------------------- px_de : out std_logic; px_blank : out std_logic; px_vsync : out std_logic; px_hsync : out std_logic; p0_Cb : out std_logic(7 downto 0); p0_Y : out std_logic(7 downto 0); p1_Cr : out std_logic(7 downto 0); p1_Y : out std_logic(7 downto 0); p2_Cb : out std_logic(7 downto 0); p2_Y : out std_logic(7 downto 0); p2_blue : out std_logic(7 downto 0); p2_Cr : out std_logic(7 downto 0); p2_Y : out std_logic(7 downto 0)); end entity; architecture arch of pixel_x4_generator is signal h_count_0 : unsigned(11 downto 0) := (others => '0'); signal h_counter : unsigned(11 downto 0) := (others => '0'); signal v_counter : unsigned(11 downto 0) := (others => '0'); signal v_sync : std_logic := '0'; signal v_blank : std_logic := '0'; signal new_pixels : std_logic := '0'; signal phase_accumulator : unsigned(18 downto 0) := (others => '0'); begin clk_proc: process(clk_135) begin if rising_edge(clk_135) then h_total <= h_visible_len - h_blank_len; ------------------------------------- -- Generate new pixels ------------------------------------- px_de <= '0'; if new_pixels = '1' then px_de <= '1'; ----------------- -- For all pixels ----------------- -- Are we in the horizontal sync? if h_count_0 >= h_front_len and h_count_0 < h_front_len+h_sync_len then px_hsync <= '1'; else px_hsync <= '0'; end if; -- Are we in the horizontal blank? px_blank <= '0'; if h_count_0 < h_blank_len then px_blank <= '1'; end if; -- Are we in the vertical blank? if v_count_0 < v_blank_len then px_blank <= '1'; end if; -- Are we in the vertical sync? if v_count_0 > v_front_len or v_counter < v_front_len+v_sync_len then px_vsync <= '1'; else px_vsync <= '0'; end if; ------------------- -- Per pixel levels ------------------- if h_count_0 < h_blank_len then p0_cb <= x"80"; p1_cb <= x"80"; p2_cb <= x"80"; p3_cb <= x"80"; p0_y <= x"10"; p1_y <= x"10"; p2_y <= x"10"; p3_y <= x"10"; else p0_cb <= x"80"; p1_cb <= x"80"; p2_cb <= x"80"; p3_cb <= x"80"; p0_y <= std_logic_vector(h_count_0(7 downto 0)); p1_y <= std_logic_vector(h_count_0(7 downto 0)); p2_y <= std_logic_vector(h_count_0(7 downto 0)); p3_y <= std_logic_vector(h_count_0(7 downto 0)); end if; end if; --------------------------------------------- -- Advance the counters and trigger the -- generation of four new pixels --------------------------------------------- if generate_pixels = '1' then new_pixels <= '1'; h_count_0 <= h_counter; v_count_0 <= v_counter; if h_counter >= h_blank_len+h_visible_len-4 then h_counter <= (others => '0'); if v_counter = v_blank_len + v_visible_len then v_counter <= (others => '0'); else v_counter <= v_counter + 1; end if; else h_counter <= h_counter+4; end if; else new_pixels <= '0'; end if; -------------------------------------------------- -- Generate a pulse at 1/4th the pixel clock rate -- but in the clk_135 domain. -------------------------------------------------- if phase_accumulator < pixel_rate_div_10k then phase_accumulator <= phase_accumulator + (13500*4) - pixel_rate_div_10k; generate_pixels <= '1'; else phase_accumulator <= phase_accumulator - pixel_rate_div_10k; generate_pixels <= '0'; end if; end if; end process; end architecture;
mit
FranciscoKnebel/ufrgs-projects
neander/neanderImplementation/ipcore_dir/dualBRAM/simulation/bmg_stim_gen.vhd
1
15668
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Stimulus Generator For TDP -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: bmg_stim_gen.vhd -- -- Description: -- Stimulus Generation For TDP -- 100 Writes and 100 Reads will be performed in a repeatitive loop till the -- simulation ends -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; USE IEEE.STD_LOGIC_MISC.ALL; LIBRARY work; USE work.ALL; USE work.BMG_TB_PKG.ALL; ENTITY REGISTER_LOGIC_TDP IS PORT( Q : OUT STD_LOGIC; CLK : IN STD_LOGIC; RST : IN STD_LOGIC; D : IN STD_LOGIC ); END REGISTER_LOGIC_TDP; ARCHITECTURE REGISTER_ARCH OF REGISTER_LOGIC_TDP IS SIGNAL Q_O : STD_LOGIC :='0'; BEGIN Q <= Q_O; FF_BEH: PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(RST ='1') THEN Q_O <= '0'; ELSE Q_O <= D; END IF; END IF; END PROCESS; END REGISTER_ARCH; LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; --USE IEEE.NUMERIC_STD.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; USE IEEE.STD_LOGIC_MISC.ALL; LIBRARY work; USE work.ALL; USE work.BMG_TB_PKG.ALL; ENTITY BMG_STIM_GEN IS PORT ( CLKA : IN STD_LOGIC; CLKB : IN STD_LOGIC; TB_RST : IN STD_LOGIC; ADDRA : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); DINA : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); WEA : OUT STD_LOGIC_VECTOR (0 DOWNTO 0) := (OTHERS => '0'); WEB : OUT STD_LOGIC_VECTOR (0 DOWNTO 0) := (OTHERS => '0'); ADDRB : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); DINB : OUT STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); CHECK_DATA: OUT STD_LOGIC_VECTOR(1 DOWNTO 0):=(OTHERS => '0') ); END BMG_STIM_GEN; ARCHITECTURE BEHAVIORAL OF BMG_STIM_GEN IS CONSTANT ZERO : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); CONSTANT ADDR_ZERO : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); CONSTANT DATA_PART_CNT_A : INTEGER:= DIVROUNDUP(8,8); CONSTANT DATA_PART_CNT_B : INTEGER:= DIVROUNDUP(8,8); SIGNAL WRITE_ADDR_A : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); SIGNAL WRITE_ADDR_B : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); SIGNAL WRITE_ADDR_INT_A : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); SIGNAL READ_ADDR_INT_A : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); SIGNAL WRITE_ADDR_INT_B : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); SIGNAL READ_ADDR_INT_B : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); SIGNAL READ_ADDR_A : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); SIGNAL READ_ADDR_B : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); SIGNAL DINA_INT : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); SIGNAL DINB_INT : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); SIGNAL MAX_COUNT : STD_LOGIC_VECTOR(10 DOWNTO 0):=CONV_STD_LOGIC_VECTOR(256,11); SIGNAL DO_WRITE_A : STD_LOGIC := '0'; SIGNAL DO_READ_A : STD_LOGIC := '0'; SIGNAL DO_WRITE_B : STD_LOGIC := '0'; SIGNAL DO_READ_B : STD_LOGIC := '0'; SIGNAL COUNT_NO : STD_LOGIC_VECTOR (10 DOWNTO 0):=(OTHERS => '0'); SIGNAL DO_READ_RA : STD_LOGIC := '0'; SIGNAL DO_READ_RB : STD_LOGIC := '0'; SIGNAL DO_READ_REG_A: STD_LOGIC_VECTOR(4 DOWNTO 0) :=(OTHERS => '0'); SIGNAL DO_READ_REG_B: STD_LOGIC_VECTOR(4 DOWNTO 0) :=(OTHERS => '0'); SIGNAL COUNT : integer := 0; SIGNAL COUNT_B : integer := 0; CONSTANT WRITE_CNT_A : integer := 6; CONSTANT READ_CNT_A : integer := 6; CONSTANT WRITE_CNT_B : integer := 4; CONSTANT READ_CNT_B : integer := 4; signal porta_wr_rd : std_logic:='0'; signal portb_wr_rd : std_logic:='0'; signal porta_wr_rd_complete: std_logic:='0'; signal portb_wr_rd_complete: std_logic:='0'; signal incr_cnt : std_logic :='0'; signal incr_cnt_b : std_logic :='0'; SIGNAL PORTB_WR_RD_HAPPENED: STD_LOGIC :='0'; SIGNAL LATCH_PORTA_WR_RD_COMPLETE : STD_LOGIC :='0'; SIGNAL PORTA_WR_RD_L1 :STD_LOGIC :='0'; SIGNAL PORTA_WR_RD_L2 :STD_LOGIC :='0'; SIGNAL PORTB_WR_RD_R1 :STD_LOGIC :='0'; SIGNAL PORTB_WR_RD_R2 :STD_LOGIC :='0'; SIGNAL PORTA_WR_RD_HAPPENED: STD_LOGIC :='0'; SIGNAL LATCH_PORTB_WR_RD_COMPLETE : STD_LOGIC :='0'; SIGNAL PORTB_WR_RD_L1 :STD_LOGIC :='0'; SIGNAL PORTB_WR_RD_L2 :STD_LOGIC :='0'; SIGNAL PORTA_WR_RD_R1 :STD_LOGIC :='0'; SIGNAL PORTA_WR_RD_R2 :STD_LOGIC :='0'; BEGIN WRITE_ADDR_INT_A(7 DOWNTO 0) <= WRITE_ADDR_A(7 DOWNTO 0); READ_ADDR_INT_A(7 DOWNTO 0) <= READ_ADDR_A(7 DOWNTO 0); ADDRA <= IF_THEN_ELSE(DO_WRITE_A='1',WRITE_ADDR_INT_A,READ_ADDR_INT_A) ; WRITE_ADDR_INT_B(7 DOWNTO 0) <= WRITE_ADDR_B(7 DOWNTO 0); --To avoid collision during idle period, negating the read_addr of port A READ_ADDR_INT_B(7 DOWNTO 0) <= IF_THEN_ELSE( (DO_WRITE_B='0' AND DO_READ_B='0'),ADDR_ZERO,READ_ADDR_B(7 DOWNTO 0)); ADDRB <= IF_THEN_ELSE(DO_WRITE_B='1',WRITE_ADDR_INT_B,READ_ADDR_INT_B) ; DINA <= DINA_INT ; DINB <= DINB_INT ; CHECK_DATA(0) <= DO_READ_A; CHECK_DATA(1) <= DO_READ_B; RD_ADDR_GEN_INST_A:ENTITY work.ADDR_GEN GENERIC MAP( C_MAX_DEPTH => 256, RST_INC => 1 ) PORT MAP( CLK => CLKA, RST => TB_RST, EN => DO_READ_A, LOAD => '0', LOAD_VALUE => ZERO, ADDR_OUT => READ_ADDR_A ); WR_ADDR_GEN_INST_A:ENTITY work.ADDR_GEN GENERIC MAP( C_MAX_DEPTH =>256 , RST_INC => 1 ) PORT MAP( CLK => CLKA, RST => TB_RST, EN => DO_WRITE_A, LOAD => '0', LOAD_VALUE => ZERO, ADDR_OUT => WRITE_ADDR_A ); RD_ADDR_GEN_INST_B:ENTITY work.ADDR_GEN GENERIC MAP( C_MAX_DEPTH => 256 , RST_INC => 1 ) PORT MAP( CLK => CLKB, RST => TB_RST, EN => DO_READ_B, LOAD => '0', LOAD_VALUE => ZERO, ADDR_OUT => READ_ADDR_B ); WR_ADDR_GEN_INST_B:ENTITY work.ADDR_GEN GENERIC MAP( C_MAX_DEPTH => 256 , RST_INC => 1 ) PORT MAP( CLK => CLKB, RST => TB_RST, EN => DO_WRITE_B, LOAD => '0', LOAD_VALUE => ZERO, ADDR_OUT => WRITE_ADDR_B ); WR_DATA_GEN_INST_A:ENTITY work.DATA_GEN GENERIC MAP ( DATA_GEN_WIDTH =>8, DOUT_WIDTH => 8, DATA_PART_CNT => 1, SEED => 2) PORT MAP ( CLK =>CLKA, RST => TB_RST, EN => DO_WRITE_A, DATA_OUT => DINA_INT ); WR_DATA_GEN_INST_B:ENTITY work.DATA_GEN GENERIC MAP ( DATA_GEN_WIDTH =>8, DOUT_WIDTH =>8 , DATA_PART_CNT =>1, SEED => 2) PORT MAP ( CLK =>CLKB, RST => TB_RST, EN => DO_WRITE_B, DATA_OUT => DINB_INT ); PROCESS(CLKB) BEGIN IF(RISING_EDGE(CLKB)) THEN IF(TB_RST='1') THEN LATCH_PORTB_WR_RD_COMPLETE<='0'; ELSIF(PORTB_WR_RD_COMPLETE='1') THEN LATCH_PORTB_WR_RD_COMPLETE <='1'; ELSIF(PORTA_WR_RD_HAPPENED='1') THEN LATCH_PORTB_WR_RD_COMPLETE<='0'; END IF; END IF; END PROCESS; PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(TB_RST='1') THEN PORTB_WR_RD_L1 <='0'; PORTB_WR_RD_L2 <='0'; ELSE PORTB_WR_RD_L1 <= LATCH_PORTB_WR_RD_COMPLETE; PORTB_WR_RD_L2 <= PORTB_WR_RD_L1; END IF; END IF; END PROCESS; PORTA_WR_RD_EN: PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(TB_RST='1') THEN PORTA_WR_RD <='1'; ELSE PORTA_WR_RD <= PORTB_WR_RD_L2; END IF; END IF; END PROCESS; PROCESS(CLKB) BEGIN IF(RISING_EDGE(CLKB)) THEN IF(TB_RST='1') THEN PORTA_WR_RD_R1 <='0'; PORTA_WR_RD_R2 <='0'; ELSE PORTA_WR_RD_R1 <=PORTA_WR_RD; PORTA_WR_RD_R2 <=PORTA_WR_RD_R1; END IF; END IF; END PROCESS; PORTA_WR_RD_HAPPENED <= PORTA_WR_RD_R2; PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(TB_RST='1') THEN LATCH_PORTA_WR_RD_COMPLETE<='0'; ELSIF(PORTA_WR_RD_COMPLETE='1') THEN LATCH_PORTA_WR_RD_COMPLETE <='1'; ELSIF(PORTB_WR_RD_HAPPENED='1') THEN LATCH_PORTA_WR_RD_COMPLETE<='0'; END IF; END IF; END PROCESS; PROCESS(CLKB) BEGIN IF(RISING_EDGE(CLKB)) THEN IF(TB_RST='1') THEN PORTA_WR_RD_L1 <='0'; PORTA_WR_RD_L2 <='0'; ELSE PORTA_WR_RD_L1 <= LATCH_PORTA_WR_RD_COMPLETE; PORTA_WR_RD_L2 <= PORTA_WR_RD_L1; END IF; END IF; END PROCESS; PORTB_EN: PROCESS(CLKB) BEGIN IF(RISING_EDGE(CLKB)) THEN IF(TB_RST='1') THEN PORTB_WR_RD <='0'; ELSE PORTB_WR_RD <= PORTA_WR_RD_L2; END IF; END IF; END PROCESS; PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(TB_RST='1') THEN PORTB_WR_RD_R1 <='0'; PORTB_WR_RD_R2 <='0'; ELSE PORTB_WR_RD_R1 <=PORTB_WR_RD; PORTB_WR_RD_R2 <=PORTB_WR_RD_R1; END IF; END IF; END PROCESS; ---double registered of porta complete on portb clk PORTB_WR_RD_HAPPENED <= PORTB_WR_RD_R2; PORTA_WR_RD_COMPLETE <= '1' when count=(WRITE_CNT_A+READ_CNT_A) else '0'; start_counter: process(clka) begin if(rising_edge(clka)) then if(TB_RST='1') then incr_cnt <= '0'; elsif(porta_wr_rd ='1') then incr_cnt <='1'; elsif(porta_wr_rd_complete='1') then incr_cnt <='0'; end if; end if; end process; COUNTER: process(clka) begin if(rising_edge(clka)) then if(TB_RST='1') then count <= 0; elsif(incr_cnt='1') then count<=count+1; end if; if(count=(WRITE_CNT_A+READ_CNT_A)) then count<=0; end if; end if; end process; DO_WRITE_A<='1' when (count <WRITE_CNT_A and incr_cnt='1') else '0'; DO_READ_A <='1' when (count >WRITE_CNT_A and incr_cnt='1') else '0'; PORTB_WR_RD_COMPLETE <= '1' when count_b=(WRITE_CNT_B+READ_CNT_B) else '0'; startb_counter: process(clkb) begin if(rising_edge(clkb)) then if(TB_RST='1') then incr_cnt_b <= '0'; elsif(portb_wr_rd ='1') then incr_cnt_b <='1'; elsif(portb_wr_rd_complete='1') then incr_cnt_b <='0'; end if; end if; end process; COUNTER_B: process(clkb) begin if(rising_edge(clkb)) then if(TB_RST='1') then count_b <= 0; elsif(incr_cnt_b='1') then count_b<=count_b+1; end if; if(count_b=WRITE_CNT_B+READ_CNT_B) then count_b<=0; end if; end if; end process; DO_WRITE_B<='1' when (count_b <WRITE_CNT_B and incr_cnt_b='1') else '0'; DO_READ_B <='1' when (count_b >WRITE_CNT_B and incr_cnt_b='1') else '0'; BEGIN_SHIFT_REG_A: FOR I IN 0 TO 4 GENERATE BEGIN DFF_RIGHT: IF I=0 GENERATE BEGIN SHIFT_INST_0: ENTITY work.REGISTER_LOGIC_TDP PORT MAP( Q => DO_READ_REG_A(0), CLK =>CLKA, RST=>TB_RST, D =>DO_READ_A ); END GENERATE DFF_RIGHT; DFF_OTHERS: IF ((I>0) AND (I<=4)) GENERATE BEGIN SHIFT_INST: ENTITY work.REGISTER_LOGIC_TDP PORT MAP( Q => DO_READ_REG_A(I), CLK =>CLKA, RST=>TB_RST, D =>DO_READ_REG_A(I-1) ); END GENERATE DFF_OTHERS; END GENERATE BEGIN_SHIFT_REG_A; BEGIN_SHIFT_REG_B: FOR I IN 0 TO 4 GENERATE BEGIN DFF_RIGHT: IF I=0 GENERATE BEGIN SHIFT_INST_0: ENTITY work.REGISTER_LOGIC_TDP PORT MAP( Q => DO_READ_REG_B(0), CLK =>CLKB, RST=>TB_RST, D =>DO_READ_B ); END GENERATE DFF_RIGHT; DFF_OTHERS: IF ((I>0) AND (I<=4)) GENERATE BEGIN SHIFT_INST: ENTITY work.REGISTER_LOGIC_TDP PORT MAP( Q => DO_READ_REG_B(I), CLK =>CLKB, RST=>TB_RST, D =>DO_READ_REG_B(I-1) ); END GENERATE DFF_OTHERS; END GENERATE BEGIN_SHIFT_REG_B; REGCEA_PROCESS: PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(TB_RST='1') THEN DO_READ_RA <= '0'; ELSE DO_READ_RA <= DO_READ_A; END IF; END IF; END PROCESS; REGCEB_PROCESS: PROCESS(CLKB) BEGIN IF(RISING_EDGE(CLKB)) THEN IF(TB_RST='1') THEN DO_READ_RB <= '0'; ELSE DO_READ_RB <= DO_READ_B; END IF; END IF; END PROCESS; ---REGCEB SHOULD BE SET AT THE CORE OUTPUT REGISTER/EMBEEDED OUTPUT REGISTER --- WHEN CORE OUTPUT REGISTER IS SET REGCE SHOUD BE SET TO '1' WHEN THE READ DATA IS AVAILABLE AT THE CORE OUTPUT REGISTER --WHEN CORE OUTPUT REGISTER IS '0' AND OUTPUT_PRIMITIVE_REG ='1', REGCE SHOULD BE SET WHEN THE DATA IS AVAILABLE AT THE PRIMITIVE OUTPUT REGISTER. -- HERE, TO GENERAILIZE REGCE IS ASSERTED WEA(0) <= IF_THEN_ELSE(DO_WRITE_A='1','1','0') ; WEB(0) <= IF_THEN_ELSE(DO_WRITE_B='1','1','0') ; END ARCHITECTURE;
mit
kenkendk/sme
src/SME.VHDL/Templates/csv_util.vhdl
1
7768
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use STD.TEXTIO.all; use IEEE.STD_LOGIC_TEXTIO.all; use std.textio.all; use IEEE.NUMERIC_STD.ALL; -- Package for reading csv files package csv_util is -- Max length of a line in the csv file constant CSV_LINE_LENGTH_MAX: integer := 256; -- Type of a csv line subtype CSV_LINE_T is string(1 to CSV_LINE_LENGTH_MAX); -- Read until EOL or comma procedure read_csv_field(ln: inout LINE; ret: out string); -- Compare variable length strings function are_strings_equal (ln1: string; ln2: string) return boolean; -- Debug print text procedure print(text: string); -- converts string to STD_LOGIC function to_std_logic(b: string) return std_logic; -- converts string STD_LOGIC_VECTOR to string function to_std_logic_vector(b: string) return std_logic_vector; -- converts STD_LOGIC into a string function str(b: std_logic) return string; -- converts STD_LOGIC_VECTOR into a string function str(b: std_logic_vector) return string; -- converts UNSIGNED into a string function str(b: unsigned) return string; -- converts SIGNED into a string function str(b: signed) return string; -- Returns the first occurrence of a a given character function index_of_chr(ln: string; c: character) return integer; -- Returns the first occurrence of a null character function index_of_null(ln: string) return integer; -- Returns a substring, from start to finish function substr(ln: string; start: integer; finish: integer) return string; -- Trucates strings with embedded null characters function truncate(ln: string) return string; -- Converts the input strings unwanted characters to underscore function to_safe_name(ln: string) return string; end csv_util; package body csv_util is -- prints the given text procedure print(text: string) is variable msg: line; begin write(msg, text); writeline(output, msg); end print; -- reads a line of the csv file procedure read_csv_field(ln: inout LINE; ret: out string) is variable return_string: CSV_LINE_T; variable read_char: character; variable read_ok: boolean := true; variable index: integer := 1; begin read(ln, read_char, read_ok); while read_ok loop if read_char = ',' then ret := return_string; return; else return_string(index) := read_char; index := index + 1; end if; read(ln, read_char, read_ok); end loop; ret := return_string; end; -- returns the index of the given character function index_of_chr(ln: string; c: character) return integer is begin for i in 1 to ln'length loop if ln(i) = c then return i; end if; end loop; return ln'length + 1; end; -- returns the index of a null character function index_of_null(ln: string) return integer is begin return index_of_chr(ln, NUL); end; -- returns a substring of the given string between start and finish function substr(ln: string; start: integer; finish: integer) return string is begin return ln(start to finish); end; -- truncates the given string function truncate(ln: string) return string is begin return substr(ln, 1, index_of_null(ln) - 1); end; -- converts the given line to a VHDL safe string function to_safe_name(ln: string) return string is variable res : string(1 to ln'length) := ln; begin for i in 1 to ln'length loop if ln(i) = '.' or ln(i) = ',' then res(i) := '_'; end if; end loop; return res; end; -- returns true if the given strings are equal function are_strings_equal(ln1: string; ln2: string) return boolean is variable lhs : string(1 to ln1'length) := ln1; variable rhs : string(1 to ln2'length) := ln2; variable maxlen : integer := ln1'length; begin if lhs'length = rhs'length and lhs'length = 0 then return true; else if ln2'length < maxlen then maxlen := ln2'length; end if; for i in 1 to maxlen loop if lhs(i) /= rhs(i) then return false; end if; end loop; if lhs'length > maxlen then if not (lhs(maxlen + 1) = NUL or lhs(maxlen + 1) = CR) then return false; end if; end if; if rhs'length > maxlen then if not (rhs(maxlen + 1) = NUL or rhs(maxlen + 1) = CR) then return false; end if; end if; return true; end if; end; -- converts string STD_LOGIC_VECTOR to string function to_std_logic_vector(b: string) return std_logic_vector is variable res : std_logic_vector(1 to b'length); variable v : string(1 to b'length) := b; begin if v(1) /= '1' and v(1) /= '0' then res(1) := std_logic'value(v); else for i in 1 to b'length loop if v(i) = '0' then res(i) := '0'; elsif v(i) = '1' then res(i) := '1'; else res(i) := '-'; end if; end loop; end if; return res; end to_std_logic_vector; -- converts string to STD_LOGIC function to_std_logic(b: string) return std_logic is variable s: std_logic; begin case b(1) is when 'U' => s := 'U'; when 'X' => s := 'X'; when '0' => s := '0'; when '1' => s := '1'; when 'Z' => s := 'Z'; when 'W' => s := 'W'; when 'L' => s := 'L'; when 'H' => s := 'H'; when '-' => s := '-'; when others => s := '-'; end case; return s; end to_std_logic; -- converts STD_LOGIC into a string function str(b: std_logic) return string is variable s: string(1 to 1); begin case b is when 'U' => s(1) := 'U'; when 'X' => s(1) := 'X'; when '0' => s(1) := '0'; when '1' => s(1) := '1'; when 'Z' => s(1) := 'Z'; when 'W' => s(1) := 'W'; when 'L' => s(1) := 'L'; when 'H' => s(1) := 'H'; when '-' => s(1) := '-'; when others => s(1) := '-'; end case; return s; end str; -- converts STD_LOGIC_VECTOR into a string function str(b: std_logic_vector) return string is variable res : string(1 to b'length); variable v : std_logic_vector(1 to b'length) := b; begin if v(1) /= '1' and v(1) /= '0' then return std_logic'image(v(1)); else for i in 1 to b'length loop if v(i) = '0' then res(i) := '0'; elsif v(i) = '1' then res(i) := '1'; else res(i) := '-'; end if; end loop; return res; end if; end str; -- converts UNSIGNED into a string function str(b: unsigned) return string is begin return str(std_logic_vector(b)); end str; -- converts SIGNED into a string function str(b: signed) return string is begin return str(std_logic_vector(b)); end str; end package body csv_util;
mit
FranciscoKnebel/ufrgs-projects
neander/neanderImplementation/reg8.vhd
1
926
-- -- Authors: Francisco Paiva Knebel -- Gabriel Alexandre Zillmer -- -- Universidade Federal do Rio Grande do Sul -- Instituto de Informática -- Sistemas Digitais -- Prof. Fernanda Lima Kastensmidt -- -- Create Date: 23:58:40 05/02/2016 library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity reg8bits is port ( data_in : in STD_LOGIC_VECTOR (7 downto 0); clk : in STD_LOGIC; rst : in STD_LOGIC; load : in STD_LOGIC; data_out : out STD_LOGIC_VECTOR (7 downto 0) ); end reg8bits; architecture Behavioral of reg8bits is signal reg : std_logic_vector (7 downto 0); constant reg_delay: TIME := 2 ns; begin process (clk, rst) begin if (rst = '1') then reg <= "00000000"; elsif (clk = '1' and clk'EVENT) then if (load = '1') then reg <= data_in; end if; end if; end process; data_out <= reg; end Behavioral;
mit
gxliu/ARM-Cortex-M0
hdl/add32.vhd
1
788
library ieee; use ieee.std_logic_1164.all; entity add32 is port ( a : in std_logic_vector(31 downto 0); b : in std_logic_vector(31 downto 0); cin : in std_logic; sum : out std_logic_vector(31 downto 0); cout : out std_logic); end entity add32; architecture Behavioral of add32 is component fadd port ( a : in std_logic; b : in std_logic; cin : in std_logic; s : out std_logic; cout : out std_logic); end component fadd; signal c : std_logic_vector(0 to 32); begin c(0) <= cin; stages : for I in 0 to 31 generate adder : fadd port map(a(I), b(I), c(I) , sum(I), c(I+1)); end generate stages; cout <= c(32); end Behavioral;
mit
csrhau/sandpit
VHDL/file_rom/test_init_funcs.vhdl
1
780
library ieee; use ieee.std_logic_1164.all; use work.memory_types.all; use work.init_funcs.all; use std.textio.all; entity test_init_funcs is end test_init_funcs; architecture behavioural of test_init_funcs is begin process constant test_storage : memory_16b := ( "11111111", "10000000", "10000000", "10000001", "00000001", "00001001", "00001000", "00001000", "00010100", "00001000", "00000000", "00000000", "00000000", "00000000", "00000000", "11111111" ); variable data : memory_16b; begin data := read_file("rom_lut.mif"); assert data = test_storage report "data should match testcase" severity error; wait; end process; end behavioural;
mit
PRETgroup/goFB
examples/goFB_only/vhdl/BottlingPlant/vhdl/ConveyorController.vhd
2
6051
-- This file has been automatically generated by go-iec61499-vhdl and should not be edited by hand -- Converter written by Hammond Pearce and available at github.com/kiwih/go-iec61499-vhdl -- This file represents the Basic Function Block for ConveyorController library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity ConveyorController is port( --for clock and reset signal clk : in std_logic; reset : in std_logic; enable : in std_logic; sync : in std_logic; --input events InjectDone : in std_logic; EmergencyStopChanged : in std_logic; LasersChanged : in std_logic; --output events ConveyorChanged : out std_logic; ConveyorStoppedForInject : out std_logic; --input variables EmergencyStop_I : in std_logic; --type was BOOL InjectSiteLaser_I : in std_logic; --type was BOOL --output variables ConveyorSpeed_O : out unsigned(7 downto 0); --type was BYTE --for done signal done : out std_logic ); end entity; architecture rtl of ConveyorController is -- Build an enumerated type for the state machine type state_type is (STATE_E_Stop, STATE_Running, STATE_Pause); -- Register to hold the current state signal state : state_type := STATE_E_Stop; -- signals to store variable sampled on enable signal EmergencyStop : std_logic := '0'; --register for input signal InjectSiteLaser : std_logic := '0'; --register for input -- signals to rename outputs signal ConveyorSpeed : unsigned(7 downto 0) := (others => '0'); -- signals for enabling algorithms signal ConveyorStart_alg_en : std_logic := '0'; signal ConveyorStart_alg_done : std_logic := '1'; signal ConveyorStop_alg_en : std_logic := '0'; signal ConveyorStop_alg_done : std_logic := '1'; signal ConveyorRunning_alg_en : std_logic := '0'; signal ConveyorRunning_alg_done : std_logic := '1'; signal ConveyorEStop_alg_en : std_logic := '0'; signal ConveyorEStop_alg_done : std_logic := '1'; -- signal for algorithm completion signal AlgorithmsStart : std_logic := '0'; signal AlgorithmsDone : std_logic; --internal variables signal Variable1 : std_logic; --type was BOOL begin -- Registers for data variables (only updated on relevant events) process (clk) begin if rising_edge(clk) then if sync = '1' then if EmergencyStopChanged = '1' then EmergencyStop <= EmergencyStop_I; end if; if LasersChanged = '1' then InjectSiteLaser <= InjectSiteLaser_I; end if; end if; end if; end process; --output var renaming, no output registers as inputs are stored where they are processed ConveyorSpeed_O <= ConveyorSpeed; -- Logic to advance to the next state process (clk, reset) begin if reset = '1' then state <= STATE_E_Stop; AlgorithmsStart <= '1'; elsif (rising_edge(clk)) then if AlgorithmsStart = '1' then --algorithms should be triggered only once via this pulse signal AlgorithmsStart <= '0'; elsif enable = '1' then --default values state <= state; AlgorithmsStart <= '0'; --next state logic if AlgorithmsStart = '0' and AlgorithmsDone = '1' then case state is when STATE_E_Stop => if EmergencyStopChanged = '1' and (not EmergencyStop = '1') then state <= STATE_Running; AlgorithmsStart <= '1'; end if; when STATE_Running => if LasersChanged = '1' and (InjectSiteLaser = '1') then state <= STATE_Pause; AlgorithmsStart <= '1'; end if; when STATE_Pause => if InjectDone = '1' then state <= STATE_Running; AlgorithmsStart <= '1'; elsif EmergencyStopChanged = '1' and (EmergencyStop = '1') then state <= STATE_E_Stop; AlgorithmsStart <= '1'; end if; end case; end if; end if; end if; end process; -- Event outputs and internal algorithm triggers depend solely on the current state process (state) begin --default values --events ConveyorChanged <= '0'; ConveyorStoppedForInject <= '0'; --algorithms ConveyorStart_alg_en <= '0'; ConveyorStop_alg_en <= '0'; ConveyorRunning_alg_en <= '0'; ConveyorEStop_alg_en <= '0'; case state is when STATE_E_Stop => when STATE_Running => ConveyorStart_alg_en <= '1'; ConveyorChanged <= '1'; when STATE_Pause => ConveyorStop_alg_en <= '1'; ConveyorChanged <= '1'; ConveyorStoppedForInject <= '1'; end case; end process; -- Algorithms process process(clk) begin if rising_edge(clk) then if AlgorithmsStart = '1' then if ConveyorStart_alg_en = '1' then -- Algorithm ConveyorStart ConveyorStart_alg_done <= '0'; end if; if ConveyorStop_alg_en = '1' then -- Algorithm ConveyorStop ConveyorStop_alg_done <= '0'; end if; if ConveyorRunning_alg_en = '1' then -- Algorithm ConveyorRunning ConveyorRunning_alg_done <= '0'; end if; if ConveyorEStop_alg_en = '1' then -- Algorithm ConveyorEStop ConveyorEStop_alg_done <= '0'; end if; end if; if ConveyorStart_alg_done = '0' then -- Algorithm ConveyorStart --begin algorithm raw text ConveyorSpeed <= x"01"; ConveyorStart_alg_done <= '1'; --end algorithm raw text end if; if ConveyorStop_alg_done = '0' then -- Algorithm ConveyorStop --begin algorithm raw text ConveyorSpeed <= x"00"; ConveyorStop_alg_done <= '1'; --end algorithm raw text end if; if ConveyorRunning_alg_done = '0' then -- Algorithm ConveyorRunning --begin algorithm raw text ConveyorRunning_alg_done <= '1'; --end algorithm raw text end if; if ConveyorEStop_alg_done = '0' then -- Algorithm ConveyorEStop --begin algorithm raw text ConveyorEStop_alg_done <= '1'; --end algorithm raw text end if; end if; end process; --Done signal AlgorithmsDone <= (not AlgorithmsStart) and ConveyorStart_alg_done and ConveyorStop_alg_done and ConveyorRunning_alg_done and ConveyorEStop_alg_done; Done <= AlgorithmsDone; end rtl;
mit
PRETgroup/goFB
goEFB/out/enforcer_PaceEnforcer_NO_AP_VP.vhdl
1
1507
--This is an autogenerated file --Do not modify it by hand --Generated at 2017-12-08T14:22:41+13:00 library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.enforcement_types_PaceEnforcer.all; entity enforcer_PaceEnforcer_NO_AP_VP is port ( clk : in std_logic; reset : in std_logic; t : in unsigned(63 downto 0); --current time in nanoseconds e : out std_logic; --if enforcement occured --the input signals --the enforce signals q : in enforced_signals_PaceEnforcer; q_prime : out enforced_signals_PaceEnforcer ); end entity; architecture behaviour of enforcer_PaceEnforcer_NO_AP_VP is begin --trigger process process(reset, clk, q, t) variable q_enf: enforced_signals_PaceEnforcer; begin if(rising_edge(clk)) then --default values q_enf := q; e <= '0'; --policies begin if(((q_enf.AP and q_enf.VP) = '0') ) then e <= '1'; --recover q_enf.VP := '0'; q_enf.AP := '0'; end if; --Triggers begin (triggers are after policies because a policy might edit a value that a trigger depends on) q_prime <= q_enf; end if; end process; end architecture;
mit
tuura/fantasi
dependencies/sync-latch.vhdl
1
1036
-- This module make the input visible to the output just for one clock cycle LIBRARY ieee; USE ieee.std_logic_1164.all; LIBRARY work; ENTITY SYNC_LATCH IS PORT ( DIN : IN std_logic; CLK : IN std_logic; RST : IN std_logic; EN : IN std_logic; DOUT : OUT std_logic); END SYNC_LATCH; ARCHITECTURE structural_description OF SYNC_LATCH IS COMPONENT ffd IS PORT ( CLK : IN std_logic; RST : IN std_logic; EN : IN std_logic; D : IN std_logic; Q : OUT std_logic ); END COMPONENT; SIGNAL d_sync : std_logic; SIGNAL sync : std_logic; BEGIN FFD_OUT : ffd PORT MAP ( CLK => CLK, RST => RST, EN => EN, D => d_sync, Q => DOUT); FFD_SYNC : ffd PORT MAP ( CLK => CLK, RST => RST, EN => EN, D => DIN, Q => sync); d_sync <= DIN AND NOT(sync); END structural_description;
mit
csrhau/sandpit
VHDL/GOL_simple/cell.vhdl
1
841
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity cell is generic ( begin_alive : integer range 0 to 1 := 0 ); port ( clock : in std_logic; nw, nn, ne : in integer range 0 to 1; ww, ee : in integer range 0 to 1; sw, ss, se : in integer range 0 to 1; alive: out integer range 0 to 1 := begin_alive ); end cell; architecture behavioural of cell is signal alive_s : integer range 0 to 1 := begin_alive; begin process (clock) variable neighbours : natural range 0 to 8 := 0; begin if rising_edge(clock) then neighbours := nw + nn + ne + ww + ee + sw + ss + se; if neighbours = 3 or (neighbours = 2 and alive_s = 1) then alive_s <= 1; else alive_s <= 0; end if; end if; end process; alive <= alive_s; end behavioural;
mit
PRETgroup/goFB
goEFB/out/enforcer_PaceEnforcer_AVI.vhdl
1
2045
--This is an autogenerated file --Do not modify it by hand --Generated at 2017-12-08T14:22:41+13:00 library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.enforcement_types_PaceEnforcer.all; entity enforcer_PaceEnforcer_AVI is port ( clk : in std_logic; reset : in std_logic; t : in unsigned(63 downto 0); --current time in nanoseconds e : out std_logic; --if enforcement occured --the input signals AVI_time : unsigned(63 downto 0) := to_unsigned( 300000000, 64); --the enforce signals q : in enforced_signals_PaceEnforcer; q_prime : out enforced_signals_PaceEnforcer ); end entity; architecture behaviour of enforcer_PaceEnforcer_AVI is signal trigger_tAVI : std_logic := '0'; signal trigger_tAVI_time : unsigned(63 downto 0) := (others => '0'); begin --trigger process process(reset, clk, q, t) variable q_enf: enforced_signals_PaceEnforcer; begin if(rising_edge(clk)) then --default values q_enf := q; e <= '0'; --policies begin if((trigger_tAVI = '1') and not(((q_enf.VS or q_enf.VP) = '1')) and (t > (AVI_time + trigger_tAVI_time)) ) then e <= '1'; --recover q_enf.VP := '1'; end if; --Triggers begin (triggers are after policies because a policy might edit a value that a trigger depends on) if(trigger_tAVI = '0' and (((q_enf.AS or q_enf.AP) = '1'))) then trigger_tAVI <= '1'; trigger_tAVI_time <= t; end if; if(trigger_tAVI = '1' and (((q_enf.VS or q_enf.VP) = '1'))) then trigger_tAVI <= '0'; end if; q_prime <= q_enf; end if; end process; end architecture;
mit
csrhau/sandpit
VHDL/tdma_bus/byte_bus.vhdl
1
974
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity byte_bus is generic ( bus_length : natural ); port ( clock : in std_logic; data : out std_logic_vector(7 downto 0) ); end entity byte_bus; architecture structural of byte_bus is component bus_writer is generic ( bus_length : natural; bus_index : natural; value : std_logic_vector(7 downto 0) ); port ( clock : in std_logic; data : out std_logic_vector(7 downto 0) ); end component bus_writer; begin BUS_ELEMENT: for bus_index in bus_length-1 downto 0 generate element: bus_writer generic map ( bus_length, bus_index, std_logic_vector(to_unsigned(bus_index, 8)) ) port map ( clock, data ); end generate BUS_ELEMENT; end structural;
mit
csrhau/sandpit
VHDL/stencil_buffer/stencil_buffer.vhdl
1
2667
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; -- NB in practice the stencil buffer shouldn't expose all these values; -- More commonly, the stencil buffer will be a stencil engine, and produce -- A single output based on some f(tl, tc, tr... br) -- This file exists to help understand and iron out offsets entity stencil_buffer is generic ( addr_bits : natural ); port ( clock : in std_logic; advance: in std_logic; input : in std_logic_vector; tl : out std_logic_vector; tc : out std_logic_vector; tr : out std_logic_vector; ml : out std_logic_vector; mc : out std_logic_vector; mr : out std_logic_vector; bl : out std_logic_vector; bc : out std_logic_vector; br : out std_logic_vector ); end entity stencil_buffer; architecture behavioural of stencil_buffer is type direction_t is (NORTH_WEST, NORTH, NORTH_EAST, WEST, CENTER, EAST, SOUTH_WEST, SOUTH, SOUTH_EAST); function offset_addr(address: std_logic_vector; direction: direction_t) return natural is variable offset : natural range 1 to 9; begin case direction is when NORTH_WEST => offset := 9; when NORTH => offset := 8; when NORTH_EAST => offset := 7; when WEST => offset := 6; when CENTER => offset := 5; when EAST => offset := 4; when SOUTH_WEST => offset := 3; when SOUTH => offset := 2; when SOUTH_EAST => offset := 1; end case; return to_integer(unsigned(address) - offset); end function offset_addr; type neighbourhood_t is array(integer range 0 to (2**addr_bits-1)) of std_logic_vector(input'range); signal neighbourhood : neighbourhood_t := (others => (others => '0')); signal head : std_logic_vector(addr_bits-1 downto 0) := (others => '0'); begin SEQUENTIAL: process(clock) begin if rising_edge(clock) then if advance = '1' then neighbourhood(to_integer(unsigned(head))) <= input; head <= std_logic_vector(unsigned(head) + 1); end if; end if; end process; COMBI: process (head) begin tl <= neighbourhood(offset_addr(head, NORTH_WEST)); tc <= neighbourhood(offset_addr(head, NORTH)); tr <= neighbourhood(offset_addr(head, NORTH_EAST)); ml <= neighbourhood(offset_addr(head, WEST)); mc <= neighbourhood(offset_addr(head, CENTER)); mr <= neighbourhood(offset_addr(head, EAST)); bl <= neighbourhood(offset_addr(head, SOUTH_WEST)); bc <= neighbourhood(offset_addr(head, SOUTH)); br <= neighbourhood(offset_addr(head, SOUTH_EAST)); end process; end behavioural;
mit
lenchv/fpga-lab.node.js
vhdl/user_code_tpl.vhd
1
1799
--------------------------------------------------------- -- Çäåñ êîä, êîòîðûé ìîæåò èñïîëüçîâàòü âñå óòñðîéñòâà -- --------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use ieee.numeric_std.all; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity user_code is port( buttons: in std_logic_vector(7 downto 0); led: out std_logic_vector(7 downto 0); web_output_write_o: out std_logic; web_output_data_o: out std_logic_vector(7 downto 0); web_output_ready_i: in std_logic; rot_a: in std_logic; rot_b: in std_logic; rot_center: in std_logic; reset_o: out std_logic; clk: in std_logic ); end user_code; architecture Behavioral of user_code is signal reset : std_logic := '1'; begin reset_o <= reset; proc: process(clk) variable store_rot_center : std_logic := '0'; variable store_rot_a : std_logic := '0'; variable store_rot_b : std_logic := '0'; begin if rising_edge(clk) then if reset = '1' then reset <= '0'; end if; led <= buttons; if rot_center = '1' then led <= buttons(7 downto 3) & rot_center & rot_b & rot_a; --web_output_write_o <= '1'; --web_output_data_o <= "00000" & rot_center & rot_b & rot_a; else web_output_write_o <= '0'; end if; -- if rot_center /= store_rot_center or rot_a /= store_rot_a or rot_b /= store_rot_b then -- store_rot_center := rot_center; -- store_rot_a := rot_a; -- store_rot_b := rot_b; -- web_output_write_o <= '1'; -- web_output_data_o <= "00000" & rot_center & rot_b & rot_a; -- led <= "00000" & rot_center & rot_b & rot_a; -- else -- web_output_write_o <= '0'; -- end if; end if; end process; end Behavioral;
mit
csrhau/sandpit
VHDL/testbenches/testbench.vhdl
1
499
library ieee; use ieee.std_logic_1164.all; entity testbench is end entity testbench; architecture behavioural of testbench is constant period : time := 10 ns; signal active : std_logic := '1'; signal clock : std_logic := '0'; begin clock <= not clock after period / 2 when active = '1'; process begin wait for period; wait for period; wait for period; wait for period; wait for 100 ns; active <= '0'; wait; end process; end architecture behavioural;
mit
lenchv/fpga-lab.node.js
vhdl/ps2/ps2_rx.vhd
1
2702
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity ps2_rx is port ( clk, reset: in std_logic; -- System clock and reset ps2d, ps2c: in std_logic; -- PS/2 data and clock signals rx_en: in std_logic; -- Receiver enabled/disabled signal rx_done: out std_logic; -- End of transmission signal dout: out std_logic_vector(7 downto 0) -- Output buffer ); end ps2_rx; architecture behavioral of ps2_rx is -- State machine type state is (idle, busy, done); signal state_reg, state_next: state; -- Counter from 9 to 0 - 4 bits should be enough signal counter_reg, counter_next: unsigned(3 downto 0); -- Data buffer signal buf_reg, buf_next: std_logic_vector(10 downto 0); -- Falling edge detector signals signal fall_edge: std_logic; signal ps2_edge: std_logic_vector(1 downto 0); begin -- falling edge detector using shift buffer edge_detector: process(clk, reset) begin if reset = '1' then ps2_edge <= (others => '0'); elsif rising_edge(clk) then ps2_edge <= ps2_edge(0) & ps2c; end if; end process; fall_edge <= '1' when ps2_edge(1) = '1' and ps2_edge(0) = '0' else '0'; -- clock based state changer clk_process: process(clk, reset) begin if reset = '1' then state_reg <= idle; buf_reg <= (others => '0'); counter_reg <= (others => '0'); elsif rising_edge(clk) then state_reg <= state_next; buf_reg <= buf_next; counter_reg <= counter_next; end if; end process; state_machine: process(state_reg, fall_edge, rx_en, ps2d, buf_reg, counter_reg) begin -- setting default values state_next <= state_reg; buf_next <= buf_reg; counter_next <= counter_reg; rx_done <= '0'; case (state_reg) is -- waiting for falling edge and start bit when idle => if rx_en = '1' and fall_edge = '1' and ps2d = '0' then state_next <= busy; counter_next <= "1001"; -- 9 bits to go -- loading bits into buffer buf_next <= ps2d & buf_reg(10 downto 1); end if; -- receiving bits when busy => if fall_edge = '1' then -- loading bits into buffer buf_next <= ps2d & buf_reg(10 downto 1); -- simple counter if counter_reg = 0 then state_next <= done; else counter_next <= counter_reg - 1; end if; end if; -- end of transmission when done => state_next <= idle; rx_done <= '1'; end case; end process; dout <= buf_reg(8 downto 1); -- output from shift register end behavioral;
mit
csrhau/sandpit
VHDL/vga_bindisplay/vga_rom.vhdl
1
620
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.memory_types.all; entity VGA_ROM is generic ( contents : vga_memory ); port ( clock : in std_logic; enable : in std_logic; address : in natural range vga_memory'range; data : out std_logic ); end entity VGA_ROM; architecture behavioural of VGA_ROM is constant storage : vga_memory := contents; begin process(clock) begin if rising_edge(clock) then if enable = '1' then data <= storage(address); else data <= '0'; end if; end if; end process; end behavioural;
mit
lenchv/fpga-lab.node.js
vhdl/rs232_reciever.vhd
1
3177
-- RS232 receiver with Wishbone master interface and fixed, but generic, -- baudrate and 8N1 mode. -- -- This master sets stb_o to 1, after one byte was received and before the -- stop is received. When the slave acknowledges the strobe with ack_i = 1, -- stb_o is reset to 0. -- -- Supported Whishbone cycles: MASTER, WRITE library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; use IEEE.MATH_REAL.ALL; entity rs232_receiver is generic(system_speed, baudrate: integer); port( ack_i: in std_logic; clk_i: in std_logic; dat_o: out unsigned(7 downto 0); rst_i: in std_logic; stb_o: out std_logic; rx: in std_logic); end entity rs232_receiver; architecture rtl of rs232_receiver is constant max_counter: natural := system_speed / baudrate; type state_type is ( wait_for_rx_start, wait_half_bit, receive_bits, wait_for_stop_bit); signal state: state_type := wait_for_rx_start; signal baudrate_counter: natural range 0 to max_counter := 0; signal bit_counter: natural range 0 to 7 := 0; signal shift_register: unsigned(7 downto 0) := (others => '0'); begin update: process(clk_i, ack_i) begin if rising_edge(clk_i) then if rst_i = '1' then state <= wait_for_rx_start; dat_o <= (others => '0'); stb_o <= '0'; else case state is when wait_for_rx_start => if rx = '0' then -- start bit received, wait for a half bit time -- to sample bits in the middle of the signal state <= wait_half_bit; baudrate_counter <= max_counter / 2 - 1; end if; when wait_half_bit => if baudrate_counter = 0 then -- now we are in the middle of the start bit, -- wait a full bit for the middle of the first bit state <= receive_bits; bit_counter <= 7; baudrate_counter <= max_counter - 1; else baudrate_counter <= baudrate_counter - 1; end if; when receive_bits => -- sample a bit if baudrate_counter = 0 then shift_register <= rx & shift_register(7 downto 1); if bit_counter = 0 then state <= wait_for_stop_bit; else bit_counter <= bit_counter - 1; end if; baudrate_counter <= max_counter - 1; else baudrate_counter <= baudrate_counter - 1; end if; when wait_for_stop_bit => -- wait for the middle of the stop bit if baudrate_counter = 0 then state <= wait_for_rx_start; if rx = '1' then dat_o <= shift_register; stb_o <= '1'; -- else: missing stop bit, ignore end if; else baudrate_counter <= baudrate_counter - 1; end if; end case; end if; end if; -- when acknowledged, reset strobe if ack_i = '1' then stb_o <= '0'; end if; end process; end architecture rtl;
mit
csrhau/sandpit
VHDL/test_utils/test_utils.vhdl
1
963
library ieee; use ieee.std_logic_1164.all; package test_utils is function sl2chr(sl: std_logic) return character; function slv2str(slv: std_logic_vector) return string; end package test_utils; package body test_utils is function sl2chr(sl: std_logic) return character is variable c: character; begin case sl is when 'U' => c:= 'U'; when 'X' => c:= 'X'; when '0' => c:= '0'; when '1' => c:= '1'; when 'Z' => c:= 'Z'; when 'W' => c:= 'W'; when 'L' => c:= 'L'; when 'H' => c:= 'H'; when '-' => c:= '-'; end case; return c; end sl2chr; function slv2str(slv: std_logic_vector) return string is variable result : string (1 to slv'length); variable r : integer; begin r := 1; for i in slv'range loop result(r) := sl2chr(slv(i)); r := r + 1; end loop; return result; end slv2str; end package body test_utils;
mit
csrhau/sandpit
VHDL/file_rom/rom.vhdl
2
550
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.memory_types.all; entity ROM is generic ( contents : memory_16b ); port ( clock : in std_logic; address : in std_logic_vector(3 downto 0); data : out std_logic_vector(7 downto 0) ); end entity ROM; architecture behavioural of ROM is constant storage : memory_16b := contents; begin process(clock) begin if rising_edge(clock) then data <= storage(to_integer(unsigned(address))); end if; end process; end behavioural;
mit
PRETgroup/goFB
goEFB/out/enforcer_AlphabetEnforcer_P2.vhdl
1
3135
--This is an autogenerated file --Do not modify it by hand --Generated at 2017-12-08T14:25:09+13:00 library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.enforcement_types_AlphabetEnforcer.all; entity enforcer_AlphabetEnforcer_P2 is port ( clk : in std_logic; reset : in std_logic; t : in unsigned(63 downto 0); --current time in nanoseconds e : out std_logic; --if enforcement occured --the input signals --the enforce signals q : in enforced_signals_AlphabetEnforcer; q_prime : out enforced_signals_AlphabetEnforcer ); end entity; architecture behaviour of enforcer_AlphabetEnforcer_P2 is signal trigger_tL1 : std_logic := '0'; signal trigger_tL1_time : unsigned(63 downto 0) := (others => '0'); signal trigger_tL2 : std_logic := '0'; signal trigger_tL2_time : unsigned(63 downto 0) := (others => '0'); begin --trigger process process(reset, clk, q, t) variable q_enf: enforced_signals_AlphabetEnforcer; begin if(rising_edge(clk)) then --default values q_enf := q; e <= '0'; --policies begin if((trigger_tL1 = '1') and not((q_enf.L = '0')) and (t < (to_unsigned(500000000, 64) + trigger_tL1_time)) ) then e <= '1'; --recover q_enf.L := '0'; end if; if((trigger_tL1 = '1') and not((q_enf.L = '1')) and (t > (to_unsigned(600000000, 64) + trigger_tL1_time)) ) then e <= '1'; --recover q_enf.L := '1'; end if; if((trigger_tL2 = '1') and not((q_enf.L = '1')) and (t < (to_unsigned(500000000, 64) + trigger_tL2_time)) ) then e <= '1'; --recover q_enf.L := '1'; end if; if((trigger_tL2 = '1') and not((q_enf.L = '0')) and (t > (to_unsigned(600000000, 64) + trigger_tL2_time)) ) then e <= '1'; --recover q_enf.L := '0'; end if; --Triggers begin (triggers are after policies because a policy might edit a value that a trigger depends on) if(trigger_tL1 = '0' and ((q_enf.L = '0'))) then trigger_tL1 <= '1'; trigger_tL1_time <= t; end if; if(trigger_tL1 = '1' and ((q_enf.L = '1'))) then trigger_tL1 <= '0'; end if; if(trigger_tL2 = '0' and ((q_enf.L = '1'))) then trigger_tL2 <= '1'; trigger_tL2_time <= t; end if; if(trigger_tL2 = '1' and ((q_enf.L = '0'))) then trigger_tL2 <= '0'; end if; q_prime <= q_enf; end if; end process; end architecture;
mit
andrewandrepowell/kernel-on-chip
hdl/koc/koc_signal_pack.vhd
1
3382
library ieee; use ieee.std_logic_1164.all; package koc_signal_pack is constant axi_resp_okay : std_logic_vector := "00"; component koc_signal is generic ( axi_address_width : integer := 16; --! Defines the AXI4-Lite Address Width. axi_data_width : integer := 32; axi_control_offset : integer := 0; axi_control_signal_bit_loc : integer := 0; axi_control_status_bit_loc : integer := 1); port ( -- Global Interface. aclk : in std_logic; --! Clock. Tested with 50 MHz. aresetn : in std_logic; --! Reset on low. -- Slave AXI4-Lite Write interface. axi_awaddr : in std_logic_vector(axi_address_width-1 downto 0); --! AXI4-Lite Address Write signal. axi_awprot : in std_logic_vector(2 downto 0); --! AXI4-Lite Address Write signal. axi_awvalid : in std_logic; --! AXI4-Lite Address Write signal. axi_awready : out std_logic; --! AXI4-Lite Address Write signal. axi_wvalid : in std_logic; --! AXI4-Lite Write Data signal. axi_wready : out std_logic; --! AXI4-Lite Write Data signal. axi_wdata : in std_logic_vector(axi_data_width-1 downto 0); --! AXI4-Lite Write Data signal. axi_wstrb : in std_logic_vector(axi_data_width/8-1 downto 0); --! AXI4-Lite Write Data signal. axi_bvalid : out std_logic; --! AXI4-Lite Write Response signal. axi_bready : in std_logic; --! AXI4-Lite Write Response signal. axi_bresp : out std_logic_vector(1 downto 0); --! AXI4-Lite Write Response signal. -- Slave AXI4-Lite Read interface. axi_araddr : in std_logic_vector(axi_address_width-1 downto 0); --! AXI4-Lite Address Read signal. axi_arprot : in std_logic_vector(2 downto 0); --! AXI4-Lite Address Read signal. axi_arvalid : in std_logic; --! AXI4-Lite Address Read signal. axi_arready : out std_logic; --! AXI4-Lite Address Read signal. axi_rdata : out std_logic_vector(axi_data_width-1 downto 0) := (others=>'0'); --! AXI4-Lite Read Data signal. axi_rvalid : out std_logic; --! AXI4-Lite Read Data signal. axi_rready : in std_logic; --! AXI4-Lite Read Data signal. axi_rresp : out std_logic_vector(1 downto 0); -- Events. sig_out : out std_logic; sig_in : in std_logic; int : out std_logic); end component; end package;
mit
andrewandrepowell/kernel-on-chip
hdl/projects/Nexys4/koc_wrapper.vhd
1
271742
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.numeric_std.all; use work.nexys4_pack.all; use work.plasoc_interconnect_crossbar_wrap_pack.plasoc_interconnect_crossbar_wrap; use work.plasoc_interconnect_crossbar_wrap_pack.clogb2; use work.plasoc_cpu_0_crossbar_wrap_pack.plasoc_cpu_0_crossbar_wrap; use work.plasoc_cpu_1_crossbar_wrap_pack.plasoc_cpu_1_crossbar_wrap; use work.plasoc_cpu_2_crossbar_wrap_pack.plasoc_cpu_2_crossbar_wrap; use work.plasoc_cpu_pack.plasoc_cpu; use work.plasoc_int_pack.plasoc_int; use work.plasoc_int_pack.default_interrupt_total; use work.plasoc_timer_pack.plasoc_timer; use work.plasoc_gpio_pack.plasoc_gpio; use work.plasoc_uart_pack.plasoc_uart; use work.plasoc_axi4_full2lite_pack.plasoc_axi4_full2lite; use work.koc_lock_pack.koc_lock; use work.koc_signal_pack.koc_signal; entity koc_wrapper is generic ( lower_app : string := "boot"; upper_app : string := "none"; upper_ext : boolean := true); port ( sys_clk_i : in std_logic; sys_rst : in std_logic; gpio_output : out std_logic_vector(data_out_width-1 downto 0); gpio_input : in std_logic_vector(data_in_width-1 downto 0); uart_tx : out std_logic; uart_rx : in std_logic; DDR2_addr : out STD_LOGIC_VECTOR ( 12 downto 0 ); DDR2_ba : out STD_LOGIC_VECTOR ( 2 downto 0 ); DDR2_cas_n : out STD_LOGIC; DDR2_ck_n : out STD_LOGIC_VECTOR ( 0 to 0 ); DDR2_ck_p : out STD_LOGIC_VECTOR ( 0 to 0 ); DDR2_cke : out STD_LOGIC_VECTOR ( 0 to 0 ); DDR2_cs_n : out STD_LOGIC_VECTOR ( 0 to 0 ); DDR2_dm : out STD_LOGIC_VECTOR ( 1 downto 0 ); DDR2_dq : inout STD_LOGIC_VECTOR ( 15 downto 0 ); DDR2_dqs_n : inout STD_LOGIC_VECTOR ( 1 downto 0 ); DDR2_dqs_p : inout STD_LOGIC_VECTOR ( 1 downto 0 ); DDR2_odt : out STD_LOGIC_VECTOR ( 0 to 0 ); DDR2_ras_n : out STD_LOGIC; DDR2_we_n : out STD_LOGIC); end koc_wrapper; architecture Behavioral of koc_wrapper is ---------------------------- -- Component Declarations -- ---------------------------- component bd_wrapper is port ( DDR2_addr : out STD_LOGIC_VECTOR ( 12 downto 0 ); DDR2_ba : out STD_LOGIC_VECTOR ( 2 downto 0 ); DDR2_cas_n : out STD_LOGIC; DDR2_ck_n : out STD_LOGIC_VECTOR ( 0 to 0 ); DDR2_ck_p : out STD_LOGIC_VECTOR ( 0 to 0 ); DDR2_cke : out STD_LOGIC_VECTOR ( 0 to 0 ); DDR2_cs_n : out STD_LOGIC_VECTOR ( 0 to 0 ); DDR2_dm : out STD_LOGIC_VECTOR ( 1 downto 0 ); DDR2_dq : inout STD_LOGIC_VECTOR ( 15 downto 0 ); DDR2_dqs_n : inout STD_LOGIC_VECTOR ( 1 downto 0 ); DDR2_dqs_p : inout STD_LOGIC_VECTOR ( 1 downto 0 ); DDR2_odt : out STD_LOGIC_VECTOR ( 0 to 0 ); DDR2_ras_n : out STD_LOGIC; DDR2_we_n : out STD_LOGIC; S00_AXI_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); S00_AXI_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); S00_AXI_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); S00_AXI_arid : in STD_LOGIC_VECTOR ( 3 downto 0 ); S00_AXI_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); S00_AXI_arlock : in STD_LOGIC_VECTOR ( 0 to 0 ); S00_AXI_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); S00_AXI_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); S00_AXI_arready : out STD_LOGIC; S00_AXI_arregion : in STD_LOGIC_VECTOR ( 3 downto 0 ); S00_AXI_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); S00_AXI_arvalid : in STD_LOGIC; S00_AXI_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); S00_AXI_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); S00_AXI_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); S00_AXI_awid : in STD_LOGIC_VECTOR ( 3 downto 0 ); S00_AXI_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); S00_AXI_awlock : in STD_LOGIC_VECTOR ( 0 to 0 ); S00_AXI_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); S00_AXI_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); S00_AXI_awready : out STD_LOGIC; S00_AXI_awregion : in STD_LOGIC_VECTOR ( 3 downto 0 ); S00_AXI_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); S00_AXI_awvalid : in STD_LOGIC; S00_AXI_bid : out STD_LOGIC_VECTOR ( 3 downto 0 ); S00_AXI_bready : in STD_LOGIC; S00_AXI_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); S00_AXI_bvalid : out STD_LOGIC; S00_AXI_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); S00_AXI_rid : out STD_LOGIC_VECTOR ( 3 downto 0 ); S00_AXI_rlast : out STD_LOGIC; S00_AXI_rready : in STD_LOGIC; S00_AXI_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); S00_AXI_rvalid : out STD_LOGIC; S00_AXI_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); S00_AXI_wlast : in STD_LOGIC; S00_AXI_wready : out STD_LOGIC; S00_AXI_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); S00_AXI_wvalid : in STD_LOGIC; aclk : out STD_LOGIC; interconnect_aresetn : out STD_LOGIC_VECTOR ( 0 to 0 ); peripheral_aresetn : out STD_LOGIC_VECTOR ( 0 to 0 ); sys_clk_i : in STD_LOGIC; sys_rst : in STD_LOGIC); end component; component bram is generic ( select_app : string := "none"; -- jump, boot, main address_width : integer := 18; data_width : integer := 32; bram_depth : integer := 65536); port( bram_rst_a : in std_logic; bram_clk_a : in std_logic; bram_en_a : in std_logic; bram_we_a : in std_logic_vector(data_width/8-1 downto 0); bram_addr_a : in std_logic_vector(address_width-1 downto 0); bram_wrdata_a : in std_logic_vector(data_width-1 downto 0); bram_rddata_a : out std_logic_vector(data_width-1 downto 0) := (others=>'0')); end component; component axi_bram_ctrl_0 IS port ( s_axi_aclk : IN STD_LOGIC; s_axi_aresetn : IN STD_LOGIC; s_axi_awaddr : IN STD_LOGIC_VECTOR(15 DOWNTO 0); s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_awlock : IN STD_LOGIC; s_axi_awcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_awprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_awvalid : IN STD_LOGIC; s_axi_awready : OUT STD_LOGIC; s_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_wlast : IN STD_LOGIC; s_axi_wvalid : IN STD_LOGIC; s_axi_wready : OUT STD_LOGIC; s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_bvalid : OUT STD_LOGIC; s_axi_bready : IN STD_LOGIC; s_axi_araddr : IN STD_LOGIC_VECTOR(15 DOWNTO 0); s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_arlock : IN STD_LOGIC; s_axi_arcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_arprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0); s_axi_arvalid : IN STD_LOGIC; s_axi_arready : OUT STD_LOGIC; s_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_rlast : OUT STD_LOGIC; s_axi_rvalid : OUT STD_LOGIC; s_axi_rready : IN STD_LOGIC; bram_rst_a : OUT STD_LOGIC; bram_clk_a : OUT STD_LOGIC; bram_en_a : OUT STD_LOGIC; bram_we_a : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); bram_addr_a : OUT STD_LOGIC_VECTOR(15 DOWNTO 0); bram_wrdata_a : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); bram_rddata_a : IN STD_LOGIC_VECTOR(31 DOWNTO 0)); end component; component clk_wiz_0 port ( aclk : out std_logic; resetn : in std_logic; locked : out std_logic; sys_clk_i : in std_logic); end component; component proc_sys_reset_0 is port ( slowest_sync_clk : IN STD_LOGIC; ext_reset_in : IN STD_LOGIC; aux_reset_in : IN STD_LOGIC; mb_debug_sys_rst : IN STD_LOGIC; dcm_locked : IN STD_LOGIC; mb_reset : OUT STD_LOGIC; bus_struct_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); peripheral_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); interconnect_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); peripheral_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0)); end component; constant axi_cpu_bus_slave_amount : integer := 1; constant axi_cpu_bus_slave_id_width : integer := 0; constant axi_cpu_bus_master_id_width : integer := clogb2(axi_cpu_bus_slave_amount)+axi_cpu_bus_slave_id_width; constant axi_slave_amount : integer := 3; constant axi_slave_id_width : integer := axi_cpu_bus_master_id_width; constant axi_master_id_width : integer := clogb2(axi_slave_amount)+axi_slave_id_width; constant axi_address_width : integer := 32; constant axi_address_periph_width : integer := 16; constant axi_data_width : integer := 32; constant bram_address_width : integer := 16; constant bram_data_width : integer := axi_data_width; constant bram_bram_depth : integer := 16384; signal aclk : std_logic; signal interconnect_aresetn : std_logic_vector(0 downto 0); signal peripheral_aresetn : std_logic_vector(0 downto 0); signal dcm_locked : std_logic; signal ram_bram_rst_a : std_logic; signal ram_bram_clk_a : std_logic; signal ram_bram_en_a : std_logic; signal ram_bram_we_a : std_logic_vector(3 downto 0); signal ram_bram_addr_a : std_logic_vector(15 downto 0); signal ram_bram_wrdata_a : std_logic_vector(31 downto 0); signal ram_bram_rddata_a : std_logic_vector(31 downto 0); signal boot_bram_rst_a : std_logic; signal boot_bram_clk_a : std_logic; signal boot_bram_en_a : std_logic; signal boot_bram_we_a : std_logic_vector(3 downto 0); signal boot_bram_addr_a : std_logic_vector(15 downto 0); signal boot_bram_wrdata_a : std_logic_vector(31 downto 0); signal boot_bram_rddata_a : std_logic_vector(31 downto 0); ------------------------------- -- Main Interconnect Signals -- ------------------------------- signal cpu_0_axi_full_awid : std_logic_vector(axi_slave_id_width-1 downto 0); signal cpu_0_axi_full_awaddr : std_logic_vector(axi_address_width-1 downto 0); signal cpu_0_axi_full_awlen : std_logic_vector(7 downto 0); signal cpu_0_axi_full_awsize : std_logic_vector(2 downto 0); signal cpu_0_axi_full_awburst : std_logic_vector(1 downto 0); signal cpu_0_axi_full_awlock : std_logic; signal cpu_0_axi_full_awcache : std_logic_vector(3 downto 0); signal cpu_0_axi_full_awprot : std_logic_vector(2 downto 0); signal cpu_0_axi_full_awqos : std_logic_vector(3 downto 0); signal cpu_0_axi_full_awregion : std_logic_vector(3 downto 0); signal cpu_0_axi_full_awvalid : std_logic; signal cpu_0_axi_full_awready : std_logic; signal cpu_0_axi_full_wdata : std_logic_vector(axi_data_width-1 downto 0); signal cpu_0_axi_full_wstrb : std_logic_vector(axi_data_width/8-1 downto 0); signal cpu_0_axi_full_wlast : std_logic; signal cpu_0_axi_full_wvalid : std_logic; signal cpu_0_axi_full_wready : std_logic; signal cpu_0_axi_full_bid : std_logic_vector(axi_slave_id_width-1 downto 0); signal cpu_0_axi_full_bresp : std_logic_vector(1 downto 0); signal cpu_0_axi_full_bvalid : std_logic; signal cpu_0_axi_full_bready : std_logic; signal cpu_0_axi_full_arid : std_logic_vector(axi_slave_id_width-1 downto 0); signal cpu_0_axi_full_araddr : std_logic_vector(axi_address_width-1 downto 0); signal cpu_0_axi_full_arlen : std_logic_vector(7 downto 0); signal cpu_0_axi_full_arsize : std_logic_vector(2 downto 0); signal cpu_0_axi_full_arburst : std_logic_vector(1 downto 0); signal cpu_0_axi_full_arlock : std_logic; signal cpu_0_axi_full_arcache : std_logic_vector(3 downto 0); signal cpu_0_axi_full_arprot : std_logic_vector(2 downto 0); signal cpu_0_axi_full_arqos : std_logic_vector(3 downto 0); signal cpu_0_axi_full_arregion : std_logic_vector(3 downto 0); signal cpu_0_axi_full_arvalid : std_logic; signal cpu_0_axi_full_arready : std_logic; signal cpu_0_axi_full_rid : std_logic_vector(axi_slave_id_width-1 downto 0); signal cpu_0_axi_full_rdata : std_logic_vector(axi_data_width-1 downto 0); signal cpu_0_axi_full_rresp : std_logic_vector(1 downto 0); signal cpu_0_axi_full_rlast : std_logic; signal cpu_0_axi_full_rvalid : std_logic; signal cpu_0_axi_full_rready : std_logic; signal cpu_1_axi_full_awid : std_logic_vector(axi_slave_id_width-1 downto 0); signal cpu_1_axi_full_awaddr : std_logic_vector(axi_address_width-1 downto 0); signal cpu_1_axi_full_awlen : std_logic_vector(7 downto 0); signal cpu_1_axi_full_awsize : std_logic_vector(2 downto 0); signal cpu_1_axi_full_awburst : std_logic_vector(1 downto 0); signal cpu_1_axi_full_awlock : std_logic; signal cpu_1_axi_full_awcache : std_logic_vector(3 downto 0); signal cpu_1_axi_full_awprot : std_logic_vector(2 downto 0); signal cpu_1_axi_full_awqos : std_logic_vector(3 downto 0); signal cpu_1_axi_full_awregion : std_logic_vector(3 downto 0); signal cpu_1_axi_full_awvalid : std_logic; signal cpu_1_axi_full_awready : std_logic; signal cpu_1_axi_full_wdata : std_logic_vector(axi_data_width-1 downto 0); signal cpu_1_axi_full_wstrb : std_logic_vector(axi_data_width/8-1 downto 0); signal cpu_1_axi_full_wlast : std_logic; signal cpu_1_axi_full_wvalid : std_logic; signal cpu_1_axi_full_wready : std_logic; signal cpu_1_axi_full_bid : std_logic_vector(axi_slave_id_width-1 downto 0); signal cpu_1_axi_full_bresp : std_logic_vector(1 downto 0); signal cpu_1_axi_full_bvalid : std_logic; signal cpu_1_axi_full_bready : std_logic; signal cpu_1_axi_full_arid : std_logic_vector(axi_slave_id_width-1 downto 0); signal cpu_1_axi_full_araddr : std_logic_vector(axi_address_width-1 downto 0); signal cpu_1_axi_full_arlen : std_logic_vector(7 downto 0); signal cpu_1_axi_full_arsize : std_logic_vector(2 downto 0); signal cpu_1_axi_full_arburst : std_logic_vector(1 downto 0); signal cpu_1_axi_full_arlock : std_logic; signal cpu_1_axi_full_arcache : std_logic_vector(3 downto 0); signal cpu_1_axi_full_arprot : std_logic_vector(2 downto 0); signal cpu_1_axi_full_arqos : std_logic_vector(3 downto 0); signal cpu_1_axi_full_arregion : std_logic_vector(3 downto 0); signal cpu_1_axi_full_arvalid : std_logic; signal cpu_1_axi_full_arready : std_logic; signal cpu_1_axi_full_rid : std_logic_vector(axi_slave_id_width-1 downto 0); signal cpu_1_axi_full_rdata : std_logic_vector(axi_data_width-1 downto 0); signal cpu_1_axi_full_rresp : std_logic_vector(1 downto 0); signal cpu_1_axi_full_rlast : std_logic; signal cpu_1_axi_full_rvalid : std_logic; signal cpu_1_axi_full_rready : std_logic; signal cpu_2_axi_full_awid : std_logic_vector(axi_slave_id_width-1 downto 0); signal cpu_2_axi_full_awaddr : std_logic_vector(axi_address_width-1 downto 0); signal cpu_2_axi_full_awlen : std_logic_vector(7 downto 0); signal cpu_2_axi_full_awsize : std_logic_vector(2 downto 0); signal cpu_2_axi_full_awburst : std_logic_vector(1 downto 0); signal cpu_2_axi_full_awlock : std_logic; signal cpu_2_axi_full_awcache : std_logic_vector(3 downto 0); signal cpu_2_axi_full_awprot : std_logic_vector(2 downto 0); signal cpu_2_axi_full_awqos : std_logic_vector(3 downto 0); signal cpu_2_axi_full_awregion : std_logic_vector(3 downto 0); signal cpu_2_axi_full_awvalid : std_logic; signal cpu_2_axi_full_awready : std_logic; signal cpu_2_axi_full_wdata : std_logic_vector(axi_data_width-1 downto 0); signal cpu_2_axi_full_wstrb : std_logic_vector(axi_data_width/8-1 downto 0); signal cpu_2_axi_full_wlast : std_logic; signal cpu_2_axi_full_wvalid : std_logic; signal cpu_2_axi_full_wready : std_logic; signal cpu_2_axi_full_bid : std_logic_vector(axi_slave_id_width-1 downto 0); signal cpu_2_axi_full_bresp : std_logic_vector(1 downto 0); signal cpu_2_axi_full_bvalid : std_logic; signal cpu_2_axi_full_bready : std_logic; signal cpu_2_axi_full_arid : std_logic_vector(axi_slave_id_width-1 downto 0); signal cpu_2_axi_full_araddr : std_logic_vector(axi_address_width-1 downto 0); signal cpu_2_axi_full_arlen : std_logic_vector(7 downto 0); signal cpu_2_axi_full_arsize : std_logic_vector(2 downto 0); signal cpu_2_axi_full_arburst : std_logic_vector(1 downto 0); signal cpu_2_axi_full_arlock : std_logic; signal cpu_2_axi_full_arcache : std_logic_vector(3 downto 0); signal cpu_2_axi_full_arprot : std_logic_vector(2 downto 0); signal cpu_2_axi_full_arqos : std_logic_vector(3 downto 0); signal cpu_2_axi_full_arregion : std_logic_vector(3 downto 0); signal cpu_2_axi_full_arvalid : std_logic; signal cpu_2_axi_full_arready : std_logic; signal cpu_2_axi_full_rid : std_logic_vector(axi_slave_id_width-1 downto 0); signal cpu_2_axi_full_rdata : std_logic_vector(axi_data_width-1 downto 0); signal cpu_2_axi_full_rresp : std_logic_vector(1 downto 0); signal cpu_2_axi_full_rlast : std_logic; signal cpu_2_axi_full_rvalid : std_logic; signal cpu_2_axi_full_rready : std_logic; signal boot_bram_axi_full_awid : std_logic_vector(axi_master_id_width-1 downto 0); signal boot_bram_axi_full_awaddr : std_logic_vector(axi_address_width-1 downto 0); signal boot_bram_axi_full_awlen : std_logic_vector(7 downto 0); signal boot_bram_axi_full_awsize : std_logic_vector(2 downto 0); signal boot_bram_axi_full_awburst : std_logic_vector(1 downto 0); signal boot_bram_axi_full_awlock : std_logic; signal boot_bram_axi_full_awcache : std_logic_vector(3 downto 0); signal boot_bram_axi_full_awprot : std_logic_vector(2 downto 0); signal boot_bram_axi_full_awqos : std_logic_vector(3 downto 0); signal boot_bram_axi_full_awregion : std_logic_vector(3 downto 0); signal boot_bram_axi_full_awvalid : std_logic; signal boot_bram_axi_full_awready : std_logic; signal boot_bram_axi_full_wdata : std_logic_vector(axi_data_width-1 downto 0); signal boot_bram_axi_full_wstrb : std_logic_vector(axi_data_width/8-1 downto 0); signal boot_bram_axi_full_wlast : std_logic; signal boot_bram_axi_full_wvalid : std_logic; signal boot_bram_axi_full_wready : std_logic; signal boot_bram_axi_full_bid : std_logic_vector(axi_master_id_width-1 downto 0); signal boot_bram_axi_full_bresp : std_logic_vector(1 downto 0); signal boot_bram_axi_full_bvalid : std_logic; signal boot_bram_axi_full_bready : std_logic; signal boot_bram_axi_full_arid : std_logic_vector(axi_master_id_width-1 downto 0); signal boot_bram_axi_full_araddr : std_logic_vector(axi_address_width-1 downto 0); signal boot_bram_axi_full_arlen : std_logic_vector(7 downto 0); signal boot_bram_axi_full_arsize : std_logic_vector(2 downto 0); signal boot_bram_axi_full_arburst : std_logic_vector(1 downto 0); signal boot_bram_axi_full_arlock : std_logic; signal boot_bram_axi_full_arcache : std_logic_vector(3 downto 0); signal boot_bram_axi_full_arprot : std_logic_vector(2 downto 0); signal boot_bram_axi_full_arqos : std_logic_vector(3 downto 0); signal boot_bram_axi_full_arregion : std_logic_vector(3 downto 0); signal boot_bram_axi_full_arvalid : std_logic; signal boot_bram_axi_full_arready : std_logic; signal boot_bram_axi_full_rid : std_logic_vector(axi_master_id_width-1 downto 0); signal boot_bram_axi_full_rdata : std_logic_vector(axi_data_width-1 downto 0); signal boot_bram_axi_full_rresp : std_logic_vector(1 downto 0); signal boot_bram_axi_full_rlast : std_logic; signal boot_bram_axi_full_rvalid : std_logic; signal boot_bram_axi_full_rready : std_logic; signal ram_axi_full_awid : std_logic_vector(axi_master_id_width-1 downto 0); signal ram_axi_full_awid_ext : std_logic_vector(3 downto 0) := (others=>'0'); signal ram_axi_full_awaddr : std_logic_vector(axi_address_width-1 downto 0) := (others=>'0'); signal ram_axi_full_awlen : std_logic_vector(7 downto 0); signal ram_axi_full_awsize : std_logic_vector(2 downto 0); signal ram_axi_full_awburst : std_logic_vector(1 downto 0); signal ram_axi_full_awlock : std_logic; signal ram_axi_full_awlock_slv : std_logic_vector(0 downto 0); signal ram_axi_full_awcache : std_logic_vector(3 downto 0); signal ram_axi_full_awprot : std_logic_vector(2 downto 0); signal ram_axi_full_awqos : std_logic_vector(3 downto 0); signal ram_axi_full_awregion : std_logic_vector(3 downto 0); signal ram_axi_full_awvalid : std_logic; signal ram_axi_full_awready : std_logic; signal ram_axi_full_wdata : std_logic_vector(axi_data_width-1 downto 0); signal ram_axi_full_wstrb : std_logic_vector(axi_data_width/8-1 downto 0); signal ram_axi_full_wlast : std_logic; signal ram_axi_full_wvalid : std_logic; signal ram_axi_full_wready : std_logic; signal ram_axi_full_bid : std_logic_vector(axi_master_id_width-1 downto 0); signal ram_axi_full_bid_ext : std_logic_vector(3 downto 0) := (others=>'0'); signal ram_axi_full_bresp : std_logic_vector(1 downto 0); signal ram_axi_full_bvalid : std_logic; signal ram_axi_full_bready : std_logic; signal ram_axi_full_arid : std_logic_vector(axi_master_id_width-1 downto 0); signal ram_axi_full_arid_ext : std_logic_vector(3 downto 0) := (others=>'0'); signal ram_axi_full_araddr : std_logic_vector(axi_address_width-1 downto 0) := (others=>'0'); signal ram_axi_full_arlen : std_logic_vector(7 downto 0); signal ram_axi_full_arsize : std_logic_vector(2 downto 0); signal ram_axi_full_arburst : std_logic_vector(1 downto 0); signal ram_axi_full_arlock : std_logic; signal ram_axi_full_arlock_slv : std_logic_vector(0 downto 0); signal ram_axi_full_arcache : std_logic_vector(3 downto 0); signal ram_axi_full_arprot : std_logic_vector(2 downto 0); signal ram_axi_full_arqos : std_logic_vector(3 downto 0); signal ram_axi_full_arregion : std_logic_vector(3 downto 0); signal ram_axi_full_arvalid : std_logic; signal ram_axi_full_arready : std_logic; signal ram_axi_full_rid : std_logic_vector(axi_master_id_width-1 downto 0); signal ram_axi_full_rid_ext : std_logic_vector(3 downto 0) := (others=>'0'); signal ram_axi_full_rdata : std_logic_vector(axi_data_width-1 downto 0); signal ram_axi_full_rresp : std_logic_vector(1 downto 0); signal ram_axi_full_rlast : std_logic; signal ram_axi_full_rvalid : std_logic; signal ram_axi_full_rready : std_logic; signal int_axi_full_awid : std_logic_vector(axi_master_id_width-1 downto 0); signal int_axi_full_awaddr : std_logic_vector(axi_address_width-1 downto 0); signal int_axi_full_awlen : std_logic_vector(7 downto 0); signal int_axi_full_awsize : std_logic_vector(2 downto 0); signal int_axi_full_awburst : std_logic_vector(1 downto 0); signal int_axi_full_awlock : std_logic; signal int_axi_full_awcache : std_logic_vector(3 downto 0); signal int_axi_full_awprot : std_logic_vector(2 downto 0); signal int_axi_full_awqos : std_logic_vector(3 downto 0); signal int_axi_full_awregion : std_logic_vector(3 downto 0); signal int_axi_full_awvalid : std_logic; signal int_axi_full_awready : std_logic; signal int_axi_full_wdata : std_logic_vector(axi_data_width-1 downto 0); signal int_axi_full_wstrb : std_logic_vector(axi_data_width/8-1 downto 0); signal int_axi_full_wlast : std_logic; signal int_axi_full_wvalid : std_logic; signal int_axi_full_wready : std_logic; signal int_axi_full_bid : std_logic_vector(axi_master_id_width-1 downto 0); signal int_axi_full_bresp : std_logic_vector(1 downto 0); signal int_axi_full_bvalid : std_logic; signal int_axi_full_bready : std_logic; signal int_axi_full_arid : std_logic_vector(axi_master_id_width-1 downto 0); signal int_axi_full_araddr : std_logic_vector(axi_address_width-1 downto 0); signal int_axi_full_arlen : std_logic_vector(7 downto 0); signal int_axi_full_arsize : std_logic_vector(2 downto 0); signal int_axi_full_arburst : std_logic_vector(1 downto 0); signal int_axi_full_arlock : std_logic; signal int_axi_full_arcache : std_logic_vector(3 downto 0); signal int_axi_full_arprot : std_logic_vector(2 downto 0); signal int_axi_full_arqos : std_logic_vector(3 downto 0); signal int_axi_full_arregion : std_logic_vector(3 downto 0); signal int_axi_full_arvalid : std_logic; signal int_axi_full_arready : std_logic; signal int_axi_full_rid : std_logic_vector(axi_master_id_width-1 downto 0); signal int_axi_full_rdata : std_logic_vector(axi_data_width-1 downto 0); signal int_axi_full_rresp : std_logic_vector(1 downto 0); signal int_axi_full_rlast : std_logic; signal int_axi_full_rvalid : std_logic; signal int_axi_full_rready : std_logic; signal timer_axi_full_awid : std_logic_vector(axi_master_id_width-1 downto 0); signal timer_axi_full_awaddr : std_logic_vector(axi_address_width-1 downto 0); signal timer_axi_full_awlen : std_logic_vector(7 downto 0); signal timer_axi_full_awsize : std_logic_vector(2 downto 0); signal timer_axi_full_awburst : std_logic_vector(1 downto 0); signal timer_axi_full_awlock : std_logic; signal timer_axi_full_awcache : std_logic_vector(3 downto 0); signal timer_axi_full_awprot : std_logic_vector(2 downto 0); signal timer_axi_full_awqos : std_logic_vector(3 downto 0); signal timer_axi_full_awregion : std_logic_vector(3 downto 0); signal timer_axi_full_awvalid : std_logic; signal timer_axi_full_awready : std_logic; signal timer_axi_full_wdata : std_logic_vector(axi_data_width-1 downto 0); signal timer_axi_full_wstrb : std_logic_vector(axi_data_width/8-1 downto 0); signal timer_axi_full_wlast : std_logic; signal timer_axi_full_wvalid : std_logic; signal timer_axi_full_wready : std_logic; signal timer_axi_full_bid : std_logic_vector(axi_master_id_width-1 downto 0); signal timer_axi_full_bresp : std_logic_vector(1 downto 0); signal timer_axi_full_bvalid : std_logic; signal timer_axi_full_bready : std_logic; signal timer_axi_full_arid : std_logic_vector(axi_master_id_width-1 downto 0); signal timer_axi_full_araddr : std_logic_vector(axi_address_width-1 downto 0); signal timer_axi_full_arlen : std_logic_vector(7 downto 0); signal timer_axi_full_arsize : std_logic_vector(2 downto 0); signal timer_axi_full_arburst : std_logic_vector(1 downto 0); signal timer_axi_full_arlock : std_logic; signal timer_axi_full_arcache : std_logic_vector(3 downto 0); signal timer_axi_full_arprot : std_logic_vector(2 downto 0); signal timer_axi_full_arqos : std_logic_vector(3 downto 0); signal timer_axi_full_arregion : std_logic_vector(3 downto 0); signal timer_axi_full_arvalid : std_logic; signal timer_axi_full_arready : std_logic; signal timer_axi_full_rid : std_logic_vector(axi_master_id_width-1 downto 0); signal timer_axi_full_rdata : std_logic_vector(axi_data_width-1 downto 0); signal timer_axi_full_rresp : std_logic_vector(1 downto 0); signal timer_axi_full_rlast : std_logic; signal timer_axi_full_rvalid : std_logic; signal timer_axi_full_rready : std_logic; signal gpio_axi_full_awid : std_logic_vector(axi_master_id_width-1 downto 0); signal gpio_axi_full_awaddr : std_logic_vector(axi_address_width-1 downto 0); signal gpio_axi_full_awlen : std_logic_vector(7 downto 0); signal gpio_axi_full_awsize : std_logic_vector(2 downto 0); signal gpio_axi_full_awburst : std_logic_vector(1 downto 0); signal gpio_axi_full_awlock : std_logic; signal gpio_axi_full_awcache : std_logic_vector(3 downto 0); signal gpio_axi_full_awprot : std_logic_vector(2 downto 0); signal gpio_axi_full_awqos : std_logic_vector(3 downto 0); signal gpio_axi_full_awregion : std_logic_vector(3 downto 0); signal gpio_axi_full_awvalid : std_logic; signal gpio_axi_full_awready : std_logic; signal gpio_axi_full_wdata : std_logic_vector(axi_data_width-1 downto 0); signal gpio_axi_full_wstrb : std_logic_vector(axi_data_width/8-1 downto 0); signal gpio_axi_full_wlast : std_logic; signal gpio_axi_full_wvalid : std_logic; signal gpio_axi_full_wready : std_logic; signal gpio_axi_full_bid : std_logic_vector(axi_master_id_width-1 downto 0); signal gpio_axi_full_bresp : std_logic_vector(1 downto 0); signal gpio_axi_full_bvalid : std_logic; signal gpio_axi_full_bready : std_logic; signal gpio_axi_full_arid : std_logic_vector(axi_master_id_width-1 downto 0); signal gpio_axi_full_araddr : std_logic_vector(axi_address_width-1 downto 0); signal gpio_axi_full_arlen : std_logic_vector(7 downto 0); signal gpio_axi_full_arsize : std_logic_vector(2 downto 0); signal gpio_axi_full_arburst : std_logic_vector(1 downto 0); signal gpio_axi_full_arlock : std_logic; signal gpio_axi_full_arcache : std_logic_vector(3 downto 0); signal gpio_axi_full_arprot : std_logic_vector(2 downto 0); signal gpio_axi_full_arqos : std_logic_vector(3 downto 0); signal gpio_axi_full_arregion : std_logic_vector(3 downto 0); signal gpio_axi_full_arvalid : std_logic; signal gpio_axi_full_arready : std_logic; signal gpio_axi_full_rid : std_logic_vector(axi_master_id_width-1 downto 0); signal gpio_axi_full_rdata : std_logic_vector(axi_data_width-1 downto 0); signal gpio_axi_full_rresp : std_logic_vector(1 downto 0); signal gpio_axi_full_rlast : std_logic; signal gpio_axi_full_rvalid : std_logic; signal gpio_axi_full_rready : std_logic; signal uart_axi_full_awid : std_logic_vector(axi_master_id_width-1 downto 0); signal uart_axi_full_awaddr : std_logic_vector(axi_address_width-1 downto 0); signal uart_axi_full_awlen : std_logic_vector(7 downto 0); signal uart_axi_full_awsize : std_logic_vector(2 downto 0); signal uart_axi_full_awburst : std_logic_vector(1 downto 0); signal uart_axi_full_awlock : std_logic; signal uart_axi_full_awcache : std_logic_vector(3 downto 0); signal uart_axi_full_awprot : std_logic_vector(2 downto 0); signal uart_axi_full_awqos : std_logic_vector(3 downto 0); signal uart_axi_full_awregion : std_logic_vector(3 downto 0); signal uart_axi_full_awvalid : std_logic; signal uart_axi_full_awready : std_logic; signal uart_axi_full_wdata : std_logic_vector(axi_data_width-1 downto 0); signal uart_axi_full_wstrb : std_logic_vector(axi_data_width/8-1 downto 0); signal uart_axi_full_wlast : std_logic; signal uart_axi_full_wvalid : std_logic; signal uart_axi_full_wready : std_logic; signal uart_axi_full_bid : std_logic_vector(axi_master_id_width-1 downto 0); signal uart_axi_full_bresp : std_logic_vector(1 downto 0); signal uart_axi_full_bvalid : std_logic; signal uart_axi_full_bready : std_logic; signal uart_axi_full_arid : std_logic_vector(axi_master_id_width-1 downto 0); signal uart_axi_full_araddr : std_logic_vector(axi_address_width-1 downto 0); signal uart_axi_full_arlen : std_logic_vector(7 downto 0); signal uart_axi_full_arsize : std_logic_vector(2 downto 0); signal uart_axi_full_arburst : std_logic_vector(1 downto 0); signal uart_axi_full_arlock : std_logic; signal uart_axi_full_arcache : std_logic_vector(3 downto 0); signal uart_axi_full_arprot : std_logic_vector(2 downto 0); signal uart_axi_full_arqos : std_logic_vector(3 downto 0); signal uart_axi_full_arregion : std_logic_vector(3 downto 0); signal uart_axi_full_arvalid : std_logic; signal uart_axi_full_arready : std_logic; signal uart_axi_full_rid : std_logic_vector(axi_master_id_width-1 downto 0); signal uart_axi_full_rdata : std_logic_vector(axi_data_width-1 downto 0); signal uart_axi_full_rresp : std_logic_vector(1 downto 0); signal uart_axi_full_rlast : std_logic; signal uart_axi_full_rvalid : std_logic; signal uart_axi_full_rready : std_logic; signal lock_axi_full_awid : std_logic_vector(axi_master_id_width-1 downto 0); signal lock_axi_full_awaddr : std_logic_vector(axi_address_width-1 downto 0); signal lock_axi_full_awlen : std_logic_vector(7 downto 0); signal lock_axi_full_awsize : std_logic_vector(2 downto 0); signal lock_axi_full_awburst : std_logic_vector(1 downto 0); signal lock_axi_full_awlock : std_logic; signal lock_axi_full_awcache : std_logic_vector(3 downto 0); signal lock_axi_full_awprot : std_logic_vector(2 downto 0); signal lock_axi_full_awqos : std_logic_vector(3 downto 0); signal lock_axi_full_awregion : std_logic_vector(3 downto 0); signal lock_axi_full_awvalid : std_logic; signal lock_axi_full_awready : std_logic; signal lock_axi_full_wdata : std_logic_vector(axi_data_width-1 downto 0); signal lock_axi_full_wstrb : std_logic_vector(axi_data_width/8-1 downto 0); signal lock_axi_full_wlast : std_logic; signal lock_axi_full_wvalid : std_logic; signal lock_axi_full_wready : std_logic; signal lock_axi_full_bid : std_logic_vector(axi_master_id_width-1 downto 0); signal lock_axi_full_bresp : std_logic_vector(1 downto 0); signal lock_axi_full_bvalid : std_logic; signal lock_axi_full_bready : std_logic; signal lock_axi_full_arid : std_logic_vector(axi_master_id_width-1 downto 0); signal lock_axi_full_araddr : std_logic_vector(axi_address_width-1 downto 0); signal lock_axi_full_arlen : std_logic_vector(7 downto 0); signal lock_axi_full_arsize : std_logic_vector(2 downto 0); signal lock_axi_full_arburst : std_logic_vector(1 downto 0); signal lock_axi_full_arlock : std_logic; signal lock_axi_full_arcache : std_logic_vector(3 downto 0); signal lock_axi_full_arprot : std_logic_vector(2 downto 0); signal lock_axi_full_arqos : std_logic_vector(3 downto 0); signal lock_axi_full_arregion : std_logic_vector(3 downto 0); signal lock_axi_full_arvalid : std_logic; signal lock_axi_full_arready : std_logic; signal lock_axi_full_rid : std_logic_vector(axi_master_id_width-1 downto 0); signal lock_axi_full_rdata : std_logic_vector(axi_data_width-1 downto 0); signal lock_axi_full_rresp : std_logic_vector(1 downto 0); signal lock_axi_full_rlast : std_logic; signal lock_axi_full_rvalid : std_logic; signal lock_axi_full_rready : std_logic; --------------------- -- CPU Bus Signals -- --------------------- signal cpu_bus_0_full_awid : std_logic_vector(axi_cpu_bus_slave_id_width-1 downto 0); signal cpu_bus_0_full_awaddr : std_logic_vector(axi_address_width-1 downto 0); signal cpu_bus_0_full_awlen : std_logic_vector(7 downto 0); signal cpu_bus_0_full_awsize : std_logic_vector(2 downto 0); signal cpu_bus_0_full_awburst : std_logic_vector(1 downto 0); signal cpu_bus_0_full_awlock : std_logic; signal cpu_bus_0_full_awcache : std_logic_vector(3 downto 0); signal cpu_bus_0_full_awprot : std_logic_vector(2 downto 0); signal cpu_bus_0_full_awqos : std_logic_vector(3 downto 0); signal cpu_bus_0_full_awregion : std_logic_vector(3 downto 0); signal cpu_bus_0_full_awvalid : std_logic; signal cpu_bus_0_full_awready : std_logic; signal cpu_bus_0_full_wdata : std_logic_vector(axi_data_width-1 downto 0); signal cpu_bus_0_full_wstrb : std_logic_vector(axi_data_width/8-1 downto 0); signal cpu_bus_0_full_wlast : std_logic; signal cpu_bus_0_full_wvalid : std_logic; signal cpu_bus_0_full_wready : std_logic; signal cpu_bus_0_full_bid : std_logic_vector(axi_cpu_bus_slave_id_width-1 downto 0); signal cpu_bus_0_full_bresp : std_logic_vector(1 downto 0); signal cpu_bus_0_full_bvalid : std_logic; signal cpu_bus_0_full_bready : std_logic; signal cpu_bus_0_full_arid : std_logic_vector(axi_cpu_bus_slave_id_width-1 downto 0); signal cpu_bus_0_full_araddr : std_logic_vector(axi_address_width-1 downto 0); signal cpu_bus_0_full_arlen : std_logic_vector(7 downto 0); signal cpu_bus_0_full_arsize : std_logic_vector(2 downto 0); signal cpu_bus_0_full_arburst : std_logic_vector(1 downto 0); signal cpu_bus_0_full_arlock : std_logic; signal cpu_bus_0_full_arcache : std_logic_vector(3 downto 0); signal cpu_bus_0_full_arprot : std_logic_vector(2 downto 0); signal cpu_bus_0_full_arqos : std_logic_vector(3 downto 0); signal cpu_bus_0_full_arregion : std_logic_vector(3 downto 0); signal cpu_bus_0_full_arvalid : std_logic; signal cpu_bus_0_full_arready : std_logic; signal cpu_bus_0_full_rid : std_logic_vector(axi_cpu_bus_slave_id_width-1 downto 0); signal cpu_bus_0_full_rdata : std_logic_vector(axi_data_width-1 downto 0); signal cpu_bus_0_full_rresp : std_logic_vector(1 downto 0); signal cpu_bus_0_full_rlast : std_logic; signal cpu_bus_0_full_rvalid : std_logic; signal cpu_bus_0_full_rready : std_logic; signal cpuid_gpio_bus_0_full_awid : std_logic_vector(axi_cpu_bus_master_id_width-1 downto 0); signal cpuid_gpio_bus_0_full_awaddr : std_logic_vector(axi_address_width-1 downto 0); signal cpuid_gpio_bus_0_full_awlen : std_logic_vector(7 downto 0); signal cpuid_gpio_bus_0_full_awsize : std_logic_vector(2 downto 0); signal cpuid_gpio_bus_0_full_awburst : std_logic_vector(1 downto 0); signal cpuid_gpio_bus_0_full_awlock : std_logic; signal cpuid_gpio_bus_0_full_awcache : std_logic_vector(3 downto 0); signal cpuid_gpio_bus_0_full_awprot : std_logic_vector(2 downto 0); signal cpuid_gpio_bus_0_full_awqos : std_logic_vector(3 downto 0); signal cpuid_gpio_bus_0_full_awregion : std_logic_vector(3 downto 0); signal cpuid_gpio_bus_0_full_awvalid : std_logic; signal cpuid_gpio_bus_0_full_awready : std_logic; signal cpuid_gpio_bus_0_full_wdata : std_logic_vector(axi_data_width-1 downto 0); signal cpuid_gpio_bus_0_full_wstrb : std_logic_vector(axi_data_width/8-1 downto 0); signal cpuid_gpio_bus_0_full_wlast : std_logic; signal cpuid_gpio_bus_0_full_wvalid : std_logic; signal cpuid_gpio_bus_0_full_wready : std_logic; signal cpuid_gpio_bus_0_full_bid : std_logic_vector(axi_cpu_bus_master_id_width-1 downto 0); signal cpuid_gpio_bus_0_full_bresp : std_logic_vector(1 downto 0); signal cpuid_gpio_bus_0_full_bvalid : std_logic; signal cpuid_gpio_bus_0_full_bready : std_logic; signal cpuid_gpio_bus_0_full_arid : std_logic_vector(axi_cpu_bus_master_id_width-1 downto 0); signal cpuid_gpio_bus_0_full_araddr : std_logic_vector(axi_address_width-1 downto 0); signal cpuid_gpio_bus_0_full_arlen : std_logic_vector(7 downto 0); signal cpuid_gpio_bus_0_full_arsize : std_logic_vector(2 downto 0); signal cpuid_gpio_bus_0_full_arburst : std_logic_vector(1 downto 0); signal cpuid_gpio_bus_0_full_arlock : std_logic; signal cpuid_gpio_bus_0_full_arcache : std_logic_vector(3 downto 0); signal cpuid_gpio_bus_0_full_arprot : std_logic_vector(2 downto 0); signal cpuid_gpio_bus_0_full_arqos : std_logic_vector(3 downto 0); signal cpuid_gpio_bus_0_full_arregion : std_logic_vector(3 downto 0); signal cpuid_gpio_bus_0_full_arvalid : std_logic; signal cpuid_gpio_bus_0_full_arready : std_logic; signal cpuid_gpio_bus_0_full_rid : std_logic_vector(axi_cpu_bus_master_id_width-1 downto 0); signal cpuid_gpio_bus_0_full_rdata : std_logic_vector(axi_data_width-1 downto 0); signal cpuid_gpio_bus_0_full_rresp : std_logic_vector(1 downto 0); signal cpuid_gpio_bus_0_full_rlast : std_logic; signal cpuid_gpio_bus_0_full_rvalid : std_logic; signal cpuid_gpio_bus_0_full_rready : std_logic; signal int_bus_0_full_awid : std_logic_vector(axi_cpu_bus_master_id_width-1 downto 0); signal int_bus_0_full_awaddr : std_logic_vector(axi_address_width-1 downto 0); signal int_bus_0_full_awlen : std_logic_vector(7 downto 0); signal int_bus_0_full_awsize : std_logic_vector(2 downto 0); signal int_bus_0_full_awburst : std_logic_vector(1 downto 0); signal int_bus_0_full_awlock : std_logic; signal int_bus_0_full_awcache : std_logic_vector(3 downto 0); signal int_bus_0_full_awprot : std_logic_vector(2 downto 0); signal int_bus_0_full_awqos : std_logic_vector(3 downto 0); signal int_bus_0_full_awregion : std_logic_vector(3 downto 0); signal int_bus_0_full_awvalid : std_logic; signal int_bus_0_full_awready : std_logic; signal int_bus_0_full_wdata : std_logic_vector(axi_data_width-1 downto 0); signal int_bus_0_full_wstrb : std_logic_vector(axi_data_width/8-1 downto 0); signal int_bus_0_full_wlast : std_logic; signal int_bus_0_full_wvalid : std_logic; signal int_bus_0_full_wready : std_logic; signal int_bus_0_full_bid : std_logic_vector(axi_cpu_bus_master_id_width-1 downto 0); signal int_bus_0_full_bresp : std_logic_vector(1 downto 0); signal int_bus_0_full_bvalid : std_logic; signal int_bus_0_full_bready : std_logic; signal int_bus_0_full_arid : std_logic_vector(axi_cpu_bus_master_id_width-1 downto 0); signal int_bus_0_full_araddr : std_logic_vector(axi_address_width-1 downto 0); signal int_bus_0_full_arlen : std_logic_vector(7 downto 0); signal int_bus_0_full_arsize : std_logic_vector(2 downto 0); signal int_bus_0_full_arburst : std_logic_vector(1 downto 0); signal int_bus_0_full_arlock : std_logic; signal int_bus_0_full_arcache : std_logic_vector(3 downto 0); signal int_bus_0_full_arprot : std_logic_vector(2 downto 0); signal int_bus_0_full_arqos : std_logic_vector(3 downto 0); signal int_bus_0_full_arregion : std_logic_vector(3 downto 0); signal int_bus_0_full_arvalid : std_logic; signal int_bus_0_full_arready : std_logic; signal int_bus_0_full_rid : std_logic_vector(axi_cpu_bus_master_id_width-1 downto 0); signal int_bus_0_full_rdata : std_logic_vector(axi_data_width-1 downto 0); signal int_bus_0_full_rresp : std_logic_vector(1 downto 0); signal int_bus_0_full_rlast : std_logic; signal int_bus_0_full_rvalid : std_logic; signal int_bus_0_full_rready : std_logic; signal signal_bus_0_full_awid : std_logic_vector(axi_cpu_bus_master_id_width-1 downto 0); signal signal_bus_0_full_awaddr : std_logic_vector(axi_address_width-1 downto 0); signal signal_bus_0_full_awlen : std_logic_vector(7 downto 0); signal signal_bus_0_full_awsize : std_logic_vector(2 downto 0); signal signal_bus_0_full_awburst : std_logic_vector(1 downto 0); signal signal_bus_0_full_awlock : std_logic; signal signal_bus_0_full_awcache : std_logic_vector(3 downto 0); signal signal_bus_0_full_awprot : std_logic_vector(2 downto 0); signal signal_bus_0_full_awqos : std_logic_vector(3 downto 0); signal signal_bus_0_full_awregion : std_logic_vector(3 downto 0); signal signal_bus_0_full_awvalid : std_logic; signal signal_bus_0_full_awready : std_logic; signal signal_bus_0_full_wdata : std_logic_vector(axi_data_width-1 downto 0); signal signal_bus_0_full_wstrb : std_logic_vector(axi_data_width/8-1 downto 0); signal signal_bus_0_full_wlast : std_logic; signal signal_bus_0_full_wvalid : std_logic; signal signal_bus_0_full_wready : std_logic; signal signal_bus_0_full_bid : std_logic_vector(axi_cpu_bus_master_id_width-1 downto 0); signal signal_bus_0_full_bresp : std_logic_vector(1 downto 0); signal signal_bus_0_full_bvalid : std_logic; signal signal_bus_0_full_bready : std_logic; signal signal_bus_0_full_arid : std_logic_vector(axi_cpu_bus_master_id_width-1 downto 0); signal signal_bus_0_full_araddr : std_logic_vector(axi_address_width-1 downto 0); signal signal_bus_0_full_arlen : std_logic_vector(7 downto 0); signal signal_bus_0_full_arsize : std_logic_vector(2 downto 0); signal signal_bus_0_full_arburst : std_logic_vector(1 downto 0); signal signal_bus_0_full_arlock : std_logic; signal signal_bus_0_full_arcache : std_logic_vector(3 downto 0); signal signal_bus_0_full_arprot : std_logic_vector(2 downto 0); signal signal_bus_0_full_arqos : std_logic_vector(3 downto 0); signal signal_bus_0_full_arregion : std_logic_vector(3 downto 0); signal signal_bus_0_full_arvalid : std_logic; signal signal_bus_0_full_arready : std_logic; signal signal_bus_0_full_rid : std_logic_vector(axi_cpu_bus_master_id_width-1 downto 0); signal signal_bus_0_full_rdata : std_logic_vector(axi_data_width-1 downto 0); signal signal_bus_0_full_rresp : std_logic_vector(1 downto 0); signal signal_bus_0_full_rlast : std_logic; signal signal_bus_0_full_rvalid : std_logic; signal signal_bus_0_full_rready : std_logic; signal timer_bus_0_full_awid : std_logic_vector(axi_cpu_bus_master_id_width-1 downto 0); signal timer_bus_0_full_awaddr : std_logic_vector(axi_address_width-1 downto 0); signal timer_bus_0_full_awlen : std_logic_vector(7 downto 0); signal timer_bus_0_full_awsize : std_logic_vector(2 downto 0); signal timer_bus_0_full_awburst : std_logic_vector(1 downto 0); signal timer_bus_0_full_awlock : std_logic; signal timer_bus_0_full_awcache : std_logic_vector(3 downto 0); signal timer_bus_0_full_awprot : std_logic_vector(2 downto 0); signal timer_bus_0_full_awqos : std_logic_vector(3 downto 0); signal timer_bus_0_full_awregion : std_logic_vector(3 downto 0); signal timer_bus_0_full_awvalid : std_logic; signal timer_bus_0_full_awready : std_logic; signal timer_bus_0_full_wdata : std_logic_vector(axi_data_width-1 downto 0); signal timer_bus_0_full_wstrb : std_logic_vector(axi_data_width/8-1 downto 0); signal timer_bus_0_full_wlast : std_logic; signal timer_bus_0_full_wvalid : std_logic; signal timer_bus_0_full_wready : std_logic; signal timer_bus_0_full_bid : std_logic_vector(axi_cpu_bus_master_id_width-1 downto 0); signal timer_bus_0_full_bresp : std_logic_vector(1 downto 0); signal timer_bus_0_full_bvalid : std_logic; signal timer_bus_0_full_bready : std_logic; signal timer_bus_0_full_arid : std_logic_vector(axi_cpu_bus_master_id_width-1 downto 0); signal timer_bus_0_full_araddr : std_logic_vector(axi_address_width-1 downto 0); signal timer_bus_0_full_arlen : std_logic_vector(7 downto 0); signal timer_bus_0_full_arsize : std_logic_vector(2 downto 0); signal timer_bus_0_full_arburst : std_logic_vector(1 downto 0); signal timer_bus_0_full_arlock : std_logic; signal timer_bus_0_full_arcache : std_logic_vector(3 downto 0); signal timer_bus_0_full_arprot : std_logic_vector(2 downto 0); signal timer_bus_0_full_arqos : std_logic_vector(3 downto 0); signal timer_bus_0_full_arregion : std_logic_vector(3 downto 0); signal timer_bus_0_full_arvalid : std_logic; signal timer_bus_0_full_arready : std_logic; signal timer_bus_0_full_rid : std_logic_vector(axi_cpu_bus_master_id_width-1 downto 0); signal timer_bus_0_full_rdata : std_logic_vector(axi_data_width-1 downto 0); signal timer_bus_0_full_rresp : std_logic_vector(1 downto 0); signal timer_bus_0_full_rlast : std_logic; signal timer_bus_0_full_rvalid : std_logic; signal timer_bus_0_full_rready : std_logic; signal cpu_bus_1_full_awid : std_logic_vector(axi_cpu_bus_slave_id_width-1 downto 0); signal cpu_bus_1_full_awaddr : std_logic_vector(axi_address_width-1 downto 0); signal cpu_bus_1_full_awlen : std_logic_vector(7 downto 0); signal cpu_bus_1_full_awsize : std_logic_vector(2 downto 0); signal cpu_bus_1_full_awburst : std_logic_vector(1 downto 0); signal cpu_bus_1_full_awlock : std_logic; signal cpu_bus_1_full_awcache : std_logic_vector(3 downto 0); signal cpu_bus_1_full_awprot : std_logic_vector(2 downto 0); signal cpu_bus_1_full_awqos : std_logic_vector(3 downto 0); signal cpu_bus_1_full_awregion : std_logic_vector(3 downto 0); signal cpu_bus_1_full_awvalid : std_logic; signal cpu_bus_1_full_awready : std_logic; signal cpu_bus_1_full_wdata : std_logic_vector(axi_data_width-1 downto 0); signal cpu_bus_1_full_wstrb : std_logic_vector(axi_data_width/8-1 downto 0); signal cpu_bus_1_full_wlast : std_logic; signal cpu_bus_1_full_wvalid : std_logic; signal cpu_bus_1_full_wready : std_logic; signal cpu_bus_1_full_bid : std_logic_vector(axi_cpu_bus_slave_id_width-1 downto 0); signal cpu_bus_1_full_bresp : std_logic_vector(1 downto 0); signal cpu_bus_1_full_bvalid : std_logic; signal cpu_bus_1_full_bready : std_logic; signal cpu_bus_1_full_arid : std_logic_vector(axi_cpu_bus_slave_id_width-1 downto 0); signal cpu_bus_1_full_araddr : std_logic_vector(axi_address_width-1 downto 0); signal cpu_bus_1_full_arlen : std_logic_vector(7 downto 0); signal cpu_bus_1_full_arsize : std_logic_vector(2 downto 0); signal cpu_bus_1_full_arburst : std_logic_vector(1 downto 0); signal cpu_bus_1_full_arlock : std_logic; signal cpu_bus_1_full_arcache : std_logic_vector(3 downto 0); signal cpu_bus_1_full_arprot : std_logic_vector(2 downto 0); signal cpu_bus_1_full_arqos : std_logic_vector(3 downto 0); signal cpu_bus_1_full_arregion : std_logic_vector(3 downto 0); signal cpu_bus_1_full_arvalid : std_logic; signal cpu_bus_1_full_arready : std_logic; signal cpu_bus_1_full_rid : std_logic_vector(axi_cpu_bus_slave_id_width-1 downto 0); signal cpu_bus_1_full_rdata : std_logic_vector(axi_data_width-1 downto 0); signal cpu_bus_1_full_rresp : std_logic_vector(1 downto 0); signal cpu_bus_1_full_rlast : std_logic; signal cpu_bus_1_full_rvalid : std_logic; signal cpu_bus_1_full_rready : std_logic; signal cpuid_gpio_bus_1_full_awid : std_logic_vector(axi_cpu_bus_master_id_width-1 downto 0); signal cpuid_gpio_bus_1_full_awaddr : std_logic_vector(axi_address_width-1 downto 0); signal cpuid_gpio_bus_1_full_awlen : std_logic_vector(7 downto 0); signal cpuid_gpio_bus_1_full_awsize : std_logic_vector(2 downto 0); signal cpuid_gpio_bus_1_full_awburst : std_logic_vector(1 downto 0); signal cpuid_gpio_bus_1_full_awlock : std_logic; signal cpuid_gpio_bus_1_full_awcache : std_logic_vector(3 downto 0); signal cpuid_gpio_bus_1_full_awprot : std_logic_vector(2 downto 0); signal cpuid_gpio_bus_1_full_awqos : std_logic_vector(3 downto 0); signal cpuid_gpio_bus_1_full_awregion : std_logic_vector(3 downto 0); signal cpuid_gpio_bus_1_full_awvalid : std_logic; signal cpuid_gpio_bus_1_full_awready : std_logic; signal cpuid_gpio_bus_1_full_wdata : std_logic_vector(axi_data_width-1 downto 0); signal cpuid_gpio_bus_1_full_wstrb : std_logic_vector(axi_data_width/8-1 downto 0); signal cpuid_gpio_bus_1_full_wlast : std_logic; signal cpuid_gpio_bus_1_full_wvalid : std_logic; signal cpuid_gpio_bus_1_full_wready : std_logic; signal cpuid_gpio_bus_1_full_bid : std_logic_vector(axi_cpu_bus_master_id_width-1 downto 0); signal cpuid_gpio_bus_1_full_bresp : std_logic_vector(1 downto 0); signal cpuid_gpio_bus_1_full_bvalid : std_logic; signal cpuid_gpio_bus_1_full_bready : std_logic; signal cpuid_gpio_bus_1_full_arid : std_logic_vector(axi_cpu_bus_master_id_width-1 downto 0); signal cpuid_gpio_bus_1_full_araddr : std_logic_vector(axi_address_width-1 downto 0); signal cpuid_gpio_bus_1_full_arlen : std_logic_vector(7 downto 0); signal cpuid_gpio_bus_1_full_arsize : std_logic_vector(2 downto 0); signal cpuid_gpio_bus_1_full_arburst : std_logic_vector(1 downto 0); signal cpuid_gpio_bus_1_full_arlock : std_logic; signal cpuid_gpio_bus_1_full_arcache : std_logic_vector(3 downto 0); signal cpuid_gpio_bus_1_full_arprot : std_logic_vector(2 downto 0); signal cpuid_gpio_bus_1_full_arqos : std_logic_vector(3 downto 0); signal cpuid_gpio_bus_1_full_arregion : std_logic_vector(3 downto 0); signal cpuid_gpio_bus_1_full_arvalid : std_logic; signal cpuid_gpio_bus_1_full_arready : std_logic; signal cpuid_gpio_bus_1_full_rid : std_logic_vector(axi_cpu_bus_master_id_width-1 downto 0); signal cpuid_gpio_bus_1_full_rdata : std_logic_vector(axi_data_width-1 downto 0); signal cpuid_gpio_bus_1_full_rresp : std_logic_vector(1 downto 0); signal cpuid_gpio_bus_1_full_rlast : std_logic; signal cpuid_gpio_bus_1_full_rvalid : std_logic; signal cpuid_gpio_bus_1_full_rready : std_logic; signal int_bus_1_full_awid : std_logic_vector(axi_cpu_bus_master_id_width-1 downto 0); signal int_bus_1_full_awaddr : std_logic_vector(axi_address_width-1 downto 0); signal int_bus_1_full_awlen : std_logic_vector(7 downto 0); signal int_bus_1_full_awsize : std_logic_vector(2 downto 0); signal int_bus_1_full_awburst : std_logic_vector(1 downto 0); signal int_bus_1_full_awlock : std_logic; signal int_bus_1_full_awcache : std_logic_vector(3 downto 0); signal int_bus_1_full_awprot : std_logic_vector(2 downto 0); signal int_bus_1_full_awqos : std_logic_vector(3 downto 0); signal int_bus_1_full_awregion : std_logic_vector(3 downto 0); signal int_bus_1_full_awvalid : std_logic; signal int_bus_1_full_awready : std_logic; signal int_bus_1_full_wdata : std_logic_vector(axi_data_width-1 downto 0); signal int_bus_1_full_wstrb : std_logic_vector(axi_data_width/8-1 downto 0); signal int_bus_1_full_wlast : std_logic; signal int_bus_1_full_wvalid : std_logic; signal int_bus_1_full_wready : std_logic; signal int_bus_1_full_bid : std_logic_vector(axi_cpu_bus_master_id_width-1 downto 0); signal int_bus_1_full_bresp : std_logic_vector(1 downto 0); signal int_bus_1_full_bvalid : std_logic; signal int_bus_1_full_bready : std_logic; signal int_bus_1_full_arid : std_logic_vector(axi_cpu_bus_master_id_width-1 downto 0); signal int_bus_1_full_araddr : std_logic_vector(axi_address_width-1 downto 0); signal int_bus_1_full_arlen : std_logic_vector(7 downto 0); signal int_bus_1_full_arsize : std_logic_vector(2 downto 0); signal int_bus_1_full_arburst : std_logic_vector(1 downto 0); signal int_bus_1_full_arlock : std_logic; signal int_bus_1_full_arcache : std_logic_vector(3 downto 0); signal int_bus_1_full_arprot : std_logic_vector(2 downto 0); signal int_bus_1_full_arqos : std_logic_vector(3 downto 0); signal int_bus_1_full_arregion : std_logic_vector(3 downto 0); signal int_bus_1_full_arvalid : std_logic; signal int_bus_1_full_arready : std_logic; signal int_bus_1_full_rid : std_logic_vector(axi_cpu_bus_master_id_width-1 downto 0); signal int_bus_1_full_rdata : std_logic_vector(axi_data_width-1 downto 0); signal int_bus_1_full_rresp : std_logic_vector(1 downto 0); signal int_bus_1_full_rlast : std_logic; signal int_bus_1_full_rvalid : std_logic; signal int_bus_1_full_rready : std_logic; signal signal_bus_1_full_awid : std_logic_vector(axi_cpu_bus_master_id_width-1 downto 0); signal signal_bus_1_full_awaddr : std_logic_vector(axi_address_width-1 downto 0); signal signal_bus_1_full_awlen : std_logic_vector(7 downto 0); signal signal_bus_1_full_awsize : std_logic_vector(2 downto 0); signal signal_bus_1_full_awburst : std_logic_vector(1 downto 0); signal signal_bus_1_full_awlock : std_logic; signal signal_bus_1_full_awcache : std_logic_vector(3 downto 0); signal signal_bus_1_full_awprot : std_logic_vector(2 downto 0); signal signal_bus_1_full_awqos : std_logic_vector(3 downto 0); signal signal_bus_1_full_awregion : std_logic_vector(3 downto 0); signal signal_bus_1_full_awvalid : std_logic; signal signal_bus_1_full_awready : std_logic; signal signal_bus_1_full_wdata : std_logic_vector(axi_data_width-1 downto 0); signal signal_bus_1_full_wstrb : std_logic_vector(axi_data_width/8-1 downto 0); signal signal_bus_1_full_wlast : std_logic; signal signal_bus_1_full_wvalid : std_logic; signal signal_bus_1_full_wready : std_logic; signal signal_bus_1_full_bid : std_logic_vector(axi_cpu_bus_master_id_width-1 downto 0); signal signal_bus_1_full_bresp : std_logic_vector(1 downto 0); signal signal_bus_1_full_bvalid : std_logic; signal signal_bus_1_full_bready : std_logic; signal signal_bus_1_full_arid : std_logic_vector(axi_cpu_bus_master_id_width-1 downto 0); signal signal_bus_1_full_araddr : std_logic_vector(axi_address_width-1 downto 0); signal signal_bus_1_full_arlen : std_logic_vector(7 downto 0); signal signal_bus_1_full_arsize : std_logic_vector(2 downto 0); signal signal_bus_1_full_arburst : std_logic_vector(1 downto 0); signal signal_bus_1_full_arlock : std_logic; signal signal_bus_1_full_arcache : std_logic_vector(3 downto 0); signal signal_bus_1_full_arprot : std_logic_vector(2 downto 0); signal signal_bus_1_full_arqos : std_logic_vector(3 downto 0); signal signal_bus_1_full_arregion : std_logic_vector(3 downto 0); signal signal_bus_1_full_arvalid : std_logic; signal signal_bus_1_full_arready : std_logic; signal signal_bus_1_full_rid : std_logic_vector(axi_cpu_bus_master_id_width-1 downto 0); signal signal_bus_1_full_rdata : std_logic_vector(axi_data_width-1 downto 0); signal signal_bus_1_full_rresp : std_logic_vector(1 downto 0); signal signal_bus_1_full_rlast : std_logic; signal signal_bus_1_full_rvalid : std_logic; signal signal_bus_1_full_rready : std_logic; signal timer_bus_1_full_awid : std_logic_vector(axi_cpu_bus_master_id_width-1 downto 0); signal timer_bus_1_full_awaddr : std_logic_vector(axi_address_width-1 downto 0); signal timer_bus_1_full_awlen : std_logic_vector(7 downto 0); signal timer_bus_1_full_awsize : std_logic_vector(2 downto 0); signal timer_bus_1_full_awburst : std_logic_vector(1 downto 0); signal timer_bus_1_full_awlock : std_logic; signal timer_bus_1_full_awcache : std_logic_vector(3 downto 0); signal timer_bus_1_full_awprot : std_logic_vector(2 downto 0); signal timer_bus_1_full_awqos : std_logic_vector(3 downto 0); signal timer_bus_1_full_awregion : std_logic_vector(3 downto 0); signal timer_bus_1_full_awvalid : std_logic; signal timer_bus_1_full_awready : std_logic; signal timer_bus_1_full_wdata : std_logic_vector(axi_data_width-1 downto 0); signal timer_bus_1_full_wstrb : std_logic_vector(axi_data_width/8-1 downto 0); signal timer_bus_1_full_wlast : std_logic; signal timer_bus_1_full_wvalid : std_logic; signal timer_bus_1_full_wready : std_logic; signal timer_bus_1_full_bid : std_logic_vector(axi_cpu_bus_master_id_width-1 downto 0); signal timer_bus_1_full_bresp : std_logic_vector(1 downto 0); signal timer_bus_1_full_bvalid : std_logic; signal timer_bus_1_full_bready : std_logic; signal timer_bus_1_full_arid : std_logic_vector(axi_cpu_bus_master_id_width-1 downto 0); signal timer_bus_1_full_araddr : std_logic_vector(axi_address_width-1 downto 0); signal timer_bus_1_full_arlen : std_logic_vector(7 downto 0); signal timer_bus_1_full_arsize : std_logic_vector(2 downto 0); signal timer_bus_1_full_arburst : std_logic_vector(1 downto 0); signal timer_bus_1_full_arlock : std_logic; signal timer_bus_1_full_arcache : std_logic_vector(3 downto 0); signal timer_bus_1_full_arprot : std_logic_vector(2 downto 0); signal timer_bus_1_full_arqos : std_logic_vector(3 downto 0); signal timer_bus_1_full_arregion : std_logic_vector(3 downto 0); signal timer_bus_1_full_arvalid : std_logic; signal timer_bus_1_full_arready : std_logic; signal timer_bus_1_full_rid : std_logic_vector(axi_cpu_bus_master_id_width-1 downto 0); signal timer_bus_1_full_rdata : std_logic_vector(axi_data_width-1 downto 0); signal timer_bus_1_full_rresp : std_logic_vector(1 downto 0); signal timer_bus_1_full_rlast : std_logic; signal timer_bus_1_full_rvalid : std_logic; signal timer_bus_1_full_rready : std_logic; signal cpu_bus_2_full_awid : std_logic_vector(axi_cpu_bus_slave_id_width-1 downto 0); signal cpu_bus_2_full_awaddr : std_logic_vector(axi_address_width-1 downto 0); signal cpu_bus_2_full_awlen : std_logic_vector(7 downto 0); signal cpu_bus_2_full_awsize : std_logic_vector(2 downto 0); signal cpu_bus_2_full_awburst : std_logic_vector(1 downto 0); signal cpu_bus_2_full_awlock : std_logic; signal cpu_bus_2_full_awcache : std_logic_vector(3 downto 0); signal cpu_bus_2_full_awprot : std_logic_vector(2 downto 0); signal cpu_bus_2_full_awqos : std_logic_vector(3 downto 0); signal cpu_bus_2_full_awregion : std_logic_vector(3 downto 0); signal cpu_bus_2_full_awvalid : std_logic; signal cpu_bus_2_full_awready : std_logic; signal cpu_bus_2_full_wdata : std_logic_vector(axi_data_width-1 downto 0); signal cpu_bus_2_full_wstrb : std_logic_vector(axi_data_width/8-1 downto 0); signal cpu_bus_2_full_wlast : std_logic; signal cpu_bus_2_full_wvalid : std_logic; signal cpu_bus_2_full_wready : std_logic; signal cpu_bus_2_full_bid : std_logic_vector(axi_cpu_bus_slave_id_width-1 downto 0); signal cpu_bus_2_full_bresp : std_logic_vector(1 downto 0); signal cpu_bus_2_full_bvalid : std_logic; signal cpu_bus_2_full_bready : std_logic; signal cpu_bus_2_full_arid : std_logic_vector(axi_cpu_bus_slave_id_width-1 downto 0); signal cpu_bus_2_full_araddr : std_logic_vector(axi_address_width-1 downto 0); signal cpu_bus_2_full_arlen : std_logic_vector(7 downto 0); signal cpu_bus_2_full_arsize : std_logic_vector(2 downto 0); signal cpu_bus_2_full_arburst : std_logic_vector(1 downto 0); signal cpu_bus_2_full_arlock : std_logic; signal cpu_bus_2_full_arcache : std_logic_vector(3 downto 0); signal cpu_bus_2_full_arprot : std_logic_vector(2 downto 0); signal cpu_bus_2_full_arqos : std_logic_vector(3 downto 0); signal cpu_bus_2_full_arregion : std_logic_vector(3 downto 0); signal cpu_bus_2_full_arvalid : std_logic; signal cpu_bus_2_full_arready : std_logic; signal cpu_bus_2_full_rid : std_logic_vector(axi_cpu_bus_slave_id_width-1 downto 0); signal cpu_bus_2_full_rdata : std_logic_vector(axi_data_width-1 downto 0); signal cpu_bus_2_full_rresp : std_logic_vector(1 downto 0); signal cpu_bus_2_full_rlast : std_logic; signal cpu_bus_2_full_rvalid : std_logic; signal cpu_bus_2_full_rready : std_logic; signal cpuid_gpio_bus_2_full_awid : std_logic_vector(axi_cpu_bus_master_id_width-1 downto 0); signal cpuid_gpio_bus_2_full_awaddr : std_logic_vector(axi_address_width-1 downto 0); signal cpuid_gpio_bus_2_full_awlen : std_logic_vector(7 downto 0); signal cpuid_gpio_bus_2_full_awsize : std_logic_vector(2 downto 0); signal cpuid_gpio_bus_2_full_awburst : std_logic_vector(1 downto 0); signal cpuid_gpio_bus_2_full_awlock : std_logic; signal cpuid_gpio_bus_2_full_awcache : std_logic_vector(3 downto 0); signal cpuid_gpio_bus_2_full_awprot : std_logic_vector(2 downto 0); signal cpuid_gpio_bus_2_full_awqos : std_logic_vector(3 downto 0); signal cpuid_gpio_bus_2_full_awregion : std_logic_vector(3 downto 0); signal cpuid_gpio_bus_2_full_awvalid : std_logic; signal cpuid_gpio_bus_2_full_awready : std_logic; signal cpuid_gpio_bus_2_full_wdata : std_logic_vector(axi_data_width-1 downto 0); signal cpuid_gpio_bus_2_full_wstrb : std_logic_vector(axi_data_width/8-1 downto 0); signal cpuid_gpio_bus_2_full_wlast : std_logic; signal cpuid_gpio_bus_2_full_wvalid : std_logic; signal cpuid_gpio_bus_2_full_wready : std_logic; signal cpuid_gpio_bus_2_full_bid : std_logic_vector(axi_cpu_bus_master_id_width-1 downto 0); signal cpuid_gpio_bus_2_full_bresp : std_logic_vector(1 downto 0); signal cpuid_gpio_bus_2_full_bvalid : std_logic; signal cpuid_gpio_bus_2_full_bready : std_logic; signal cpuid_gpio_bus_2_full_arid : std_logic_vector(axi_cpu_bus_master_id_width-1 downto 0); signal cpuid_gpio_bus_2_full_araddr : std_logic_vector(axi_address_width-1 downto 0); signal cpuid_gpio_bus_2_full_arlen : std_logic_vector(7 downto 0); signal cpuid_gpio_bus_2_full_arsize : std_logic_vector(2 downto 0); signal cpuid_gpio_bus_2_full_arburst : std_logic_vector(1 downto 0); signal cpuid_gpio_bus_2_full_arlock : std_logic; signal cpuid_gpio_bus_2_full_arcache : std_logic_vector(3 downto 0); signal cpuid_gpio_bus_2_full_arprot : std_logic_vector(2 downto 0); signal cpuid_gpio_bus_2_full_arqos : std_logic_vector(3 downto 0); signal cpuid_gpio_bus_2_full_arregion : std_logic_vector(3 downto 0); signal cpuid_gpio_bus_2_full_arvalid : std_logic; signal cpuid_gpio_bus_2_full_arready : std_logic; signal cpuid_gpio_bus_2_full_rid : std_logic_vector(axi_cpu_bus_master_id_width-1 downto 0); signal cpuid_gpio_bus_2_full_rdata : std_logic_vector(axi_data_width-1 downto 0); signal cpuid_gpio_bus_2_full_rresp : std_logic_vector(1 downto 0); signal cpuid_gpio_bus_2_full_rlast : std_logic; signal cpuid_gpio_bus_2_full_rvalid : std_logic; signal cpuid_gpio_bus_2_full_rready : std_logic; signal int_bus_2_full_awid : std_logic_vector(axi_cpu_bus_master_id_width-1 downto 0); signal int_bus_2_full_awaddr : std_logic_vector(axi_address_width-1 downto 0); signal int_bus_2_full_awlen : std_logic_vector(7 downto 0); signal int_bus_2_full_awsize : std_logic_vector(2 downto 0); signal int_bus_2_full_awburst : std_logic_vector(1 downto 0); signal int_bus_2_full_awlock : std_logic; signal int_bus_2_full_awcache : std_logic_vector(3 downto 0); signal int_bus_2_full_awprot : std_logic_vector(2 downto 0); signal int_bus_2_full_awqos : std_logic_vector(3 downto 0); signal int_bus_2_full_awregion : std_logic_vector(3 downto 0); signal int_bus_2_full_awvalid : std_logic; signal int_bus_2_full_awready : std_logic; signal int_bus_2_full_wdata : std_logic_vector(axi_data_width-1 downto 0); signal int_bus_2_full_wstrb : std_logic_vector(axi_data_width/8-1 downto 0); signal int_bus_2_full_wlast : std_logic; signal int_bus_2_full_wvalid : std_logic; signal int_bus_2_full_wready : std_logic; signal int_bus_2_full_bid : std_logic_vector(axi_cpu_bus_master_id_width-1 downto 0); signal int_bus_2_full_bresp : std_logic_vector(1 downto 0); signal int_bus_2_full_bvalid : std_logic; signal int_bus_2_full_bready : std_logic; signal int_bus_2_full_arid : std_logic_vector(axi_cpu_bus_master_id_width-1 downto 0); signal int_bus_2_full_araddr : std_logic_vector(axi_address_width-1 downto 0); signal int_bus_2_full_arlen : std_logic_vector(7 downto 0); signal int_bus_2_full_arsize : std_logic_vector(2 downto 0); signal int_bus_2_full_arburst : std_logic_vector(1 downto 0); signal int_bus_2_full_arlock : std_logic; signal int_bus_2_full_arcache : std_logic_vector(3 downto 0); signal int_bus_2_full_arprot : std_logic_vector(2 downto 0); signal int_bus_2_full_arqos : std_logic_vector(3 downto 0); signal int_bus_2_full_arregion : std_logic_vector(3 downto 0); signal int_bus_2_full_arvalid : std_logic; signal int_bus_2_full_arready : std_logic; signal int_bus_2_full_rid : std_logic_vector(axi_cpu_bus_master_id_width-1 downto 0); signal int_bus_2_full_rdata : std_logic_vector(axi_data_width-1 downto 0); signal int_bus_2_full_rresp : std_logic_vector(1 downto 0); signal int_bus_2_full_rlast : std_logic; signal int_bus_2_full_rvalid : std_logic; signal int_bus_2_full_rready : std_logic; signal signal_bus_2_full_awid : std_logic_vector(axi_cpu_bus_master_id_width-1 downto 0); signal signal_bus_2_full_awaddr : std_logic_vector(axi_address_width-1 downto 0); signal signal_bus_2_full_awlen : std_logic_vector(7 downto 0); signal signal_bus_2_full_awsize : std_logic_vector(2 downto 0); signal signal_bus_2_full_awburst : std_logic_vector(1 downto 0); signal signal_bus_2_full_awlock : std_logic; signal signal_bus_2_full_awcache : std_logic_vector(3 downto 0); signal signal_bus_2_full_awprot : std_logic_vector(2 downto 0); signal signal_bus_2_full_awqos : std_logic_vector(3 downto 0); signal signal_bus_2_full_awregion : std_logic_vector(3 downto 0); signal signal_bus_2_full_awvalid : std_logic; signal signal_bus_2_full_awready : std_logic; signal signal_bus_2_full_wdata : std_logic_vector(axi_data_width-1 downto 0); signal signal_bus_2_full_wstrb : std_logic_vector(axi_data_width/8-1 downto 0); signal signal_bus_2_full_wlast : std_logic; signal signal_bus_2_full_wvalid : std_logic; signal signal_bus_2_full_wready : std_logic; signal signal_bus_2_full_bid : std_logic_vector(axi_cpu_bus_master_id_width-1 downto 0); signal signal_bus_2_full_bresp : std_logic_vector(1 downto 0); signal signal_bus_2_full_bvalid : std_logic; signal signal_bus_2_full_bready : std_logic; signal signal_bus_2_full_arid : std_logic_vector(axi_cpu_bus_master_id_width-1 downto 0); signal signal_bus_2_full_araddr : std_logic_vector(axi_address_width-1 downto 0); signal signal_bus_2_full_arlen : std_logic_vector(7 downto 0); signal signal_bus_2_full_arsize : std_logic_vector(2 downto 0); signal signal_bus_2_full_arburst : std_logic_vector(1 downto 0); signal signal_bus_2_full_arlock : std_logic; signal signal_bus_2_full_arcache : std_logic_vector(3 downto 0); signal signal_bus_2_full_arprot : std_logic_vector(2 downto 0); signal signal_bus_2_full_arqos : std_logic_vector(3 downto 0); signal signal_bus_2_full_arregion : std_logic_vector(3 downto 0); signal signal_bus_2_full_arvalid : std_logic; signal signal_bus_2_full_arready : std_logic; signal signal_bus_2_full_rid : std_logic_vector(axi_cpu_bus_master_id_width-1 downto 0); signal signal_bus_2_full_rdata : std_logic_vector(axi_data_width-1 downto 0); signal signal_bus_2_full_rresp : std_logic_vector(1 downto 0); signal signal_bus_2_full_rlast : std_logic; signal signal_bus_2_full_rvalid : std_logic; signal signal_bus_2_full_rready : std_logic; signal timer_bus_2_full_awid : std_logic_vector(axi_cpu_bus_master_id_width-1 downto 0); signal timer_bus_2_full_awaddr : std_logic_vector(axi_address_width-1 downto 0); signal timer_bus_2_full_awlen : std_logic_vector(7 downto 0); signal timer_bus_2_full_awsize : std_logic_vector(2 downto 0); signal timer_bus_2_full_awburst : std_logic_vector(1 downto 0); signal timer_bus_2_full_awlock : std_logic; signal timer_bus_2_full_awcache : std_logic_vector(3 downto 0); signal timer_bus_2_full_awprot : std_logic_vector(2 downto 0); signal timer_bus_2_full_awqos : std_logic_vector(3 downto 0); signal timer_bus_2_full_awregion : std_logic_vector(3 downto 0); signal timer_bus_2_full_awvalid : std_logic; signal timer_bus_2_full_awready : std_logic; signal timer_bus_2_full_wdata : std_logic_vector(axi_data_width-1 downto 0); signal timer_bus_2_full_wstrb : std_logic_vector(axi_data_width/8-1 downto 0); signal timer_bus_2_full_wlast : std_logic; signal timer_bus_2_full_wvalid : std_logic; signal timer_bus_2_full_wready : std_logic; signal timer_bus_2_full_bid : std_logic_vector(axi_cpu_bus_master_id_width-1 downto 0); signal timer_bus_2_full_bresp : std_logic_vector(1 downto 0); signal timer_bus_2_full_bvalid : std_logic; signal timer_bus_2_full_bready : std_logic; signal timer_bus_2_full_arid : std_logic_vector(axi_cpu_bus_master_id_width-1 downto 0); signal timer_bus_2_full_araddr : std_logic_vector(axi_address_width-1 downto 0); signal timer_bus_2_full_arlen : std_logic_vector(7 downto 0); signal timer_bus_2_full_arsize : std_logic_vector(2 downto 0); signal timer_bus_2_full_arburst : std_logic_vector(1 downto 0); signal timer_bus_2_full_arlock : std_logic; signal timer_bus_2_full_arcache : std_logic_vector(3 downto 0); signal timer_bus_2_full_arprot : std_logic_vector(2 downto 0); signal timer_bus_2_full_arqos : std_logic_vector(3 downto 0); signal timer_bus_2_full_arregion : std_logic_vector(3 downto 0); signal timer_bus_2_full_arvalid : std_logic; signal timer_bus_2_full_arready : std_logic; signal timer_bus_2_full_rid : std_logic_vector(axi_cpu_bus_master_id_width-1 downto 0); signal timer_bus_2_full_rdata : std_logic_vector(axi_data_width-1 downto 0); signal timer_bus_2_full_rresp : std_logic_vector(1 downto 0); signal timer_bus_2_full_rlast : std_logic; signal timer_bus_2_full_rvalid : std_logic; signal timer_bus_2_full_rready : std_logic; -------------------------------------- -- CPUID GPIO AXI Full2Lite Signals -- -------------------------------------- signal cpuid_gpio_bus_0_lite_awaddr : std_logic_vector(axi_address_width-1 downto 0); signal cpuid_gpio_bus_0_lite_awprot : std_logic_vector(2 downto 0); signal cpuid_gpio_bus_0_lite_awvalid : std_logic; signal cpuid_gpio_bus_0_lite_awready : std_logic; signal cpuid_gpio_bus_0_lite_wvalid : std_logic; signal cpuid_gpio_bus_0_lite_wready : std_logic; signal cpuid_gpio_bus_0_lite_wdata : std_logic_vector(axi_data_width-1 downto 0); signal cpuid_gpio_bus_0_lite_wstrb : std_logic_vector(axi_data_width/8-1 downto 0); signal cpuid_gpio_bus_0_lite_bvalid : std_logic; signal cpuid_gpio_bus_0_lite_bready : std_logic; signal cpuid_gpio_bus_0_lite_bresp : std_logic_vector(1 downto 0); signal cpuid_gpio_bus_0_lite_araddr : std_logic_vector(axi_address_width-1 downto 0); signal cpuid_gpio_bus_0_lite_arprot : std_logic_vector(2 downto 0); signal cpuid_gpio_bus_0_lite_arvalid : std_logic; signal cpuid_gpio_bus_0_lite_arready : std_logic; signal cpuid_gpio_bus_0_lite_rdata : std_logic_vector(axi_data_width-1 downto 0); signal cpuid_gpio_bus_0_lite_rvalid : std_logic; signal cpuid_gpio_bus_0_lite_rready : std_logic; signal cpuid_gpio_bus_0_lite_rresp : std_logic_vector(1 downto 0); signal cpuid_gpio_bus_1_lite_awaddr : std_logic_vector(axi_address_width-1 downto 0); signal cpuid_gpio_bus_1_lite_awprot : std_logic_vector(2 downto 0); signal cpuid_gpio_bus_1_lite_awvalid : std_logic; signal cpuid_gpio_bus_1_lite_awready : std_logic; signal cpuid_gpio_bus_1_lite_wvalid : std_logic; signal cpuid_gpio_bus_1_lite_wready : std_logic; signal cpuid_gpio_bus_1_lite_wdata : std_logic_vector(axi_data_width-1 downto 0); signal cpuid_gpio_bus_1_lite_wstrb : std_logic_vector(axi_data_width/8-1 downto 0); signal cpuid_gpio_bus_1_lite_bvalid : std_logic; signal cpuid_gpio_bus_1_lite_bready : std_logic; signal cpuid_gpio_bus_1_lite_bresp : std_logic_vector(1 downto 0); signal cpuid_gpio_bus_1_lite_araddr : std_logic_vector(axi_address_width-1 downto 0); signal cpuid_gpio_bus_1_lite_arprot : std_logic_vector(2 downto 0); signal cpuid_gpio_bus_1_lite_arvalid : std_logic; signal cpuid_gpio_bus_1_lite_arready : std_logic; signal cpuid_gpio_bus_1_lite_rdata : std_logic_vector(axi_data_width-1 downto 0); signal cpuid_gpio_bus_1_lite_rvalid : std_logic; signal cpuid_gpio_bus_1_lite_rready : std_logic; signal cpuid_gpio_bus_1_lite_rresp : std_logic_vector(1 downto 0); signal cpuid_gpio_bus_2_lite_awaddr : std_logic_vector(axi_address_width-1 downto 0); signal cpuid_gpio_bus_2_lite_awprot : std_logic_vector(2 downto 0); signal cpuid_gpio_bus_2_lite_awvalid : std_logic; signal cpuid_gpio_bus_2_lite_awready : std_logic; signal cpuid_gpio_bus_2_lite_wvalid : std_logic; signal cpuid_gpio_bus_2_lite_wready : std_logic; signal cpuid_gpio_bus_2_lite_wdata : std_logic_vector(axi_data_width-1 downto 0); signal cpuid_gpio_bus_2_lite_wstrb : std_logic_vector(axi_data_width/8-1 downto 0); signal cpuid_gpio_bus_2_lite_bvalid : std_logic; signal cpuid_gpio_bus_2_lite_bready : std_logic; signal cpuid_gpio_bus_2_lite_bresp : std_logic_vector(1 downto 0); signal cpuid_gpio_bus_2_lite_araddr : std_logic_vector(axi_address_width-1 downto 0); signal cpuid_gpio_bus_2_lite_arprot : std_logic_vector(2 downto 0); signal cpuid_gpio_bus_2_lite_arvalid : std_logic; signal cpuid_gpio_bus_2_lite_arready : std_logic; signal cpuid_gpio_bus_2_lite_rdata : std_logic_vector(axi_data_width-1 downto 0); signal cpuid_gpio_bus_2_lite_rvalid : std_logic; signal cpuid_gpio_bus_2_lite_rready : std_logic; signal cpuid_gpio_bus_2_lite_rresp : std_logic_vector(1 downto 0); ----------------------------------- -- CPU INT AXI Full2Lite Signals -- ----------------------------------- signal int_bus_0_lite_awaddr : std_logic_vector(axi_address_width-1 downto 0); signal int_bus_0_lite_awprot : std_logic_vector(2 downto 0); signal int_bus_0_lite_awvalid : std_logic; signal int_bus_0_lite_awready : std_logic; signal int_bus_0_lite_wvalid : std_logic; signal int_bus_0_lite_wready : std_logic; signal int_bus_0_lite_wdata : std_logic_vector(axi_data_width-1 downto 0); signal int_bus_0_lite_wstrb : std_logic_vector(axi_data_width/8-1 downto 0); signal int_bus_0_lite_bvalid : std_logic; signal int_bus_0_lite_bready : std_logic; signal int_bus_0_lite_bresp : std_logic_vector(1 downto 0); signal int_bus_0_lite_araddr : std_logic_vector(axi_address_width-1 downto 0); signal int_bus_0_lite_arprot : std_logic_vector(2 downto 0); signal int_bus_0_lite_arvalid : std_logic; signal int_bus_0_lite_arready : std_logic; signal int_bus_0_lite_rdata : std_logic_vector(axi_data_width-1 downto 0); signal int_bus_0_lite_rvalid : std_logic; signal int_bus_0_lite_rready : std_logic; signal int_bus_0_lite_rresp : std_logic_vector(1 downto 0); signal int_bus_1_lite_awaddr : std_logic_vector(axi_address_width-1 downto 0); signal int_bus_1_lite_awprot : std_logic_vector(2 downto 0); signal int_bus_1_lite_awvalid : std_logic; signal int_bus_1_lite_awready : std_logic; signal int_bus_1_lite_wvalid : std_logic; signal int_bus_1_lite_wready : std_logic; signal int_bus_1_lite_wdata : std_logic_vector(axi_data_width-1 downto 0); signal int_bus_1_lite_wstrb : std_logic_vector(axi_data_width/8-1 downto 0); signal int_bus_1_lite_bvalid : std_logic; signal int_bus_1_lite_bready : std_logic; signal int_bus_1_lite_bresp : std_logic_vector(1 downto 0); signal int_bus_1_lite_araddr : std_logic_vector(axi_address_width-1 downto 0); signal int_bus_1_lite_arprot : std_logic_vector(2 downto 0); signal int_bus_1_lite_arvalid : std_logic; signal int_bus_1_lite_arready : std_logic; signal int_bus_1_lite_rdata : std_logic_vector(axi_data_width-1 downto 0); signal int_bus_1_lite_rvalid : std_logic; signal int_bus_1_lite_rready : std_logic; signal int_bus_1_lite_rresp : std_logic_vector(1 downto 0); signal int_bus_2_lite_awaddr : std_logic_vector(axi_address_width-1 downto 0); signal int_bus_2_lite_awprot : std_logic_vector(2 downto 0); signal int_bus_2_lite_awvalid : std_logic; signal int_bus_2_lite_awready : std_logic; signal int_bus_2_lite_wvalid : std_logic; signal int_bus_2_lite_wready : std_logic; signal int_bus_2_lite_wdata : std_logic_vector(axi_data_width-1 downto 0); signal int_bus_2_lite_wstrb : std_logic_vector(axi_data_width/8-1 downto 0); signal int_bus_2_lite_bvalid : std_logic; signal int_bus_2_lite_bready : std_logic; signal int_bus_2_lite_bresp : std_logic_vector(1 downto 0); signal int_bus_2_lite_araddr : std_logic_vector(axi_address_width-1 downto 0); signal int_bus_2_lite_arprot : std_logic_vector(2 downto 0); signal int_bus_2_lite_arvalid : std_logic; signal int_bus_2_lite_arready : std_logic; signal int_bus_2_lite_rdata : std_logic_vector(axi_data_width-1 downto 0); signal int_bus_2_lite_rvalid : std_logic; signal int_bus_2_lite_rready : std_logic; signal int_bus_2_lite_rresp : std_logic_vector(1 downto 0); -------------------------------------- -- CPU Signal AXI Full2Lite Signals -- -------------------------------------- signal signal_bus_0_lite_awaddr : std_logic_vector(axi_address_width-1 downto 0); signal signal_bus_0_lite_awprot : std_logic_vector(2 downto 0); signal signal_bus_0_lite_awvalid : std_logic; signal signal_bus_0_lite_awready : std_logic; signal signal_bus_0_lite_wvalid : std_logic; signal signal_bus_0_lite_wready : std_logic; signal signal_bus_0_lite_wdata : std_logic_vector(axi_data_width-1 downto 0); signal signal_bus_0_lite_wstrb : std_logic_vector(axi_data_width/8-1 downto 0); signal signal_bus_0_lite_bvalid : std_logic; signal signal_bus_0_lite_bready : std_logic; signal signal_bus_0_lite_bresp : std_logic_vector(1 downto 0); signal signal_bus_0_lite_araddr : std_logic_vector(axi_address_width-1 downto 0); signal signal_bus_0_lite_arprot : std_logic_vector(2 downto 0); signal signal_bus_0_lite_arvalid : std_logic; signal signal_bus_0_lite_arready : std_logic; signal signal_bus_0_lite_rdata : std_logic_vector(axi_data_width-1 downto 0); signal signal_bus_0_lite_rvalid : std_logic; signal signal_bus_0_lite_rready : std_logic; signal signal_bus_0_lite_rresp : std_logic_vector(1 downto 0); signal signal_bus_1_lite_awaddr : std_logic_vector(axi_address_width-1 downto 0); signal signal_bus_1_lite_awprot : std_logic_vector(2 downto 0); signal signal_bus_1_lite_awvalid : std_logic; signal signal_bus_1_lite_awready : std_logic; signal signal_bus_1_lite_wvalid : std_logic; signal signal_bus_1_lite_wready : std_logic; signal signal_bus_1_lite_wdata : std_logic_vector(axi_data_width-1 downto 0); signal signal_bus_1_lite_wstrb : std_logic_vector(axi_data_width/8-1 downto 0); signal signal_bus_1_lite_bvalid : std_logic; signal signal_bus_1_lite_bready : std_logic; signal signal_bus_1_lite_bresp : std_logic_vector(1 downto 0); signal signal_bus_1_lite_araddr : std_logic_vector(axi_address_width-1 downto 0); signal signal_bus_1_lite_arprot : std_logic_vector(2 downto 0); signal signal_bus_1_lite_arvalid : std_logic; signal signal_bus_1_lite_arready : std_logic; signal signal_bus_1_lite_rdata : std_logic_vector(axi_data_width-1 downto 0); signal signal_bus_1_lite_rvalid : std_logic; signal signal_bus_1_lite_rready : std_logic; signal signal_bus_1_lite_rresp : std_logic_vector(1 downto 0); signal signal_bus_2_lite_awaddr : std_logic_vector(axi_address_width-1 downto 0); signal signal_bus_2_lite_awprot : std_logic_vector(2 downto 0); signal signal_bus_2_lite_awvalid : std_logic; signal signal_bus_2_lite_awready : std_logic; signal signal_bus_2_lite_wvalid : std_logic; signal signal_bus_2_lite_wready : std_logic; signal signal_bus_2_lite_wdata : std_logic_vector(axi_data_width-1 downto 0); signal signal_bus_2_lite_wstrb : std_logic_vector(axi_data_width/8-1 downto 0); signal signal_bus_2_lite_bvalid : std_logic; signal signal_bus_2_lite_bready : std_logic; signal signal_bus_2_lite_bresp : std_logic_vector(1 downto 0); signal signal_bus_2_lite_araddr : std_logic_vector(axi_address_width-1 downto 0); signal signal_bus_2_lite_arprot : std_logic_vector(2 downto 0); signal signal_bus_2_lite_arvalid : std_logic; signal signal_bus_2_lite_arready : std_logic; signal signal_bus_2_lite_rdata : std_logic_vector(axi_data_width-1 downto 0); signal signal_bus_2_lite_rvalid : std_logic; signal signal_bus_2_lite_rready : std_logic; signal signal_bus_2_lite_rresp : std_logic_vector(1 downto 0); ------------------------------------- -- CPU Timer AXI Full2Lite Signals -- ------------------------------------- signal timer_bus_0_lite_awaddr : std_logic_vector(axi_address_width-1 downto 0); signal timer_bus_0_lite_awprot : std_logic_vector(2 downto 0); signal timer_bus_0_lite_awvalid : std_logic; signal timer_bus_0_lite_awready : std_logic; signal timer_bus_0_lite_wvalid : std_logic; signal timer_bus_0_lite_wready : std_logic; signal timer_bus_0_lite_wdata : std_logic_vector(axi_data_width-1 downto 0); signal timer_bus_0_lite_wstrb : std_logic_vector(axi_data_width/8-1 downto 0); signal timer_bus_0_lite_bvalid : std_logic; signal timer_bus_0_lite_bready : std_logic; signal timer_bus_0_lite_bresp : std_logic_vector(1 downto 0); signal timer_bus_0_lite_araddr : std_logic_vector(axi_address_width-1 downto 0); signal timer_bus_0_lite_arprot : std_logic_vector(2 downto 0); signal timer_bus_0_lite_arvalid : std_logic; signal timer_bus_0_lite_arready : std_logic; signal timer_bus_0_lite_rdata : std_logic_vector(axi_data_width-1 downto 0); signal timer_bus_0_lite_rvalid : std_logic; signal timer_bus_0_lite_rready : std_logic; signal timer_bus_0_lite_rresp : std_logic_vector(1 downto 0); signal timer_bus_1_lite_awaddr : std_logic_vector(axi_address_width-1 downto 0); signal timer_bus_1_lite_awprot : std_logic_vector(2 downto 0); signal timer_bus_1_lite_awvalid : std_logic; signal timer_bus_1_lite_awready : std_logic; signal timer_bus_1_lite_wvalid : std_logic; signal timer_bus_1_lite_wready : std_logic; signal timer_bus_1_lite_wdata : std_logic_vector(axi_data_width-1 downto 0); signal timer_bus_1_lite_wstrb : std_logic_vector(axi_data_width/8-1 downto 0); signal timer_bus_1_lite_bvalid : std_logic; signal timer_bus_1_lite_bready : std_logic; signal timer_bus_1_lite_bresp : std_logic_vector(1 downto 0); signal timer_bus_1_lite_araddr : std_logic_vector(axi_address_width-1 downto 0); signal timer_bus_1_lite_arprot : std_logic_vector(2 downto 0); signal timer_bus_1_lite_arvalid : std_logic; signal timer_bus_1_lite_arready : std_logic; signal timer_bus_1_lite_rdata : std_logic_vector(axi_data_width-1 downto 0); signal timer_bus_1_lite_rvalid : std_logic; signal timer_bus_1_lite_rready : std_logic; signal timer_bus_1_lite_rresp : std_logic_vector(1 downto 0); signal timer_bus_2_lite_awaddr : std_logic_vector(axi_address_width-1 downto 0); signal timer_bus_2_lite_awprot : std_logic_vector(2 downto 0); signal timer_bus_2_lite_awvalid : std_logic; signal timer_bus_2_lite_awready : std_logic; signal timer_bus_2_lite_wvalid : std_logic; signal timer_bus_2_lite_wready : std_logic; signal timer_bus_2_lite_wdata : std_logic_vector(axi_data_width-1 downto 0); signal timer_bus_2_lite_wstrb : std_logic_vector(axi_data_width/8-1 downto 0); signal timer_bus_2_lite_bvalid : std_logic; signal timer_bus_2_lite_bready : std_logic; signal timer_bus_2_lite_bresp : std_logic_vector(1 downto 0); signal timer_bus_2_lite_araddr : std_logic_vector(axi_address_width-1 downto 0); signal timer_bus_2_lite_arprot : std_logic_vector(2 downto 0); signal timer_bus_2_lite_arvalid : std_logic; signal timer_bus_2_lite_arready : std_logic; signal timer_bus_2_lite_rdata : std_logic_vector(axi_data_width-1 downto 0); signal timer_bus_2_lite_rvalid : std_logic; signal timer_bus_2_lite_rready : std_logic; signal timer_bus_2_lite_rresp : std_logic_vector(1 downto 0); --------------------------------------------- -- Main Interconnect AXI Full2Lite Signals -- --------------------------------------------- signal int_axi_lite_awaddr : std_logic_vector(axi_address_width-1 downto 0); signal int_axi_lite_awprot : std_logic_vector(2 downto 0); signal int_axi_lite_awvalid : std_logic; signal int_axi_lite_awready : std_logic; signal int_axi_lite_wvalid : std_logic; signal int_axi_lite_wready : std_logic; signal int_axi_lite_wdata : std_logic_vector(axi_data_width-1 downto 0); signal int_axi_lite_wstrb : std_logic_vector(axi_data_width/8-1 downto 0); signal int_axi_lite_bvalid : std_logic; signal int_axi_lite_bready : std_logic; signal int_axi_lite_bresp : std_logic_vector(1 downto 0); signal int_axi_lite_araddr : std_logic_vector(axi_address_width-1 downto 0); signal int_axi_lite_arprot : std_logic_vector(2 downto 0); signal int_axi_lite_arvalid : std_logic; signal int_axi_lite_arready : std_logic; signal int_axi_lite_rdata : std_logic_vector(axi_data_width-1 downto 0); signal int_axi_lite_rvalid : std_logic; signal int_axi_lite_rready : std_logic; signal int_axi_lite_rresp : std_logic_vector(1 downto 0); signal timer_axi_lite_awaddr : std_logic_vector(axi_address_width-1 downto 0); signal timer_axi_lite_awprot : std_logic_vector(2 downto 0); signal timer_axi_lite_awvalid : std_logic; signal timer_axi_lite_awready : std_logic; signal timer_axi_lite_wvalid : std_logic; signal timer_axi_lite_wready : std_logic; signal timer_axi_lite_wdata : std_logic_vector(axi_data_width-1 downto 0); signal timer_axi_lite_wstrb : std_logic_vector(axi_data_width/8-1 downto 0); signal timer_axi_lite_bvalid : std_logic; signal timer_axi_lite_bready : std_logic; signal timer_axi_lite_bresp : std_logic_vector(1 downto 0); signal timer_axi_lite_araddr : std_logic_vector(axi_address_width-1 downto 0); signal timer_axi_lite_arprot : std_logic_vector(2 downto 0); signal timer_axi_lite_arvalid : std_logic; signal timer_axi_lite_arready : std_logic; signal timer_axi_lite_rdata : std_logic_vector(axi_data_width-1 downto 0); signal timer_axi_lite_rvalid : std_logic; signal timer_axi_lite_rready : std_logic; signal timer_axi_lite_rresp : std_logic_vector(1 downto 0); signal gpio_axi_lite_awaddr : std_logic_vector(axi_address_width-1 downto 0); signal gpio_axi_lite_awprot : std_logic_vector(2 downto 0); signal gpio_axi_lite_awvalid : std_logic; signal gpio_axi_lite_awready : std_logic; signal gpio_axi_lite_wvalid : std_logic; signal gpio_axi_lite_wready : std_logic; signal gpio_axi_lite_wdata : std_logic_vector(axi_data_width-1 downto 0); signal gpio_axi_lite_wstrb : std_logic_vector(axi_data_width/8-1 downto 0); signal gpio_axi_lite_bvalid : std_logic; signal gpio_axi_lite_bready : std_logic; signal gpio_axi_lite_bresp : std_logic_vector(1 downto 0); signal gpio_axi_lite_araddr : std_logic_vector(axi_address_width-1 downto 0); signal gpio_axi_lite_arprot : std_logic_vector(2 downto 0); signal gpio_axi_lite_arvalid : std_logic; signal gpio_axi_lite_arready : std_logic; signal gpio_axi_lite_rdata : std_logic_vector(axi_data_width-1 downto 0); signal gpio_axi_lite_rvalid : std_logic; signal gpio_axi_lite_rready : std_logic; signal gpio_axi_lite_rresp : std_logic_vector(1 downto 0); signal uart_axi_lite_awaddr : std_logic_vector(axi_address_width-1 downto 0); signal uart_axi_lite_awprot : std_logic_vector(2 downto 0); signal uart_axi_lite_awvalid : std_logic; signal uart_axi_lite_awready : std_logic; signal uart_axi_lite_wvalid : std_logic; signal uart_axi_lite_wready : std_logic; signal uart_axi_lite_wdata : std_logic_vector(axi_data_width-1 downto 0); signal uart_axi_lite_wstrb : std_logic_vector(axi_data_width/8-1 downto 0); signal uart_axi_lite_bvalid : std_logic; signal uart_axi_lite_bready : std_logic; signal uart_axi_lite_bresp : std_logic_vector(1 downto 0); signal uart_axi_lite_araddr : std_logic_vector(axi_address_width-1 downto 0); signal uart_axi_lite_arprot : std_logic_vector(2 downto 0); signal uart_axi_lite_arvalid : std_logic; signal uart_axi_lite_arready : std_logic; signal uart_axi_lite_rdata : std_logic_vector(axi_data_width-1 downto 0); signal uart_axi_lite_rvalid : std_logic; signal uart_axi_lite_rready : std_logic; signal uart_axi_lite_rresp : std_logic_vector(1 downto 0); signal lock_axi_lite_awaddr : std_logic_vector(axi_address_width-1 downto 0); signal lock_axi_lite_awprot : std_logic_vector(2 downto 0); signal lock_axi_lite_awvalid : std_logic; signal lock_axi_lite_awready : std_logic; signal lock_axi_lite_wvalid : std_logic; signal lock_axi_lite_wready : std_logic; signal lock_axi_lite_wdata : std_logic_vector(axi_data_width-1 downto 0); signal lock_axi_lite_wstrb : std_logic_vector(axi_data_width/8-1 downto 0); signal lock_axi_lite_bvalid : std_logic; signal lock_axi_lite_bready : std_logic; signal lock_axi_lite_bresp : std_logic_vector(1 downto 0); signal lock_axi_lite_araddr : std_logic_vector(axi_address_width-1 downto 0); signal lock_axi_lite_arprot : std_logic_vector(2 downto 0); signal lock_axi_lite_arvalid : std_logic; signal lock_axi_lite_arready : std_logic; signal lock_axi_lite_rdata : std_logic_vector(axi_data_width-1 downto 0); signal lock_axi_lite_rvalid : std_logic; signal lock_axi_lite_rready : std_logic; signal lock_axi_lite_rresp : std_logic_vector(1 downto 0); ------------------------ -- Peripheral Signals -- ------------------------ signal cpu_int : std_logic; signal dev_ints : std_logic_vector(default_interrupt_total-1 downto 0) := (others=>'0'); signal cpu_0_int : std_logic; signal dev_0_ints : std_logic_vector(default_interrupt_total-1 downto 0) := (others=>'0'); signal cpu_1_int : std_logic; signal dev_1_ints : std_logic_vector(default_interrupt_total-1 downto 0) := (others=>'0'); signal cpu_2_int : std_logic; signal dev_2_ints : std_logic_vector(default_interrupt_total-1 downto 0) := (others=>'0'); signal sig_0_1 : std_logic; signal sig_1_2 : std_logic; begin ram_axi_full_arlock_slv(0) <= ram_axi_full_arlock; ram_axi_full_awlock_slv(0) <= ram_axi_full_awlock; ram_axi_full_awid_ext(axi_master_id_width-1 downto 0) <= ram_axi_full_awid; ram_axi_full_bid_ext(axi_master_id_width-1 downto 0) <= ram_axi_full_bid; ram_axi_full_arid_ext(axi_master_id_width-1 downto 0) <= ram_axi_full_arid; ram_axi_full_rid_ext(axi_master_id_width-1 downto 0) <= ram_axi_full_rid; ----------------- -- ID samplers -- ----------------- process (aclk) begin if rising_edge (aclk) then if boot_bram_axi_full_awvalid='1' and boot_bram_axi_full_awready='1' then boot_bram_axi_full_bid <= boot_bram_axi_full_awid; end if; if boot_bram_axi_full_arvalid='1' and boot_bram_axi_full_arready='1' then boot_bram_axi_full_rid <= boot_bram_axi_full_arid; end if; if upper_ext=false then if ram_axi_full_awvalid='1'and ram_axi_full_awready='1' then ram_axi_full_bid <= ram_axi_full_awid; end if; if ram_axi_full_arvalid='1' and ram_axi_full_arready='1' then ram_axi_full_rid <= ram_axi_full_arid; end if; end if; end if; end process; ------------------------------ -- Boot Bram Instantiations -- ------------------------------ boot_bram_axi_bram_ctrl_0_inst : axi_bram_ctrl_0 port map ( s_axi_aclk => aclk, s_axi_aresetn => peripheral_aresetn(0), s_axi_awaddr => boot_bram_axi_full_awaddr(bram_address_width-1 downto 0), s_axi_awlen => boot_bram_axi_full_awlen, s_axi_awsize => boot_bram_axi_full_awsize, s_axi_awburst => boot_bram_axi_full_awburst, s_axi_awlock => boot_bram_axi_full_awlock, s_axi_awcache => boot_bram_axi_full_awcache, s_axi_awprot => boot_bram_axi_full_awprot, s_axi_awvalid => boot_bram_axi_full_awvalid, s_axi_awready => boot_bram_axi_full_awready, s_axi_wdata => boot_bram_axi_full_wdata, s_axi_wstrb => boot_bram_axi_full_wstrb, s_axi_wlast => boot_bram_axi_full_wlast, s_axi_wvalid => boot_bram_axi_full_wvalid, s_axi_wready => boot_bram_axi_full_wready, s_axi_bresp => boot_bram_axi_full_bresp, s_axi_bvalid => boot_bram_axi_full_bvalid, s_axi_bready => boot_bram_axi_full_bready, s_axi_araddr => boot_bram_axi_full_araddr(bram_address_width-1 downto 0), s_axi_arlen => boot_bram_axi_full_arlen, s_axi_arsize => boot_bram_axi_full_arsize, s_axi_arburst => boot_bram_axi_full_arburst, s_axi_arlock => boot_bram_axi_full_arlock, s_axi_arcache => boot_bram_axi_full_arcache, s_axi_arprot => boot_bram_axi_full_arprot, s_axi_arvalid => boot_bram_axi_full_arvalid, s_axi_arready => boot_bram_axi_full_arready, s_axi_rdata => boot_bram_axi_full_rdata, s_axi_rresp => boot_bram_axi_full_rresp, s_axi_rlast => boot_bram_axi_full_rlast, s_axi_rvalid => boot_bram_axi_full_rvalid, s_axi_rready => boot_bram_axi_full_rready, bram_rst_a => boot_bram_rst_a, bram_clk_a => boot_bram_clk_a, bram_en_a => boot_bram_en_a, bram_we_a => boot_bram_we_a, bram_addr_a => boot_bram_addr_a, bram_wrdata_a => boot_bram_wrdata_a, bram_rddata_a => boot_bram_rddata_a); boot_bram_inst : bram generic map ( select_app => lower_app, address_width => bram_address_width, data_width => bram_data_width, bram_depth => bram_bram_depth) port map ( bram_rst_a => boot_bram_rst_a, bram_clk_a => boot_bram_clk_a, bram_en_a => boot_bram_en_a, bram_we_a => boot_bram_we_a, bram_addr_a => boot_bram_addr_a, bram_wrdata_a => boot_bram_wrdata_a, bram_rddata_a => boot_bram_rddata_a); ------------------------------------- -- Main Memory and Synchronization -- ------------------------------------- gen_ext_mm : if upper_ext=true generate bd_wrapper_inst : bd_wrapper port map ( DDR2_addr => DDR2_addr, DDR2_ba => DDR2_ba, DDR2_cas_n => DDR2_cas_n, DDR2_ck_n => DDR2_ck_n, DDR2_ck_p => DDR2_ck_p, DDR2_cke => DDR2_cke, DDR2_cs_n => DDR2_cs_n, DDR2_dm => DDR2_dm, DDR2_dq => DDR2_dq, DDR2_dqs_n => DDR2_dqs_n, DDR2_dqs_p => DDR2_dqs_p, DDR2_odt => DDR2_odt, DDR2_ras_n => DDR2_ras_n, DDR2_we_n => DDR2_we_n, S00_AXI_araddr => ram_axi_full_araddr, S00_AXI_arburst => ram_axi_full_arburst, S00_AXI_arcache => ram_axi_full_arcache, S00_AXI_arid => ram_axi_full_arid_ext, S00_AXI_arlen => ram_axi_full_arlen, S00_AXI_arlock => ram_axi_full_arlock_slv, S00_AXI_arprot => ram_axi_full_arprot, S00_AXI_arqos => ram_axi_full_arqos, S00_AXI_arready => ram_axi_full_arready, S00_AXI_arregion => ram_axi_full_arregion, S00_AXI_arsize => ram_axi_full_arsize, S00_AXI_arvalid => ram_axi_full_arvalid, S00_AXI_awaddr => ram_axi_full_awaddr, S00_AXI_awburst => ram_axi_full_awburst, S00_AXI_awcache => ram_axi_full_awcache, S00_AXI_awid => ram_axi_full_awid_ext, S00_AXI_awlen => ram_axi_full_awlen, S00_AXI_awlock => ram_axi_full_awlock_slv, S00_AXI_awprot => ram_axi_full_awprot, S00_AXI_awqos => ram_axi_full_awqos, S00_AXI_awready => ram_axi_full_awready, S00_AXI_awregion => ram_axi_full_awregion, S00_AXI_awsize => ram_axi_full_awsize, S00_AXI_awvalid => ram_axi_full_awvalid, S00_AXI_bid => ram_axi_full_bid_ext, S00_AXI_bready => ram_axi_full_bready, S00_AXI_bresp => ram_axi_full_bresp, S00_AXI_bvalid => ram_axi_full_bvalid, S00_AXI_rdata => ram_axi_full_rdata, S00_AXI_rid => ram_axi_full_rid_ext, S00_AXI_rlast => ram_axi_full_rlast, S00_AXI_rready => ram_axi_full_rready, S00_AXI_rresp => ram_axi_full_rresp, S00_AXI_rvalid => ram_axi_full_rvalid, S00_AXI_wdata => ram_axi_full_wdata, S00_AXI_wlast => ram_axi_full_wlast, S00_AXI_wready => ram_axi_full_wready, S00_AXI_wstrb => ram_axi_full_wstrb, S00_AXI_wvalid => ram_axi_full_wvalid, aclk => aclk, interconnect_aresetn => interconnect_aresetn, peripheral_aresetn => peripheral_aresetn, sys_clk_i => sys_clk_i, sys_rst => sys_rst); end generate; gen_int_mm : if upper_ext=false generate bd_axi_bram_ctrl_0_inst : axi_bram_ctrl_0 port map ( s_axi_aclk => aclk, s_axi_aresetn => peripheral_aresetn(0), s_axi_awaddr => ram_axi_full_awaddr(bram_address_width-1 downto 0), s_axi_awlen => ram_axi_full_awlen, s_axi_awsize => ram_axi_full_awsize, s_axi_awburst => ram_axi_full_awburst, s_axi_awlock => ram_axi_full_awlock, s_axi_awcache => ram_axi_full_awcache, s_axi_awprot => ram_axi_full_awprot, s_axi_awvalid => ram_axi_full_awvalid, s_axi_awready => ram_axi_full_awready, s_axi_wdata => ram_axi_full_wdata, s_axi_wstrb => ram_axi_full_wstrb, s_axi_wlast => ram_axi_full_wlast, s_axi_wvalid => ram_axi_full_wvalid, s_axi_wready => ram_axi_full_wready, s_axi_bresp => ram_axi_full_bresp, s_axi_bvalid => ram_axi_full_bvalid, s_axi_bready => ram_axi_full_bready, s_axi_araddr => ram_axi_full_araddr(bram_address_width-1 downto 0), s_axi_arlen => ram_axi_full_arlen, s_axi_arsize => ram_axi_full_arsize, s_axi_arburst => ram_axi_full_arburst, s_axi_arlock => ram_axi_full_arlock, s_axi_arcache => ram_axi_full_arcache, s_axi_arprot => ram_axi_full_arprot, s_axi_arvalid => ram_axi_full_arvalid, s_axi_arready => ram_axi_full_arready, s_axi_rdata => ram_axi_full_rdata, s_axi_rresp => ram_axi_full_rresp, s_axi_rlast => ram_axi_full_rlast, s_axi_rvalid => ram_axi_full_rvalid, s_axi_rready => ram_axi_full_rready, bram_rst_a => ram_bram_rst_a, bram_clk_a => ram_bram_clk_a, bram_en_a => ram_bram_en_a, bram_we_a => ram_bram_we_a, bram_addr_a => ram_bram_addr_a, bram_wrdata_a => ram_bram_wrdata_a, bram_rddata_a => ram_bram_rddata_a); bd_bram_inst : bram generic map ( select_app => upper_app, address_width => bram_address_width, data_width => bram_data_width, bram_depth => bram_bram_depth) port map ( bram_rst_a => ram_bram_rst_a, bram_clk_a => ram_bram_clk_a, bram_en_a => ram_bram_en_a, bram_we_a => ram_bram_we_a, bram_addr_a => ram_bram_addr_a, bram_wrdata_a => ram_bram_wrdata_a, bram_rddata_a => ram_bram_rddata_a); bd_clk_wiz_inst : clk_wiz_0 port map ( aclk => aclk, resetn => sys_rst, locked => dcm_locked, sys_clk_i => sys_clk_i); bd_proc_sys_reset_inst : proc_sys_reset_0 port map ( slowest_sync_clk => aclk, ext_reset_in => sys_clk_i, aux_reset_in => '0', mb_debug_sys_rst => '0', dcm_locked => dcm_locked, mb_reset => open, bus_struct_reset => open, peripheral_reset => open, interconnect_aresetn => interconnect_aresetn, peripheral_aresetn => peripheral_aresetn); end generate; ----------------------- -- Main Interconnect -- ----------------------- plasoc_interconnect_crossbar_wrap_inst : plasoc_interconnect_crossbar_wrap port map ( cpu_0_s_axi_awid => cpu_0_axi_full_awid, cpu_0_s_axi_awaddr => cpu_0_axi_full_awaddr, cpu_0_s_axi_awlen => cpu_0_axi_full_awlen, cpu_0_s_axi_awsize => cpu_0_axi_full_awsize, cpu_0_s_axi_awburst => cpu_0_axi_full_awburst, cpu_0_s_axi_awlock => cpu_0_axi_full_awlock, cpu_0_s_axi_awcache => cpu_0_axi_full_awcache, cpu_0_s_axi_awprot => cpu_0_axi_full_awprot, cpu_0_s_axi_awqos => cpu_0_axi_full_awqos, cpu_0_s_axi_awregion => cpu_0_axi_full_awregion, cpu_0_s_axi_awvalid => cpu_0_axi_full_awvalid, cpu_0_s_axi_awready => cpu_0_axi_full_awready, cpu_0_s_axi_wdata => cpu_0_axi_full_wdata, cpu_0_s_axi_wstrb => cpu_0_axi_full_wstrb, cpu_0_s_axi_wlast => cpu_0_axi_full_wlast, cpu_0_s_axi_wvalid => cpu_0_axi_full_wvalid, cpu_0_s_axi_wready => cpu_0_axi_full_wready, cpu_0_s_axi_bid => cpu_0_axi_full_bid, cpu_0_s_axi_bresp => cpu_0_axi_full_bresp, cpu_0_s_axi_bvalid => cpu_0_axi_full_bvalid, cpu_0_s_axi_bready => cpu_0_axi_full_bready, cpu_0_s_axi_arid => cpu_0_axi_full_arid, cpu_0_s_axi_araddr => cpu_0_axi_full_araddr, cpu_0_s_axi_arlen => cpu_0_axi_full_arlen, cpu_0_s_axi_arsize => cpu_0_axi_full_arsize, cpu_0_s_axi_arburst => cpu_0_axi_full_arburst, cpu_0_s_axi_arlock => cpu_0_axi_full_arlock, cpu_0_s_axi_arcache => cpu_0_axi_full_arcache, cpu_0_s_axi_arprot => cpu_0_axi_full_arprot, cpu_0_s_axi_arqos => cpu_0_axi_full_arqos, cpu_0_s_axi_arregion => cpu_0_axi_full_arregion, cpu_0_s_axi_arvalid => cpu_0_axi_full_arvalid, cpu_0_s_axi_arready => cpu_0_axi_full_arready, cpu_0_s_axi_rid => cpu_0_axi_full_rid, cpu_0_s_axi_rdata => cpu_0_axi_full_rdata, cpu_0_s_axi_rresp => cpu_0_axi_full_rresp, cpu_0_s_axi_rlast => cpu_0_axi_full_rlast, cpu_0_s_axi_rvalid => cpu_0_axi_full_rvalid, cpu_0_s_axi_rready => cpu_0_axi_full_rready, cpu_1_s_axi_awid => cpu_1_axi_full_awid, cpu_1_s_axi_awaddr => cpu_1_axi_full_awaddr, cpu_1_s_axi_awlen => cpu_1_axi_full_awlen, cpu_1_s_axi_awsize => cpu_1_axi_full_awsize, cpu_1_s_axi_awburst => cpu_1_axi_full_awburst, cpu_1_s_axi_awlock => cpu_1_axi_full_awlock, cpu_1_s_axi_awcache => cpu_1_axi_full_awcache, cpu_1_s_axi_awprot => cpu_1_axi_full_awprot, cpu_1_s_axi_awqos => cpu_1_axi_full_awqos, cpu_1_s_axi_awregion => cpu_1_axi_full_awregion, cpu_1_s_axi_awvalid => cpu_1_axi_full_awvalid, cpu_1_s_axi_awready => cpu_1_axi_full_awready, cpu_1_s_axi_wdata => cpu_1_axi_full_wdata, cpu_1_s_axi_wstrb => cpu_1_axi_full_wstrb, cpu_1_s_axi_wlast => cpu_1_axi_full_wlast, cpu_1_s_axi_wvalid => cpu_1_axi_full_wvalid, cpu_1_s_axi_wready => cpu_1_axi_full_wready, cpu_1_s_axi_bid => cpu_1_axi_full_bid, cpu_1_s_axi_bresp => cpu_1_axi_full_bresp, cpu_1_s_axi_bvalid => cpu_1_axi_full_bvalid, cpu_1_s_axi_bready => cpu_1_axi_full_bready, cpu_1_s_axi_arid => cpu_1_axi_full_arid, cpu_1_s_axi_araddr => cpu_1_axi_full_araddr, cpu_1_s_axi_arlen => cpu_1_axi_full_arlen, cpu_1_s_axi_arsize => cpu_1_axi_full_arsize, cpu_1_s_axi_arburst => cpu_1_axi_full_arburst, cpu_1_s_axi_arlock => cpu_1_axi_full_arlock, cpu_1_s_axi_arcache => cpu_1_axi_full_arcache, cpu_1_s_axi_arprot => cpu_1_axi_full_arprot, cpu_1_s_axi_arqos => cpu_1_axi_full_arqos, cpu_1_s_axi_arregion => cpu_1_axi_full_arregion, cpu_1_s_axi_arvalid => cpu_1_axi_full_arvalid, cpu_1_s_axi_arready => cpu_1_axi_full_arready, cpu_1_s_axi_rid => cpu_1_axi_full_rid, cpu_1_s_axi_rdata => cpu_1_axi_full_rdata, cpu_1_s_axi_rresp => cpu_1_axi_full_rresp, cpu_1_s_axi_rlast => cpu_1_axi_full_rlast, cpu_1_s_axi_rvalid => cpu_1_axi_full_rvalid, cpu_1_s_axi_rready => cpu_1_axi_full_rready, cpu_2_s_axi_awid => cpu_2_axi_full_awid, cpu_2_s_axi_awaddr => cpu_2_axi_full_awaddr, cpu_2_s_axi_awlen => cpu_2_axi_full_awlen, cpu_2_s_axi_awsize => cpu_2_axi_full_awsize, cpu_2_s_axi_awburst => cpu_2_axi_full_awburst, cpu_2_s_axi_awlock => cpu_2_axi_full_awlock, cpu_2_s_axi_awcache => cpu_2_axi_full_awcache, cpu_2_s_axi_awprot => cpu_2_axi_full_awprot, cpu_2_s_axi_awqos => cpu_2_axi_full_awqos, cpu_2_s_axi_awregion => cpu_2_axi_full_awregion, cpu_2_s_axi_awvalid => cpu_2_axi_full_awvalid, cpu_2_s_axi_awready => cpu_2_axi_full_awready, cpu_2_s_axi_wdata => cpu_2_axi_full_wdata, cpu_2_s_axi_wstrb => cpu_2_axi_full_wstrb, cpu_2_s_axi_wlast => cpu_2_axi_full_wlast, cpu_2_s_axi_wvalid => cpu_2_axi_full_wvalid, cpu_2_s_axi_wready => cpu_2_axi_full_wready, cpu_2_s_axi_bid => cpu_2_axi_full_bid, cpu_2_s_axi_bresp => cpu_2_axi_full_bresp, cpu_2_s_axi_bvalid => cpu_2_axi_full_bvalid, cpu_2_s_axi_bready => cpu_2_axi_full_bready, cpu_2_s_axi_arid => cpu_2_axi_full_arid, cpu_2_s_axi_araddr => cpu_2_axi_full_araddr, cpu_2_s_axi_arlen => cpu_2_axi_full_arlen, cpu_2_s_axi_arsize => cpu_2_axi_full_arsize, cpu_2_s_axi_arburst => cpu_2_axi_full_arburst, cpu_2_s_axi_arlock => cpu_2_axi_full_arlock, cpu_2_s_axi_arcache => cpu_2_axi_full_arcache, cpu_2_s_axi_arprot => cpu_2_axi_full_arprot, cpu_2_s_axi_arqos => cpu_2_axi_full_arqos, cpu_2_s_axi_arregion => cpu_2_axi_full_arregion, cpu_2_s_axi_arvalid => cpu_2_axi_full_arvalid, cpu_2_s_axi_arready => cpu_2_axi_full_arready, cpu_2_s_axi_rid => cpu_2_axi_full_rid, cpu_2_s_axi_rdata => cpu_2_axi_full_rdata, cpu_2_s_axi_rresp => cpu_2_axi_full_rresp, cpu_2_s_axi_rlast => cpu_2_axi_full_rlast, cpu_2_s_axi_rvalid => cpu_2_axi_full_rvalid, cpu_2_s_axi_rready => cpu_2_axi_full_rready, boot_bram_m_axi_awid => boot_bram_axi_full_awid, boot_bram_m_axi_awaddr => boot_bram_axi_full_awaddr, boot_bram_m_axi_awlen => boot_bram_axi_full_awlen, boot_bram_m_axi_awsize => boot_bram_axi_full_awsize, boot_bram_m_axi_awburst => boot_bram_axi_full_awburst, boot_bram_m_axi_awlock => boot_bram_axi_full_awlock, boot_bram_m_axi_awcache => boot_bram_axi_full_awcache, boot_bram_m_axi_awprot => boot_bram_axi_full_awprot, boot_bram_m_axi_awqos => boot_bram_axi_full_awqos, boot_bram_m_axi_awregion => boot_bram_axi_full_awregion, boot_bram_m_axi_awvalid => boot_bram_axi_full_awvalid, boot_bram_m_axi_awready => boot_bram_axi_full_awready, boot_bram_m_axi_wdata => boot_bram_axi_full_wdata, boot_bram_m_axi_wstrb => boot_bram_axi_full_wstrb, boot_bram_m_axi_wlast => boot_bram_axi_full_wlast, boot_bram_m_axi_wvalid => boot_bram_axi_full_wvalid, boot_bram_m_axi_wready => boot_bram_axi_full_wready, boot_bram_m_axi_bid => boot_bram_axi_full_bid, boot_bram_m_axi_bresp => boot_bram_axi_full_bresp, boot_bram_m_axi_bvalid => boot_bram_axi_full_bvalid, boot_bram_m_axi_bready => boot_bram_axi_full_bready, boot_bram_m_axi_arid => boot_bram_axi_full_arid, boot_bram_m_axi_araddr => boot_bram_axi_full_araddr, boot_bram_m_axi_arlen => boot_bram_axi_full_arlen, boot_bram_m_axi_arsize => boot_bram_axi_full_arsize, boot_bram_m_axi_arburst => boot_bram_axi_full_arburst, boot_bram_m_axi_arlock => boot_bram_axi_full_arlock, boot_bram_m_axi_arcache => boot_bram_axi_full_arcache, boot_bram_m_axi_arprot => boot_bram_axi_full_arprot, boot_bram_m_axi_arqos => boot_bram_axi_full_arqos, boot_bram_m_axi_arregion => boot_bram_axi_full_arregion, boot_bram_m_axi_arvalid => boot_bram_axi_full_arvalid, boot_bram_m_axi_arready => boot_bram_axi_full_arready, boot_bram_m_axi_rid => boot_bram_axi_full_rid, boot_bram_m_axi_rdata => boot_bram_axi_full_rdata, boot_bram_m_axi_rresp => boot_bram_axi_full_rresp, boot_bram_m_axi_rlast => boot_bram_axi_full_rlast, boot_bram_m_axi_rvalid => boot_bram_axi_full_rvalid, boot_bram_m_axi_rready => boot_bram_axi_full_rready, ram_m_axi_awid => ram_axi_full_awid, ram_m_axi_awaddr => ram_axi_full_awaddr, ram_m_axi_awlen => ram_axi_full_awlen, ram_m_axi_awsize => ram_axi_full_awsize, ram_m_axi_awburst => ram_axi_full_awburst, ram_m_axi_awlock => ram_axi_full_awlock, ram_m_axi_awcache => ram_axi_full_awcache, ram_m_axi_awprot => ram_axi_full_awprot, ram_m_axi_awqos => ram_axi_full_awqos, ram_m_axi_awregion => ram_axi_full_awregion, ram_m_axi_awvalid => ram_axi_full_awvalid, ram_m_axi_awready => ram_axi_full_awready, ram_m_axi_wdata => ram_axi_full_wdata, ram_m_axi_wstrb => ram_axi_full_wstrb, ram_m_axi_wlast => ram_axi_full_wlast, ram_m_axi_wvalid => ram_axi_full_wvalid, ram_m_axi_wready => ram_axi_full_wready, ram_m_axi_bid => ram_axi_full_bid, ram_m_axi_bresp => ram_axi_full_bresp, ram_m_axi_bvalid => ram_axi_full_bvalid, ram_m_axi_bready => ram_axi_full_bready, ram_m_axi_arid => ram_axi_full_arid, ram_m_axi_araddr => ram_axi_full_araddr, ram_m_axi_arlen => ram_axi_full_arlen, ram_m_axi_arsize => ram_axi_full_arsize, ram_m_axi_arburst => ram_axi_full_arburst, ram_m_axi_arlock => ram_axi_full_arlock, ram_m_axi_arcache => ram_axi_full_arcache, ram_m_axi_arprot => ram_axi_full_arprot, ram_m_axi_arqos => ram_axi_full_arqos, ram_m_axi_arregion => ram_axi_full_arregion, ram_m_axi_arvalid => ram_axi_full_arvalid, ram_m_axi_arready => ram_axi_full_arready, ram_m_axi_rid => ram_axi_full_rid, ram_m_axi_rdata => ram_axi_full_rdata, ram_m_axi_rresp => ram_axi_full_rresp, ram_m_axi_rlast => ram_axi_full_rlast, ram_m_axi_rvalid => ram_axi_full_rvalid, ram_m_axi_rready => ram_axi_full_rready, int_m_axi_awid => int_axi_full_awid, int_m_axi_awaddr => int_axi_full_awaddr, int_m_axi_awlen => int_axi_full_awlen, int_m_axi_awsize => int_axi_full_awsize, int_m_axi_awburst => int_axi_full_awburst, int_m_axi_awlock => int_axi_full_awlock, int_m_axi_awcache => int_axi_full_awcache, int_m_axi_awprot => int_axi_full_awprot, int_m_axi_awqos => int_axi_full_awqos, int_m_axi_awregion => int_axi_full_awregion, int_m_axi_awvalid => int_axi_full_awvalid, int_m_axi_awready => int_axi_full_awready, int_m_axi_wdata => int_axi_full_wdata, int_m_axi_wstrb => int_axi_full_wstrb, int_m_axi_wlast => int_axi_full_wlast, int_m_axi_wvalid => int_axi_full_wvalid, int_m_axi_wready => int_axi_full_wready, int_m_axi_bid => int_axi_full_bid, int_m_axi_bresp => int_axi_full_bresp, int_m_axi_bvalid => int_axi_full_bvalid, int_m_axi_bready => int_axi_full_bready, int_m_axi_arid => int_axi_full_arid, int_m_axi_araddr => int_axi_full_araddr, int_m_axi_arlen => int_axi_full_arlen, int_m_axi_arsize => int_axi_full_arsize, int_m_axi_arburst => int_axi_full_arburst, int_m_axi_arlock => int_axi_full_arlock, int_m_axi_arcache => int_axi_full_arcache, int_m_axi_arprot => int_axi_full_arprot, int_m_axi_arqos => int_axi_full_arqos, int_m_axi_arregion => int_axi_full_arregion, int_m_axi_arvalid => int_axi_full_arvalid, int_m_axi_arready => int_axi_full_arready, int_m_axi_rid => int_axi_full_rid, int_m_axi_rdata => int_axi_full_rdata, int_m_axi_rresp => int_axi_full_rresp, int_m_axi_rlast => int_axi_full_rlast, int_m_axi_rvalid => int_axi_full_rvalid, int_m_axi_rready => int_axi_full_rready, timer_m_axi_awid => timer_axi_full_awid, timer_m_axi_awaddr => timer_axi_full_awaddr, timer_m_axi_awlen => timer_axi_full_awlen, timer_m_axi_awsize => timer_axi_full_awsize, timer_m_axi_awburst => timer_axi_full_awburst, timer_m_axi_awlock => timer_axi_full_awlock, timer_m_axi_awcache => timer_axi_full_awcache, timer_m_axi_awprot => timer_axi_full_awprot, timer_m_axi_awqos => timer_axi_full_awqos, timer_m_axi_awregion => timer_axi_full_awregion, timer_m_axi_awvalid => timer_axi_full_awvalid, timer_m_axi_awready => timer_axi_full_awready, timer_m_axi_wdata => timer_axi_full_wdata, timer_m_axi_wstrb => timer_axi_full_wstrb, timer_m_axi_wlast => timer_axi_full_wlast, timer_m_axi_wvalid => timer_axi_full_wvalid, timer_m_axi_wready => timer_axi_full_wready, timer_m_axi_bid => timer_axi_full_bid, timer_m_axi_bresp => timer_axi_full_bresp, timer_m_axi_bvalid => timer_axi_full_bvalid, timer_m_axi_bready => timer_axi_full_bready, timer_m_axi_arid => timer_axi_full_arid, timer_m_axi_araddr => timer_axi_full_araddr, timer_m_axi_arlen => timer_axi_full_arlen, timer_m_axi_arsize => timer_axi_full_arsize, timer_m_axi_arburst => timer_axi_full_arburst, timer_m_axi_arlock => timer_axi_full_arlock, timer_m_axi_arcache => timer_axi_full_arcache, timer_m_axi_arprot => timer_axi_full_arprot, timer_m_axi_arqos => timer_axi_full_arqos, timer_m_axi_arregion => timer_axi_full_arregion, timer_m_axi_arvalid => timer_axi_full_arvalid, timer_m_axi_arready => timer_axi_full_arready, timer_m_axi_rid => timer_axi_full_rid, timer_m_axi_rdata => timer_axi_full_rdata, timer_m_axi_rresp => timer_axi_full_rresp, timer_m_axi_rlast => timer_axi_full_rlast, timer_m_axi_rvalid => timer_axi_full_rvalid, timer_m_axi_rready => timer_axi_full_rready, gpio_m_axi_awid => gpio_axi_full_awid, gpio_m_axi_awaddr => gpio_axi_full_awaddr, gpio_m_axi_awlen => gpio_axi_full_awlen, gpio_m_axi_awsize => gpio_axi_full_awsize, gpio_m_axi_awburst => gpio_axi_full_awburst, gpio_m_axi_awlock => gpio_axi_full_awlock, gpio_m_axi_awcache => gpio_axi_full_awcache, gpio_m_axi_awprot => gpio_axi_full_awprot, gpio_m_axi_awqos => gpio_axi_full_awqos, gpio_m_axi_awregion => gpio_axi_full_awregion, gpio_m_axi_awvalid => gpio_axi_full_awvalid, gpio_m_axi_awready => gpio_axi_full_awready, gpio_m_axi_wdata => gpio_axi_full_wdata, gpio_m_axi_wstrb => gpio_axi_full_wstrb, gpio_m_axi_wlast => gpio_axi_full_wlast, gpio_m_axi_wvalid => gpio_axi_full_wvalid, gpio_m_axi_wready => gpio_axi_full_wready, gpio_m_axi_bid => gpio_axi_full_bid, gpio_m_axi_bresp => gpio_axi_full_bresp, gpio_m_axi_bvalid => gpio_axi_full_bvalid, gpio_m_axi_bready => gpio_axi_full_bready, gpio_m_axi_arid => gpio_axi_full_arid, gpio_m_axi_araddr => gpio_axi_full_araddr, gpio_m_axi_arlen => gpio_axi_full_arlen, gpio_m_axi_arsize => gpio_axi_full_arsize, gpio_m_axi_arburst => gpio_axi_full_arburst, gpio_m_axi_arlock => gpio_axi_full_arlock, gpio_m_axi_arcache => gpio_axi_full_arcache, gpio_m_axi_arprot => gpio_axi_full_arprot, gpio_m_axi_arqos => gpio_axi_full_arqos, gpio_m_axi_arregion => gpio_axi_full_arregion, gpio_m_axi_arvalid => gpio_axi_full_arvalid, gpio_m_axi_arready => gpio_axi_full_arready, gpio_m_axi_rid => gpio_axi_full_rid, gpio_m_axi_rdata => gpio_axi_full_rdata, gpio_m_axi_rresp => gpio_axi_full_rresp, gpio_m_axi_rlast => gpio_axi_full_rlast, gpio_m_axi_rvalid => gpio_axi_full_rvalid, gpio_m_axi_rready => gpio_axi_full_rready, uart_m_axi_awid => uart_axi_full_awid, uart_m_axi_awaddr => uart_axi_full_awaddr, uart_m_axi_awlen => uart_axi_full_awlen, uart_m_axi_awsize => uart_axi_full_awsize, uart_m_axi_awburst => uart_axi_full_awburst, uart_m_axi_awlock => uart_axi_full_awlock, uart_m_axi_awcache => uart_axi_full_awcache, uart_m_axi_awprot => uart_axi_full_awprot, uart_m_axi_awqos => uart_axi_full_awqos, uart_m_axi_awregion => uart_axi_full_awregion, uart_m_axi_awvalid => uart_axi_full_awvalid, uart_m_axi_awready => uart_axi_full_awready, uart_m_axi_wdata => uart_axi_full_wdata, uart_m_axi_wstrb => uart_axi_full_wstrb, uart_m_axi_wlast => uart_axi_full_wlast, uart_m_axi_wvalid => uart_axi_full_wvalid, uart_m_axi_wready => uart_axi_full_wready, uart_m_axi_bid => uart_axi_full_bid, uart_m_axi_bresp => uart_axi_full_bresp, uart_m_axi_bvalid => uart_axi_full_bvalid, uart_m_axi_bready => uart_axi_full_bready, uart_m_axi_arid => uart_axi_full_arid, uart_m_axi_araddr => uart_axi_full_araddr, uart_m_axi_arlen => uart_axi_full_arlen, uart_m_axi_arsize => uart_axi_full_arsize, uart_m_axi_arburst => uart_axi_full_arburst, uart_m_axi_arlock => uart_axi_full_arlock, uart_m_axi_arcache => uart_axi_full_arcache, uart_m_axi_arprot => uart_axi_full_arprot, uart_m_axi_arqos => uart_axi_full_arqos, uart_m_axi_arregion => uart_axi_full_arregion, uart_m_axi_arvalid => uart_axi_full_arvalid, uart_m_axi_arready => uart_axi_full_arready, uart_m_axi_rid => uart_axi_full_rid, uart_m_axi_rdata => uart_axi_full_rdata, uart_m_axi_rresp => uart_axi_full_rresp, uart_m_axi_rlast => uart_axi_full_rlast, uart_m_axi_rvalid => uart_axi_full_rvalid, uart_m_axi_rready => uart_axi_full_rready, lock_m_axi_awid => lock_axi_full_awid, lock_m_axi_awaddr => lock_axi_full_awaddr, lock_m_axi_awlen => lock_axi_full_awlen, lock_m_axi_awsize => lock_axi_full_awsize, lock_m_axi_awburst => lock_axi_full_awburst, lock_m_axi_awlock => lock_axi_full_awlock, lock_m_axi_awcache => lock_axi_full_awcache, lock_m_axi_awprot => lock_axi_full_awprot, lock_m_axi_awqos => lock_axi_full_awqos, lock_m_axi_awregion => lock_axi_full_awregion, lock_m_axi_awvalid => lock_axi_full_awvalid, lock_m_axi_awready => lock_axi_full_awready, lock_m_axi_wdata => lock_axi_full_wdata, lock_m_axi_wstrb => lock_axi_full_wstrb, lock_m_axi_wlast => lock_axi_full_wlast, lock_m_axi_wvalid => lock_axi_full_wvalid, lock_m_axi_wready => lock_axi_full_wready, lock_m_axi_bid => lock_axi_full_bid, lock_m_axi_bresp => lock_axi_full_bresp, lock_m_axi_bvalid => lock_axi_full_bvalid, lock_m_axi_bready => lock_axi_full_bready, lock_m_axi_arid => lock_axi_full_arid, lock_m_axi_araddr => lock_axi_full_araddr, lock_m_axi_arlen => lock_axi_full_arlen, lock_m_axi_arsize => lock_axi_full_arsize, lock_m_axi_arburst => lock_axi_full_arburst, lock_m_axi_arlock => lock_axi_full_arlock, lock_m_axi_arcache => lock_axi_full_arcache, lock_m_axi_arprot => lock_axi_full_arprot, lock_m_axi_arqos => lock_axi_full_arqos, lock_m_axi_arregion => lock_axi_full_arregion, lock_m_axi_arvalid => lock_axi_full_arvalid, lock_m_axi_arready => lock_axi_full_arready, lock_m_axi_rid => lock_axi_full_rid, lock_m_axi_rdata => lock_axi_full_rdata, lock_m_axi_rresp => lock_axi_full_rresp, lock_m_axi_rlast => lock_axi_full_rlast, lock_m_axi_rvalid => lock_axi_full_rvalid, lock_m_axi_rready => lock_axi_full_rready, aclk => aclk, aresetn => interconnect_aresetn(0)); --------------- -- CPU Buses -- --------------- cpu_0_bus_inst : plasoc_cpu_0_crossbar_wrap port map ( cpu_s_axi_awid => cpu_bus_0_full_awid, cpu_s_axi_awaddr => cpu_bus_0_full_awaddr, cpu_s_axi_awlen => cpu_bus_0_full_awlen, cpu_s_axi_awsize => cpu_bus_0_full_awsize, cpu_s_axi_awburst => cpu_bus_0_full_awburst, cpu_s_axi_awlock => cpu_bus_0_full_awlock, cpu_s_axi_awcache => cpu_bus_0_full_awcache, cpu_s_axi_awprot => cpu_bus_0_full_awprot, cpu_s_axi_awqos => cpu_bus_0_full_awqos, cpu_s_axi_awregion => cpu_bus_0_full_awregion, cpu_s_axi_awvalid => cpu_bus_0_full_awvalid, cpu_s_axi_awready => cpu_bus_0_full_awready, cpu_s_axi_wdata => cpu_bus_0_full_wdata, cpu_s_axi_wstrb => cpu_bus_0_full_wstrb, cpu_s_axi_wlast => cpu_bus_0_full_wlast, cpu_s_axi_wvalid => cpu_bus_0_full_wvalid, cpu_s_axi_wready => cpu_bus_0_full_wready, cpu_s_axi_bid => cpu_bus_0_full_bid, cpu_s_axi_bresp => cpu_bus_0_full_bresp, cpu_s_axi_bvalid => cpu_bus_0_full_bvalid, cpu_s_axi_bready => cpu_bus_0_full_bready, cpu_s_axi_arid => cpu_bus_0_full_arid, cpu_s_axi_araddr => cpu_bus_0_full_araddr, cpu_s_axi_arlen => cpu_bus_0_full_arlen, cpu_s_axi_arsize => cpu_bus_0_full_arsize, cpu_s_axi_arburst => cpu_bus_0_full_arburst, cpu_s_axi_arlock => cpu_bus_0_full_arlock, cpu_s_axi_arcache => cpu_bus_0_full_arcache, cpu_s_axi_arprot => cpu_bus_0_full_arprot, cpu_s_axi_arqos => cpu_bus_0_full_arqos, cpu_s_axi_arregion => cpu_bus_0_full_arregion, cpu_s_axi_arvalid => cpu_bus_0_full_arvalid, cpu_s_axi_arready => cpu_bus_0_full_arready, cpu_s_axi_rid => cpu_bus_0_full_rid, cpu_s_axi_rdata => cpu_bus_0_full_rdata, cpu_s_axi_rresp => cpu_bus_0_full_rresp, cpu_s_axi_rlast => cpu_bus_0_full_rlast, cpu_s_axi_rvalid => cpu_bus_0_full_rvalid, cpu_s_axi_rready => cpu_bus_0_full_rready, ip_m_axi_awid => cpu_0_axi_full_awid, ip_m_axi_awaddr => cpu_0_axi_full_awaddr, ip_m_axi_awlen => cpu_0_axi_full_awlen, ip_m_axi_awsize => cpu_0_axi_full_awsize, ip_m_axi_awburst => cpu_0_axi_full_awburst, ip_m_axi_awlock => cpu_0_axi_full_awlock, ip_m_axi_awcache => cpu_0_axi_full_awcache, ip_m_axi_awprot => cpu_0_axi_full_awprot, ip_m_axi_awqos => cpu_0_axi_full_awqos, ip_m_axi_awregion => cpu_0_axi_full_awregion, ip_m_axi_awvalid => cpu_0_axi_full_awvalid, ip_m_axi_awready => cpu_0_axi_full_awready, ip_m_axi_wdata => cpu_0_axi_full_wdata, ip_m_axi_wstrb => cpu_0_axi_full_wstrb, ip_m_axi_wlast => cpu_0_axi_full_wlast, ip_m_axi_wvalid => cpu_0_axi_full_wvalid, ip_m_axi_wready => cpu_0_axi_full_wready, ip_m_axi_bid => cpu_0_axi_full_bid, ip_m_axi_bresp => cpu_0_axi_full_bresp, ip_m_axi_bvalid => cpu_0_axi_full_bvalid, ip_m_axi_bready => cpu_0_axi_full_bready, ip_m_axi_arid => cpu_0_axi_full_arid, ip_m_axi_araddr => cpu_0_axi_full_araddr, ip_m_axi_arlen => cpu_0_axi_full_arlen, ip_m_axi_arsize => cpu_0_axi_full_arsize, ip_m_axi_arburst => cpu_0_axi_full_arburst, ip_m_axi_arlock => cpu_0_axi_full_arlock, ip_m_axi_arcache => cpu_0_axi_full_arcache, ip_m_axi_arprot => cpu_0_axi_full_arprot, ip_m_axi_arqos => cpu_0_axi_full_arqos, ip_m_axi_arregion => cpu_0_axi_full_arregion, ip_m_axi_arvalid => cpu_0_axi_full_arvalid, ip_m_axi_arready => cpu_0_axi_full_arready, ip_m_axi_rid => cpu_0_axi_full_rid, ip_m_axi_rdata => cpu_0_axi_full_rdata, ip_m_axi_rresp => cpu_0_axi_full_rresp, ip_m_axi_rlast => cpu_0_axi_full_rlast, ip_m_axi_rvalid => cpu_0_axi_full_rvalid, ip_m_axi_rready => cpu_0_axi_full_rready, cpuid_gpio_m_axi_awid => cpuid_gpio_bus_0_full_awid, cpuid_gpio_m_axi_awaddr => cpuid_gpio_bus_0_full_awaddr, cpuid_gpio_m_axi_awlen => cpuid_gpio_bus_0_full_awlen, cpuid_gpio_m_axi_awsize => cpuid_gpio_bus_0_full_awsize, cpuid_gpio_m_axi_awburst => cpuid_gpio_bus_0_full_awburst, cpuid_gpio_m_axi_awlock => cpuid_gpio_bus_0_full_awlock, cpuid_gpio_m_axi_awcache => cpuid_gpio_bus_0_full_awcache, cpuid_gpio_m_axi_awprot => cpuid_gpio_bus_0_full_awprot, cpuid_gpio_m_axi_awqos => cpuid_gpio_bus_0_full_awqos, cpuid_gpio_m_axi_awregion => cpuid_gpio_bus_0_full_awregion, cpuid_gpio_m_axi_awvalid => cpuid_gpio_bus_0_full_awvalid, cpuid_gpio_m_axi_awready => cpuid_gpio_bus_0_full_awready, cpuid_gpio_m_axi_wdata => cpuid_gpio_bus_0_full_wdata, cpuid_gpio_m_axi_wstrb => cpuid_gpio_bus_0_full_wstrb, cpuid_gpio_m_axi_wlast => cpuid_gpio_bus_0_full_wlast, cpuid_gpio_m_axi_wvalid => cpuid_gpio_bus_0_full_wvalid, cpuid_gpio_m_axi_wready => cpuid_gpio_bus_0_full_wready, cpuid_gpio_m_axi_bid => cpuid_gpio_bus_0_full_bid, cpuid_gpio_m_axi_bresp => cpuid_gpio_bus_0_full_bresp, cpuid_gpio_m_axi_bvalid => cpuid_gpio_bus_0_full_bvalid, cpuid_gpio_m_axi_bready => cpuid_gpio_bus_0_full_bready, cpuid_gpio_m_axi_arid => cpuid_gpio_bus_0_full_arid, cpuid_gpio_m_axi_araddr => cpuid_gpio_bus_0_full_araddr, cpuid_gpio_m_axi_arlen => cpuid_gpio_bus_0_full_arlen, cpuid_gpio_m_axi_arsize => cpuid_gpio_bus_0_full_arsize, cpuid_gpio_m_axi_arburst => cpuid_gpio_bus_0_full_arburst, cpuid_gpio_m_axi_arlock => cpuid_gpio_bus_0_full_arlock, cpuid_gpio_m_axi_arcache => cpuid_gpio_bus_0_full_arcache, cpuid_gpio_m_axi_arprot => cpuid_gpio_bus_0_full_arprot, cpuid_gpio_m_axi_arqos => cpuid_gpio_bus_0_full_arqos, cpuid_gpio_m_axi_arregion => cpuid_gpio_bus_0_full_arregion, cpuid_gpio_m_axi_arvalid => cpuid_gpio_bus_0_full_arvalid, cpuid_gpio_m_axi_arready => cpuid_gpio_bus_0_full_arready, cpuid_gpio_m_axi_rid => cpuid_gpio_bus_0_full_rid, cpuid_gpio_m_axi_rdata => cpuid_gpio_bus_0_full_rdata, cpuid_gpio_m_axi_rresp => cpuid_gpio_bus_0_full_rresp, cpuid_gpio_m_axi_rlast => cpuid_gpio_bus_0_full_rlast, cpuid_gpio_m_axi_rvalid => cpuid_gpio_bus_0_full_rvalid, cpuid_gpio_m_axi_rready => cpuid_gpio_bus_0_full_rready, int_m_axi_awid => int_bus_0_full_awid, int_m_axi_awaddr => int_bus_0_full_awaddr, int_m_axi_awlen => int_bus_0_full_awlen, int_m_axi_awsize => int_bus_0_full_awsize, int_m_axi_awburst => int_bus_0_full_awburst, int_m_axi_awlock => int_bus_0_full_awlock, int_m_axi_awcache => int_bus_0_full_awcache, int_m_axi_awprot => int_bus_0_full_awprot, int_m_axi_awqos => int_bus_0_full_awqos, int_m_axi_awregion => int_bus_0_full_awregion, int_m_axi_awvalid => int_bus_0_full_awvalid, int_m_axi_awready => int_bus_0_full_awready, int_m_axi_wdata => int_bus_0_full_wdata, int_m_axi_wstrb => int_bus_0_full_wstrb, int_m_axi_wlast => int_bus_0_full_wlast, int_m_axi_wvalid => int_bus_0_full_wvalid, int_m_axi_wready => int_bus_0_full_wready, int_m_axi_bid => int_bus_0_full_bid, int_m_axi_bresp => int_bus_0_full_bresp, int_m_axi_bvalid => int_bus_0_full_bvalid, int_m_axi_bready => int_bus_0_full_bready, int_m_axi_arid => int_bus_0_full_arid, int_m_axi_araddr => int_bus_0_full_araddr, int_m_axi_arlen => int_bus_0_full_arlen, int_m_axi_arsize => int_bus_0_full_arsize, int_m_axi_arburst => int_bus_0_full_arburst, int_m_axi_arlock => int_bus_0_full_arlock, int_m_axi_arcache => int_bus_0_full_arcache, int_m_axi_arprot => int_bus_0_full_arprot, int_m_axi_arqos => int_bus_0_full_arqos, int_m_axi_arregion => int_bus_0_full_arregion, int_m_axi_arvalid => int_bus_0_full_arvalid, int_m_axi_arready => int_bus_0_full_arready, int_m_axi_rid => int_bus_0_full_rid, int_m_axi_rdata => int_bus_0_full_rdata, int_m_axi_rresp => int_bus_0_full_rresp, int_m_axi_rlast => int_bus_0_full_rlast, int_m_axi_rvalid => int_bus_0_full_rvalid, int_m_axi_rready => int_bus_0_full_rready, signal_m_axi_awid => signal_bus_0_full_awid, signal_m_axi_awaddr => signal_bus_0_full_awaddr, signal_m_axi_awlen => signal_bus_0_full_awlen, signal_m_axi_awsize => signal_bus_0_full_awsize, signal_m_axi_awburst => signal_bus_0_full_awburst, signal_m_axi_awlock => signal_bus_0_full_awlock, signal_m_axi_awcache => signal_bus_0_full_awcache, signal_m_axi_awprot => signal_bus_0_full_awprot, signal_m_axi_awqos => signal_bus_0_full_awqos, signal_m_axi_awregion => signal_bus_0_full_awregion, signal_m_axi_awvalid => signal_bus_0_full_awvalid, signal_m_axi_awready => signal_bus_0_full_awready, signal_m_axi_wdata => signal_bus_0_full_wdata, signal_m_axi_wstrb => signal_bus_0_full_wstrb, signal_m_axi_wlast => signal_bus_0_full_wlast, signal_m_axi_wvalid => signal_bus_0_full_wvalid, signal_m_axi_wready => signal_bus_0_full_wready, signal_m_axi_bid => signal_bus_0_full_bid, signal_m_axi_bresp => signal_bus_0_full_bresp, signal_m_axi_bvalid => signal_bus_0_full_bvalid, signal_m_axi_bready => signal_bus_0_full_bready, signal_m_axi_arid => signal_bus_0_full_arid, signal_m_axi_araddr => signal_bus_0_full_araddr, signal_m_axi_arlen => signal_bus_0_full_arlen, signal_m_axi_arsize => signal_bus_0_full_arsize, signal_m_axi_arburst => signal_bus_0_full_arburst, signal_m_axi_arlock => signal_bus_0_full_arlock, signal_m_axi_arcache => signal_bus_0_full_arcache, signal_m_axi_arprot => signal_bus_0_full_arprot, signal_m_axi_arqos => signal_bus_0_full_arqos, signal_m_axi_arregion => signal_bus_0_full_arregion, signal_m_axi_arvalid => signal_bus_0_full_arvalid, signal_m_axi_arready => signal_bus_0_full_arready, signal_m_axi_rid => signal_bus_0_full_rid, signal_m_axi_rdata => signal_bus_0_full_rdata, signal_m_axi_rresp => signal_bus_0_full_rresp, signal_m_axi_rlast => signal_bus_0_full_rlast, signal_m_axi_rvalid => signal_bus_0_full_rvalid, timer_m_axi_awid => timer_bus_0_full_awid, timer_m_axi_awaddr => timer_bus_0_full_awaddr, timer_m_axi_awlen => timer_bus_0_full_awlen, timer_m_axi_awsize => timer_bus_0_full_awsize, timer_m_axi_awburst => timer_bus_0_full_awburst, timer_m_axi_awlock => timer_bus_0_full_awlock, timer_m_axi_awcache => timer_bus_0_full_awcache, timer_m_axi_awprot => timer_bus_0_full_awprot, timer_m_axi_awqos => timer_bus_0_full_awqos, timer_m_axi_awregion => timer_bus_0_full_awregion, timer_m_axi_awvalid => timer_bus_0_full_awvalid, timer_m_axi_awready => timer_bus_0_full_awready, timer_m_axi_wdata => timer_bus_0_full_wdata, timer_m_axi_wstrb => timer_bus_0_full_wstrb, timer_m_axi_wlast => timer_bus_0_full_wlast, timer_m_axi_wvalid => timer_bus_0_full_wvalid, timer_m_axi_wready => timer_bus_0_full_wready, timer_m_axi_bid => timer_bus_0_full_bid, timer_m_axi_bresp => timer_bus_0_full_bresp, timer_m_axi_bvalid => timer_bus_0_full_bvalid, timer_m_axi_bready => timer_bus_0_full_bready, timer_m_axi_arid => timer_bus_0_full_arid, timer_m_axi_araddr => timer_bus_0_full_araddr, timer_m_axi_arlen => timer_bus_0_full_arlen, timer_m_axi_arsize => timer_bus_0_full_arsize, timer_m_axi_arburst => timer_bus_0_full_arburst, timer_m_axi_arlock => timer_bus_0_full_arlock, timer_m_axi_arcache => timer_bus_0_full_arcache, timer_m_axi_arprot => timer_bus_0_full_arprot, timer_m_axi_arqos => timer_bus_0_full_arqos, timer_m_axi_arregion => timer_bus_0_full_arregion, timer_m_axi_arvalid => timer_bus_0_full_arvalid, timer_m_axi_arready => timer_bus_0_full_arready, timer_m_axi_rid => timer_bus_0_full_rid, timer_m_axi_rdata => timer_bus_0_full_rdata, timer_m_axi_rresp => timer_bus_0_full_rresp, timer_m_axi_rlast => timer_bus_0_full_rlast, timer_m_axi_rvalid => timer_bus_0_full_rvalid, aclk => aclk, aresetn => peripheral_aresetn(0)); cpu_1_bus_inst : plasoc_cpu_1_crossbar_wrap port map ( cpu_s_axi_awid => cpu_bus_1_full_awid, cpu_s_axi_awaddr => cpu_bus_1_full_awaddr, cpu_s_axi_awlen => cpu_bus_1_full_awlen, cpu_s_axi_awsize => cpu_bus_1_full_awsize, cpu_s_axi_awburst => cpu_bus_1_full_awburst, cpu_s_axi_awlock => cpu_bus_1_full_awlock, cpu_s_axi_awcache => cpu_bus_1_full_awcache, cpu_s_axi_awprot => cpu_bus_1_full_awprot, cpu_s_axi_awqos => cpu_bus_1_full_awqos, cpu_s_axi_awregion => cpu_bus_1_full_awregion, cpu_s_axi_awvalid => cpu_bus_1_full_awvalid, cpu_s_axi_awready => cpu_bus_1_full_awready, cpu_s_axi_wdata => cpu_bus_1_full_wdata, cpu_s_axi_wstrb => cpu_bus_1_full_wstrb, cpu_s_axi_wlast => cpu_bus_1_full_wlast, cpu_s_axi_wvalid => cpu_bus_1_full_wvalid, cpu_s_axi_wready => cpu_bus_1_full_wready, cpu_s_axi_bid => cpu_bus_1_full_bid, cpu_s_axi_bresp => cpu_bus_1_full_bresp, cpu_s_axi_bvalid => cpu_bus_1_full_bvalid, cpu_s_axi_bready => cpu_bus_1_full_bready, cpu_s_axi_arid => cpu_bus_1_full_arid, cpu_s_axi_araddr => cpu_bus_1_full_araddr, cpu_s_axi_arlen => cpu_bus_1_full_arlen, cpu_s_axi_arsize => cpu_bus_1_full_arsize, cpu_s_axi_arburst => cpu_bus_1_full_arburst, cpu_s_axi_arlock => cpu_bus_1_full_arlock, cpu_s_axi_arcache => cpu_bus_1_full_arcache, cpu_s_axi_arprot => cpu_bus_1_full_arprot, cpu_s_axi_arqos => cpu_bus_1_full_arqos, cpu_s_axi_arregion => cpu_bus_1_full_arregion, cpu_s_axi_arvalid => cpu_bus_1_full_arvalid, cpu_s_axi_arready => cpu_bus_1_full_arready, cpu_s_axi_rid => cpu_bus_1_full_rid, cpu_s_axi_rdata => cpu_bus_1_full_rdata, cpu_s_axi_rresp => cpu_bus_1_full_rresp, cpu_s_axi_rlast => cpu_bus_1_full_rlast, cpu_s_axi_rvalid => cpu_bus_1_full_rvalid, cpu_s_axi_rready => cpu_bus_1_full_rready, ip_m_axi_awid => cpu_1_axi_full_awid, ip_m_axi_awaddr => cpu_1_axi_full_awaddr, ip_m_axi_awlen => cpu_1_axi_full_awlen, ip_m_axi_awsize => cpu_1_axi_full_awsize, ip_m_axi_awburst => cpu_1_axi_full_awburst, ip_m_axi_awlock => cpu_1_axi_full_awlock, ip_m_axi_awcache => cpu_1_axi_full_awcache, ip_m_axi_awprot => cpu_1_axi_full_awprot, ip_m_axi_awqos => cpu_1_axi_full_awqos, ip_m_axi_awregion => cpu_1_axi_full_awregion, ip_m_axi_awvalid => cpu_1_axi_full_awvalid, ip_m_axi_awready => cpu_1_axi_full_awready, ip_m_axi_wdata => cpu_1_axi_full_wdata, ip_m_axi_wstrb => cpu_1_axi_full_wstrb, ip_m_axi_wlast => cpu_1_axi_full_wlast, ip_m_axi_wvalid => cpu_1_axi_full_wvalid, ip_m_axi_wready => cpu_1_axi_full_wready, ip_m_axi_bid => cpu_1_axi_full_bid, ip_m_axi_bresp => cpu_1_axi_full_bresp, ip_m_axi_bvalid => cpu_1_axi_full_bvalid, ip_m_axi_bready => cpu_1_axi_full_bready, ip_m_axi_arid => cpu_1_axi_full_arid, ip_m_axi_araddr => cpu_1_axi_full_araddr, ip_m_axi_arlen => cpu_1_axi_full_arlen, ip_m_axi_arsize => cpu_1_axi_full_arsize, ip_m_axi_arburst => cpu_1_axi_full_arburst, ip_m_axi_arlock => cpu_1_axi_full_arlock, ip_m_axi_arcache => cpu_1_axi_full_arcache, ip_m_axi_arprot => cpu_1_axi_full_arprot, ip_m_axi_arqos => cpu_1_axi_full_arqos, ip_m_axi_arregion => cpu_1_axi_full_arregion, ip_m_axi_arvalid => cpu_1_axi_full_arvalid, ip_m_axi_arready => cpu_1_axi_full_arready, ip_m_axi_rid => cpu_1_axi_full_rid, ip_m_axi_rdata => cpu_1_axi_full_rdata, ip_m_axi_rresp => cpu_1_axi_full_rresp, ip_m_axi_rlast => cpu_1_axi_full_rlast, ip_m_axi_rvalid => cpu_1_axi_full_rvalid, ip_m_axi_rready => cpu_1_axi_full_rready, cpuid_gpio_m_axi_awid => cpuid_gpio_bus_1_full_awid, cpuid_gpio_m_axi_awaddr => cpuid_gpio_bus_1_full_awaddr, cpuid_gpio_m_axi_awlen => cpuid_gpio_bus_1_full_awlen, cpuid_gpio_m_axi_awsize => cpuid_gpio_bus_1_full_awsize, cpuid_gpio_m_axi_awburst => cpuid_gpio_bus_1_full_awburst, cpuid_gpio_m_axi_awlock => cpuid_gpio_bus_1_full_awlock, cpuid_gpio_m_axi_awcache => cpuid_gpio_bus_1_full_awcache, cpuid_gpio_m_axi_awprot => cpuid_gpio_bus_1_full_awprot, cpuid_gpio_m_axi_awqos => cpuid_gpio_bus_1_full_awqos, cpuid_gpio_m_axi_awregion => cpuid_gpio_bus_1_full_awregion, cpuid_gpio_m_axi_awvalid => cpuid_gpio_bus_1_full_awvalid, cpuid_gpio_m_axi_awready => cpuid_gpio_bus_1_full_awready, cpuid_gpio_m_axi_wdata => cpuid_gpio_bus_1_full_wdata, cpuid_gpio_m_axi_wstrb => cpuid_gpio_bus_1_full_wstrb, cpuid_gpio_m_axi_wlast => cpuid_gpio_bus_1_full_wlast, cpuid_gpio_m_axi_wvalid => cpuid_gpio_bus_1_full_wvalid, cpuid_gpio_m_axi_wready => cpuid_gpio_bus_1_full_wready, cpuid_gpio_m_axi_bid => cpuid_gpio_bus_1_full_bid, cpuid_gpio_m_axi_bresp => cpuid_gpio_bus_1_full_bresp, cpuid_gpio_m_axi_bvalid => cpuid_gpio_bus_1_full_bvalid, cpuid_gpio_m_axi_bready => cpuid_gpio_bus_1_full_bready, cpuid_gpio_m_axi_arid => cpuid_gpio_bus_1_full_arid, cpuid_gpio_m_axi_araddr => cpuid_gpio_bus_1_full_araddr, cpuid_gpio_m_axi_arlen => cpuid_gpio_bus_1_full_arlen, cpuid_gpio_m_axi_arsize => cpuid_gpio_bus_1_full_arsize, cpuid_gpio_m_axi_arburst => cpuid_gpio_bus_1_full_arburst, cpuid_gpio_m_axi_arlock => cpuid_gpio_bus_1_full_arlock, cpuid_gpio_m_axi_arcache => cpuid_gpio_bus_1_full_arcache, cpuid_gpio_m_axi_arprot => cpuid_gpio_bus_1_full_arprot, cpuid_gpio_m_axi_arqos => cpuid_gpio_bus_1_full_arqos, cpuid_gpio_m_axi_arregion => cpuid_gpio_bus_1_full_arregion, cpuid_gpio_m_axi_arvalid => cpuid_gpio_bus_1_full_arvalid, cpuid_gpio_m_axi_arready => cpuid_gpio_bus_1_full_arready, cpuid_gpio_m_axi_rid => cpuid_gpio_bus_1_full_rid, cpuid_gpio_m_axi_rdata => cpuid_gpio_bus_1_full_rdata, cpuid_gpio_m_axi_rresp => cpuid_gpio_bus_1_full_rresp, cpuid_gpio_m_axi_rlast => cpuid_gpio_bus_1_full_rlast, cpuid_gpio_m_axi_rvalid => cpuid_gpio_bus_1_full_rvalid, cpuid_gpio_m_axi_rready => cpuid_gpio_bus_1_full_rready, int_m_axi_awid => int_bus_1_full_awid, int_m_axi_awaddr => int_bus_1_full_awaddr, int_m_axi_awlen => int_bus_1_full_awlen, int_m_axi_awsize => int_bus_1_full_awsize, int_m_axi_awburst => int_bus_1_full_awburst, int_m_axi_awlock => int_bus_1_full_awlock, int_m_axi_awcache => int_bus_1_full_awcache, int_m_axi_awprot => int_bus_1_full_awprot, int_m_axi_awqos => int_bus_1_full_awqos, int_m_axi_awregion => int_bus_1_full_awregion, int_m_axi_awvalid => int_bus_1_full_awvalid, int_m_axi_awready => int_bus_1_full_awready, int_m_axi_wdata => int_bus_1_full_wdata, int_m_axi_wstrb => int_bus_1_full_wstrb, int_m_axi_wlast => int_bus_1_full_wlast, int_m_axi_wvalid => int_bus_1_full_wvalid, int_m_axi_wready => int_bus_1_full_wready, int_m_axi_bid => int_bus_1_full_bid, int_m_axi_bresp => int_bus_1_full_bresp, int_m_axi_bvalid => int_bus_1_full_bvalid, int_m_axi_bready => int_bus_1_full_bready, int_m_axi_arid => int_bus_1_full_arid, int_m_axi_araddr => int_bus_1_full_araddr, int_m_axi_arlen => int_bus_1_full_arlen, int_m_axi_arsize => int_bus_1_full_arsize, int_m_axi_arburst => int_bus_1_full_arburst, int_m_axi_arlock => int_bus_1_full_arlock, int_m_axi_arcache => int_bus_1_full_arcache, int_m_axi_arprot => int_bus_1_full_arprot, int_m_axi_arqos => int_bus_1_full_arqos, int_m_axi_arregion => int_bus_1_full_arregion, int_m_axi_arvalid => int_bus_1_full_arvalid, int_m_axi_arready => int_bus_1_full_arready, int_m_axi_rid => int_bus_1_full_rid, int_m_axi_rdata => int_bus_1_full_rdata, int_m_axi_rresp => int_bus_1_full_rresp, int_m_axi_rlast => int_bus_1_full_rlast, int_m_axi_rvalid => int_bus_1_full_rvalid, int_m_axi_rready => int_bus_1_full_rready, signal_m_axi_awid => signal_bus_1_full_awid, signal_m_axi_awaddr => signal_bus_1_full_awaddr, signal_m_axi_awlen => signal_bus_1_full_awlen, signal_m_axi_awsize => signal_bus_1_full_awsize, signal_m_axi_awburst => signal_bus_1_full_awburst, signal_m_axi_awlock => signal_bus_1_full_awlock, signal_m_axi_awcache => signal_bus_1_full_awcache, signal_m_axi_awprot => signal_bus_1_full_awprot, signal_m_axi_awqos => signal_bus_1_full_awqos, signal_m_axi_awregion => signal_bus_1_full_awregion, signal_m_axi_awvalid => signal_bus_1_full_awvalid, signal_m_axi_awready => signal_bus_1_full_awready, signal_m_axi_wdata => signal_bus_1_full_wdata, signal_m_axi_wstrb => signal_bus_1_full_wstrb, signal_m_axi_wlast => signal_bus_1_full_wlast, signal_m_axi_wvalid => signal_bus_1_full_wvalid, signal_m_axi_wready => signal_bus_1_full_wready, signal_m_axi_bid => signal_bus_1_full_bid, signal_m_axi_bresp => signal_bus_1_full_bresp, signal_m_axi_bvalid => signal_bus_1_full_bvalid, signal_m_axi_bready => signal_bus_1_full_bready, signal_m_axi_arid => signal_bus_1_full_arid, signal_m_axi_araddr => signal_bus_1_full_araddr, signal_m_axi_arlen => signal_bus_1_full_arlen, signal_m_axi_arsize => signal_bus_1_full_arsize, signal_m_axi_arburst => signal_bus_1_full_arburst, signal_m_axi_arlock => signal_bus_1_full_arlock, signal_m_axi_arcache => signal_bus_1_full_arcache, signal_m_axi_arprot => signal_bus_1_full_arprot, signal_m_axi_arqos => signal_bus_1_full_arqos, signal_m_axi_arregion => signal_bus_1_full_arregion, signal_m_axi_arvalid => signal_bus_1_full_arvalid, signal_m_axi_arready => signal_bus_1_full_arready, signal_m_axi_rid => signal_bus_1_full_rid, signal_m_axi_rdata => signal_bus_1_full_rdata, signal_m_axi_rresp => signal_bus_1_full_rresp, signal_m_axi_rlast => signal_bus_1_full_rlast, signal_m_axi_rvalid => signal_bus_1_full_rvalid, timer_m_axi_awid => timer_bus_1_full_awid, timer_m_axi_awaddr => timer_bus_1_full_awaddr, timer_m_axi_awlen => timer_bus_1_full_awlen, timer_m_axi_awsize => timer_bus_1_full_awsize, timer_m_axi_awburst => timer_bus_1_full_awburst, timer_m_axi_awlock => timer_bus_1_full_awlock, timer_m_axi_awcache => timer_bus_1_full_awcache, timer_m_axi_awprot => timer_bus_1_full_awprot, timer_m_axi_awqos => timer_bus_1_full_awqos, timer_m_axi_awregion => timer_bus_1_full_awregion, timer_m_axi_awvalid => timer_bus_1_full_awvalid, timer_m_axi_awready => timer_bus_1_full_awready, timer_m_axi_wdata => timer_bus_1_full_wdata, timer_m_axi_wstrb => timer_bus_1_full_wstrb, timer_m_axi_wlast => timer_bus_1_full_wlast, timer_m_axi_wvalid => timer_bus_1_full_wvalid, timer_m_axi_wready => timer_bus_1_full_wready, timer_m_axi_bid => timer_bus_1_full_bid, timer_m_axi_bresp => timer_bus_1_full_bresp, timer_m_axi_bvalid => timer_bus_1_full_bvalid, timer_m_axi_bready => timer_bus_1_full_bready, timer_m_axi_arid => timer_bus_1_full_arid, timer_m_axi_araddr => timer_bus_1_full_araddr, timer_m_axi_arlen => timer_bus_1_full_arlen, timer_m_axi_arsize => timer_bus_1_full_arsize, timer_m_axi_arburst => timer_bus_1_full_arburst, timer_m_axi_arlock => timer_bus_1_full_arlock, timer_m_axi_arcache => timer_bus_1_full_arcache, timer_m_axi_arprot => timer_bus_1_full_arprot, timer_m_axi_arqos => timer_bus_1_full_arqos, timer_m_axi_arregion => timer_bus_1_full_arregion, timer_m_axi_arvalid => timer_bus_1_full_arvalid, timer_m_axi_arready => timer_bus_1_full_arready, timer_m_axi_rid => timer_bus_1_full_rid, timer_m_axi_rdata => timer_bus_1_full_rdata, timer_m_axi_rresp => timer_bus_1_full_rresp, timer_m_axi_rlast => timer_bus_1_full_rlast, timer_m_axi_rvalid => timer_bus_1_full_rvalid, aclk => aclk, aresetn => peripheral_aresetn(0)); cpu_2_bus_inst : plasoc_cpu_2_crossbar_wrap port map ( cpu_s_axi_awid => cpu_bus_2_full_awid, cpu_s_axi_awaddr => cpu_bus_2_full_awaddr, cpu_s_axi_awlen => cpu_bus_2_full_awlen, cpu_s_axi_awsize => cpu_bus_2_full_awsize, cpu_s_axi_awburst => cpu_bus_2_full_awburst, cpu_s_axi_awlock => cpu_bus_2_full_awlock, cpu_s_axi_awcache => cpu_bus_2_full_awcache, cpu_s_axi_awprot => cpu_bus_2_full_awprot, cpu_s_axi_awqos => cpu_bus_2_full_awqos, cpu_s_axi_awregion => cpu_bus_2_full_awregion, cpu_s_axi_awvalid => cpu_bus_2_full_awvalid, cpu_s_axi_awready => cpu_bus_2_full_awready, cpu_s_axi_wdata => cpu_bus_2_full_wdata, cpu_s_axi_wstrb => cpu_bus_2_full_wstrb, cpu_s_axi_wlast => cpu_bus_2_full_wlast, cpu_s_axi_wvalid => cpu_bus_2_full_wvalid, cpu_s_axi_wready => cpu_bus_2_full_wready, cpu_s_axi_bid => cpu_bus_2_full_bid, cpu_s_axi_bresp => cpu_bus_2_full_bresp, cpu_s_axi_bvalid => cpu_bus_2_full_bvalid, cpu_s_axi_bready => cpu_bus_2_full_bready, cpu_s_axi_arid => cpu_bus_2_full_arid, cpu_s_axi_araddr => cpu_bus_2_full_araddr, cpu_s_axi_arlen => cpu_bus_2_full_arlen, cpu_s_axi_arsize => cpu_bus_2_full_arsize, cpu_s_axi_arburst => cpu_bus_2_full_arburst, cpu_s_axi_arlock => cpu_bus_2_full_arlock, cpu_s_axi_arcache => cpu_bus_2_full_arcache, cpu_s_axi_arprot => cpu_bus_2_full_arprot, cpu_s_axi_arqos => cpu_bus_2_full_arqos, cpu_s_axi_arregion => cpu_bus_2_full_arregion, cpu_s_axi_arvalid => cpu_bus_2_full_arvalid, cpu_s_axi_arready => cpu_bus_2_full_arready, cpu_s_axi_rid => cpu_bus_2_full_rid, cpu_s_axi_rdata => cpu_bus_2_full_rdata, cpu_s_axi_rresp => cpu_bus_2_full_rresp, cpu_s_axi_rlast => cpu_bus_2_full_rlast, cpu_s_axi_rvalid => cpu_bus_2_full_rvalid, cpu_s_axi_rready => cpu_bus_2_full_rready, ip_m_axi_awid => cpu_2_axi_full_awid, ip_m_axi_awaddr => cpu_2_axi_full_awaddr, ip_m_axi_awlen => cpu_2_axi_full_awlen, ip_m_axi_awsize => cpu_2_axi_full_awsize, ip_m_axi_awburst => cpu_2_axi_full_awburst, ip_m_axi_awlock => cpu_2_axi_full_awlock, ip_m_axi_awcache => cpu_2_axi_full_awcache, ip_m_axi_awprot => cpu_2_axi_full_awprot, ip_m_axi_awqos => cpu_2_axi_full_awqos, ip_m_axi_awregion => cpu_2_axi_full_awregion, ip_m_axi_awvalid => cpu_2_axi_full_awvalid, ip_m_axi_awready => cpu_2_axi_full_awready, ip_m_axi_wdata => cpu_2_axi_full_wdata, ip_m_axi_wstrb => cpu_2_axi_full_wstrb, ip_m_axi_wlast => cpu_2_axi_full_wlast, ip_m_axi_wvalid => cpu_2_axi_full_wvalid, ip_m_axi_wready => cpu_2_axi_full_wready, ip_m_axi_bid => cpu_2_axi_full_bid, ip_m_axi_bresp => cpu_2_axi_full_bresp, ip_m_axi_bvalid => cpu_2_axi_full_bvalid, ip_m_axi_bready => cpu_2_axi_full_bready, ip_m_axi_arid => cpu_2_axi_full_arid, ip_m_axi_araddr => cpu_2_axi_full_araddr, ip_m_axi_arlen => cpu_2_axi_full_arlen, ip_m_axi_arsize => cpu_2_axi_full_arsize, ip_m_axi_arburst => cpu_2_axi_full_arburst, ip_m_axi_arlock => cpu_2_axi_full_arlock, ip_m_axi_arcache => cpu_2_axi_full_arcache, ip_m_axi_arprot => cpu_2_axi_full_arprot, ip_m_axi_arqos => cpu_2_axi_full_arqos, ip_m_axi_arregion => cpu_2_axi_full_arregion, ip_m_axi_arvalid => cpu_2_axi_full_arvalid, ip_m_axi_arready => cpu_2_axi_full_arready, ip_m_axi_rid => cpu_2_axi_full_rid, ip_m_axi_rdata => cpu_2_axi_full_rdata, ip_m_axi_rresp => cpu_2_axi_full_rresp, ip_m_axi_rlast => cpu_2_axi_full_rlast, ip_m_axi_rvalid => cpu_2_axi_full_rvalid, ip_m_axi_rready => cpu_2_axi_full_rready, cpuid_gpio_m_axi_awid => cpuid_gpio_bus_2_full_awid, cpuid_gpio_m_axi_awaddr => cpuid_gpio_bus_2_full_awaddr, cpuid_gpio_m_axi_awlen => cpuid_gpio_bus_2_full_awlen, cpuid_gpio_m_axi_awsize => cpuid_gpio_bus_2_full_awsize, cpuid_gpio_m_axi_awburst => cpuid_gpio_bus_2_full_awburst, cpuid_gpio_m_axi_awlock => cpuid_gpio_bus_2_full_awlock, cpuid_gpio_m_axi_awcache => cpuid_gpio_bus_2_full_awcache, cpuid_gpio_m_axi_awprot => cpuid_gpio_bus_2_full_awprot, cpuid_gpio_m_axi_awqos => cpuid_gpio_bus_2_full_awqos, cpuid_gpio_m_axi_awregion => cpuid_gpio_bus_2_full_awregion, cpuid_gpio_m_axi_awvalid => cpuid_gpio_bus_2_full_awvalid, cpuid_gpio_m_axi_awready => cpuid_gpio_bus_2_full_awready, cpuid_gpio_m_axi_wdata => cpuid_gpio_bus_2_full_wdata, cpuid_gpio_m_axi_wstrb => cpuid_gpio_bus_2_full_wstrb, cpuid_gpio_m_axi_wlast => cpuid_gpio_bus_2_full_wlast, cpuid_gpio_m_axi_wvalid => cpuid_gpio_bus_2_full_wvalid, cpuid_gpio_m_axi_wready => cpuid_gpio_bus_2_full_wready, cpuid_gpio_m_axi_bid => cpuid_gpio_bus_2_full_bid, cpuid_gpio_m_axi_bresp => cpuid_gpio_bus_2_full_bresp, cpuid_gpio_m_axi_bvalid => cpuid_gpio_bus_2_full_bvalid, cpuid_gpio_m_axi_bready => cpuid_gpio_bus_2_full_bready, cpuid_gpio_m_axi_arid => cpuid_gpio_bus_2_full_arid, cpuid_gpio_m_axi_araddr => cpuid_gpio_bus_2_full_araddr, cpuid_gpio_m_axi_arlen => cpuid_gpio_bus_2_full_arlen, cpuid_gpio_m_axi_arsize => cpuid_gpio_bus_2_full_arsize, cpuid_gpio_m_axi_arburst => cpuid_gpio_bus_2_full_arburst, cpuid_gpio_m_axi_arlock => cpuid_gpio_bus_2_full_arlock, cpuid_gpio_m_axi_arcache => cpuid_gpio_bus_2_full_arcache, cpuid_gpio_m_axi_arprot => cpuid_gpio_bus_2_full_arprot, cpuid_gpio_m_axi_arqos => cpuid_gpio_bus_2_full_arqos, cpuid_gpio_m_axi_arregion => cpuid_gpio_bus_2_full_arregion, cpuid_gpio_m_axi_arvalid => cpuid_gpio_bus_2_full_arvalid, cpuid_gpio_m_axi_arready => cpuid_gpio_bus_2_full_arready, cpuid_gpio_m_axi_rid => cpuid_gpio_bus_2_full_rid, cpuid_gpio_m_axi_rdata => cpuid_gpio_bus_2_full_rdata, cpuid_gpio_m_axi_rresp => cpuid_gpio_bus_2_full_rresp, cpuid_gpio_m_axi_rlast => cpuid_gpio_bus_2_full_rlast, cpuid_gpio_m_axi_rvalid => cpuid_gpio_bus_2_full_rvalid, cpuid_gpio_m_axi_rready => cpuid_gpio_bus_2_full_rready, int_m_axi_awid => int_bus_2_full_awid, int_m_axi_awaddr => int_bus_2_full_awaddr, int_m_axi_awlen => int_bus_2_full_awlen, int_m_axi_awsize => int_bus_2_full_awsize, int_m_axi_awburst => int_bus_2_full_awburst, int_m_axi_awlock => int_bus_2_full_awlock, int_m_axi_awcache => int_bus_2_full_awcache, int_m_axi_awprot => int_bus_2_full_awprot, int_m_axi_awqos => int_bus_2_full_awqos, int_m_axi_awregion => int_bus_2_full_awregion, int_m_axi_awvalid => int_bus_2_full_awvalid, int_m_axi_awready => int_bus_2_full_awready, int_m_axi_wdata => int_bus_2_full_wdata, int_m_axi_wstrb => int_bus_2_full_wstrb, int_m_axi_wlast => int_bus_2_full_wlast, int_m_axi_wvalid => int_bus_2_full_wvalid, int_m_axi_wready => int_bus_2_full_wready, int_m_axi_bid => int_bus_2_full_bid, int_m_axi_bresp => int_bus_2_full_bresp, int_m_axi_bvalid => int_bus_2_full_bvalid, int_m_axi_bready => int_bus_2_full_bready, int_m_axi_arid => int_bus_2_full_arid, int_m_axi_araddr => int_bus_2_full_araddr, int_m_axi_arlen => int_bus_2_full_arlen, int_m_axi_arsize => int_bus_2_full_arsize, int_m_axi_arburst => int_bus_2_full_arburst, int_m_axi_arlock => int_bus_2_full_arlock, int_m_axi_arcache => int_bus_2_full_arcache, int_m_axi_arprot => int_bus_2_full_arprot, int_m_axi_arqos => int_bus_2_full_arqos, int_m_axi_arregion => int_bus_2_full_arregion, int_m_axi_arvalid => int_bus_2_full_arvalid, int_m_axi_arready => int_bus_2_full_arready, int_m_axi_rid => int_bus_2_full_rid, int_m_axi_rdata => int_bus_2_full_rdata, int_m_axi_rresp => int_bus_2_full_rresp, int_m_axi_rlast => int_bus_2_full_rlast, int_m_axi_rvalid => int_bus_2_full_rvalid, int_m_axi_rready => int_bus_2_full_rready, signal_m_axi_awid => signal_bus_2_full_awid, signal_m_axi_awaddr => signal_bus_2_full_awaddr, signal_m_axi_awlen => signal_bus_2_full_awlen, signal_m_axi_awsize => signal_bus_2_full_awsize, signal_m_axi_awburst => signal_bus_2_full_awburst, signal_m_axi_awlock => signal_bus_2_full_awlock, signal_m_axi_awcache => signal_bus_2_full_awcache, signal_m_axi_awprot => signal_bus_2_full_awprot, signal_m_axi_awqos => signal_bus_2_full_awqos, signal_m_axi_awregion => signal_bus_2_full_awregion, signal_m_axi_awvalid => signal_bus_2_full_awvalid, signal_m_axi_awready => signal_bus_2_full_awready, signal_m_axi_wdata => signal_bus_2_full_wdata, signal_m_axi_wstrb => signal_bus_2_full_wstrb, signal_m_axi_wlast => signal_bus_2_full_wlast, signal_m_axi_wvalid => signal_bus_2_full_wvalid, signal_m_axi_wready => signal_bus_2_full_wready, signal_m_axi_bid => signal_bus_2_full_bid, signal_m_axi_bresp => signal_bus_2_full_bresp, signal_m_axi_bvalid => signal_bus_2_full_bvalid, signal_m_axi_bready => signal_bus_2_full_bready, signal_m_axi_arid => signal_bus_2_full_arid, signal_m_axi_araddr => signal_bus_2_full_araddr, signal_m_axi_arlen => signal_bus_2_full_arlen, signal_m_axi_arsize => signal_bus_2_full_arsize, signal_m_axi_arburst => signal_bus_2_full_arburst, signal_m_axi_arlock => signal_bus_2_full_arlock, signal_m_axi_arcache => signal_bus_2_full_arcache, signal_m_axi_arprot => signal_bus_2_full_arprot, signal_m_axi_arqos => signal_bus_2_full_arqos, signal_m_axi_arregion => signal_bus_2_full_arregion, signal_m_axi_arvalid => signal_bus_2_full_arvalid, signal_m_axi_arready => signal_bus_2_full_arready, signal_m_axi_rid => signal_bus_2_full_rid, signal_m_axi_rdata => signal_bus_2_full_rdata, signal_m_axi_rresp => signal_bus_2_full_rresp, signal_m_axi_rlast => signal_bus_2_full_rlast, signal_m_axi_rvalid => signal_bus_2_full_rvalid, timer_m_axi_awid => timer_bus_2_full_awid, timer_m_axi_awaddr => timer_bus_2_full_awaddr, timer_m_axi_awlen => timer_bus_2_full_awlen, timer_m_axi_awsize => timer_bus_2_full_awsize, timer_m_axi_awburst => timer_bus_2_full_awburst, timer_m_axi_awlock => timer_bus_2_full_awlock, timer_m_axi_awcache => timer_bus_2_full_awcache, timer_m_axi_awprot => timer_bus_2_full_awprot, timer_m_axi_awqos => timer_bus_2_full_awqos, timer_m_axi_awregion => timer_bus_2_full_awregion, timer_m_axi_awvalid => timer_bus_2_full_awvalid, timer_m_axi_awready => timer_bus_2_full_awready, timer_m_axi_wdata => timer_bus_2_full_wdata, timer_m_axi_wstrb => timer_bus_2_full_wstrb, timer_m_axi_wlast => timer_bus_2_full_wlast, timer_m_axi_wvalid => timer_bus_2_full_wvalid, timer_m_axi_wready => timer_bus_2_full_wready, timer_m_axi_bid => timer_bus_2_full_bid, timer_m_axi_bresp => timer_bus_2_full_bresp, timer_m_axi_bvalid => timer_bus_2_full_bvalid, timer_m_axi_bready => timer_bus_2_full_bready, timer_m_axi_arid => timer_bus_2_full_arid, timer_m_axi_araddr => timer_bus_2_full_araddr, timer_m_axi_arlen => timer_bus_2_full_arlen, timer_m_axi_arsize => timer_bus_2_full_arsize, timer_m_axi_arburst => timer_bus_2_full_arburst, timer_m_axi_arlock => timer_bus_2_full_arlock, timer_m_axi_arcache => timer_bus_2_full_arcache, timer_m_axi_arprot => timer_bus_2_full_arprot, timer_m_axi_arqos => timer_bus_2_full_arqos, timer_m_axi_arregion => timer_bus_2_full_arregion, timer_m_axi_arvalid => timer_bus_2_full_arvalid, timer_m_axi_arready => timer_bus_2_full_arready, timer_m_axi_rid => timer_bus_2_full_rid, timer_m_axi_rdata => timer_bus_2_full_rdata, timer_m_axi_rresp => timer_bus_2_full_rresp, timer_m_axi_rlast => timer_bus_2_full_rlast, timer_m_axi_rvalid => timer_bus_2_full_rvalid, aclk => aclk, aresetn => peripheral_aresetn(0)); ------------------------ -- CPU Instantiations -- ------------------------ plasoc_cpu_0_inst : plasoc_cpu generic map ( cache_address_width => cache_address_width, cache_way_width => cache_way_width, cache_index_width => cache_index_width, cache_offset_width => cache_offset_width, cache_replace_strat => cache_replace_strat) port map ( aclk => aclk, aresetn => peripheral_aresetn(0), axi_awid => cpu_bus_0_full_awid, axi_awaddr => cpu_bus_0_full_awaddr, axi_awlen => cpu_bus_0_full_awlen, axi_awsize => cpu_bus_0_full_awsize, axi_awburst => cpu_bus_0_full_awburst, axi_awlock => cpu_bus_0_full_awlock, axi_awcache => cpu_bus_0_full_awcache, axi_awprot => cpu_bus_0_full_awprot, axi_awqos => cpu_bus_0_full_awqos, axi_awregion => cpu_bus_0_full_awregion, axi_awvalid => cpu_bus_0_full_awvalid, axi_awready => cpu_bus_0_full_awready, axi_wdata => cpu_bus_0_full_wdata, axi_wstrb => cpu_bus_0_full_wstrb, axi_wlast => cpu_bus_0_full_wlast, axi_wvalid => cpu_bus_0_full_wvalid, axi_wready => cpu_bus_0_full_wready, axi_bid => cpu_bus_0_full_bid, axi_bresp => cpu_bus_0_full_bresp, axi_bvalid => cpu_bus_0_full_bvalid, axi_bready => cpu_bus_0_full_bready, axi_arid => cpu_bus_0_full_arid, axi_araddr => cpu_bus_0_full_araddr, axi_arlen => cpu_bus_0_full_arlen, axi_arsize => cpu_bus_0_full_arsize, axi_arburst => cpu_bus_0_full_arburst, axi_arlock => cpu_bus_0_full_arlock, axi_arcache => cpu_bus_0_full_arcache, axi_arprot => cpu_bus_0_full_arprot, axi_arqos => cpu_bus_0_full_arqos, axi_arregion => cpu_bus_0_full_arregion, axi_arvalid => cpu_bus_0_full_arvalid, axi_arready => cpu_bus_0_full_arready, axi_rid => cpu_bus_0_full_rid, axi_rdata => cpu_bus_0_full_rdata, axi_rresp => cpu_bus_0_full_rresp, axi_rlast => cpu_bus_0_full_rlast, axi_rvalid => cpu_bus_0_full_rvalid, axi_rready => cpu_bus_0_full_rready, intr_in => cpu_0_int); plasoc_cpu_1_inst : plasoc_cpu generic map ( cache_address_width => cache_address_width, cache_way_width => cache_way_width, cache_index_width => cache_index_width, cache_offset_width => cache_offset_width, cache_replace_strat => cache_replace_strat) port map ( aclk => aclk, aresetn => peripheral_aresetn(0), axi_awid => cpu_bus_1_full_awid, axi_awaddr => cpu_bus_1_full_awaddr, axi_awlen => cpu_bus_1_full_awlen, axi_awsize => cpu_bus_1_full_awsize, axi_awburst => cpu_bus_1_full_awburst, axi_awlock => cpu_bus_1_full_awlock, axi_awcache => cpu_bus_1_full_awcache, axi_awprot => cpu_bus_1_full_awprot, axi_awqos => cpu_bus_1_full_awqos, axi_awregion => cpu_bus_1_full_awregion, axi_awvalid => cpu_bus_1_full_awvalid, axi_awready => cpu_bus_1_full_awready, axi_wdata => cpu_bus_1_full_wdata, axi_wstrb => cpu_bus_1_full_wstrb, axi_wlast => cpu_bus_1_full_wlast, axi_wvalid => cpu_bus_1_full_wvalid, axi_wready => cpu_bus_1_full_wready, axi_bid => cpu_bus_1_full_bid, axi_bresp => cpu_bus_1_full_bresp, axi_bvalid => cpu_bus_1_full_bvalid, axi_bready => cpu_bus_1_full_bready, axi_arid => cpu_bus_1_full_arid, axi_araddr => cpu_bus_1_full_araddr, axi_arlen => cpu_bus_1_full_arlen, axi_arsize => cpu_bus_1_full_arsize, axi_arburst => cpu_bus_1_full_arburst, axi_arlock => cpu_bus_1_full_arlock, axi_arcache => cpu_bus_1_full_arcache, axi_arprot => cpu_bus_1_full_arprot, axi_arqos => cpu_bus_1_full_arqos, axi_arregion => cpu_bus_1_full_arregion, axi_arvalid => cpu_bus_1_full_arvalid, axi_arready => cpu_bus_1_full_arready, axi_rid => cpu_bus_1_full_rid, axi_rdata => cpu_bus_1_full_rdata, axi_rresp => cpu_bus_1_full_rresp, axi_rlast => cpu_bus_1_full_rlast, axi_rvalid => cpu_bus_1_full_rvalid, axi_rready => cpu_bus_1_full_rready, intr_in => cpu_1_int); plasoc_cpu_2_inst : plasoc_cpu generic map ( cache_address_width => cache_address_width, cache_way_width => cache_way_width, cache_index_width => cache_index_width, cache_offset_width => cache_offset_width, cache_replace_strat => cache_replace_strat) port map ( aclk => aclk, aresetn => peripheral_aresetn(0), axi_awid => cpu_bus_2_full_awid, axi_awaddr => cpu_bus_2_full_awaddr, axi_awlen => cpu_bus_2_full_awlen, axi_awsize => cpu_bus_2_full_awsize, axi_awburst => cpu_bus_2_full_awburst, axi_awlock => cpu_bus_2_full_awlock, axi_awcache => cpu_bus_2_full_awcache, axi_awprot => cpu_bus_2_full_awprot, axi_awqos => cpu_bus_2_full_awqos, axi_awregion => cpu_bus_2_full_awregion, axi_awvalid => cpu_bus_2_full_awvalid, axi_awready => cpu_bus_2_full_awready, axi_wdata => cpu_bus_2_full_wdata, axi_wstrb => cpu_bus_2_full_wstrb, axi_wlast => cpu_bus_2_full_wlast, axi_wvalid => cpu_bus_2_full_wvalid, axi_wready => cpu_bus_2_full_wready, axi_bid => cpu_bus_2_full_bid, axi_bresp => cpu_bus_2_full_bresp, axi_bvalid => cpu_bus_2_full_bvalid, axi_bready => cpu_bus_2_full_bready, axi_arid => cpu_bus_2_full_arid, axi_araddr => cpu_bus_2_full_araddr, axi_arlen => cpu_bus_2_full_arlen, axi_arsize => cpu_bus_2_full_arsize, axi_arburst => cpu_bus_2_full_arburst, axi_arlock => cpu_bus_2_full_arlock, axi_arcache => cpu_bus_2_full_arcache, axi_arprot => cpu_bus_2_full_arprot, axi_arqos => cpu_bus_2_full_arqos, axi_arregion => cpu_bus_2_full_arregion, axi_arvalid => cpu_bus_2_full_arvalid, axi_arready => cpu_bus_2_full_arready, axi_rid => cpu_bus_2_full_rid, axi_rdata => cpu_bus_2_full_rdata, axi_rresp => cpu_bus_2_full_rresp, axi_rlast => cpu_bus_2_full_rlast, axi_rvalid => cpu_bus_2_full_rvalid, axi_rready => cpu_bus_2_full_rready, intr_in => cpu_2_int); --------------------------------------------- -- CPUID GPIO AXI Full2Lite Instantiations -- --------------------------------------------- cpuid_gpio_0_full2lite : plasoc_axi4_full2lite generic map ( axi_slave_id_width => axi_cpu_bus_master_id_width, axi_address_width => axi_address_width, axi_data_width => axi_data_width) port map ( aclk => aclk, aresetn => peripheral_aresetn(0), s_axi_awid => cpuid_gpio_bus_0_full_awid, s_axi_awaddr => cpuid_gpio_bus_0_full_awaddr, s_axi_awlen => cpuid_gpio_bus_0_full_awlen, s_axi_awsize => cpuid_gpio_bus_0_full_awsize, s_axi_awburst => cpuid_gpio_bus_0_full_awburst, s_axi_awlock => cpuid_gpio_bus_0_full_awlock, s_axi_awcache => cpuid_gpio_bus_0_full_awcache, s_axi_awprot => cpuid_gpio_bus_0_full_awprot, s_axi_awqos => cpuid_gpio_bus_0_full_awqos, s_axi_awregion => cpuid_gpio_bus_0_full_awregion, s_axi_awvalid => cpuid_gpio_bus_0_full_awvalid, s_axi_awready => cpuid_gpio_bus_0_full_awready, s_axi_wdata => cpuid_gpio_bus_0_full_wdata, s_axi_wstrb => cpuid_gpio_bus_0_full_wstrb, s_axi_wlast => cpuid_gpio_bus_0_full_wlast, s_axi_wvalid => cpuid_gpio_bus_0_full_wvalid, s_axi_wready => cpuid_gpio_bus_0_full_wready, s_axi_bid => cpuid_gpio_bus_0_full_bid, s_axi_bresp => cpuid_gpio_bus_0_full_bresp, s_axi_bvalid => cpuid_gpio_bus_0_full_bvalid, s_axi_bready => cpuid_gpio_bus_0_full_bready, s_axi_arid => cpuid_gpio_bus_0_full_arid, s_axi_araddr => cpuid_gpio_bus_0_full_araddr, s_axi_arlen => cpuid_gpio_bus_0_full_arlen, s_axi_arsize => cpuid_gpio_bus_0_full_arsize, s_axi_arburst => cpuid_gpio_bus_0_full_arburst, s_axi_arlock => cpuid_gpio_bus_0_full_arlock, s_axi_arcache => cpuid_gpio_bus_0_full_arcache, s_axi_arprot => cpuid_gpio_bus_0_full_arprot, s_axi_arqos => cpuid_gpio_bus_0_full_arqos, s_axi_arregion => cpuid_gpio_bus_0_full_arregion, s_axi_arvalid => cpuid_gpio_bus_0_full_arvalid, s_axi_arready => cpuid_gpio_bus_0_full_arready, s_axi_rid => cpuid_gpio_bus_0_full_rid, s_axi_rdata => cpuid_gpio_bus_0_full_rdata, s_axi_rresp => cpuid_gpio_bus_0_full_rresp, s_axi_rlast => cpuid_gpio_bus_0_full_rlast, s_axi_rvalid => cpuid_gpio_bus_0_full_rvalid, s_axi_rready => cpuid_gpio_bus_0_full_rready, m_axi_awaddr => cpuid_gpio_bus_0_lite_awaddr, m_axi_awprot => cpuid_gpio_bus_0_lite_awprot, m_axi_awvalid => cpuid_gpio_bus_0_lite_awvalid, m_axi_awready => cpuid_gpio_bus_0_lite_awready, m_axi_wvalid => cpuid_gpio_bus_0_lite_wvalid, m_axi_wready => cpuid_gpio_bus_0_lite_wready, m_axi_wdata => cpuid_gpio_bus_0_lite_wdata, m_axi_wstrb => cpuid_gpio_bus_0_lite_wstrb, m_axi_bvalid => cpuid_gpio_bus_0_lite_bvalid, m_axi_bready => cpuid_gpio_bus_0_lite_bready, m_axi_bresp => cpuid_gpio_bus_0_lite_bresp, m_axi_araddr => cpuid_gpio_bus_0_lite_araddr, m_axi_arprot => cpuid_gpio_bus_0_lite_arprot, m_axi_arvalid => cpuid_gpio_bus_0_lite_arvalid, m_axi_arready => cpuid_gpio_bus_0_lite_arready, m_axi_rdata => cpuid_gpio_bus_0_lite_rdata, m_axi_rvalid => cpuid_gpio_bus_0_lite_rvalid, m_axi_rready => cpuid_gpio_bus_0_lite_rready, m_axi_rresp => cpuid_gpio_bus_0_lite_rresp); cpuid_gpio_1_full2lite : plasoc_axi4_full2lite generic map ( axi_slave_id_width => axi_cpu_bus_master_id_width, axi_address_width => axi_address_width, axi_data_width => axi_data_width) port map ( aclk => aclk, aresetn => peripheral_aresetn(0), s_axi_awid => cpuid_gpio_bus_1_full_awid, s_axi_awaddr => cpuid_gpio_bus_1_full_awaddr, s_axi_awlen => cpuid_gpio_bus_1_full_awlen, s_axi_awsize => cpuid_gpio_bus_1_full_awsize, s_axi_awburst => cpuid_gpio_bus_1_full_awburst, s_axi_awlock => cpuid_gpio_bus_1_full_awlock, s_axi_awcache => cpuid_gpio_bus_1_full_awcache, s_axi_awprot => cpuid_gpio_bus_1_full_awprot, s_axi_awqos => cpuid_gpio_bus_1_full_awqos, s_axi_awregion => cpuid_gpio_bus_1_full_awregion, s_axi_awvalid => cpuid_gpio_bus_1_full_awvalid, s_axi_awready => cpuid_gpio_bus_1_full_awready, s_axi_wdata => cpuid_gpio_bus_1_full_wdata, s_axi_wstrb => cpuid_gpio_bus_1_full_wstrb, s_axi_wlast => cpuid_gpio_bus_1_full_wlast, s_axi_wvalid => cpuid_gpio_bus_1_full_wvalid, s_axi_wready => cpuid_gpio_bus_1_full_wready, s_axi_bid => cpuid_gpio_bus_1_full_bid, s_axi_bresp => cpuid_gpio_bus_1_full_bresp, s_axi_bvalid => cpuid_gpio_bus_1_full_bvalid, s_axi_bready => cpuid_gpio_bus_1_full_bready, s_axi_arid => cpuid_gpio_bus_1_full_arid, s_axi_araddr => cpuid_gpio_bus_1_full_araddr, s_axi_arlen => cpuid_gpio_bus_1_full_arlen, s_axi_arsize => cpuid_gpio_bus_1_full_arsize, s_axi_arburst => cpuid_gpio_bus_1_full_arburst, s_axi_arlock => cpuid_gpio_bus_1_full_arlock, s_axi_arcache => cpuid_gpio_bus_1_full_arcache, s_axi_arprot => cpuid_gpio_bus_1_full_arprot, s_axi_arqos => cpuid_gpio_bus_1_full_arqos, s_axi_arregion => cpuid_gpio_bus_1_full_arregion, s_axi_arvalid => cpuid_gpio_bus_1_full_arvalid, s_axi_arready => cpuid_gpio_bus_1_full_arready, s_axi_rid => cpuid_gpio_bus_1_full_rid, s_axi_rdata => cpuid_gpio_bus_1_full_rdata, s_axi_rresp => cpuid_gpio_bus_1_full_rresp, s_axi_rlast => cpuid_gpio_bus_1_full_rlast, s_axi_rvalid => cpuid_gpio_bus_1_full_rvalid, s_axi_rready => cpuid_gpio_bus_1_full_rready, m_axi_awaddr => cpuid_gpio_bus_1_lite_awaddr, m_axi_awprot => cpuid_gpio_bus_1_lite_awprot, m_axi_awvalid => cpuid_gpio_bus_1_lite_awvalid, m_axi_awready => cpuid_gpio_bus_1_lite_awready, m_axi_wvalid => cpuid_gpio_bus_1_lite_wvalid, m_axi_wready => cpuid_gpio_bus_1_lite_wready, m_axi_wdata => cpuid_gpio_bus_1_lite_wdata, m_axi_wstrb => cpuid_gpio_bus_1_lite_wstrb, m_axi_bvalid => cpuid_gpio_bus_1_lite_bvalid, m_axi_bready => cpuid_gpio_bus_1_lite_bready, m_axi_bresp => cpuid_gpio_bus_1_lite_bresp, m_axi_araddr => cpuid_gpio_bus_1_lite_araddr, m_axi_arprot => cpuid_gpio_bus_1_lite_arprot, m_axi_arvalid => cpuid_gpio_bus_1_lite_arvalid, m_axi_arready => cpuid_gpio_bus_1_lite_arready, m_axi_rdata => cpuid_gpio_bus_1_lite_rdata, m_axi_rvalid => cpuid_gpio_bus_1_lite_rvalid, m_axi_rready => cpuid_gpio_bus_1_lite_rready, m_axi_rresp => cpuid_gpio_bus_1_lite_rresp); cpuid_gpio_2_full2lite : plasoc_axi4_full2lite generic map ( axi_slave_id_width => axi_cpu_bus_master_id_width, axi_address_width => axi_address_width, axi_data_width => axi_data_width) port map ( aclk => aclk, aresetn => peripheral_aresetn(0), s_axi_awid => cpuid_gpio_bus_2_full_awid, s_axi_awaddr => cpuid_gpio_bus_2_full_awaddr, s_axi_awlen => cpuid_gpio_bus_2_full_awlen, s_axi_awsize => cpuid_gpio_bus_2_full_awsize, s_axi_awburst => cpuid_gpio_bus_2_full_awburst, s_axi_awlock => cpuid_gpio_bus_2_full_awlock, s_axi_awcache => cpuid_gpio_bus_2_full_awcache, s_axi_awprot => cpuid_gpio_bus_2_full_awprot, s_axi_awqos => cpuid_gpio_bus_2_full_awqos, s_axi_awregion => cpuid_gpio_bus_2_full_awregion, s_axi_awvalid => cpuid_gpio_bus_2_full_awvalid, s_axi_awready => cpuid_gpio_bus_2_full_awready, s_axi_wdata => cpuid_gpio_bus_2_full_wdata, s_axi_wstrb => cpuid_gpio_bus_2_full_wstrb, s_axi_wlast => cpuid_gpio_bus_2_full_wlast, s_axi_wvalid => cpuid_gpio_bus_2_full_wvalid, s_axi_wready => cpuid_gpio_bus_2_full_wready, s_axi_bid => cpuid_gpio_bus_2_full_bid, s_axi_bresp => cpuid_gpio_bus_2_full_bresp, s_axi_bvalid => cpuid_gpio_bus_2_full_bvalid, s_axi_bready => cpuid_gpio_bus_2_full_bready, s_axi_arid => cpuid_gpio_bus_2_full_arid, s_axi_araddr => cpuid_gpio_bus_2_full_araddr, s_axi_arlen => cpuid_gpio_bus_2_full_arlen, s_axi_arsize => cpuid_gpio_bus_2_full_arsize, s_axi_arburst => cpuid_gpio_bus_2_full_arburst, s_axi_arlock => cpuid_gpio_bus_2_full_arlock, s_axi_arcache => cpuid_gpio_bus_2_full_arcache, s_axi_arprot => cpuid_gpio_bus_2_full_arprot, s_axi_arqos => cpuid_gpio_bus_2_full_arqos, s_axi_arregion => cpuid_gpio_bus_2_full_arregion, s_axi_arvalid => cpuid_gpio_bus_2_full_arvalid, s_axi_arready => cpuid_gpio_bus_2_full_arready, s_axi_rid => cpuid_gpio_bus_2_full_rid, s_axi_rdata => cpuid_gpio_bus_2_full_rdata, s_axi_rresp => cpuid_gpio_bus_2_full_rresp, s_axi_rlast => cpuid_gpio_bus_2_full_rlast, s_axi_rvalid => cpuid_gpio_bus_2_full_rvalid, s_axi_rready => cpuid_gpio_bus_2_full_rready, m_axi_awaddr => cpuid_gpio_bus_2_lite_awaddr, m_axi_awprot => cpuid_gpio_bus_2_lite_awprot, m_axi_awvalid => cpuid_gpio_bus_2_lite_awvalid, m_axi_awready => cpuid_gpio_bus_2_lite_awready, m_axi_wvalid => cpuid_gpio_bus_2_lite_wvalid, m_axi_wready => cpuid_gpio_bus_2_lite_wready, m_axi_wdata => cpuid_gpio_bus_2_lite_wdata, m_axi_wstrb => cpuid_gpio_bus_2_lite_wstrb, m_axi_bvalid => cpuid_gpio_bus_2_lite_bvalid, m_axi_bready => cpuid_gpio_bus_2_lite_bready, m_axi_bresp => cpuid_gpio_bus_2_lite_bresp, m_axi_araddr => cpuid_gpio_bus_2_lite_araddr, m_axi_arprot => cpuid_gpio_bus_2_lite_arprot, m_axi_arvalid => cpuid_gpio_bus_2_lite_arvalid, m_axi_arready => cpuid_gpio_bus_2_lite_arready, m_axi_rdata => cpuid_gpio_bus_2_lite_rdata, m_axi_rvalid => cpuid_gpio_bus_2_lite_rvalid, m_axi_rready => cpuid_gpio_bus_2_lite_rready, m_axi_rresp => cpuid_gpio_bus_2_lite_rresp); ------------------------------------------ -- CPU INT AXI Full2Lite Instantiations -- ------------------------------------------ int_0_full2lite : plasoc_axi4_full2lite generic map ( axi_slave_id_width => axi_cpu_bus_master_id_width, axi_address_width => axi_address_width, axi_data_width => axi_data_width) port map ( aclk => aclk, aresetn => peripheral_aresetn(0), s_axi_awid => int_bus_0_full_awid, s_axi_awaddr => int_bus_0_full_awaddr, s_axi_awlen => int_bus_0_full_awlen, s_axi_awsize => int_bus_0_full_awsize, s_axi_awburst => int_bus_0_full_awburst, s_axi_awlock => int_bus_0_full_awlock, s_axi_awcache => int_bus_0_full_awcache, s_axi_awprot => int_bus_0_full_awprot, s_axi_awqos => int_bus_0_full_awqos, s_axi_awregion => int_bus_0_full_awregion, s_axi_awvalid => int_bus_0_full_awvalid, s_axi_awready => int_bus_0_full_awready, s_axi_wdata => int_bus_0_full_wdata, s_axi_wstrb => int_bus_0_full_wstrb, s_axi_wlast => int_bus_0_full_wlast, s_axi_wvalid => int_bus_0_full_wvalid, s_axi_wready => int_bus_0_full_wready, s_axi_bid => int_bus_0_full_bid, s_axi_bresp => int_bus_0_full_bresp, s_axi_bvalid => int_bus_0_full_bvalid, s_axi_bready => int_bus_0_full_bready, s_axi_arid => int_bus_0_full_arid, s_axi_araddr => int_bus_0_full_araddr, s_axi_arlen => int_bus_0_full_arlen, s_axi_arsize => int_bus_0_full_arsize, s_axi_arburst => int_bus_0_full_arburst, s_axi_arlock => int_bus_0_full_arlock, s_axi_arcache => int_bus_0_full_arcache, s_axi_arprot => int_bus_0_full_arprot, s_axi_arqos => int_bus_0_full_arqos, s_axi_arregion => int_bus_0_full_arregion, s_axi_arvalid => int_bus_0_full_arvalid, s_axi_arready => int_bus_0_full_arready, s_axi_rid => int_bus_0_full_rid, s_axi_rdata => int_bus_0_full_rdata, s_axi_rresp => int_bus_0_full_rresp, s_axi_rlast => int_bus_0_full_rlast, s_axi_rvalid => int_bus_0_full_rvalid, s_axi_rready => int_bus_0_full_rready, m_axi_awaddr => int_bus_0_lite_awaddr, m_axi_awprot => int_bus_0_lite_awprot, m_axi_awvalid => int_bus_0_lite_awvalid, m_axi_awready => int_bus_0_lite_awready, m_axi_wvalid => int_bus_0_lite_wvalid, m_axi_wready => int_bus_0_lite_wready, m_axi_wdata => int_bus_0_lite_wdata, m_axi_wstrb => int_bus_0_lite_wstrb, m_axi_bvalid => int_bus_0_lite_bvalid, m_axi_bready => int_bus_0_lite_bready, m_axi_bresp => int_bus_0_lite_bresp, m_axi_araddr => int_bus_0_lite_araddr, m_axi_arprot => int_bus_0_lite_arprot, m_axi_arvalid => int_bus_0_lite_arvalid, m_axi_arready => int_bus_0_lite_arready, m_axi_rdata => int_bus_0_lite_rdata, m_axi_rvalid => int_bus_0_lite_rvalid, m_axi_rready => int_bus_0_lite_rready, m_axi_rresp => int_bus_0_lite_rresp); int_1_full2lite : plasoc_axi4_full2lite generic map ( axi_slave_id_width => axi_cpu_bus_master_id_width, axi_address_width => axi_address_width, axi_data_width => axi_data_width) port map ( aclk => aclk, aresetn => peripheral_aresetn(0), s_axi_awid => int_bus_1_full_awid, s_axi_awaddr => int_bus_1_full_awaddr, s_axi_awlen => int_bus_1_full_awlen, s_axi_awsize => int_bus_1_full_awsize, s_axi_awburst => int_bus_1_full_awburst, s_axi_awlock => int_bus_1_full_awlock, s_axi_awcache => int_bus_1_full_awcache, s_axi_awprot => int_bus_1_full_awprot, s_axi_awqos => int_bus_1_full_awqos, s_axi_awregion => int_bus_1_full_awregion, s_axi_awvalid => int_bus_1_full_awvalid, s_axi_awready => int_bus_1_full_awready, s_axi_wdata => int_bus_1_full_wdata, s_axi_wstrb => int_bus_1_full_wstrb, s_axi_wlast => int_bus_1_full_wlast, s_axi_wvalid => int_bus_1_full_wvalid, s_axi_wready => int_bus_1_full_wready, s_axi_bid => int_bus_1_full_bid, s_axi_bresp => int_bus_1_full_bresp, s_axi_bvalid => int_bus_1_full_bvalid, s_axi_bready => int_bus_1_full_bready, s_axi_arid => int_bus_1_full_arid, s_axi_araddr => int_bus_1_full_araddr, s_axi_arlen => int_bus_1_full_arlen, s_axi_arsize => int_bus_1_full_arsize, s_axi_arburst => int_bus_1_full_arburst, s_axi_arlock => int_bus_1_full_arlock, s_axi_arcache => int_bus_1_full_arcache, s_axi_arprot => int_bus_1_full_arprot, s_axi_arqos => int_bus_1_full_arqos, s_axi_arregion => int_bus_1_full_arregion, s_axi_arvalid => int_bus_1_full_arvalid, s_axi_arready => int_bus_1_full_arready, s_axi_rid => int_bus_1_full_rid, s_axi_rdata => int_bus_1_full_rdata, s_axi_rresp => int_bus_1_full_rresp, s_axi_rlast => int_bus_1_full_rlast, s_axi_rvalid => int_bus_1_full_rvalid, s_axi_rready => int_bus_1_full_rready, m_axi_awaddr => int_bus_1_lite_awaddr, m_axi_awprot => int_bus_1_lite_awprot, m_axi_awvalid => int_bus_1_lite_awvalid, m_axi_awready => int_bus_1_lite_awready, m_axi_wvalid => int_bus_1_lite_wvalid, m_axi_wready => int_bus_1_lite_wready, m_axi_wdata => int_bus_1_lite_wdata, m_axi_wstrb => int_bus_1_lite_wstrb, m_axi_bvalid => int_bus_1_lite_bvalid, m_axi_bready => int_bus_1_lite_bready, m_axi_bresp => int_bus_1_lite_bresp, m_axi_araddr => int_bus_1_lite_araddr, m_axi_arprot => int_bus_1_lite_arprot, m_axi_arvalid => int_bus_1_lite_arvalid, m_axi_arready => int_bus_1_lite_arready, m_axi_rdata => int_bus_1_lite_rdata, m_axi_rvalid => int_bus_1_lite_rvalid, m_axi_rready => int_bus_1_lite_rready, m_axi_rresp => int_bus_1_lite_rresp); int_2_full2lite : plasoc_axi4_full2lite generic map ( axi_slave_id_width => axi_cpu_bus_master_id_width, axi_address_width => axi_address_width, axi_data_width => axi_data_width) port map ( aclk => aclk, aresetn => peripheral_aresetn(0), s_axi_awid => int_bus_2_full_awid, s_axi_awaddr => int_bus_2_full_awaddr, s_axi_awlen => int_bus_2_full_awlen, s_axi_awsize => int_bus_2_full_awsize, s_axi_awburst => int_bus_2_full_awburst, s_axi_awlock => int_bus_2_full_awlock, s_axi_awcache => int_bus_2_full_awcache, s_axi_awprot => int_bus_2_full_awprot, s_axi_awqos => int_bus_2_full_awqos, s_axi_awregion => int_bus_2_full_awregion, s_axi_awvalid => int_bus_2_full_awvalid, s_axi_awready => int_bus_2_full_awready, s_axi_wdata => int_bus_2_full_wdata, s_axi_wstrb => int_bus_2_full_wstrb, s_axi_wlast => int_bus_2_full_wlast, s_axi_wvalid => int_bus_2_full_wvalid, s_axi_wready => int_bus_2_full_wready, s_axi_bid => int_bus_2_full_bid, s_axi_bresp => int_bus_2_full_bresp, s_axi_bvalid => int_bus_2_full_bvalid, s_axi_bready => int_bus_2_full_bready, s_axi_arid => int_bus_2_full_arid, s_axi_araddr => int_bus_2_full_araddr, s_axi_arlen => int_bus_2_full_arlen, s_axi_arsize => int_bus_2_full_arsize, s_axi_arburst => int_bus_2_full_arburst, s_axi_arlock => int_bus_2_full_arlock, s_axi_arcache => int_bus_2_full_arcache, s_axi_arprot => int_bus_2_full_arprot, s_axi_arqos => int_bus_2_full_arqos, s_axi_arregion => int_bus_2_full_arregion, s_axi_arvalid => int_bus_2_full_arvalid, s_axi_arready => int_bus_2_full_arready, s_axi_rid => int_bus_2_full_rid, s_axi_rdata => int_bus_2_full_rdata, s_axi_rresp => int_bus_2_full_rresp, s_axi_rlast => int_bus_2_full_rlast, s_axi_rvalid => int_bus_2_full_rvalid, s_axi_rready => int_bus_2_full_rready, m_axi_awaddr => int_bus_2_lite_awaddr, m_axi_awprot => int_bus_2_lite_awprot, m_axi_awvalid => int_bus_2_lite_awvalid, m_axi_awready => int_bus_2_lite_awready, m_axi_wvalid => int_bus_2_lite_wvalid, m_axi_wready => int_bus_2_lite_wready, m_axi_wdata => int_bus_2_lite_wdata, m_axi_wstrb => int_bus_2_lite_wstrb, m_axi_bvalid => int_bus_2_lite_bvalid, m_axi_bready => int_bus_2_lite_bready, m_axi_bresp => int_bus_2_lite_bresp, m_axi_araddr => int_bus_2_lite_araddr, m_axi_arprot => int_bus_2_lite_arprot, m_axi_arvalid => int_bus_2_lite_arvalid, m_axi_arready => int_bus_2_lite_arready, m_axi_rdata => int_bus_2_lite_rdata, m_axi_rvalid => int_bus_2_lite_rvalid, m_axi_rready => int_bus_2_lite_rready, m_axi_rresp => int_bus_2_lite_rresp); --------------------------------------------- -- CPU Signal AXI Full2Lite Instantiations -- --------------------------------------------- signal_0_full2lite : plasoc_axi4_full2lite generic map ( axi_slave_id_width => axi_cpu_bus_master_id_width, axi_address_width => axi_address_width, axi_data_width => axi_data_width) port map ( aclk => aclk, aresetn => peripheral_aresetn(0), s_axi_awid => signal_bus_0_full_awid, s_axi_awaddr => signal_bus_0_full_awaddr, s_axi_awlen => signal_bus_0_full_awlen, s_axi_awsize => signal_bus_0_full_awsize, s_axi_awburst => signal_bus_0_full_awburst, s_axi_awlock => signal_bus_0_full_awlock, s_axi_awcache => signal_bus_0_full_awcache, s_axi_awprot => signal_bus_0_full_awprot, s_axi_awqos => signal_bus_0_full_awqos, s_axi_awregion => signal_bus_0_full_awregion, s_axi_awvalid => signal_bus_0_full_awvalid, s_axi_awready => signal_bus_0_full_awready, s_axi_wdata => signal_bus_0_full_wdata, s_axi_wstrb => signal_bus_0_full_wstrb, s_axi_wlast => signal_bus_0_full_wlast, s_axi_wvalid => signal_bus_0_full_wvalid, s_axi_wready => signal_bus_0_full_wready, s_axi_bid => signal_bus_0_full_bid, s_axi_bresp => signal_bus_0_full_bresp, s_axi_bvalid => signal_bus_0_full_bvalid, s_axi_bready => signal_bus_0_full_bready, s_axi_arid => signal_bus_0_full_arid, s_axi_araddr => signal_bus_0_full_araddr, s_axi_arlen => signal_bus_0_full_arlen, s_axi_arsize => signal_bus_0_full_arsize, s_axi_arburst => signal_bus_0_full_arburst, s_axi_arlock => signal_bus_0_full_arlock, s_axi_arcache => signal_bus_0_full_arcache, s_axi_arprot => signal_bus_0_full_arprot, s_axi_arqos => signal_bus_0_full_arqos, s_axi_arregion => signal_bus_0_full_arregion, s_axi_arvalid => signal_bus_0_full_arvalid, s_axi_arready => signal_bus_0_full_arready, s_axi_rid => signal_bus_0_full_rid, s_axi_rdata => signal_bus_0_full_rdata, s_axi_rresp => signal_bus_0_full_rresp, s_axi_rlast => signal_bus_0_full_rlast, s_axi_rvalid => signal_bus_0_full_rvalid, s_axi_rready => signal_bus_0_full_rready, m_axi_awaddr => signal_bus_0_lite_awaddr, m_axi_awprot => signal_bus_0_lite_awprot, m_axi_awvalid => signal_bus_0_lite_awvalid, m_axi_awready => signal_bus_0_lite_awready, m_axi_wvalid => signal_bus_0_lite_wvalid, m_axi_wready => signal_bus_0_lite_wready, m_axi_wdata => signal_bus_0_lite_wdata, m_axi_wstrb => signal_bus_0_lite_wstrb, m_axi_bvalid => signal_bus_0_lite_bvalid, m_axi_bready => signal_bus_0_lite_bready, m_axi_bresp => signal_bus_0_lite_bresp, m_axi_araddr => signal_bus_0_lite_araddr, m_axi_arprot => signal_bus_0_lite_arprot, m_axi_arvalid => signal_bus_0_lite_arvalid, m_axi_arready => signal_bus_0_lite_arready, m_axi_rdata => signal_bus_0_lite_rdata, m_axi_rvalid => signal_bus_0_lite_rvalid, m_axi_rready => signal_bus_0_lite_rready, m_axi_rresp => signal_bus_0_lite_rresp); signal_1_full2lite : plasoc_axi4_full2lite generic map ( axi_slave_id_width => axi_cpu_bus_master_id_width, axi_address_width => axi_address_width, axi_data_width => axi_data_width) port map ( aclk => aclk, aresetn => peripheral_aresetn(0), s_axi_awid => signal_bus_1_full_awid, s_axi_awaddr => signal_bus_1_full_awaddr, s_axi_awlen => signal_bus_1_full_awlen, s_axi_awsize => signal_bus_1_full_awsize, s_axi_awburst => signal_bus_1_full_awburst, s_axi_awlock => signal_bus_1_full_awlock, s_axi_awcache => signal_bus_1_full_awcache, s_axi_awprot => signal_bus_1_full_awprot, s_axi_awqos => signal_bus_1_full_awqos, s_axi_awregion => signal_bus_1_full_awregion, s_axi_awvalid => signal_bus_1_full_awvalid, s_axi_awready => signal_bus_1_full_awready, s_axi_wdata => signal_bus_1_full_wdata, s_axi_wstrb => signal_bus_1_full_wstrb, s_axi_wlast => signal_bus_1_full_wlast, s_axi_wvalid => signal_bus_1_full_wvalid, s_axi_wready => signal_bus_1_full_wready, s_axi_bid => signal_bus_1_full_bid, s_axi_bresp => signal_bus_1_full_bresp, s_axi_bvalid => signal_bus_1_full_bvalid, s_axi_bready => signal_bus_1_full_bready, s_axi_arid => signal_bus_1_full_arid, s_axi_araddr => signal_bus_1_full_araddr, s_axi_arlen => signal_bus_1_full_arlen, s_axi_arsize => signal_bus_1_full_arsize, s_axi_arburst => signal_bus_1_full_arburst, s_axi_arlock => signal_bus_1_full_arlock, s_axi_arcache => signal_bus_1_full_arcache, s_axi_arprot => signal_bus_1_full_arprot, s_axi_arqos => signal_bus_1_full_arqos, s_axi_arregion => signal_bus_1_full_arregion, s_axi_arvalid => signal_bus_1_full_arvalid, s_axi_arready => signal_bus_1_full_arready, s_axi_rid => signal_bus_1_full_rid, s_axi_rdata => signal_bus_1_full_rdata, s_axi_rresp => signal_bus_1_full_rresp, s_axi_rlast => signal_bus_1_full_rlast, s_axi_rvalid => signal_bus_1_full_rvalid, s_axi_rready => signal_bus_1_full_rready, m_axi_awaddr => signal_bus_1_lite_awaddr, m_axi_awprot => signal_bus_1_lite_awprot, m_axi_awvalid => signal_bus_1_lite_awvalid, m_axi_awready => signal_bus_1_lite_awready, m_axi_wvalid => signal_bus_1_lite_wvalid, m_axi_wready => signal_bus_1_lite_wready, m_axi_wdata => signal_bus_1_lite_wdata, m_axi_wstrb => signal_bus_1_lite_wstrb, m_axi_bvalid => signal_bus_1_lite_bvalid, m_axi_bready => signal_bus_1_lite_bready, m_axi_bresp => signal_bus_1_lite_bresp, m_axi_araddr => signal_bus_1_lite_araddr, m_axi_arprot => signal_bus_1_lite_arprot, m_axi_arvalid => signal_bus_1_lite_arvalid, m_axi_arready => signal_bus_1_lite_arready, m_axi_rdata => signal_bus_1_lite_rdata, m_axi_rvalid => signal_bus_1_lite_rvalid, m_axi_rready => signal_bus_1_lite_rready, m_axi_rresp => signal_bus_1_lite_rresp); signal_2_full2lite : plasoc_axi4_full2lite generic map ( axi_slave_id_width => axi_cpu_bus_master_id_width, axi_address_width => axi_address_width, axi_data_width => axi_data_width) port map ( aclk => aclk, aresetn => peripheral_aresetn(0), s_axi_awid => signal_bus_2_full_awid, s_axi_awaddr => signal_bus_2_full_awaddr, s_axi_awlen => signal_bus_2_full_awlen, s_axi_awsize => signal_bus_2_full_awsize, s_axi_awburst => signal_bus_2_full_awburst, s_axi_awlock => signal_bus_2_full_awlock, s_axi_awcache => signal_bus_2_full_awcache, s_axi_awprot => signal_bus_2_full_awprot, s_axi_awqos => signal_bus_2_full_awqos, s_axi_awregion => signal_bus_2_full_awregion, s_axi_awvalid => signal_bus_2_full_awvalid, s_axi_awready => signal_bus_2_full_awready, s_axi_wdata => signal_bus_2_full_wdata, s_axi_wstrb => signal_bus_2_full_wstrb, s_axi_wlast => signal_bus_2_full_wlast, s_axi_wvalid => signal_bus_2_full_wvalid, s_axi_wready => signal_bus_2_full_wready, s_axi_bid => signal_bus_2_full_bid, s_axi_bresp => signal_bus_2_full_bresp, s_axi_bvalid => signal_bus_2_full_bvalid, s_axi_bready => signal_bus_2_full_bready, s_axi_arid => signal_bus_2_full_arid, s_axi_araddr => signal_bus_2_full_araddr, s_axi_arlen => signal_bus_2_full_arlen, s_axi_arsize => signal_bus_2_full_arsize, s_axi_arburst => signal_bus_2_full_arburst, s_axi_arlock => signal_bus_2_full_arlock, s_axi_arcache => signal_bus_2_full_arcache, s_axi_arprot => signal_bus_2_full_arprot, s_axi_arqos => signal_bus_2_full_arqos, s_axi_arregion => signal_bus_2_full_arregion, s_axi_arvalid => signal_bus_2_full_arvalid, s_axi_arready => signal_bus_2_full_arready, s_axi_rid => signal_bus_2_full_rid, s_axi_rdata => signal_bus_2_full_rdata, s_axi_rresp => signal_bus_2_full_rresp, s_axi_rlast => signal_bus_2_full_rlast, s_axi_rvalid => signal_bus_2_full_rvalid, s_axi_rready => signal_bus_2_full_rready, m_axi_awaddr => signal_bus_2_lite_awaddr, m_axi_awprot => signal_bus_2_lite_awprot, m_axi_awvalid => signal_bus_2_lite_awvalid, m_axi_awready => signal_bus_2_lite_awready, m_axi_wvalid => signal_bus_2_lite_wvalid, m_axi_wready => signal_bus_2_lite_wready, m_axi_wdata => signal_bus_2_lite_wdata, m_axi_wstrb => signal_bus_2_lite_wstrb, m_axi_bvalid => signal_bus_2_lite_bvalid, m_axi_bready => signal_bus_2_lite_bready, m_axi_bresp => signal_bus_2_lite_bresp, m_axi_araddr => signal_bus_2_lite_araddr, m_axi_arprot => signal_bus_2_lite_arprot, m_axi_arvalid => signal_bus_2_lite_arvalid, m_axi_arready => signal_bus_2_lite_arready, m_axi_rdata => signal_bus_2_lite_rdata, m_axi_rvalid => signal_bus_2_lite_rvalid, m_axi_rready => signal_bus_2_lite_rready, m_axi_rresp => signal_bus_2_lite_rresp); ------------------------------------------ -- CPU Timer AXI Full2Lite Instantiations -- ------------------------------------------ timer_0_full2lite : plasoc_axi4_full2lite generic map ( axi_slave_id_width => axi_cpu_bus_master_id_width, axi_address_width => axi_address_width, axi_data_width => axi_data_width) port map ( aclk => aclk, aresetn => peripheral_aresetn(0), s_axi_awid => timer_bus_0_full_awid, s_axi_awaddr => timer_bus_0_full_awaddr, s_axi_awlen => timer_bus_0_full_awlen, s_axi_awsize => timer_bus_0_full_awsize, s_axi_awburst => timer_bus_0_full_awburst, s_axi_awlock => timer_bus_0_full_awlock, s_axi_awcache => timer_bus_0_full_awcache, s_axi_awprot => timer_bus_0_full_awprot, s_axi_awqos => timer_bus_0_full_awqos, s_axi_awregion => timer_bus_0_full_awregion, s_axi_awvalid => timer_bus_0_full_awvalid, s_axi_awready => timer_bus_0_full_awready, s_axi_wdata => timer_bus_0_full_wdata, s_axi_wstrb => timer_bus_0_full_wstrb, s_axi_wlast => timer_bus_0_full_wlast, s_axi_wvalid => timer_bus_0_full_wvalid, s_axi_wready => timer_bus_0_full_wready, s_axi_bid => timer_bus_0_full_bid, s_axi_bresp => timer_bus_0_full_bresp, s_axi_bvalid => timer_bus_0_full_bvalid, s_axi_bready => timer_bus_0_full_bready, s_axi_arid => timer_bus_0_full_arid, s_axi_araddr => timer_bus_0_full_araddr, s_axi_arlen => timer_bus_0_full_arlen, s_axi_arsize => timer_bus_0_full_arsize, s_axi_arburst => timer_bus_0_full_arburst, s_axi_arlock => timer_bus_0_full_arlock, s_axi_arcache => timer_bus_0_full_arcache, s_axi_arprot => timer_bus_0_full_arprot, s_axi_arqos => timer_bus_0_full_arqos, s_axi_arregion => timer_bus_0_full_arregion, s_axi_arvalid => timer_bus_0_full_arvalid, s_axi_arready => timer_bus_0_full_arready, s_axi_rid => timer_bus_0_full_rid, s_axi_rdata => timer_bus_0_full_rdata, s_axi_rresp => timer_bus_0_full_rresp, s_axi_rlast => timer_bus_0_full_rlast, s_axi_rvalid => timer_bus_0_full_rvalid, s_axi_rready => timer_bus_0_full_rready, m_axi_awaddr => timer_bus_0_lite_awaddr, m_axi_awprot => timer_bus_0_lite_awprot, m_axi_awvalid => timer_bus_0_lite_awvalid, m_axi_awready => timer_bus_0_lite_awready, m_axi_wvalid => timer_bus_0_lite_wvalid, m_axi_wready => timer_bus_0_lite_wready, m_axi_wdata => timer_bus_0_lite_wdata, m_axi_wstrb => timer_bus_0_lite_wstrb, m_axi_bvalid => timer_bus_0_lite_bvalid, m_axi_bready => timer_bus_0_lite_bready, m_axi_bresp => timer_bus_0_lite_bresp, m_axi_araddr => timer_bus_0_lite_araddr, m_axi_arprot => timer_bus_0_lite_arprot, m_axi_arvalid => timer_bus_0_lite_arvalid, m_axi_arready => timer_bus_0_lite_arready, m_axi_rdata => timer_bus_0_lite_rdata, m_axi_rvalid => timer_bus_0_lite_rvalid, m_axi_rready => timer_bus_0_lite_rready, m_axi_rresp => timer_bus_0_lite_rresp); timer_1_full2lite : plasoc_axi4_full2lite generic map ( axi_slave_id_width => axi_cpu_bus_master_id_width, axi_address_width => axi_address_width, axi_data_width => axi_data_width) port map ( aclk => aclk, aresetn => peripheral_aresetn(0), s_axi_awid => timer_bus_1_full_awid, s_axi_awaddr => timer_bus_1_full_awaddr, s_axi_awlen => timer_bus_1_full_awlen, s_axi_awsize => timer_bus_1_full_awsize, s_axi_awburst => timer_bus_1_full_awburst, s_axi_awlock => timer_bus_1_full_awlock, s_axi_awcache => timer_bus_1_full_awcache, s_axi_awprot => timer_bus_1_full_awprot, s_axi_awqos => timer_bus_1_full_awqos, s_axi_awregion => timer_bus_1_full_awregion, s_axi_awvalid => timer_bus_1_full_awvalid, s_axi_awready => timer_bus_1_full_awready, s_axi_wdata => timer_bus_1_full_wdata, s_axi_wstrb => timer_bus_1_full_wstrb, s_axi_wlast => timer_bus_1_full_wlast, s_axi_wvalid => timer_bus_1_full_wvalid, s_axi_wready => timer_bus_1_full_wready, s_axi_bid => timer_bus_1_full_bid, s_axi_bresp => timer_bus_1_full_bresp, s_axi_bvalid => timer_bus_1_full_bvalid, s_axi_bready => timer_bus_1_full_bready, s_axi_arid => timer_bus_1_full_arid, s_axi_araddr => timer_bus_1_full_araddr, s_axi_arlen => timer_bus_1_full_arlen, s_axi_arsize => timer_bus_1_full_arsize, s_axi_arburst => timer_bus_1_full_arburst, s_axi_arlock => timer_bus_1_full_arlock, s_axi_arcache => timer_bus_1_full_arcache, s_axi_arprot => timer_bus_1_full_arprot, s_axi_arqos => timer_bus_1_full_arqos, s_axi_arregion => timer_bus_1_full_arregion, s_axi_arvalid => timer_bus_1_full_arvalid, s_axi_arready => timer_bus_1_full_arready, s_axi_rid => timer_bus_1_full_rid, s_axi_rdata => timer_bus_1_full_rdata, s_axi_rresp => timer_bus_1_full_rresp, s_axi_rlast => timer_bus_1_full_rlast, s_axi_rvalid => timer_bus_1_full_rvalid, s_axi_rready => timer_bus_1_full_rready, m_axi_awaddr => timer_bus_1_lite_awaddr, m_axi_awprot => timer_bus_1_lite_awprot, m_axi_awvalid => timer_bus_1_lite_awvalid, m_axi_awready => timer_bus_1_lite_awready, m_axi_wvalid => timer_bus_1_lite_wvalid, m_axi_wready => timer_bus_1_lite_wready, m_axi_wdata => timer_bus_1_lite_wdata, m_axi_wstrb => timer_bus_1_lite_wstrb, m_axi_bvalid => timer_bus_1_lite_bvalid, m_axi_bready => timer_bus_1_lite_bready, m_axi_bresp => timer_bus_1_lite_bresp, m_axi_araddr => timer_bus_1_lite_araddr, m_axi_arprot => timer_bus_1_lite_arprot, m_axi_arvalid => timer_bus_1_lite_arvalid, m_axi_arready => timer_bus_1_lite_arready, m_axi_rdata => timer_bus_1_lite_rdata, m_axi_rvalid => timer_bus_1_lite_rvalid, m_axi_rready => timer_bus_1_lite_rready, m_axi_rresp => timer_bus_1_lite_rresp); timer_2_full2lite : plasoc_axi4_full2lite generic map ( axi_slave_id_width => axi_cpu_bus_master_id_width, axi_address_width => axi_address_width, axi_data_width => axi_data_width) port map ( aclk => aclk, aresetn => peripheral_aresetn(0), s_axi_awid => timer_bus_2_full_awid, s_axi_awaddr => timer_bus_2_full_awaddr, s_axi_awlen => timer_bus_2_full_awlen, s_axi_awsize => timer_bus_2_full_awsize, s_axi_awburst => timer_bus_2_full_awburst, s_axi_awlock => timer_bus_2_full_awlock, s_axi_awcache => timer_bus_2_full_awcache, s_axi_awprot => timer_bus_2_full_awprot, s_axi_awqos => timer_bus_2_full_awqos, s_axi_awregion => timer_bus_2_full_awregion, s_axi_awvalid => timer_bus_2_full_awvalid, s_axi_awready => timer_bus_2_full_awready, s_axi_wdata => timer_bus_2_full_wdata, s_axi_wstrb => timer_bus_2_full_wstrb, s_axi_wlast => timer_bus_2_full_wlast, s_axi_wvalid => timer_bus_2_full_wvalid, s_axi_wready => timer_bus_2_full_wready, s_axi_bid => timer_bus_2_full_bid, s_axi_bresp => timer_bus_2_full_bresp, s_axi_bvalid => timer_bus_2_full_bvalid, s_axi_bready => timer_bus_2_full_bready, s_axi_arid => timer_bus_2_full_arid, s_axi_araddr => timer_bus_2_full_araddr, s_axi_arlen => timer_bus_2_full_arlen, s_axi_arsize => timer_bus_2_full_arsize, s_axi_arburst => timer_bus_2_full_arburst, s_axi_arlock => timer_bus_2_full_arlock, s_axi_arcache => timer_bus_2_full_arcache, s_axi_arprot => timer_bus_2_full_arprot, s_axi_arqos => timer_bus_2_full_arqos, s_axi_arregion => timer_bus_2_full_arregion, s_axi_arvalid => timer_bus_2_full_arvalid, s_axi_arready => timer_bus_2_full_arready, s_axi_rid => timer_bus_2_full_rid, s_axi_rdata => timer_bus_2_full_rdata, s_axi_rresp => timer_bus_2_full_rresp, s_axi_rlast => timer_bus_2_full_rlast, s_axi_rvalid => timer_bus_2_full_rvalid, s_axi_rready => timer_bus_2_full_rready, m_axi_awaddr => timer_bus_2_lite_awaddr, m_axi_awprot => timer_bus_2_lite_awprot, m_axi_awvalid => timer_bus_2_lite_awvalid, m_axi_awready => timer_bus_2_lite_awready, m_axi_wvalid => timer_bus_2_lite_wvalid, m_axi_wready => timer_bus_2_lite_wready, m_axi_wdata => timer_bus_2_lite_wdata, m_axi_wstrb => timer_bus_2_lite_wstrb, m_axi_bvalid => timer_bus_2_lite_bvalid, m_axi_bready => timer_bus_2_lite_bready, m_axi_bresp => timer_bus_2_lite_bresp, m_axi_araddr => timer_bus_2_lite_araddr, m_axi_arprot => timer_bus_2_lite_arprot, m_axi_arvalid => timer_bus_2_lite_arvalid, m_axi_arready => timer_bus_2_lite_arready, m_axi_rdata => timer_bus_2_lite_rdata, m_axi_rvalid => timer_bus_2_lite_rvalid, m_axi_rready => timer_bus_2_lite_rready, m_axi_rresp => timer_bus_2_lite_rresp); ---------------------------------------------------- -- Main Interconnect AXI Full2Lite Instantiations -- ---------------------------------------------------- int_main_full2lite : plasoc_axi4_full2lite generic map ( axi_slave_id_width => axi_master_id_width, axi_address_width => axi_address_width, axi_data_width => axi_data_width) port map ( aclk => aclk, aresetn => peripheral_aresetn(0), s_axi_awid => int_axi_full_awid, s_axi_awaddr => int_axi_full_awaddr, s_axi_awlen => int_axi_full_awlen, s_axi_awsize => int_axi_full_awsize, s_axi_awburst => int_axi_full_awburst, s_axi_awlock => int_axi_full_awlock, s_axi_awcache => int_axi_full_awcache, s_axi_awprot => int_axi_full_awprot, s_axi_awqos => int_axi_full_awqos, s_axi_awregion => int_axi_full_awregion, s_axi_awvalid => int_axi_full_awvalid, s_axi_awready => int_axi_full_awready, s_axi_wdata => int_axi_full_wdata, s_axi_wstrb => int_axi_full_wstrb, s_axi_wlast => int_axi_full_wlast, s_axi_wvalid => int_axi_full_wvalid, s_axi_wready => int_axi_full_wready, s_axi_bid => int_axi_full_bid, s_axi_bresp => int_axi_full_bresp, s_axi_bvalid => int_axi_full_bvalid, s_axi_bready => int_axi_full_bready, s_axi_arid => int_axi_full_arid, s_axi_araddr => int_axi_full_araddr, s_axi_arlen => int_axi_full_arlen, s_axi_arsize => int_axi_full_arsize, s_axi_arburst => int_axi_full_arburst, s_axi_arlock => int_axi_full_arlock, s_axi_arcache => int_axi_full_arcache, s_axi_arprot => int_axi_full_arprot, s_axi_arqos => int_axi_full_arqos, s_axi_arregion => int_axi_full_arregion, s_axi_arvalid => int_axi_full_arvalid, s_axi_arready => int_axi_full_arready, s_axi_rid => int_axi_full_rid, s_axi_rdata => int_axi_full_rdata, s_axi_rresp => int_axi_full_rresp, s_axi_rlast => int_axi_full_rlast, s_axi_rvalid => int_axi_full_rvalid, s_axi_rready => int_axi_full_rready, m_axi_awaddr => int_axi_lite_awaddr, m_axi_awprot => int_axi_lite_awprot, m_axi_awvalid => int_axi_lite_awvalid, m_axi_awready => int_axi_lite_awready, m_axi_wvalid => int_axi_lite_wvalid, m_axi_wready => int_axi_lite_wready, m_axi_wdata => int_axi_lite_wdata, m_axi_wstrb => int_axi_lite_wstrb, m_axi_bvalid => int_axi_lite_bvalid, m_axi_bready => int_axi_lite_bready, m_axi_bresp => int_axi_lite_bresp, m_axi_araddr => int_axi_lite_araddr, m_axi_arprot => int_axi_lite_arprot, m_axi_arvalid => int_axi_lite_arvalid, m_axi_arready => int_axi_lite_arready, m_axi_rdata => int_axi_lite_rdata, m_axi_rvalid => int_axi_lite_rvalid, m_axi_rready => int_axi_lite_rready, m_axi_rresp => int_axi_lite_rresp); timer_main_full2lite : plasoc_axi4_full2lite generic map ( axi_slave_id_width => axi_master_id_width, axi_address_width => axi_address_width, axi_data_width => axi_data_width) port map ( aclk => aclk, aresetn => peripheral_aresetn(0), s_axi_awid => timer_axi_full_awid, s_axi_awaddr => timer_axi_full_awaddr, s_axi_awlen => timer_axi_full_awlen, s_axi_awsize => timer_axi_full_awsize, s_axi_awburst => timer_axi_full_awburst, s_axi_awlock => timer_axi_full_awlock, s_axi_awcache => timer_axi_full_awcache, s_axi_awprot => timer_axi_full_awprot, s_axi_awqos => timer_axi_full_awqos, s_axi_awregion => timer_axi_full_awregion, s_axi_awvalid => timer_axi_full_awvalid, s_axi_awready => timer_axi_full_awready, s_axi_wdata => timer_axi_full_wdata, s_axi_wstrb => timer_axi_full_wstrb, s_axi_wlast => timer_axi_full_wlast, s_axi_wvalid => timer_axi_full_wvalid, s_axi_wready => timer_axi_full_wready, s_axi_bid => timer_axi_full_bid, s_axi_bresp => timer_axi_full_bresp, s_axi_bvalid => timer_axi_full_bvalid, s_axi_bready => timer_axi_full_bready, s_axi_arid => timer_axi_full_arid, s_axi_araddr => timer_axi_full_araddr, s_axi_arlen => timer_axi_full_arlen, s_axi_arsize => timer_axi_full_arsize, s_axi_arburst => timer_axi_full_arburst, s_axi_arlock => timer_axi_full_arlock, s_axi_arcache => timer_axi_full_arcache, s_axi_arprot => timer_axi_full_arprot, s_axi_arqos => timer_axi_full_arqos, s_axi_arregion => timer_axi_full_arregion, s_axi_arvalid => timer_axi_full_arvalid, s_axi_arready => timer_axi_full_arready, s_axi_rid => timer_axi_full_rid, s_axi_rdata => timer_axi_full_rdata, s_axi_rresp => timer_axi_full_rresp, s_axi_rlast => timer_axi_full_rlast, s_axi_rvalid => timer_axi_full_rvalid, s_axi_rready => timer_axi_full_rready, m_axi_awaddr => timer_axi_lite_awaddr, m_axi_awprot => timer_axi_lite_awprot, m_axi_awvalid => timer_axi_lite_awvalid, m_axi_awready => timer_axi_lite_awready, m_axi_wvalid => timer_axi_lite_wvalid, m_axi_wready => timer_axi_lite_wready, m_axi_wdata => timer_axi_lite_wdata, m_axi_wstrb => timer_axi_lite_wstrb, m_axi_bvalid => timer_axi_lite_bvalid, m_axi_bready => timer_axi_lite_bready, m_axi_bresp => timer_axi_lite_bresp, m_axi_araddr => timer_axi_lite_araddr, m_axi_arprot => timer_axi_lite_arprot, m_axi_arvalid => timer_axi_lite_arvalid, m_axi_arready => timer_axi_lite_arready, m_axi_rdata => timer_axi_lite_rdata, m_axi_rvalid => timer_axi_lite_rvalid, m_axi_rready => timer_axi_lite_rready, m_axi_rresp => timer_axi_lite_rresp); gpio_main_full2lite : plasoc_axi4_full2lite generic map ( axi_slave_id_width => axi_master_id_width, axi_address_width => axi_address_width, axi_data_width => axi_data_width) port map ( aclk => aclk, aresetn => peripheral_aresetn(0), s_axi_awid => gpio_axi_full_awid, s_axi_awaddr => gpio_axi_full_awaddr, s_axi_awlen => gpio_axi_full_awlen, s_axi_awsize => gpio_axi_full_awsize, s_axi_awburst => gpio_axi_full_awburst, s_axi_awlock => gpio_axi_full_awlock, s_axi_awcache => gpio_axi_full_awcache, s_axi_awprot => gpio_axi_full_awprot, s_axi_awqos => gpio_axi_full_awqos, s_axi_awregion => gpio_axi_full_awregion, s_axi_awvalid => gpio_axi_full_awvalid, s_axi_awready => gpio_axi_full_awready, s_axi_wdata => gpio_axi_full_wdata, s_axi_wstrb => gpio_axi_full_wstrb, s_axi_wlast => gpio_axi_full_wlast, s_axi_wvalid => gpio_axi_full_wvalid, s_axi_wready => gpio_axi_full_wready, s_axi_bid => gpio_axi_full_bid, s_axi_bresp => gpio_axi_full_bresp, s_axi_bvalid => gpio_axi_full_bvalid, s_axi_bready => gpio_axi_full_bready, s_axi_arid => gpio_axi_full_arid, s_axi_araddr => gpio_axi_full_araddr, s_axi_arlen => gpio_axi_full_arlen, s_axi_arsize => gpio_axi_full_arsize, s_axi_arburst => gpio_axi_full_arburst, s_axi_arlock => gpio_axi_full_arlock, s_axi_arcache => gpio_axi_full_arcache, s_axi_arprot => gpio_axi_full_arprot, s_axi_arqos => gpio_axi_full_arqos, s_axi_arregion => gpio_axi_full_arregion, s_axi_arvalid => gpio_axi_full_arvalid, s_axi_arready => gpio_axi_full_arready, s_axi_rid => gpio_axi_full_rid, s_axi_rdata => gpio_axi_full_rdata, s_axi_rresp => gpio_axi_full_rresp, s_axi_rlast => gpio_axi_full_rlast, s_axi_rvalid => gpio_axi_full_rvalid, s_axi_rready => gpio_axi_full_rready, m_axi_awaddr => gpio_axi_lite_awaddr, m_axi_awprot => gpio_axi_lite_awprot, m_axi_awvalid => gpio_axi_lite_awvalid, m_axi_awready => gpio_axi_lite_awready, m_axi_wvalid => gpio_axi_lite_wvalid, m_axi_wready => gpio_axi_lite_wready, m_axi_wdata => gpio_axi_lite_wdata, m_axi_wstrb => gpio_axi_lite_wstrb, m_axi_bvalid => gpio_axi_lite_bvalid, m_axi_bready => gpio_axi_lite_bready, m_axi_bresp => gpio_axi_lite_bresp, m_axi_araddr => gpio_axi_lite_araddr, m_axi_arprot => gpio_axi_lite_arprot, m_axi_arvalid => gpio_axi_lite_arvalid, m_axi_arready => gpio_axi_lite_arready, m_axi_rdata => gpio_axi_lite_rdata, m_axi_rvalid => gpio_axi_lite_rvalid, m_axi_rready => gpio_axi_lite_rready, m_axi_rresp => gpio_axi_lite_rresp); uart_main_full2lite : plasoc_axi4_full2lite generic map ( axi_slave_id_width => axi_master_id_width, axi_address_width => axi_address_width, axi_data_width => axi_data_width) port map ( aclk => aclk, aresetn => peripheral_aresetn(0), s_axi_awid => uart_axi_full_awid, s_axi_awaddr => uart_axi_full_awaddr, s_axi_awlen => uart_axi_full_awlen, s_axi_awsize => uart_axi_full_awsize, s_axi_awburst => uart_axi_full_awburst, s_axi_awlock => uart_axi_full_awlock, s_axi_awcache => uart_axi_full_awcache, s_axi_awprot => uart_axi_full_awprot, s_axi_awqos => uart_axi_full_awqos, s_axi_awregion => uart_axi_full_awregion, s_axi_awvalid => uart_axi_full_awvalid, s_axi_awready => uart_axi_full_awready, s_axi_wdata => uart_axi_full_wdata, s_axi_wstrb => uart_axi_full_wstrb, s_axi_wlast => uart_axi_full_wlast, s_axi_wvalid => uart_axi_full_wvalid, s_axi_wready => uart_axi_full_wready, s_axi_bid => uart_axi_full_bid, s_axi_bresp => uart_axi_full_bresp, s_axi_bvalid => uart_axi_full_bvalid, s_axi_bready => uart_axi_full_bready, s_axi_arid => uart_axi_full_arid, s_axi_araddr => uart_axi_full_araddr, s_axi_arlen => uart_axi_full_arlen, s_axi_arsize => uart_axi_full_arsize, s_axi_arburst => uart_axi_full_arburst, s_axi_arlock => uart_axi_full_arlock, s_axi_arcache => uart_axi_full_arcache, s_axi_arprot => uart_axi_full_arprot, s_axi_arqos => uart_axi_full_arqos, s_axi_arregion => uart_axi_full_arregion, s_axi_arvalid => uart_axi_full_arvalid, s_axi_arready => uart_axi_full_arready, s_axi_rid => uart_axi_full_rid, s_axi_rdata => uart_axi_full_rdata, s_axi_rresp => uart_axi_full_rresp, s_axi_rlast => uart_axi_full_rlast, s_axi_rvalid => uart_axi_full_rvalid, s_axi_rready => uart_axi_full_rready, m_axi_awaddr => uart_axi_lite_awaddr, m_axi_awprot => uart_axi_lite_awprot, m_axi_awvalid => uart_axi_lite_awvalid, m_axi_awready => uart_axi_lite_awready, m_axi_wvalid => uart_axi_lite_wvalid, m_axi_wready => uart_axi_lite_wready, m_axi_wdata => uart_axi_lite_wdata, m_axi_wstrb => uart_axi_lite_wstrb, m_axi_bvalid => uart_axi_lite_bvalid, m_axi_bready => uart_axi_lite_bready, m_axi_bresp => uart_axi_lite_bresp, m_axi_araddr => uart_axi_lite_araddr, m_axi_arprot => uart_axi_lite_arprot, m_axi_arvalid => uart_axi_lite_arvalid, m_axi_arready => uart_axi_lite_arready, m_axi_rdata => uart_axi_lite_rdata, m_axi_rvalid => uart_axi_lite_rvalid, m_axi_rready => uart_axi_lite_rready, m_axi_rresp => uart_axi_lite_rresp); lock_main_full2lite : plasoc_axi4_full2lite generic map ( axi_slave_id_width => axi_master_id_width, axi_address_width => axi_address_width, axi_data_width => axi_data_width) port map ( aclk => aclk, aresetn => peripheral_aresetn(0), s_axi_awid => lock_axi_full_awid, s_axi_awaddr => lock_axi_full_awaddr, s_axi_awlen => lock_axi_full_awlen, s_axi_awsize => lock_axi_full_awsize, s_axi_awburst => lock_axi_full_awburst, s_axi_awlock => lock_axi_full_awlock, s_axi_awcache => lock_axi_full_awcache, s_axi_awprot => lock_axi_full_awprot, s_axi_awqos => lock_axi_full_awqos, s_axi_awregion => lock_axi_full_awregion, s_axi_awvalid => lock_axi_full_awvalid, s_axi_awready => lock_axi_full_awready, s_axi_wdata => lock_axi_full_wdata, s_axi_wstrb => lock_axi_full_wstrb, s_axi_wlast => lock_axi_full_wlast, s_axi_wvalid => lock_axi_full_wvalid, s_axi_wready => lock_axi_full_wready, s_axi_bid => lock_axi_full_bid, s_axi_bresp => lock_axi_full_bresp, s_axi_bvalid => lock_axi_full_bvalid, s_axi_bready => lock_axi_full_bready, s_axi_arid => lock_axi_full_arid, s_axi_araddr => lock_axi_full_araddr, s_axi_arlen => lock_axi_full_arlen, s_axi_arsize => lock_axi_full_arsize, s_axi_arburst => lock_axi_full_arburst, s_axi_arlock => lock_axi_full_arlock, s_axi_arcache => lock_axi_full_arcache, s_axi_arprot => lock_axi_full_arprot, s_axi_arqos => lock_axi_full_arqos, s_axi_arregion => lock_axi_full_arregion, s_axi_arvalid => lock_axi_full_arvalid, s_axi_arready => lock_axi_full_arready, s_axi_rid => lock_axi_full_rid, s_axi_rdata => lock_axi_full_rdata, s_axi_rresp => lock_axi_full_rresp, s_axi_rlast => lock_axi_full_rlast, s_axi_rvalid => lock_axi_full_rvalid, s_axi_rready => lock_axi_full_rready, m_axi_awaddr => lock_axi_lite_awaddr, m_axi_awprot => lock_axi_lite_awprot, m_axi_awvalid => lock_axi_lite_awvalid, m_axi_awready => lock_axi_lite_awready, m_axi_wvalid => lock_axi_lite_wvalid, m_axi_wready => lock_axi_lite_wready, m_axi_wdata => lock_axi_lite_wdata, m_axi_wstrb => lock_axi_lite_wstrb, m_axi_bvalid => lock_axi_lite_bvalid, m_axi_bready => lock_axi_lite_bready, m_axi_bresp => lock_axi_lite_bresp, m_axi_araddr => lock_axi_lite_araddr, m_axi_arprot => lock_axi_lite_arprot, m_axi_arvalid => lock_axi_lite_arvalid, m_axi_arready => lock_axi_lite_arready, m_axi_rdata => lock_axi_lite_rdata, m_axi_rvalid => lock_axi_lite_rvalid, m_axi_rready => lock_axi_lite_rready, m_axi_rresp => lock_axi_lite_rresp); ---------------------- -- CPUID GPIO Cores -- ---------------------- cpuid_gpio_0_inst : plasoc_gpio generic map ( data_in_width => axi_data_width, data_out_width => 0, axi_address_width => axi_address_periph_width, axi_data_width => axi_data_width) port map ( aclk => aclk, aresetn => peripheral_aresetn(0), data_in => std_logic_vector(to_unsigned(0,axi_data_width)), data_out => open, axi_awaddr => cpuid_gpio_bus_0_lite_awaddr(axi_address_periph_width-1 downto 0), axi_awprot => cpuid_gpio_bus_0_lite_awprot, axi_awvalid => cpuid_gpio_bus_0_lite_awvalid, axi_awready => cpuid_gpio_bus_0_lite_awready, axi_wvalid => cpuid_gpio_bus_0_lite_wvalid, axi_wready => cpuid_gpio_bus_0_lite_wready, axi_wdata => cpuid_gpio_bus_0_lite_wdata, axi_wstrb => cpuid_gpio_bus_0_lite_wstrb, axi_bvalid => cpuid_gpio_bus_0_lite_bvalid, axi_bready => cpuid_gpio_bus_0_lite_bready, axi_bresp => cpuid_gpio_bus_0_lite_bresp, axi_araddr => cpuid_gpio_bus_0_lite_araddr(axi_address_periph_width-1 downto 0), axi_arprot => cpuid_gpio_bus_0_lite_arprot, axi_arvalid => cpuid_gpio_bus_0_lite_arvalid, axi_arready => cpuid_gpio_bus_0_lite_arready, axi_rdata => cpuid_gpio_bus_0_lite_rdata, axi_rvalid => cpuid_gpio_bus_0_lite_rvalid, axi_rready => cpuid_gpio_bus_0_lite_rready, axi_rresp => cpuid_gpio_bus_0_lite_rresp, int => open); cpuid_gpio_1_inst : plasoc_gpio generic map ( data_in_width => axi_data_width, data_out_width => 0, axi_address_width => axi_address_periph_width, axi_data_width => axi_data_width) port map ( aclk => aclk, aresetn => peripheral_aresetn(0), data_in => std_logic_vector(to_unsigned(1,axi_data_width)), data_out => open, axi_awaddr => cpuid_gpio_bus_1_lite_awaddr(axi_address_periph_width-1 downto 0), axi_awprot => cpuid_gpio_bus_1_lite_awprot, axi_awvalid => cpuid_gpio_bus_1_lite_awvalid, axi_awready => cpuid_gpio_bus_1_lite_awready, axi_wvalid => cpuid_gpio_bus_1_lite_wvalid, axi_wready => cpuid_gpio_bus_1_lite_wready, axi_wdata => cpuid_gpio_bus_1_lite_wdata, axi_wstrb => cpuid_gpio_bus_1_lite_wstrb, axi_bvalid => cpuid_gpio_bus_1_lite_bvalid, axi_bready => cpuid_gpio_bus_1_lite_bready, axi_bresp => cpuid_gpio_bus_1_lite_bresp, axi_araddr => cpuid_gpio_bus_1_lite_araddr(axi_address_periph_width-1 downto 0), axi_arprot => cpuid_gpio_bus_1_lite_arprot, axi_arvalid => cpuid_gpio_bus_1_lite_arvalid, axi_arready => cpuid_gpio_bus_1_lite_arready, axi_rdata => cpuid_gpio_bus_1_lite_rdata, axi_rvalid => cpuid_gpio_bus_1_lite_rvalid, axi_rready => cpuid_gpio_bus_1_lite_rready, axi_rresp => cpuid_gpio_bus_1_lite_rresp, int => open); cpuid_gpio_2_inst : plasoc_gpio generic map ( data_in_width => axi_data_width, data_out_width => 0, axi_address_width => axi_address_periph_width, axi_data_width => axi_data_width) port map ( aclk => aclk, aresetn => peripheral_aresetn(0), data_in => std_logic_vector(to_unsigned(2,axi_data_width)), data_out => open, axi_awaddr => cpuid_gpio_bus_2_lite_awaddr(axi_address_periph_width-1 downto 0), axi_awprot => cpuid_gpio_bus_2_lite_awprot, axi_awvalid => cpuid_gpio_bus_2_lite_awvalid, axi_awready => cpuid_gpio_bus_2_lite_awready, axi_wvalid => cpuid_gpio_bus_2_lite_wvalid, axi_wready => cpuid_gpio_bus_2_lite_wready, axi_wdata => cpuid_gpio_bus_2_lite_wdata, axi_wstrb => cpuid_gpio_bus_2_lite_wstrb, axi_bvalid => cpuid_gpio_bus_2_lite_bvalid, axi_bready => cpuid_gpio_bus_2_lite_bready, axi_bresp => cpuid_gpio_bus_2_lite_bresp, axi_araddr => cpuid_gpio_bus_2_lite_araddr(axi_address_periph_width-1 downto 0), axi_arprot => cpuid_gpio_bus_2_lite_arprot, axi_arvalid => cpuid_gpio_bus_2_lite_arvalid, axi_arready => cpuid_gpio_bus_2_lite_arready, axi_rdata => cpuid_gpio_bus_2_lite_rdata, axi_rvalid => cpuid_gpio_bus_2_lite_rvalid, axi_rready => cpuid_gpio_bus_2_lite_rready, axi_rresp => cpuid_gpio_bus_2_lite_rresp, int => open); ------------------- -- CPU INT Cores -- ------------------- int_0_inst : plasoc_int generic map ( axi_address_width => axi_address_periph_width, axi_data_width => axi_data_width) port map ( aclk => aclk, aresetn => peripheral_aresetn(0), axi_awaddr => int_bus_0_lite_awaddr(axi_address_periph_width-1 downto 0), axi_awprot => int_bus_0_lite_awprot, axi_awvalid => int_bus_0_lite_awvalid, axi_awready => int_bus_0_lite_awready, axi_wvalid => int_bus_0_lite_wvalid, axi_wready => int_bus_0_lite_wready, axi_wdata => int_bus_0_lite_wdata, axi_wstrb => int_bus_0_lite_wstrb, axi_bvalid => int_bus_0_lite_bvalid, axi_bready => int_bus_0_lite_bready, axi_bresp => int_bus_0_lite_bresp, axi_araddr => int_bus_0_lite_araddr(axi_address_periph_width-1 downto 0), axi_arprot => int_bus_0_lite_arprot, axi_arvalid => int_bus_0_lite_arvalid, axi_arready => int_bus_0_lite_arready, axi_rdata => int_bus_0_lite_rdata, axi_rvalid => int_bus_0_lite_rvalid, axi_rready => int_bus_0_lite_rready, axi_rresp => int_bus_0_lite_rresp, cpu_int => cpu_0_int, dev_ints => dev_0_ints); int_1_inst : plasoc_int generic map ( axi_address_width => axi_address_periph_width, axi_data_width => axi_data_width) port map ( aclk => aclk, aresetn => peripheral_aresetn(0), axi_awaddr => int_bus_1_lite_awaddr(axi_address_periph_width-1 downto 0), axi_awprot => int_bus_1_lite_awprot, axi_awvalid => int_bus_1_lite_awvalid, axi_awready => int_bus_1_lite_awready, axi_wvalid => int_bus_1_lite_wvalid, axi_wready => int_bus_1_lite_wready, axi_wdata => int_bus_1_lite_wdata, axi_wstrb => int_bus_1_lite_wstrb, axi_bvalid => int_bus_1_lite_bvalid, axi_bready => int_bus_1_lite_bready, axi_bresp => int_bus_1_lite_bresp, axi_araddr => int_bus_1_lite_araddr(axi_address_periph_width-1 downto 0), axi_arprot => int_bus_1_lite_arprot, axi_arvalid => int_bus_1_lite_arvalid, axi_arready => int_bus_1_lite_arready, axi_rdata => int_bus_1_lite_rdata, axi_rvalid => int_bus_1_lite_rvalid, axi_rready => int_bus_1_lite_rready, axi_rresp => int_bus_1_lite_rresp, cpu_int => cpu_1_int, dev_ints => dev_1_ints); int_2_inst : plasoc_int generic map ( axi_address_width => axi_address_periph_width, axi_data_width => axi_data_width) port map ( aclk => aclk, aresetn => peripheral_aresetn(0), axi_awaddr => int_bus_2_lite_awaddr(axi_address_periph_width-1 downto 0), axi_awprot => int_bus_2_lite_awprot, axi_awvalid => int_bus_2_lite_awvalid, axi_awready => int_bus_2_lite_awready, axi_wvalid => int_bus_2_lite_wvalid, axi_wready => int_bus_2_lite_wready, axi_wdata => int_bus_2_lite_wdata, axi_wstrb => int_bus_2_lite_wstrb, axi_bvalid => int_bus_2_lite_bvalid, axi_bready => int_bus_2_lite_bready, axi_bresp => int_bus_2_lite_bresp, axi_araddr => int_bus_2_lite_araddr(axi_address_periph_width-1 downto 0), axi_arprot => int_bus_2_lite_arprot, axi_arvalid => int_bus_2_lite_arvalid, axi_arready => int_bus_2_lite_arready, axi_rdata => int_bus_2_lite_rdata, axi_rvalid => int_bus_2_lite_rvalid, axi_rready => int_bus_2_lite_rready, axi_rresp => int_bus_2_lite_rresp, cpu_int => cpu_2_int, dev_ints => dev_2_ints); ---------------------- -- CPU Signal Cores -- ---------------------- signal_0_inst : koc_signal generic map ( axi_address_width => axi_address_periph_width, axi_data_width => axi_data_width) port map ( aclk => aclk, aresetn => peripheral_aresetn(0), axi_awaddr => signal_bus_0_lite_awaddr(axi_address_periph_width-1 downto 0), axi_awprot => signal_bus_0_lite_awprot, axi_awvalid => signal_bus_0_lite_awvalid, axi_awready => signal_bus_0_lite_awready, axi_wvalid => signal_bus_0_lite_wvalid, axi_wready => signal_bus_0_lite_wready, axi_wdata => signal_bus_0_lite_wdata, axi_wstrb => signal_bus_0_lite_wstrb, axi_bvalid => signal_bus_0_lite_bvalid, axi_bready => signal_bus_0_lite_bready, axi_bresp => signal_bus_0_lite_bresp, axi_araddr => signal_bus_0_lite_araddr(axi_address_periph_width-1 downto 0), axi_arprot => signal_bus_0_lite_arprot, axi_arvalid => signal_bus_0_lite_arvalid, axi_arready => signal_bus_0_lite_arready, axi_rdata => signal_bus_0_lite_rdata, axi_rvalid => signal_bus_0_lite_rvalid, axi_rready => signal_bus_0_lite_rready, axi_rresp => signal_bus_0_lite_rresp, sig_out => sig_0_1, sig_in => '0', int => dev_0_ints(0)); signal_1_inst : koc_signal generic map ( axi_address_width => axi_address_periph_width, axi_data_width => axi_data_width) port map ( aclk => aclk, aresetn => peripheral_aresetn(0), axi_awaddr => signal_bus_1_lite_awaddr(axi_address_periph_width-1 downto 0), axi_awprot => signal_bus_1_lite_awprot, axi_awvalid => signal_bus_1_lite_awvalid, axi_awready => signal_bus_1_lite_awready, axi_wvalid => signal_bus_1_lite_wvalid, axi_wready => signal_bus_1_lite_wready, axi_wdata => signal_bus_1_lite_wdata, axi_wstrb => signal_bus_1_lite_wstrb, axi_bvalid => signal_bus_1_lite_bvalid, axi_bready => signal_bus_1_lite_bready, axi_bresp => signal_bus_1_lite_bresp, axi_araddr => signal_bus_1_lite_araddr(axi_address_periph_width-1 downto 0), axi_arprot => signal_bus_1_lite_arprot, axi_arvalid => signal_bus_1_lite_arvalid, axi_arready => signal_bus_1_lite_arready, axi_rdata => signal_bus_1_lite_rdata, axi_rvalid => signal_bus_1_lite_rvalid, axi_rready => signal_bus_1_lite_rready, axi_rresp => signal_bus_1_lite_rresp, sig_out => sig_1_2, sig_in => sig_0_1, int => dev_1_ints(0)); signal_2_inst : koc_signal generic map ( axi_address_width => axi_address_periph_width, axi_data_width => axi_data_width) port map ( aclk => aclk, aresetn => peripheral_aresetn(0), axi_awaddr => signal_bus_2_lite_awaddr(axi_address_periph_width-1 downto 0), axi_awprot => signal_bus_2_lite_awprot, axi_awvalid => signal_bus_2_lite_awvalid, axi_awready => signal_bus_2_lite_awready, axi_wvalid => signal_bus_2_lite_wvalid, axi_wready => signal_bus_2_lite_wready, axi_wdata => signal_bus_2_lite_wdata, axi_wstrb => signal_bus_2_lite_wstrb, axi_bvalid => signal_bus_2_lite_bvalid, axi_bready => signal_bus_2_lite_bready, axi_bresp => signal_bus_2_lite_bresp, axi_araddr => signal_bus_2_lite_araddr(axi_address_periph_width-1 downto 0), axi_arprot => signal_bus_2_lite_arprot, axi_arvalid => signal_bus_2_lite_arvalid, axi_arready => signal_bus_2_lite_arready, axi_rdata => signal_bus_2_lite_rdata, axi_rvalid => signal_bus_2_lite_rvalid, axi_rready => signal_bus_2_lite_rready, axi_rresp => signal_bus_2_lite_rresp, sig_out => open, sig_in => sig_1_2, int => dev_2_ints(0)); --------------------- -- CPU Timer Cores -- --------------------- time_0_inst : plasoc_timer generic map ( timer_width => axi_data_width, axi_address_width => axi_address_periph_width, axi_data_width => axi_data_width) port map ( aclk => aclk, aresetn => peripheral_aresetn(0), axi_awaddr => timer_bus_0_lite_awaddr(axi_address_periph_width-1 downto 0), axi_awprot => timer_bus_0_lite_awprot, axi_awvalid => timer_bus_0_lite_awvalid, axi_awready => timer_bus_0_lite_awready, axi_wvalid => timer_bus_0_lite_wvalid, axi_wready => timer_bus_0_lite_wready, axi_wdata => timer_bus_0_lite_wdata, axi_wstrb => timer_bus_0_lite_wstrb, axi_bvalid => timer_bus_0_lite_bvalid, axi_bready => timer_bus_0_lite_bready, axi_bresp => timer_bus_0_lite_bresp, axi_araddr => timer_bus_0_lite_araddr(axi_address_periph_width-1 downto 0), axi_arprot => timer_bus_0_lite_arprot, axi_arvalid => timer_bus_0_lite_arvalid, axi_arready => timer_bus_0_lite_arready, axi_rdata => timer_bus_0_lite_rdata, axi_rvalid => timer_bus_0_lite_rvalid, axi_rready => timer_bus_0_lite_rready, axi_rresp => timer_bus_0_lite_rresp, done => dev_0_ints(1)); time_1_inst : plasoc_timer generic map ( timer_width => axi_data_width, axi_address_width => axi_address_periph_width, axi_data_width => axi_data_width) port map ( aclk => aclk, aresetn => peripheral_aresetn(0), axi_awaddr => timer_bus_1_lite_awaddr(axi_address_periph_width-1 downto 0), axi_awprot => timer_bus_1_lite_awprot, axi_awvalid => timer_bus_1_lite_awvalid, axi_awready => timer_bus_1_lite_awready, axi_wvalid => timer_bus_1_lite_wvalid, axi_wready => timer_bus_1_lite_wready, axi_wdata => timer_bus_1_lite_wdata, axi_wstrb => timer_bus_1_lite_wstrb, axi_bvalid => timer_bus_1_lite_bvalid, axi_bready => timer_bus_1_lite_bready, axi_bresp => timer_bus_1_lite_bresp, axi_araddr => timer_bus_1_lite_araddr(axi_address_periph_width-1 downto 0), axi_arprot => timer_bus_1_lite_arprot, axi_arvalid => timer_bus_1_lite_arvalid, axi_arready => timer_bus_1_lite_arready, axi_rdata => timer_bus_1_lite_rdata, axi_rvalid => timer_bus_1_lite_rvalid, axi_rready => timer_bus_1_lite_rready, axi_rresp => timer_bus_1_lite_rresp, done => dev_1_ints(1)); time_2_inst : plasoc_timer generic map ( timer_width => axi_data_width, axi_address_width => axi_address_periph_width, axi_data_width => axi_data_width) port map ( aclk => aclk, aresetn => peripheral_aresetn(0), axi_awaddr => timer_bus_2_lite_awaddr(axi_address_periph_width-1 downto 0), axi_awprot => timer_bus_2_lite_awprot, axi_awvalid => timer_bus_2_lite_awvalid, axi_awready => timer_bus_2_lite_awready, axi_wvalid => timer_bus_2_lite_wvalid, axi_wready => timer_bus_2_lite_wready, axi_wdata => timer_bus_2_lite_wdata, axi_wstrb => timer_bus_2_lite_wstrb, axi_bvalid => timer_bus_2_lite_bvalid, axi_bready => timer_bus_2_lite_bready, axi_bresp => timer_bus_2_lite_bresp, axi_araddr => timer_bus_2_lite_araddr(axi_address_periph_width-1 downto 0), axi_arprot => timer_bus_2_lite_arprot, axi_arvalid => timer_bus_2_lite_arvalid, axi_arready => timer_bus_2_lite_arready, axi_rdata => timer_bus_2_lite_rdata, axi_rvalid => timer_bus_2_lite_rvalid, axi_rready => timer_bus_2_lite_rready, axi_rresp => timer_bus_2_lite_rresp, done => dev_2_ints(1)); ----------------------------- -- Main Interconnect Cores -- ----------------------------- int_main_inst : plasoc_int generic map ( axi_address_width => axi_address_periph_width, axi_data_width => axi_data_width) port map ( aclk => aclk, aresetn => peripheral_aresetn(0), axi_awaddr => int_axi_lite_awaddr(axi_address_periph_width-1 downto 0), axi_awprot => int_axi_lite_awprot, axi_awvalid => int_axi_lite_awvalid, axi_awready => int_axi_lite_awready, axi_wvalid => int_axi_lite_wvalid, axi_wready => int_axi_lite_wready, axi_wdata => int_axi_lite_wdata, axi_wstrb => int_axi_lite_wstrb, axi_bvalid => int_axi_lite_bvalid, axi_bready => int_axi_lite_bready, axi_bresp => int_axi_lite_bresp, axi_araddr => int_axi_lite_araddr(axi_address_periph_width-1 downto 0), axi_arprot => int_axi_lite_arprot, axi_arvalid => int_axi_lite_arvalid, axi_arready => int_axi_lite_arready, axi_rdata => int_axi_lite_rdata, axi_rvalid => int_axi_lite_rvalid, axi_rready => int_axi_lite_rready, axi_rresp => int_axi_lite_rresp, cpu_int => dev_0_ints(default_interrupt_total-1), dev_ints => dev_ints); timer_main_inst : plasoc_timer generic map ( timer_width => axi_data_width, axi_address_width => axi_address_periph_width, axi_data_width => axi_data_width) port map ( aclk => aclk, aresetn => peripheral_aresetn(0), axi_awaddr => timer_axi_lite_awaddr(axi_address_periph_width-1 downto 0), axi_awprot => timer_axi_lite_awprot, axi_awvalid => timer_axi_lite_awvalid, axi_awready => timer_axi_lite_awready, axi_wvalid => timer_axi_lite_wvalid, axi_wready => timer_axi_lite_wready, axi_wdata => timer_axi_lite_wdata, axi_wstrb => timer_axi_lite_wstrb, axi_bvalid => timer_axi_lite_bvalid, axi_bready => timer_axi_lite_bready, axi_bresp => timer_axi_lite_bresp, axi_araddr => timer_axi_lite_araddr(axi_address_periph_width-1 downto 0), axi_arprot => timer_axi_lite_arprot, axi_arvalid => timer_axi_lite_arvalid, axi_arready => timer_axi_lite_arready, axi_rdata => timer_axi_lite_rdata, axi_rvalid => timer_axi_lite_rvalid, axi_rready => timer_axi_lite_rready, axi_rresp => timer_axi_lite_rresp, done => dev_ints(0)); gpio_main_inst : plasoc_gpio generic map ( data_in_width => data_in_width, data_out_width => data_out_width, axi_address_width => axi_address_periph_width, axi_data_width => axi_data_width) port map ( aclk => aclk, aresetn => peripheral_aresetn(0), data_in => gpio_input, data_out => gpio_output, axi_awaddr => gpio_axi_lite_awaddr(axi_address_periph_width-1 downto 0), axi_awprot => gpio_axi_lite_awprot, axi_awvalid => gpio_axi_lite_awvalid, axi_awready => gpio_axi_lite_awready, axi_wvalid => gpio_axi_lite_wvalid, axi_wready => gpio_axi_lite_wready, axi_wdata => gpio_axi_lite_wdata, axi_wstrb => gpio_axi_lite_wstrb, axi_bvalid => gpio_axi_lite_bvalid, axi_bready => gpio_axi_lite_bready, axi_bresp => gpio_axi_lite_bresp, axi_araddr => gpio_axi_lite_araddr(axi_address_periph_width-1 downto 0), axi_arprot => gpio_axi_lite_arprot, axi_arvalid => gpio_axi_lite_arvalid, axi_arready => gpio_axi_lite_arready, axi_rdata => gpio_axi_lite_rdata, axi_rvalid => gpio_axi_lite_rvalid, axi_rready => gpio_axi_lite_rready, axi_rresp => gpio_axi_lite_rresp, int => dev_ints(1)); uart_main_inst : plasoc_uart generic map ( axi_address_width => axi_address_periph_width, axi_data_width => axi_data_width, baud => uart_baud, clock_frequency => uart_clock_frequency) port map ( aclk => aclk, aresetn => peripheral_aresetn(0), axi_awaddr => uart_axi_lite_awaddr(axi_address_periph_width-1 downto 0), axi_awprot => uart_axi_lite_awprot, axi_awvalid => uart_axi_lite_awvalid, axi_awready => uart_axi_lite_awready, axi_wvalid => uart_axi_lite_wvalid, axi_wready => uart_axi_lite_wready, axi_wdata => uart_axi_lite_wdata, axi_wstrb => uart_axi_lite_wstrb, axi_bvalid => uart_axi_lite_bvalid, axi_bready => uart_axi_lite_bready, axi_bresp => uart_axi_lite_bresp, axi_araddr => uart_axi_lite_araddr(axi_address_periph_width-1 downto 0), axi_arprot => uart_axi_lite_arprot, axi_arvalid => uart_axi_lite_arvalid, axi_arready => uart_axi_lite_arready, axi_rdata => uart_axi_lite_rdata, axi_rvalid => uart_axi_lite_rvalid, axi_rready => uart_axi_lite_rready, axi_rresp => uart_axi_lite_rresp, tx => uart_tx, rx => uart_rx, status_in_avail => dev_ints(2)); lock_main_inst : koc_lock generic map ( axi_address_width => axi_address_periph_width, axi_data_width => axi_data_width, control_default => lock_control_default) port map ( aclk => aclk, aresetn => peripheral_aresetn(0), axi_awaddr => lock_axi_lite_awaddr(axi_address_periph_width-1 downto 0), axi_awprot => lock_axi_lite_awprot, axi_awvalid => lock_axi_lite_awvalid, axi_awready => lock_axi_lite_awready, axi_wvalid => lock_axi_lite_wvalid, axi_wready => lock_axi_lite_wready, axi_wdata => lock_axi_lite_wdata, axi_wstrb => lock_axi_lite_wstrb, axi_bvalid => lock_axi_lite_bvalid, axi_bready => lock_axi_lite_bready, axi_bresp => lock_axi_lite_bresp, axi_araddr => lock_axi_lite_araddr(axi_address_periph_width-1 downto 0), axi_arprot => lock_axi_lite_arprot, axi_arvalid => lock_axi_lite_arvalid, axi_arready => lock_axi_lite_arready, axi_rdata => lock_axi_lite_rdata, axi_rvalid => lock_axi_lite_rvalid, axi_rready => lock_axi_lite_rready, axi_rresp => lock_axi_lite_rresp); end Behavioral;
mit
arthurTemporim/SD_SS
rel/3/projetos/aula3/complemento4_teste.vhd
1
2381
-------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 10:40:45 08/31/2016 -- Design Name: -- Module Name: /home/arthur/Documents/SD_SS/rel/3/projetos/aula3/complemento4_teste.vhd -- Project Name: aula3 -- Target Device: -- Tool versions: -- Description: -- -- VHDL Test Bench Created by ISE for module: complemento4 -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- -- Notes: -- This testbench has been automatically generated using types std_logic and -- std_logic_vector for the ports of the unit under test. Xilinx recommends -- that these types always be used for the top-level I/O of a design in order -- to guarantee that the testbench will bind correctly to the post-implementation -- simulation model. -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --USE ieee.numeric_std.ALL; ENTITY complemento4_teste IS END complemento4_teste; ARCHITECTURE behavior OF complemento4_teste IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT complemento4 PORT( entrada : IN std_logic_vector(3 downto 0); sel : IN std_logic; saida : OUT std_logic_vector(3 downto 0) ); END COMPONENT; --Inputs signal entrada : std_logic_vector(3 downto 0) := (others => '0'); signal sel : std_logic := '0'; --Outputs signal saida : std_logic_vector(3 downto 0); -- No clocks detected in port list. Replace <clock> below with -- appropriate port name constant <clock>_period : time := 10 ns; BEGIN -- Instantiate the Unit Under Test (UUT) uut: complemento4 PORT MAP ( entrada => entrada, sel => sel, saida => saida ); -- Clock process definitions <clock>_process :process begin <clock> <= '0'; wait for <clock>_period/2; <clock> <= '1'; wait for <clock>_period/2; end process; -- Stimulus process stim_proc: process begin -- hold reset state for 100 ns. wait for 100 ns; wait for <clock>_period*10; -- insert stimulus here wait; end process; END;
mit
arthurTemporim/SD_SS
pre/6/projetos/projeto2/projeto2.vhd
1
2232
library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity projeto2 is port ( a : in std_logic_vector (3 downto 0) := "0001"; -- Entrada A. b : in std_logic_vector (3 downto 0) := "0000"; -- Entrada B. sel : in std_logic := '0'; -- Seletora de displays. clk : in std_logic := '0'; -- Clock. display1 : out std_logic_vector (6 downto 0); display2 : out std_logic_vector (6 downto 0) ); end projeto2; architecture Behavioral of projeto2 is signal saida_mux : std_logic_vector (3 downto 0); signal bcd : std_logic_vector (6 downto 0); -- BCD. begin -- Mux 8->4. process (a,b, clk) begin if (clk = '0') then saida_mux <= a; else saida_mux <= b; end if; end process; -- BCD. process (a,b,clk, saida_mux, bcd) begin if (saida_mux = "0000") then -- 0 bcd <= "1111110"; elsif (saida_mux = "0001") then -- 1 bcd <= "0110000"; elsif (saida_mux = "0010") then -- 2 bcd <= "1101101"; elsif (saida_mux = "0011") then -- 3 bcd <= "1111001"; elsif (saida_mux = "0100") then -- 4 bcd <= "0110010"; elsif (saida_mux = "0101") then -- 5 bcd <= "1011010"; elsif (saida_mux = "0110") then -- 6 bcd <= "1011111"; elsif (saida_mux = "0111") then -- 7 bcd <= "1110000"; elsif (saida_mux = "1000") then -- 8 bcd <= "1111111"; elsif (saida_mux = "1001") then -- 9 bcd <= "1111011"; elsif (saida_mux = "1010") then -- A bcd <= "1110111"; elsif (saida_mux = "1011") then -- B bcd <= "0011111"; elsif (saida_mux = "1100") then -- C bcd <= "1001110"; elsif (saida_mux = "1101") then -- D bcd <= "0111101"; elsif (saida_mux = "1110") then -- E bcd <= "1001111"; else bcd <= "1000111"; -- Caso defaul -> 'F' end if; end process; -- Mux 1->2. process (bcd, clk, sel) begin if (clk = '0' and sel = '0') then -- Se sel = 0 então mostra B. display2 <= bcd; -- Mostra B no display. display1 <= "00000000"; -- Desliga A. elsif (clk = '1' and sel = '1') then -- Se sel = 1 então mostra A. display1 <= bcd; -- Mostra A no display. display2 <= "00000000"; -- Desliga B. else -- Caso inesperado. display1 <= "00000000"; -- Desliga A. display2 <= "00000000"; -- Desliga B. end if; end process; end Behavioral;
mit
andrewandrepowell/kernel-on-chip
hdl/projects/Nexys4/bd/ip/bd_auto_cc_0/bd_auto_cc_0_sim_netlist.vhdl
1
741103
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2016.4 (win64) Build 1756540 Mon Jan 23 19:11:23 MST 2017 -- Date : Fri Apr 14 18:39:27 2017 -- Host : LAPTOP-IQ9G3D1I running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode funcsim -rename_top bd_auto_cc_0 -prefix -- bd_auto_cc_0_ bd_auto_cc_0_sim_netlist.vhdl -- Design : bd_auto_cc_0 -- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or -- synthesized. This netlist cannot be used for SDF annotated simulation. -- Device : xc7a100tcsg324-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity bd_auto_cc_0_dmem is port ( dout_i : out STD_LOGIC_VECTOR ( 64 downto 0 ); s_aclk : in STD_LOGIC; ram_full_fb_i_reg : in STD_LOGIC_VECTOR ( 0 to 0 ); DI : in STD_LOGIC_VECTOR ( 64 downto 0 ); \gc0.count_d1_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \gic0.gc0.count_d2_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \gpregsm1.curr_fwft_state_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); m_aclk : in STD_LOGIC ); end bd_auto_cc_0_dmem; architecture STRUCTURE of bd_auto_cc_0_dmem is signal RAM_reg_0_15_0_5_n_0 : STD_LOGIC; signal RAM_reg_0_15_0_5_n_1 : STD_LOGIC; signal RAM_reg_0_15_0_5_n_2 : STD_LOGIC; signal RAM_reg_0_15_0_5_n_3 : STD_LOGIC; signal RAM_reg_0_15_0_5_n_4 : STD_LOGIC; signal RAM_reg_0_15_0_5_n_5 : STD_LOGIC; signal RAM_reg_0_15_12_17_n_0 : STD_LOGIC; signal RAM_reg_0_15_12_17_n_1 : STD_LOGIC; signal RAM_reg_0_15_12_17_n_2 : STD_LOGIC; signal RAM_reg_0_15_12_17_n_3 : STD_LOGIC; signal RAM_reg_0_15_12_17_n_4 : STD_LOGIC; signal RAM_reg_0_15_12_17_n_5 : STD_LOGIC; signal RAM_reg_0_15_18_23_n_0 : STD_LOGIC; signal RAM_reg_0_15_18_23_n_1 : STD_LOGIC; signal RAM_reg_0_15_18_23_n_2 : STD_LOGIC; signal RAM_reg_0_15_18_23_n_3 : STD_LOGIC; signal RAM_reg_0_15_18_23_n_4 : STD_LOGIC; signal RAM_reg_0_15_18_23_n_5 : STD_LOGIC; signal RAM_reg_0_15_24_29_n_0 : STD_LOGIC; signal RAM_reg_0_15_24_29_n_1 : STD_LOGIC; signal RAM_reg_0_15_24_29_n_2 : STD_LOGIC; signal RAM_reg_0_15_24_29_n_3 : STD_LOGIC; signal RAM_reg_0_15_24_29_n_4 : STD_LOGIC; signal RAM_reg_0_15_24_29_n_5 : STD_LOGIC; signal RAM_reg_0_15_30_35_n_0 : STD_LOGIC; signal RAM_reg_0_15_30_35_n_1 : STD_LOGIC; signal RAM_reg_0_15_30_35_n_2 : STD_LOGIC; signal RAM_reg_0_15_30_35_n_3 : STD_LOGIC; signal RAM_reg_0_15_30_35_n_4 : STD_LOGIC; signal RAM_reg_0_15_30_35_n_5 : STD_LOGIC; signal RAM_reg_0_15_36_41_n_0 : STD_LOGIC; signal RAM_reg_0_15_36_41_n_1 : STD_LOGIC; signal RAM_reg_0_15_36_41_n_2 : STD_LOGIC; signal RAM_reg_0_15_36_41_n_3 : STD_LOGIC; signal RAM_reg_0_15_36_41_n_4 : STD_LOGIC; signal RAM_reg_0_15_36_41_n_5 : STD_LOGIC; signal RAM_reg_0_15_42_47_n_0 : STD_LOGIC; signal RAM_reg_0_15_42_47_n_1 : STD_LOGIC; signal RAM_reg_0_15_42_47_n_2 : STD_LOGIC; signal RAM_reg_0_15_42_47_n_3 : STD_LOGIC; signal RAM_reg_0_15_42_47_n_4 : STD_LOGIC; signal RAM_reg_0_15_42_47_n_5 : STD_LOGIC; signal RAM_reg_0_15_48_53_n_0 : STD_LOGIC; signal RAM_reg_0_15_48_53_n_1 : STD_LOGIC; signal RAM_reg_0_15_48_53_n_2 : STD_LOGIC; signal RAM_reg_0_15_48_53_n_3 : STD_LOGIC; signal RAM_reg_0_15_48_53_n_4 : STD_LOGIC; signal RAM_reg_0_15_48_53_n_5 : STD_LOGIC; signal RAM_reg_0_15_54_59_n_0 : STD_LOGIC; signal RAM_reg_0_15_54_59_n_1 : STD_LOGIC; signal RAM_reg_0_15_54_59_n_2 : STD_LOGIC; signal RAM_reg_0_15_54_59_n_3 : STD_LOGIC; signal RAM_reg_0_15_54_59_n_4 : STD_LOGIC; signal RAM_reg_0_15_54_59_n_5 : STD_LOGIC; signal RAM_reg_0_15_60_64_n_0 : STD_LOGIC; signal RAM_reg_0_15_60_64_n_1 : STD_LOGIC; signal RAM_reg_0_15_60_64_n_2 : STD_LOGIC; signal RAM_reg_0_15_60_64_n_3 : STD_LOGIC; signal RAM_reg_0_15_60_64_n_5 : STD_LOGIC; signal RAM_reg_0_15_6_11_n_0 : STD_LOGIC; signal RAM_reg_0_15_6_11_n_1 : STD_LOGIC; signal RAM_reg_0_15_6_11_n_2 : STD_LOGIC; signal RAM_reg_0_15_6_11_n_3 : STD_LOGIC; signal RAM_reg_0_15_6_11_n_4 : STD_LOGIC; signal RAM_reg_0_15_6_11_n_5 : STD_LOGIC; signal NLW_RAM_reg_0_15_0_5_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_12_17_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_18_23_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_24_29_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_30_35_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_36_41_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_42_47_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_48_53_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_54_59_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_60_64_DOC_UNCONNECTED : STD_LOGIC_VECTOR ( 1 to 1 ); signal NLW_RAM_reg_0_15_60_64_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_6_11_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); attribute METHODOLOGY_DRC_VIOS : string; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_0_5 : label is ""; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_12_17 : label is ""; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_18_23 : label is ""; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_24_29 : label is ""; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_30_35 : label is ""; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_36_41 : label is ""; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_42_47 : label is ""; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_48_53 : label is ""; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_54_59 : label is ""; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_60_64 : label is ""; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_6_11 : label is ""; begin RAM_reg_0_15_0_5: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => DI(1 downto 0), DIB(1 downto 0) => DI(3 downto 2), DIC(1 downto 0) => DI(5 downto 4), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_0_5_n_0, DOA(0) => RAM_reg_0_15_0_5_n_1, DOB(1) => RAM_reg_0_15_0_5_n_2, DOB(0) => RAM_reg_0_15_0_5_n_3, DOC(1) => RAM_reg_0_15_0_5_n_4, DOC(0) => RAM_reg_0_15_0_5_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_0_5_DOD_UNCONNECTED(1 downto 0), WCLK => s_aclk, WE => ram_full_fb_i_reg(0) ); RAM_reg_0_15_12_17: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => DI(13 downto 12), DIB(1 downto 0) => DI(15 downto 14), DIC(1 downto 0) => DI(17 downto 16), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_12_17_n_0, DOA(0) => RAM_reg_0_15_12_17_n_1, DOB(1) => RAM_reg_0_15_12_17_n_2, DOB(0) => RAM_reg_0_15_12_17_n_3, DOC(1) => RAM_reg_0_15_12_17_n_4, DOC(0) => RAM_reg_0_15_12_17_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_12_17_DOD_UNCONNECTED(1 downto 0), WCLK => s_aclk, WE => ram_full_fb_i_reg(0) ); RAM_reg_0_15_18_23: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => DI(19 downto 18), DIB(1 downto 0) => DI(21 downto 20), DIC(1 downto 0) => DI(23 downto 22), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_18_23_n_0, DOA(0) => RAM_reg_0_15_18_23_n_1, DOB(1) => RAM_reg_0_15_18_23_n_2, DOB(0) => RAM_reg_0_15_18_23_n_3, DOC(1) => RAM_reg_0_15_18_23_n_4, DOC(0) => RAM_reg_0_15_18_23_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_18_23_DOD_UNCONNECTED(1 downto 0), WCLK => s_aclk, WE => ram_full_fb_i_reg(0) ); RAM_reg_0_15_24_29: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => DI(25 downto 24), DIB(1 downto 0) => DI(27 downto 26), DIC(1 downto 0) => DI(29 downto 28), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_24_29_n_0, DOA(0) => RAM_reg_0_15_24_29_n_1, DOB(1) => RAM_reg_0_15_24_29_n_2, DOB(0) => RAM_reg_0_15_24_29_n_3, DOC(1) => RAM_reg_0_15_24_29_n_4, DOC(0) => RAM_reg_0_15_24_29_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_24_29_DOD_UNCONNECTED(1 downto 0), WCLK => s_aclk, WE => ram_full_fb_i_reg(0) ); RAM_reg_0_15_30_35: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => DI(31 downto 30), DIB(1 downto 0) => DI(33 downto 32), DIC(1 downto 0) => DI(35 downto 34), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_30_35_n_0, DOA(0) => RAM_reg_0_15_30_35_n_1, DOB(1) => RAM_reg_0_15_30_35_n_2, DOB(0) => RAM_reg_0_15_30_35_n_3, DOC(1) => RAM_reg_0_15_30_35_n_4, DOC(0) => RAM_reg_0_15_30_35_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_30_35_DOD_UNCONNECTED(1 downto 0), WCLK => s_aclk, WE => ram_full_fb_i_reg(0) ); RAM_reg_0_15_36_41: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => DI(37 downto 36), DIB(1 downto 0) => DI(39 downto 38), DIC(1 downto 0) => DI(41 downto 40), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_36_41_n_0, DOA(0) => RAM_reg_0_15_36_41_n_1, DOB(1) => RAM_reg_0_15_36_41_n_2, DOB(0) => RAM_reg_0_15_36_41_n_3, DOC(1) => RAM_reg_0_15_36_41_n_4, DOC(0) => RAM_reg_0_15_36_41_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_36_41_DOD_UNCONNECTED(1 downto 0), WCLK => s_aclk, WE => ram_full_fb_i_reg(0) ); RAM_reg_0_15_42_47: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => DI(43 downto 42), DIB(1 downto 0) => DI(45 downto 44), DIC(1 downto 0) => DI(47 downto 46), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_42_47_n_0, DOA(0) => RAM_reg_0_15_42_47_n_1, DOB(1) => RAM_reg_0_15_42_47_n_2, DOB(0) => RAM_reg_0_15_42_47_n_3, DOC(1) => RAM_reg_0_15_42_47_n_4, DOC(0) => RAM_reg_0_15_42_47_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_42_47_DOD_UNCONNECTED(1 downto 0), WCLK => s_aclk, WE => ram_full_fb_i_reg(0) ); RAM_reg_0_15_48_53: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => DI(49 downto 48), DIB(1 downto 0) => DI(51 downto 50), DIC(1 downto 0) => DI(53 downto 52), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_48_53_n_0, DOA(0) => RAM_reg_0_15_48_53_n_1, DOB(1) => RAM_reg_0_15_48_53_n_2, DOB(0) => RAM_reg_0_15_48_53_n_3, DOC(1) => RAM_reg_0_15_48_53_n_4, DOC(0) => RAM_reg_0_15_48_53_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_48_53_DOD_UNCONNECTED(1 downto 0), WCLK => s_aclk, WE => ram_full_fb_i_reg(0) ); RAM_reg_0_15_54_59: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => DI(55 downto 54), DIB(1 downto 0) => DI(57 downto 56), DIC(1 downto 0) => DI(59 downto 58), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_54_59_n_0, DOA(0) => RAM_reg_0_15_54_59_n_1, DOB(1) => RAM_reg_0_15_54_59_n_2, DOB(0) => RAM_reg_0_15_54_59_n_3, DOC(1) => RAM_reg_0_15_54_59_n_4, DOC(0) => RAM_reg_0_15_54_59_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_54_59_DOD_UNCONNECTED(1 downto 0), WCLK => s_aclk, WE => ram_full_fb_i_reg(0) ); RAM_reg_0_15_60_64: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => DI(61 downto 60), DIB(1 downto 0) => DI(63 downto 62), DIC(1) => '0', DIC(0) => DI(64), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_60_64_n_0, DOA(0) => RAM_reg_0_15_60_64_n_1, DOB(1) => RAM_reg_0_15_60_64_n_2, DOB(0) => RAM_reg_0_15_60_64_n_3, DOC(1) => NLW_RAM_reg_0_15_60_64_DOC_UNCONNECTED(1), DOC(0) => RAM_reg_0_15_60_64_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_60_64_DOD_UNCONNECTED(1 downto 0), WCLK => s_aclk, WE => ram_full_fb_i_reg(0) ); RAM_reg_0_15_6_11: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => DI(7 downto 6), DIB(1 downto 0) => DI(9 downto 8), DIC(1 downto 0) => DI(11 downto 10), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_6_11_n_0, DOA(0) => RAM_reg_0_15_6_11_n_1, DOB(1) => RAM_reg_0_15_6_11_n_2, DOB(0) => RAM_reg_0_15_6_11_n_3, DOC(1) => RAM_reg_0_15_6_11_n_4, DOC(0) => RAM_reg_0_15_6_11_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_6_11_DOD_UNCONNECTED(1 downto 0), WCLK => s_aclk, WE => ram_full_fb_i_reg(0) ); \gpr1.dout_i_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_0_5_n_1, Q => dout_i(0), R => '0' ); \gpr1.dout_i_reg[10]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_6_11_n_5, Q => dout_i(10), R => '0' ); \gpr1.dout_i_reg[11]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_6_11_n_4, Q => dout_i(11), R => '0' ); \gpr1.dout_i_reg[12]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_12_17_n_1, Q => dout_i(12), R => '0' ); \gpr1.dout_i_reg[13]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_12_17_n_0, Q => dout_i(13), R => '0' ); \gpr1.dout_i_reg[14]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_12_17_n_3, Q => dout_i(14), R => '0' ); \gpr1.dout_i_reg[15]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_12_17_n_2, Q => dout_i(15), R => '0' ); \gpr1.dout_i_reg[16]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_12_17_n_5, Q => dout_i(16), R => '0' ); \gpr1.dout_i_reg[17]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_12_17_n_4, Q => dout_i(17), R => '0' ); \gpr1.dout_i_reg[18]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_18_23_n_1, Q => dout_i(18), R => '0' ); \gpr1.dout_i_reg[19]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_18_23_n_0, Q => dout_i(19), R => '0' ); \gpr1.dout_i_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_0_5_n_0, Q => dout_i(1), R => '0' ); \gpr1.dout_i_reg[20]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_18_23_n_3, Q => dout_i(20), R => '0' ); \gpr1.dout_i_reg[21]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_18_23_n_2, Q => dout_i(21), R => '0' ); \gpr1.dout_i_reg[22]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_18_23_n_5, Q => dout_i(22), R => '0' ); \gpr1.dout_i_reg[23]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_18_23_n_4, Q => dout_i(23), R => '0' ); \gpr1.dout_i_reg[24]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_24_29_n_1, Q => dout_i(24), R => '0' ); \gpr1.dout_i_reg[25]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_24_29_n_0, Q => dout_i(25), R => '0' ); \gpr1.dout_i_reg[26]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_24_29_n_3, Q => dout_i(26), R => '0' ); \gpr1.dout_i_reg[27]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_24_29_n_2, Q => dout_i(27), R => '0' ); \gpr1.dout_i_reg[28]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_24_29_n_5, Q => dout_i(28), R => '0' ); \gpr1.dout_i_reg[29]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_24_29_n_4, Q => dout_i(29), R => '0' ); \gpr1.dout_i_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_0_5_n_3, Q => dout_i(2), R => '0' ); \gpr1.dout_i_reg[30]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_30_35_n_1, Q => dout_i(30), R => '0' ); \gpr1.dout_i_reg[31]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_30_35_n_0, Q => dout_i(31), R => '0' ); \gpr1.dout_i_reg[32]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_30_35_n_3, Q => dout_i(32), R => '0' ); \gpr1.dout_i_reg[33]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_30_35_n_2, Q => dout_i(33), R => '0' ); \gpr1.dout_i_reg[34]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_30_35_n_5, Q => dout_i(34), R => '0' ); \gpr1.dout_i_reg[35]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_30_35_n_4, Q => dout_i(35), R => '0' ); \gpr1.dout_i_reg[36]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_36_41_n_1, Q => dout_i(36), R => '0' ); \gpr1.dout_i_reg[37]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_36_41_n_0, Q => dout_i(37), R => '0' ); \gpr1.dout_i_reg[38]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_36_41_n_3, Q => dout_i(38), R => '0' ); \gpr1.dout_i_reg[39]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_36_41_n_2, Q => dout_i(39), R => '0' ); \gpr1.dout_i_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_0_5_n_2, Q => dout_i(3), R => '0' ); \gpr1.dout_i_reg[40]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_36_41_n_5, Q => dout_i(40), R => '0' ); \gpr1.dout_i_reg[41]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_36_41_n_4, Q => dout_i(41), R => '0' ); \gpr1.dout_i_reg[42]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_42_47_n_1, Q => dout_i(42), R => '0' ); \gpr1.dout_i_reg[43]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_42_47_n_0, Q => dout_i(43), R => '0' ); \gpr1.dout_i_reg[44]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_42_47_n_3, Q => dout_i(44), R => '0' ); \gpr1.dout_i_reg[45]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_42_47_n_2, Q => dout_i(45), R => '0' ); \gpr1.dout_i_reg[46]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_42_47_n_5, Q => dout_i(46), R => '0' ); \gpr1.dout_i_reg[47]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_42_47_n_4, Q => dout_i(47), R => '0' ); \gpr1.dout_i_reg[48]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_48_53_n_1, Q => dout_i(48), R => '0' ); \gpr1.dout_i_reg[49]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_48_53_n_0, Q => dout_i(49), R => '0' ); \gpr1.dout_i_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_0_5_n_5, Q => dout_i(4), R => '0' ); \gpr1.dout_i_reg[50]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_48_53_n_3, Q => dout_i(50), R => '0' ); \gpr1.dout_i_reg[51]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_48_53_n_2, Q => dout_i(51), R => '0' ); \gpr1.dout_i_reg[52]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_48_53_n_5, Q => dout_i(52), R => '0' ); \gpr1.dout_i_reg[53]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_48_53_n_4, Q => dout_i(53), R => '0' ); \gpr1.dout_i_reg[54]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_54_59_n_1, Q => dout_i(54), R => '0' ); \gpr1.dout_i_reg[55]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_54_59_n_0, Q => dout_i(55), R => '0' ); \gpr1.dout_i_reg[56]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_54_59_n_3, Q => dout_i(56), R => '0' ); \gpr1.dout_i_reg[57]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_54_59_n_2, Q => dout_i(57), R => '0' ); \gpr1.dout_i_reg[58]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_54_59_n_5, Q => dout_i(58), R => '0' ); \gpr1.dout_i_reg[59]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_54_59_n_4, Q => dout_i(59), R => '0' ); \gpr1.dout_i_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_0_5_n_4, Q => dout_i(5), R => '0' ); \gpr1.dout_i_reg[60]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_60_64_n_1, Q => dout_i(60), R => '0' ); \gpr1.dout_i_reg[61]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_60_64_n_0, Q => dout_i(61), R => '0' ); \gpr1.dout_i_reg[62]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_60_64_n_3, Q => dout_i(62), R => '0' ); \gpr1.dout_i_reg[63]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_60_64_n_2, Q => dout_i(63), R => '0' ); \gpr1.dout_i_reg[64]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_60_64_n_5, Q => dout_i(64), R => '0' ); \gpr1.dout_i_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_6_11_n_1, Q => dout_i(6), R => '0' ); \gpr1.dout_i_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_6_11_n_0, Q => dout_i(7), R => '0' ); \gpr1.dout_i_reg[8]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_6_11_n_3, Q => dout_i(8), R => '0' ); \gpr1.dout_i_reg[9]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_6_11_n_2, Q => dout_i(9), R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity bd_auto_cc_0_dmem_81 is port ( Q : out STD_LOGIC_VECTOR ( 64 downto 0 ); s_aclk : in STD_LOGIC; E : in STD_LOGIC_VECTOR ( 0 to 0 ); I123 : in STD_LOGIC_VECTOR ( 64 downto 0 ); \gc0.count_d1_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \gic0.gc0.count_d2_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \gpregsm1.curr_fwft_state_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); m_aclk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of bd_auto_cc_0_dmem_81 : entity is "dmem"; end bd_auto_cc_0_dmem_81; architecture STRUCTURE of bd_auto_cc_0_dmem_81 is signal RAM_reg_0_15_0_5_n_0 : STD_LOGIC; signal RAM_reg_0_15_0_5_n_1 : STD_LOGIC; signal RAM_reg_0_15_0_5_n_2 : STD_LOGIC; signal RAM_reg_0_15_0_5_n_3 : STD_LOGIC; signal RAM_reg_0_15_0_5_n_4 : STD_LOGIC; signal RAM_reg_0_15_0_5_n_5 : STD_LOGIC; signal RAM_reg_0_15_12_17_n_0 : STD_LOGIC; signal RAM_reg_0_15_12_17_n_1 : STD_LOGIC; signal RAM_reg_0_15_12_17_n_2 : STD_LOGIC; signal RAM_reg_0_15_12_17_n_3 : STD_LOGIC; signal RAM_reg_0_15_12_17_n_4 : STD_LOGIC; signal RAM_reg_0_15_12_17_n_5 : STD_LOGIC; signal RAM_reg_0_15_18_23_n_0 : STD_LOGIC; signal RAM_reg_0_15_18_23_n_1 : STD_LOGIC; signal RAM_reg_0_15_18_23_n_2 : STD_LOGIC; signal RAM_reg_0_15_18_23_n_3 : STD_LOGIC; signal RAM_reg_0_15_18_23_n_4 : STD_LOGIC; signal RAM_reg_0_15_18_23_n_5 : STD_LOGIC; signal RAM_reg_0_15_24_29_n_0 : STD_LOGIC; signal RAM_reg_0_15_24_29_n_1 : STD_LOGIC; signal RAM_reg_0_15_24_29_n_2 : STD_LOGIC; signal RAM_reg_0_15_24_29_n_3 : STD_LOGIC; signal RAM_reg_0_15_24_29_n_4 : STD_LOGIC; signal RAM_reg_0_15_24_29_n_5 : STD_LOGIC; signal RAM_reg_0_15_30_35_n_0 : STD_LOGIC; signal RAM_reg_0_15_30_35_n_1 : STD_LOGIC; signal RAM_reg_0_15_30_35_n_2 : STD_LOGIC; signal RAM_reg_0_15_30_35_n_3 : STD_LOGIC; signal RAM_reg_0_15_30_35_n_4 : STD_LOGIC; signal RAM_reg_0_15_30_35_n_5 : STD_LOGIC; signal RAM_reg_0_15_36_41_n_0 : STD_LOGIC; signal RAM_reg_0_15_36_41_n_1 : STD_LOGIC; signal RAM_reg_0_15_36_41_n_2 : STD_LOGIC; signal RAM_reg_0_15_36_41_n_3 : STD_LOGIC; signal RAM_reg_0_15_36_41_n_4 : STD_LOGIC; signal RAM_reg_0_15_36_41_n_5 : STD_LOGIC; signal RAM_reg_0_15_42_47_n_0 : STD_LOGIC; signal RAM_reg_0_15_42_47_n_1 : STD_LOGIC; signal RAM_reg_0_15_42_47_n_2 : STD_LOGIC; signal RAM_reg_0_15_42_47_n_3 : STD_LOGIC; signal RAM_reg_0_15_42_47_n_4 : STD_LOGIC; signal RAM_reg_0_15_42_47_n_5 : STD_LOGIC; signal RAM_reg_0_15_48_53_n_0 : STD_LOGIC; signal RAM_reg_0_15_48_53_n_1 : STD_LOGIC; signal RAM_reg_0_15_48_53_n_2 : STD_LOGIC; signal RAM_reg_0_15_48_53_n_3 : STD_LOGIC; signal RAM_reg_0_15_48_53_n_4 : STD_LOGIC; signal RAM_reg_0_15_48_53_n_5 : STD_LOGIC; signal RAM_reg_0_15_54_59_n_0 : STD_LOGIC; signal RAM_reg_0_15_54_59_n_1 : STD_LOGIC; signal RAM_reg_0_15_54_59_n_2 : STD_LOGIC; signal RAM_reg_0_15_54_59_n_3 : STD_LOGIC; signal RAM_reg_0_15_54_59_n_4 : STD_LOGIC; signal RAM_reg_0_15_54_59_n_5 : STD_LOGIC; signal RAM_reg_0_15_60_64_n_0 : STD_LOGIC; signal RAM_reg_0_15_60_64_n_1 : STD_LOGIC; signal RAM_reg_0_15_60_64_n_2 : STD_LOGIC; signal RAM_reg_0_15_60_64_n_3 : STD_LOGIC; signal RAM_reg_0_15_60_64_n_5 : STD_LOGIC; signal RAM_reg_0_15_6_11_n_0 : STD_LOGIC; signal RAM_reg_0_15_6_11_n_1 : STD_LOGIC; signal RAM_reg_0_15_6_11_n_2 : STD_LOGIC; signal RAM_reg_0_15_6_11_n_3 : STD_LOGIC; signal RAM_reg_0_15_6_11_n_4 : STD_LOGIC; signal RAM_reg_0_15_6_11_n_5 : STD_LOGIC; signal NLW_RAM_reg_0_15_0_5_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_12_17_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_18_23_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_24_29_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_30_35_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_36_41_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_42_47_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_48_53_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_54_59_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_60_64_DOC_UNCONNECTED : STD_LOGIC_VECTOR ( 1 to 1 ); signal NLW_RAM_reg_0_15_60_64_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_6_11_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); attribute METHODOLOGY_DRC_VIOS : string; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_0_5 : label is ""; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_12_17 : label is ""; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_18_23 : label is ""; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_24_29 : label is ""; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_30_35 : label is ""; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_36_41 : label is ""; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_42_47 : label is ""; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_48_53 : label is ""; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_54_59 : label is ""; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_60_64 : label is ""; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_6_11 : label is ""; begin RAM_reg_0_15_0_5: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => I123(1 downto 0), DIB(1 downto 0) => I123(3 downto 2), DIC(1 downto 0) => I123(5 downto 4), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_0_5_n_0, DOA(0) => RAM_reg_0_15_0_5_n_1, DOB(1) => RAM_reg_0_15_0_5_n_2, DOB(0) => RAM_reg_0_15_0_5_n_3, DOC(1) => RAM_reg_0_15_0_5_n_4, DOC(0) => RAM_reg_0_15_0_5_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_0_5_DOD_UNCONNECTED(1 downto 0), WCLK => s_aclk, WE => E(0) ); RAM_reg_0_15_12_17: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => I123(13 downto 12), DIB(1 downto 0) => I123(15 downto 14), DIC(1 downto 0) => I123(17 downto 16), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_12_17_n_0, DOA(0) => RAM_reg_0_15_12_17_n_1, DOB(1) => RAM_reg_0_15_12_17_n_2, DOB(0) => RAM_reg_0_15_12_17_n_3, DOC(1) => RAM_reg_0_15_12_17_n_4, DOC(0) => RAM_reg_0_15_12_17_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_12_17_DOD_UNCONNECTED(1 downto 0), WCLK => s_aclk, WE => E(0) ); RAM_reg_0_15_18_23: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => I123(19 downto 18), DIB(1 downto 0) => I123(21 downto 20), DIC(1 downto 0) => I123(23 downto 22), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_18_23_n_0, DOA(0) => RAM_reg_0_15_18_23_n_1, DOB(1) => RAM_reg_0_15_18_23_n_2, DOB(0) => RAM_reg_0_15_18_23_n_3, DOC(1) => RAM_reg_0_15_18_23_n_4, DOC(0) => RAM_reg_0_15_18_23_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_18_23_DOD_UNCONNECTED(1 downto 0), WCLK => s_aclk, WE => E(0) ); RAM_reg_0_15_24_29: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => I123(25 downto 24), DIB(1 downto 0) => I123(27 downto 26), DIC(1 downto 0) => I123(29 downto 28), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_24_29_n_0, DOA(0) => RAM_reg_0_15_24_29_n_1, DOB(1) => RAM_reg_0_15_24_29_n_2, DOB(0) => RAM_reg_0_15_24_29_n_3, DOC(1) => RAM_reg_0_15_24_29_n_4, DOC(0) => RAM_reg_0_15_24_29_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_24_29_DOD_UNCONNECTED(1 downto 0), WCLK => s_aclk, WE => E(0) ); RAM_reg_0_15_30_35: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => I123(31 downto 30), DIB(1 downto 0) => I123(33 downto 32), DIC(1 downto 0) => I123(35 downto 34), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_30_35_n_0, DOA(0) => RAM_reg_0_15_30_35_n_1, DOB(1) => RAM_reg_0_15_30_35_n_2, DOB(0) => RAM_reg_0_15_30_35_n_3, DOC(1) => RAM_reg_0_15_30_35_n_4, DOC(0) => RAM_reg_0_15_30_35_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_30_35_DOD_UNCONNECTED(1 downto 0), WCLK => s_aclk, WE => E(0) ); RAM_reg_0_15_36_41: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => I123(37 downto 36), DIB(1 downto 0) => I123(39 downto 38), DIC(1 downto 0) => I123(41 downto 40), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_36_41_n_0, DOA(0) => RAM_reg_0_15_36_41_n_1, DOB(1) => RAM_reg_0_15_36_41_n_2, DOB(0) => RAM_reg_0_15_36_41_n_3, DOC(1) => RAM_reg_0_15_36_41_n_4, DOC(0) => RAM_reg_0_15_36_41_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_36_41_DOD_UNCONNECTED(1 downto 0), WCLK => s_aclk, WE => E(0) ); RAM_reg_0_15_42_47: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => I123(43 downto 42), DIB(1 downto 0) => I123(45 downto 44), DIC(1 downto 0) => I123(47 downto 46), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_42_47_n_0, DOA(0) => RAM_reg_0_15_42_47_n_1, DOB(1) => RAM_reg_0_15_42_47_n_2, DOB(0) => RAM_reg_0_15_42_47_n_3, DOC(1) => RAM_reg_0_15_42_47_n_4, DOC(0) => RAM_reg_0_15_42_47_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_42_47_DOD_UNCONNECTED(1 downto 0), WCLK => s_aclk, WE => E(0) ); RAM_reg_0_15_48_53: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => I123(49 downto 48), DIB(1 downto 0) => I123(51 downto 50), DIC(1 downto 0) => I123(53 downto 52), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_48_53_n_0, DOA(0) => RAM_reg_0_15_48_53_n_1, DOB(1) => RAM_reg_0_15_48_53_n_2, DOB(0) => RAM_reg_0_15_48_53_n_3, DOC(1) => RAM_reg_0_15_48_53_n_4, DOC(0) => RAM_reg_0_15_48_53_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_48_53_DOD_UNCONNECTED(1 downto 0), WCLK => s_aclk, WE => E(0) ); RAM_reg_0_15_54_59: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => I123(55 downto 54), DIB(1 downto 0) => I123(57 downto 56), DIC(1 downto 0) => I123(59 downto 58), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_54_59_n_0, DOA(0) => RAM_reg_0_15_54_59_n_1, DOB(1) => RAM_reg_0_15_54_59_n_2, DOB(0) => RAM_reg_0_15_54_59_n_3, DOC(1) => RAM_reg_0_15_54_59_n_4, DOC(0) => RAM_reg_0_15_54_59_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_54_59_DOD_UNCONNECTED(1 downto 0), WCLK => s_aclk, WE => E(0) ); RAM_reg_0_15_60_64: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => I123(61 downto 60), DIB(1 downto 0) => I123(63 downto 62), DIC(1) => '0', DIC(0) => I123(64), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_60_64_n_0, DOA(0) => RAM_reg_0_15_60_64_n_1, DOB(1) => RAM_reg_0_15_60_64_n_2, DOB(0) => RAM_reg_0_15_60_64_n_3, DOC(1) => NLW_RAM_reg_0_15_60_64_DOC_UNCONNECTED(1), DOC(0) => RAM_reg_0_15_60_64_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_60_64_DOD_UNCONNECTED(1 downto 0), WCLK => s_aclk, WE => E(0) ); RAM_reg_0_15_6_11: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => I123(7 downto 6), DIB(1 downto 0) => I123(9 downto 8), DIC(1 downto 0) => I123(11 downto 10), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_6_11_n_0, DOA(0) => RAM_reg_0_15_6_11_n_1, DOB(1) => RAM_reg_0_15_6_11_n_2, DOB(0) => RAM_reg_0_15_6_11_n_3, DOC(1) => RAM_reg_0_15_6_11_n_4, DOC(0) => RAM_reg_0_15_6_11_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_6_11_DOD_UNCONNECTED(1 downto 0), WCLK => s_aclk, WE => E(0) ); \gpr1.dout_i_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_0_5_n_1, Q => Q(0), R => '0' ); \gpr1.dout_i_reg[10]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_6_11_n_5, Q => Q(10), R => '0' ); \gpr1.dout_i_reg[11]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_6_11_n_4, Q => Q(11), R => '0' ); \gpr1.dout_i_reg[12]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_12_17_n_1, Q => Q(12), R => '0' ); \gpr1.dout_i_reg[13]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_12_17_n_0, Q => Q(13), R => '0' ); \gpr1.dout_i_reg[14]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_12_17_n_3, Q => Q(14), R => '0' ); \gpr1.dout_i_reg[15]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_12_17_n_2, Q => Q(15), R => '0' ); \gpr1.dout_i_reg[16]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_12_17_n_5, Q => Q(16), R => '0' ); \gpr1.dout_i_reg[17]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_12_17_n_4, Q => Q(17), R => '0' ); \gpr1.dout_i_reg[18]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_18_23_n_1, Q => Q(18), R => '0' ); \gpr1.dout_i_reg[19]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_18_23_n_0, Q => Q(19), R => '0' ); \gpr1.dout_i_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_0_5_n_0, Q => Q(1), R => '0' ); \gpr1.dout_i_reg[20]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_18_23_n_3, Q => Q(20), R => '0' ); \gpr1.dout_i_reg[21]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_18_23_n_2, Q => Q(21), R => '0' ); \gpr1.dout_i_reg[22]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_18_23_n_5, Q => Q(22), R => '0' ); \gpr1.dout_i_reg[23]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_18_23_n_4, Q => Q(23), R => '0' ); \gpr1.dout_i_reg[24]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_24_29_n_1, Q => Q(24), R => '0' ); \gpr1.dout_i_reg[25]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_24_29_n_0, Q => Q(25), R => '0' ); \gpr1.dout_i_reg[26]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_24_29_n_3, Q => Q(26), R => '0' ); \gpr1.dout_i_reg[27]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_24_29_n_2, Q => Q(27), R => '0' ); \gpr1.dout_i_reg[28]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_24_29_n_5, Q => Q(28), R => '0' ); \gpr1.dout_i_reg[29]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_24_29_n_4, Q => Q(29), R => '0' ); \gpr1.dout_i_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_0_5_n_3, Q => Q(2), R => '0' ); \gpr1.dout_i_reg[30]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_30_35_n_1, Q => Q(30), R => '0' ); \gpr1.dout_i_reg[31]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_30_35_n_0, Q => Q(31), R => '0' ); \gpr1.dout_i_reg[32]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_30_35_n_3, Q => Q(32), R => '0' ); \gpr1.dout_i_reg[33]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_30_35_n_2, Q => Q(33), R => '0' ); \gpr1.dout_i_reg[34]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_30_35_n_5, Q => Q(34), R => '0' ); \gpr1.dout_i_reg[35]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_30_35_n_4, Q => Q(35), R => '0' ); \gpr1.dout_i_reg[36]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_36_41_n_1, Q => Q(36), R => '0' ); \gpr1.dout_i_reg[37]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_36_41_n_0, Q => Q(37), R => '0' ); \gpr1.dout_i_reg[38]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_36_41_n_3, Q => Q(38), R => '0' ); \gpr1.dout_i_reg[39]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_36_41_n_2, Q => Q(39), R => '0' ); \gpr1.dout_i_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_0_5_n_2, Q => Q(3), R => '0' ); \gpr1.dout_i_reg[40]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_36_41_n_5, Q => Q(40), R => '0' ); \gpr1.dout_i_reg[41]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_36_41_n_4, Q => Q(41), R => '0' ); \gpr1.dout_i_reg[42]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_42_47_n_1, Q => Q(42), R => '0' ); \gpr1.dout_i_reg[43]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_42_47_n_0, Q => Q(43), R => '0' ); \gpr1.dout_i_reg[44]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_42_47_n_3, Q => Q(44), R => '0' ); \gpr1.dout_i_reg[45]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_42_47_n_2, Q => Q(45), R => '0' ); \gpr1.dout_i_reg[46]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_42_47_n_5, Q => Q(46), R => '0' ); \gpr1.dout_i_reg[47]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_42_47_n_4, Q => Q(47), R => '0' ); \gpr1.dout_i_reg[48]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_48_53_n_1, Q => Q(48), R => '0' ); \gpr1.dout_i_reg[49]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_48_53_n_0, Q => Q(49), R => '0' ); \gpr1.dout_i_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_0_5_n_5, Q => Q(4), R => '0' ); \gpr1.dout_i_reg[50]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_48_53_n_3, Q => Q(50), R => '0' ); \gpr1.dout_i_reg[51]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_48_53_n_2, Q => Q(51), R => '0' ); \gpr1.dout_i_reg[52]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_48_53_n_5, Q => Q(52), R => '0' ); \gpr1.dout_i_reg[53]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_48_53_n_4, Q => Q(53), R => '0' ); \gpr1.dout_i_reg[54]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_54_59_n_1, Q => Q(54), R => '0' ); \gpr1.dout_i_reg[55]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_54_59_n_0, Q => Q(55), R => '0' ); \gpr1.dout_i_reg[56]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_54_59_n_3, Q => Q(56), R => '0' ); \gpr1.dout_i_reg[57]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_54_59_n_2, Q => Q(57), R => '0' ); \gpr1.dout_i_reg[58]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_54_59_n_5, Q => Q(58), R => '0' ); \gpr1.dout_i_reg[59]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_54_59_n_4, Q => Q(59), R => '0' ); \gpr1.dout_i_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_0_5_n_4, Q => Q(5), R => '0' ); \gpr1.dout_i_reg[60]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_60_64_n_1, Q => Q(60), R => '0' ); \gpr1.dout_i_reg[61]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_60_64_n_0, Q => Q(61), R => '0' ); \gpr1.dout_i_reg[62]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_60_64_n_3, Q => Q(62), R => '0' ); \gpr1.dout_i_reg[63]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_60_64_n_2, Q => Q(63), R => '0' ); \gpr1.dout_i_reg[64]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_60_64_n_5, Q => Q(64), R => '0' ); \gpr1.dout_i_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_6_11_n_1, Q => Q(6), R => '0' ); \gpr1.dout_i_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_6_11_n_0, Q => Q(7), R => '0' ); \gpr1.dout_i_reg[8]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_6_11_n_3, Q => Q(8), R => '0' ); \gpr1.dout_i_reg[9]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_6_11_n_2, Q => Q(9), R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \bd_auto_cc_0_dmem__parameterized0\ is port ( Q : out STD_LOGIC_VECTOR ( 36 downto 0 ); s_aclk : in STD_LOGIC; E : in STD_LOGIC_VECTOR ( 0 to 0 ); I115 : in STD_LOGIC_VECTOR ( 36 downto 0 ); \gc0.count_d1_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \gic0.gc0.count_d2_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \gpregsm1.curr_fwft_state_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); m_aclk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \bd_auto_cc_0_dmem__parameterized0\ : entity is "dmem"; end \bd_auto_cc_0_dmem__parameterized0\; architecture STRUCTURE of \bd_auto_cc_0_dmem__parameterized0\ is signal RAM_reg_0_15_0_5_n_0 : STD_LOGIC; signal RAM_reg_0_15_0_5_n_1 : STD_LOGIC; signal RAM_reg_0_15_0_5_n_2 : STD_LOGIC; signal RAM_reg_0_15_0_5_n_3 : STD_LOGIC; signal RAM_reg_0_15_0_5_n_4 : STD_LOGIC; signal RAM_reg_0_15_0_5_n_5 : STD_LOGIC; signal RAM_reg_0_15_12_17_n_0 : STD_LOGIC; signal RAM_reg_0_15_12_17_n_1 : STD_LOGIC; signal RAM_reg_0_15_12_17_n_2 : STD_LOGIC; signal RAM_reg_0_15_12_17_n_3 : STD_LOGIC; signal RAM_reg_0_15_12_17_n_4 : STD_LOGIC; signal RAM_reg_0_15_12_17_n_5 : STD_LOGIC; signal RAM_reg_0_15_18_23_n_0 : STD_LOGIC; signal RAM_reg_0_15_18_23_n_1 : STD_LOGIC; signal RAM_reg_0_15_18_23_n_2 : STD_LOGIC; signal RAM_reg_0_15_18_23_n_3 : STD_LOGIC; signal RAM_reg_0_15_18_23_n_4 : STD_LOGIC; signal RAM_reg_0_15_18_23_n_5 : STD_LOGIC; signal RAM_reg_0_15_24_29_n_0 : STD_LOGIC; signal RAM_reg_0_15_24_29_n_1 : STD_LOGIC; signal RAM_reg_0_15_24_29_n_2 : STD_LOGIC; signal RAM_reg_0_15_24_29_n_3 : STD_LOGIC; signal RAM_reg_0_15_24_29_n_4 : STD_LOGIC; signal RAM_reg_0_15_24_29_n_5 : STD_LOGIC; signal RAM_reg_0_15_30_35_n_0 : STD_LOGIC; signal RAM_reg_0_15_30_35_n_1 : STD_LOGIC; signal RAM_reg_0_15_30_35_n_2 : STD_LOGIC; signal RAM_reg_0_15_30_35_n_3 : STD_LOGIC; signal RAM_reg_0_15_30_35_n_4 : STD_LOGIC; signal RAM_reg_0_15_30_35_n_5 : STD_LOGIC; signal RAM_reg_0_15_36_36_n_1 : STD_LOGIC; signal RAM_reg_0_15_6_11_n_0 : STD_LOGIC; signal RAM_reg_0_15_6_11_n_1 : STD_LOGIC; signal RAM_reg_0_15_6_11_n_2 : STD_LOGIC; signal RAM_reg_0_15_6_11_n_3 : STD_LOGIC; signal RAM_reg_0_15_6_11_n_4 : STD_LOGIC; signal RAM_reg_0_15_6_11_n_5 : STD_LOGIC; signal NLW_RAM_reg_0_15_0_5_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_12_17_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_18_23_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_24_29_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_30_35_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_36_36_DOA_UNCONNECTED : STD_LOGIC_VECTOR ( 1 to 1 ); signal NLW_RAM_reg_0_15_36_36_DOB_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_36_36_DOC_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_36_36_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_6_11_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); attribute METHODOLOGY_DRC_VIOS : string; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_0_5 : label is ""; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_12_17 : label is ""; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_18_23 : label is ""; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_24_29 : label is ""; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_30_35 : label is ""; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_36_36 : label is ""; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_6_11 : label is ""; begin RAM_reg_0_15_0_5: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => I115(1 downto 0), DIB(1 downto 0) => I115(3 downto 2), DIC(1 downto 0) => I115(5 downto 4), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_0_5_n_0, DOA(0) => RAM_reg_0_15_0_5_n_1, DOB(1) => RAM_reg_0_15_0_5_n_2, DOB(0) => RAM_reg_0_15_0_5_n_3, DOC(1) => RAM_reg_0_15_0_5_n_4, DOC(0) => RAM_reg_0_15_0_5_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_0_5_DOD_UNCONNECTED(1 downto 0), WCLK => s_aclk, WE => E(0) ); RAM_reg_0_15_12_17: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => I115(13 downto 12), DIB(1 downto 0) => I115(15 downto 14), DIC(1 downto 0) => I115(17 downto 16), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_12_17_n_0, DOA(0) => RAM_reg_0_15_12_17_n_1, DOB(1) => RAM_reg_0_15_12_17_n_2, DOB(0) => RAM_reg_0_15_12_17_n_3, DOC(1) => RAM_reg_0_15_12_17_n_4, DOC(0) => RAM_reg_0_15_12_17_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_12_17_DOD_UNCONNECTED(1 downto 0), WCLK => s_aclk, WE => E(0) ); RAM_reg_0_15_18_23: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => I115(19 downto 18), DIB(1 downto 0) => I115(21 downto 20), DIC(1 downto 0) => I115(23 downto 22), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_18_23_n_0, DOA(0) => RAM_reg_0_15_18_23_n_1, DOB(1) => RAM_reg_0_15_18_23_n_2, DOB(0) => RAM_reg_0_15_18_23_n_3, DOC(1) => RAM_reg_0_15_18_23_n_4, DOC(0) => RAM_reg_0_15_18_23_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_18_23_DOD_UNCONNECTED(1 downto 0), WCLK => s_aclk, WE => E(0) ); RAM_reg_0_15_24_29: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => I115(25 downto 24), DIB(1 downto 0) => I115(27 downto 26), DIC(1 downto 0) => I115(29 downto 28), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_24_29_n_0, DOA(0) => RAM_reg_0_15_24_29_n_1, DOB(1) => RAM_reg_0_15_24_29_n_2, DOB(0) => RAM_reg_0_15_24_29_n_3, DOC(1) => RAM_reg_0_15_24_29_n_4, DOC(0) => RAM_reg_0_15_24_29_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_24_29_DOD_UNCONNECTED(1 downto 0), WCLK => s_aclk, WE => E(0) ); RAM_reg_0_15_30_35: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => I115(31 downto 30), DIB(1 downto 0) => I115(33 downto 32), DIC(1 downto 0) => I115(35 downto 34), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_30_35_n_0, DOA(0) => RAM_reg_0_15_30_35_n_1, DOB(1) => RAM_reg_0_15_30_35_n_2, DOB(0) => RAM_reg_0_15_30_35_n_3, DOC(1) => RAM_reg_0_15_30_35_n_4, DOC(0) => RAM_reg_0_15_30_35_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_30_35_DOD_UNCONNECTED(1 downto 0), WCLK => s_aclk, WE => E(0) ); RAM_reg_0_15_36_36: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1) => '0', DIA(0) => I115(36), DIB(1 downto 0) => B"00", DIC(1 downto 0) => B"00", DID(1 downto 0) => B"00", DOA(1) => NLW_RAM_reg_0_15_36_36_DOA_UNCONNECTED(1), DOA(0) => RAM_reg_0_15_36_36_n_1, DOB(1 downto 0) => NLW_RAM_reg_0_15_36_36_DOB_UNCONNECTED(1 downto 0), DOC(1 downto 0) => NLW_RAM_reg_0_15_36_36_DOC_UNCONNECTED(1 downto 0), DOD(1 downto 0) => NLW_RAM_reg_0_15_36_36_DOD_UNCONNECTED(1 downto 0), WCLK => s_aclk, WE => E(0) ); RAM_reg_0_15_6_11: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => I115(7 downto 6), DIB(1 downto 0) => I115(9 downto 8), DIC(1 downto 0) => I115(11 downto 10), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_6_11_n_0, DOA(0) => RAM_reg_0_15_6_11_n_1, DOB(1) => RAM_reg_0_15_6_11_n_2, DOB(0) => RAM_reg_0_15_6_11_n_3, DOC(1) => RAM_reg_0_15_6_11_n_4, DOC(0) => RAM_reg_0_15_6_11_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_6_11_DOD_UNCONNECTED(1 downto 0), WCLK => s_aclk, WE => E(0) ); \gpr1.dout_i_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_0_5_n_1, Q => Q(0), R => '0' ); \gpr1.dout_i_reg[10]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_6_11_n_5, Q => Q(10), R => '0' ); \gpr1.dout_i_reg[11]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_6_11_n_4, Q => Q(11), R => '0' ); \gpr1.dout_i_reg[12]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_12_17_n_1, Q => Q(12), R => '0' ); \gpr1.dout_i_reg[13]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_12_17_n_0, Q => Q(13), R => '0' ); \gpr1.dout_i_reg[14]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_12_17_n_3, Q => Q(14), R => '0' ); \gpr1.dout_i_reg[15]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_12_17_n_2, Q => Q(15), R => '0' ); \gpr1.dout_i_reg[16]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_12_17_n_5, Q => Q(16), R => '0' ); \gpr1.dout_i_reg[17]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_12_17_n_4, Q => Q(17), R => '0' ); \gpr1.dout_i_reg[18]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_18_23_n_1, Q => Q(18), R => '0' ); \gpr1.dout_i_reg[19]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_18_23_n_0, Q => Q(19), R => '0' ); \gpr1.dout_i_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_0_5_n_0, Q => Q(1), R => '0' ); \gpr1.dout_i_reg[20]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_18_23_n_3, Q => Q(20), R => '0' ); \gpr1.dout_i_reg[21]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_18_23_n_2, Q => Q(21), R => '0' ); \gpr1.dout_i_reg[22]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_18_23_n_5, Q => Q(22), R => '0' ); \gpr1.dout_i_reg[23]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_18_23_n_4, Q => Q(23), R => '0' ); \gpr1.dout_i_reg[24]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_24_29_n_1, Q => Q(24), R => '0' ); \gpr1.dout_i_reg[25]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_24_29_n_0, Q => Q(25), R => '0' ); \gpr1.dout_i_reg[26]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_24_29_n_3, Q => Q(26), R => '0' ); \gpr1.dout_i_reg[27]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_24_29_n_2, Q => Q(27), R => '0' ); \gpr1.dout_i_reg[28]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_24_29_n_5, Q => Q(28), R => '0' ); \gpr1.dout_i_reg[29]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_24_29_n_4, Q => Q(29), R => '0' ); \gpr1.dout_i_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_0_5_n_3, Q => Q(2), R => '0' ); \gpr1.dout_i_reg[30]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_30_35_n_1, Q => Q(30), R => '0' ); \gpr1.dout_i_reg[31]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_30_35_n_0, Q => Q(31), R => '0' ); \gpr1.dout_i_reg[32]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_30_35_n_3, Q => Q(32), R => '0' ); \gpr1.dout_i_reg[33]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_30_35_n_2, Q => Q(33), R => '0' ); \gpr1.dout_i_reg[34]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_30_35_n_5, Q => Q(34), R => '0' ); \gpr1.dout_i_reg[35]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_30_35_n_4, Q => Q(35), R => '0' ); \gpr1.dout_i_reg[36]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_36_36_n_1, Q => Q(36), R => '0' ); \gpr1.dout_i_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_0_5_n_2, Q => Q(3), R => '0' ); \gpr1.dout_i_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_0_5_n_5, Q => Q(4), R => '0' ); \gpr1.dout_i_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_0_5_n_4, Q => Q(5), R => '0' ); \gpr1.dout_i_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_6_11_n_1, Q => Q(6), R => '0' ); \gpr1.dout_i_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_6_11_n_0, Q => Q(7), R => '0' ); \gpr1.dout_i_reg[8]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_6_11_n_3, Q => Q(8), R => '0' ); \gpr1.dout_i_reg[9]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_6_11_n_2, Q => Q(9), R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \bd_auto_cc_0_dmem__parameterized1\ is port ( Q : out STD_LOGIC_VECTOR ( 5 downto 0 ); m_aclk : in STD_LOGIC; E : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_bid : in STD_LOGIC_VECTOR ( 3 downto 0 ); \gc0.count_d1_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \gic0.gc0.count_d2_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \gpregsm1.curr_fwft_state_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); s_aclk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \bd_auto_cc_0_dmem__parameterized1\ : entity is "dmem"; end \bd_auto_cc_0_dmem__parameterized1\; architecture STRUCTURE of \bd_auto_cc_0_dmem__parameterized1\ is signal RAM_reg_0_15_0_5_n_0 : STD_LOGIC; signal RAM_reg_0_15_0_5_n_1 : STD_LOGIC; signal RAM_reg_0_15_0_5_n_2 : STD_LOGIC; signal RAM_reg_0_15_0_5_n_3 : STD_LOGIC; signal RAM_reg_0_15_0_5_n_4 : STD_LOGIC; signal RAM_reg_0_15_0_5_n_5 : STD_LOGIC; signal NLW_RAM_reg_0_15_0_5_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); attribute METHODOLOGY_DRC_VIOS : string; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_0_5 : label is ""; begin RAM_reg_0_15_0_5: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => m_axi_bresp(1 downto 0), DIB(1 downto 0) => m_axi_bid(1 downto 0), DIC(1 downto 0) => m_axi_bid(3 downto 2), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_0_5_n_0, DOA(0) => RAM_reg_0_15_0_5_n_1, DOB(1) => RAM_reg_0_15_0_5_n_2, DOB(0) => RAM_reg_0_15_0_5_n_3, DOC(1) => RAM_reg_0_15_0_5_n_4, DOC(0) => RAM_reg_0_15_0_5_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_0_5_DOD_UNCONNECTED(1 downto 0), WCLK => m_aclk, WE => E(0) ); \gpr1.dout_i_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_0_5_n_1, Q => Q(0), R => '0' ); \gpr1.dout_i_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_0_5_n_0, Q => Q(1), R => '0' ); \gpr1.dout_i_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_0_5_n_3, Q => Q(2), R => '0' ); \gpr1.dout_i_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_0_5_n_2, Q => Q(3), R => '0' ); \gpr1.dout_i_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_0_5_n_5, Q => Q(4), R => '0' ); \gpr1.dout_i_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_0_5_n_4, Q => Q(5), R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \bd_auto_cc_0_dmem__parameterized2\ is port ( Q : out STD_LOGIC_VECTOR ( 38 downto 0 ); m_aclk : in STD_LOGIC; E : in STD_LOGIC_VECTOR ( 0 to 0 ); I127 : in STD_LOGIC_VECTOR ( 38 downto 0 ); \gc0.count_d1_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \gic0.gc0.count_d2_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \gpregsm1.curr_fwft_state_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); s_aclk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \bd_auto_cc_0_dmem__parameterized2\ : entity is "dmem"; end \bd_auto_cc_0_dmem__parameterized2\; architecture STRUCTURE of \bd_auto_cc_0_dmem__parameterized2\ is signal RAM_reg_0_15_0_5_n_0 : STD_LOGIC; signal RAM_reg_0_15_0_5_n_1 : STD_LOGIC; signal RAM_reg_0_15_0_5_n_2 : STD_LOGIC; signal RAM_reg_0_15_0_5_n_3 : STD_LOGIC; signal RAM_reg_0_15_0_5_n_4 : STD_LOGIC; signal RAM_reg_0_15_0_5_n_5 : STD_LOGIC; signal RAM_reg_0_15_12_17_n_0 : STD_LOGIC; signal RAM_reg_0_15_12_17_n_1 : STD_LOGIC; signal RAM_reg_0_15_12_17_n_2 : STD_LOGIC; signal RAM_reg_0_15_12_17_n_3 : STD_LOGIC; signal RAM_reg_0_15_12_17_n_4 : STD_LOGIC; signal RAM_reg_0_15_12_17_n_5 : STD_LOGIC; signal RAM_reg_0_15_18_23_n_0 : STD_LOGIC; signal RAM_reg_0_15_18_23_n_1 : STD_LOGIC; signal RAM_reg_0_15_18_23_n_2 : STD_LOGIC; signal RAM_reg_0_15_18_23_n_3 : STD_LOGIC; signal RAM_reg_0_15_18_23_n_4 : STD_LOGIC; signal RAM_reg_0_15_18_23_n_5 : STD_LOGIC; signal RAM_reg_0_15_24_29_n_0 : STD_LOGIC; signal RAM_reg_0_15_24_29_n_1 : STD_LOGIC; signal RAM_reg_0_15_24_29_n_2 : STD_LOGIC; signal RAM_reg_0_15_24_29_n_3 : STD_LOGIC; signal RAM_reg_0_15_24_29_n_4 : STD_LOGIC; signal RAM_reg_0_15_24_29_n_5 : STD_LOGIC; signal RAM_reg_0_15_30_35_n_0 : STD_LOGIC; signal RAM_reg_0_15_30_35_n_1 : STD_LOGIC; signal RAM_reg_0_15_30_35_n_2 : STD_LOGIC; signal RAM_reg_0_15_30_35_n_3 : STD_LOGIC; signal RAM_reg_0_15_30_35_n_4 : STD_LOGIC; signal RAM_reg_0_15_30_35_n_5 : STD_LOGIC; signal RAM_reg_0_15_36_38_n_0 : STD_LOGIC; signal RAM_reg_0_15_36_38_n_1 : STD_LOGIC; signal RAM_reg_0_15_36_38_n_3 : STD_LOGIC; signal RAM_reg_0_15_6_11_n_0 : STD_LOGIC; signal RAM_reg_0_15_6_11_n_1 : STD_LOGIC; signal RAM_reg_0_15_6_11_n_2 : STD_LOGIC; signal RAM_reg_0_15_6_11_n_3 : STD_LOGIC; signal RAM_reg_0_15_6_11_n_4 : STD_LOGIC; signal RAM_reg_0_15_6_11_n_5 : STD_LOGIC; signal NLW_RAM_reg_0_15_0_5_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_12_17_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_18_23_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_24_29_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_30_35_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_36_38_DOB_UNCONNECTED : STD_LOGIC_VECTOR ( 1 to 1 ); signal NLW_RAM_reg_0_15_36_38_DOC_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_36_38_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_6_11_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); attribute METHODOLOGY_DRC_VIOS : string; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_0_5 : label is ""; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_12_17 : label is ""; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_18_23 : label is ""; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_24_29 : label is ""; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_30_35 : label is ""; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_36_38 : label is ""; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_6_11 : label is ""; begin RAM_reg_0_15_0_5: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => I127(1 downto 0), DIB(1 downto 0) => I127(3 downto 2), DIC(1 downto 0) => I127(5 downto 4), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_0_5_n_0, DOA(0) => RAM_reg_0_15_0_5_n_1, DOB(1) => RAM_reg_0_15_0_5_n_2, DOB(0) => RAM_reg_0_15_0_5_n_3, DOC(1) => RAM_reg_0_15_0_5_n_4, DOC(0) => RAM_reg_0_15_0_5_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_0_5_DOD_UNCONNECTED(1 downto 0), WCLK => m_aclk, WE => E(0) ); RAM_reg_0_15_12_17: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => I127(13 downto 12), DIB(1 downto 0) => I127(15 downto 14), DIC(1 downto 0) => I127(17 downto 16), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_12_17_n_0, DOA(0) => RAM_reg_0_15_12_17_n_1, DOB(1) => RAM_reg_0_15_12_17_n_2, DOB(0) => RAM_reg_0_15_12_17_n_3, DOC(1) => RAM_reg_0_15_12_17_n_4, DOC(0) => RAM_reg_0_15_12_17_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_12_17_DOD_UNCONNECTED(1 downto 0), WCLK => m_aclk, WE => E(0) ); RAM_reg_0_15_18_23: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => I127(19 downto 18), DIB(1 downto 0) => I127(21 downto 20), DIC(1 downto 0) => I127(23 downto 22), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_18_23_n_0, DOA(0) => RAM_reg_0_15_18_23_n_1, DOB(1) => RAM_reg_0_15_18_23_n_2, DOB(0) => RAM_reg_0_15_18_23_n_3, DOC(1) => RAM_reg_0_15_18_23_n_4, DOC(0) => RAM_reg_0_15_18_23_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_18_23_DOD_UNCONNECTED(1 downto 0), WCLK => m_aclk, WE => E(0) ); RAM_reg_0_15_24_29: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => I127(25 downto 24), DIB(1 downto 0) => I127(27 downto 26), DIC(1 downto 0) => I127(29 downto 28), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_24_29_n_0, DOA(0) => RAM_reg_0_15_24_29_n_1, DOB(1) => RAM_reg_0_15_24_29_n_2, DOB(0) => RAM_reg_0_15_24_29_n_3, DOC(1) => RAM_reg_0_15_24_29_n_4, DOC(0) => RAM_reg_0_15_24_29_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_24_29_DOD_UNCONNECTED(1 downto 0), WCLK => m_aclk, WE => E(0) ); RAM_reg_0_15_30_35: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => I127(31 downto 30), DIB(1 downto 0) => I127(33 downto 32), DIC(1 downto 0) => I127(35 downto 34), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_30_35_n_0, DOA(0) => RAM_reg_0_15_30_35_n_1, DOB(1) => RAM_reg_0_15_30_35_n_2, DOB(0) => RAM_reg_0_15_30_35_n_3, DOC(1) => RAM_reg_0_15_30_35_n_4, DOC(0) => RAM_reg_0_15_30_35_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_30_35_DOD_UNCONNECTED(1 downto 0), WCLK => m_aclk, WE => E(0) ); RAM_reg_0_15_36_38: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => I127(37 downto 36), DIB(1) => '0', DIB(0) => I127(38), DIC(1 downto 0) => B"00", DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_36_38_n_0, DOA(0) => RAM_reg_0_15_36_38_n_1, DOB(1) => NLW_RAM_reg_0_15_36_38_DOB_UNCONNECTED(1), DOB(0) => RAM_reg_0_15_36_38_n_3, DOC(1 downto 0) => NLW_RAM_reg_0_15_36_38_DOC_UNCONNECTED(1 downto 0), DOD(1 downto 0) => NLW_RAM_reg_0_15_36_38_DOD_UNCONNECTED(1 downto 0), WCLK => m_aclk, WE => E(0) ); RAM_reg_0_15_6_11: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => I127(7 downto 6), DIB(1 downto 0) => I127(9 downto 8), DIC(1 downto 0) => I127(11 downto 10), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_6_11_n_0, DOA(0) => RAM_reg_0_15_6_11_n_1, DOB(1) => RAM_reg_0_15_6_11_n_2, DOB(0) => RAM_reg_0_15_6_11_n_3, DOC(1) => RAM_reg_0_15_6_11_n_4, DOC(0) => RAM_reg_0_15_6_11_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_6_11_DOD_UNCONNECTED(1 downto 0), WCLK => m_aclk, WE => E(0) ); \gpr1.dout_i_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_0_5_n_1, Q => Q(0), R => '0' ); \gpr1.dout_i_reg[10]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_6_11_n_5, Q => Q(10), R => '0' ); \gpr1.dout_i_reg[11]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_6_11_n_4, Q => Q(11), R => '0' ); \gpr1.dout_i_reg[12]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_12_17_n_1, Q => Q(12), R => '0' ); \gpr1.dout_i_reg[13]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_12_17_n_0, Q => Q(13), R => '0' ); \gpr1.dout_i_reg[14]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_12_17_n_3, Q => Q(14), R => '0' ); \gpr1.dout_i_reg[15]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_12_17_n_2, Q => Q(15), R => '0' ); \gpr1.dout_i_reg[16]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_12_17_n_5, Q => Q(16), R => '0' ); \gpr1.dout_i_reg[17]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_12_17_n_4, Q => Q(17), R => '0' ); \gpr1.dout_i_reg[18]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_18_23_n_1, Q => Q(18), R => '0' ); \gpr1.dout_i_reg[19]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_18_23_n_0, Q => Q(19), R => '0' ); \gpr1.dout_i_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_0_5_n_0, Q => Q(1), R => '0' ); \gpr1.dout_i_reg[20]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_18_23_n_3, Q => Q(20), R => '0' ); \gpr1.dout_i_reg[21]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_18_23_n_2, Q => Q(21), R => '0' ); \gpr1.dout_i_reg[22]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_18_23_n_5, Q => Q(22), R => '0' ); \gpr1.dout_i_reg[23]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_18_23_n_4, Q => Q(23), R => '0' ); \gpr1.dout_i_reg[24]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_24_29_n_1, Q => Q(24), R => '0' ); \gpr1.dout_i_reg[25]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_24_29_n_0, Q => Q(25), R => '0' ); \gpr1.dout_i_reg[26]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_24_29_n_3, Q => Q(26), R => '0' ); \gpr1.dout_i_reg[27]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_24_29_n_2, Q => Q(27), R => '0' ); \gpr1.dout_i_reg[28]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_24_29_n_5, Q => Q(28), R => '0' ); \gpr1.dout_i_reg[29]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_24_29_n_4, Q => Q(29), R => '0' ); \gpr1.dout_i_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_0_5_n_3, Q => Q(2), R => '0' ); \gpr1.dout_i_reg[30]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_30_35_n_1, Q => Q(30), R => '0' ); \gpr1.dout_i_reg[31]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_30_35_n_0, Q => Q(31), R => '0' ); \gpr1.dout_i_reg[32]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_30_35_n_3, Q => Q(32), R => '0' ); \gpr1.dout_i_reg[33]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_30_35_n_2, Q => Q(33), R => '0' ); \gpr1.dout_i_reg[34]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_30_35_n_5, Q => Q(34), R => '0' ); \gpr1.dout_i_reg[35]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_30_35_n_4, Q => Q(35), R => '0' ); \gpr1.dout_i_reg[36]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_36_38_n_1, Q => Q(36), R => '0' ); \gpr1.dout_i_reg[37]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_36_38_n_0, Q => Q(37), R => '0' ); \gpr1.dout_i_reg[38]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_36_38_n_3, Q => Q(38), R => '0' ); \gpr1.dout_i_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_0_5_n_2, Q => Q(3), R => '0' ); \gpr1.dout_i_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_0_5_n_5, Q => Q(4), R => '0' ); \gpr1.dout_i_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_0_5_n_4, Q => Q(5), R => '0' ); \gpr1.dout_i_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_6_11_n_1, Q => Q(6), R => '0' ); \gpr1.dout_i_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_6_11_n_0, Q => Q(7), R => '0' ); \gpr1.dout_i_reg[8]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_6_11_n_3, Q => Q(8), R => '0' ); \gpr1.dout_i_reg[9]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_6_11_n_2, Q => Q(9), R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity bd_auto_cc_0_rd_bin_cntr is port ( Q : out STD_LOGIC_VECTOR ( 3 downto 0 ); ram_empty_i_reg : out STD_LOGIC; D : out STD_LOGIC_VECTOR ( 2 downto 0 ); \gnxpm_cdc.rd_pntr_gc_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \gnxpm_cdc.wr_pntr_bin_reg[2]\ : in STD_LOGIC; \gpregsm1.curr_fwft_state_reg[1]\ : in STD_LOGIC; \gnxpm_cdc.wr_pntr_bin_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); E : in STD_LOGIC_VECTOR ( 0 to 0 ); s_aclk : in STD_LOGIC; \out\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); end bd_auto_cc_0_rd_bin_cntr; architecture STRUCTURE of bd_auto_cc_0_rd_bin_cntr is signal \^q\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \^gnxpm_cdc.rd_pntr_gc_reg[3]\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \plusOp__6\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \ram_empty_i_i_2__2_n_0\ : STD_LOGIC; signal \ram_empty_i_i_3__2_n_0\ : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \gc0.count[2]_i_1__2\ : label is "soft_lutpair28"; attribute SOFT_HLUTNM of \gc0.count[3]_i_1__2\ : label is "soft_lutpair28"; attribute SOFT_HLUTNM of \gnxpm_cdc.rd_pntr_gc[0]_i_1__2\ : label is "soft_lutpair27"; attribute SOFT_HLUTNM of \gnxpm_cdc.rd_pntr_gc[2]_i_1__2\ : label is "soft_lutpair26"; attribute SOFT_HLUTNM of \ram_empty_i_i_2__2\ : label is "soft_lutpair26"; attribute SOFT_HLUTNM of \ram_empty_i_i_3__2\ : label is "soft_lutpair27"; begin Q(3 downto 0) <= \^q\(3 downto 0); \gnxpm_cdc.rd_pntr_gc_reg[3]\(3 downto 0) <= \^gnxpm_cdc.rd_pntr_gc_reg[3]\(3 downto 0); \gc0.count[0]_i_1__2\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \^q\(0), O => \plusOp__6\(0) ); \gc0.count[1]_i_1__2\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \^q\(0), I1 => \^q\(1), O => \plusOp__6\(1) ); \gc0.count[2]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"78" ) port map ( I0 => \^q\(1), I1 => \^q\(0), I2 => \^q\(2), O => \plusOp__6\(2) ); \gc0.count[3]_i_1__2\: unisim.vcomponents.LUT4 generic map( INIT => X"7F80" ) port map ( I0 => \^q\(2), I1 => \^q\(0), I2 => \^q\(1), I3 => \^q\(3), O => \plusOp__6\(3) ); \gc0.count_d1_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => \out\(0), D => \^q\(0), Q => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(0) ); \gc0.count_d1_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => \out\(0), D => \^q\(1), Q => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(1) ); \gc0.count_d1_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => \out\(0), D => \^q\(2), Q => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(2) ); \gc0.count_d1_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => \out\(0), D => \^q\(3), Q => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(3) ); \gc0.count_reg[0]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => E(0), D => \plusOp__6\(0), PRE => \out\(0), Q => \^q\(0) ); \gc0.count_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => \out\(0), D => \plusOp__6\(1), Q => \^q\(1) ); \gc0.count_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => \out\(0), D => \plusOp__6\(2), Q => \^q\(2) ); \gc0.count_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => \out\(0), D => \plusOp__6\(3), Q => \^q\(3) ); \gnxpm_cdc.rd_pntr_gc[0]_i_1__2\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(0), I1 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(1), O => D(0) ); \gnxpm_cdc.rd_pntr_gc[1]_i_1__2\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(1), I1 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(2), O => D(1) ); \gnxpm_cdc.rd_pntr_gc[2]_i_1__2\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(2), I1 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(3), O => D(2) ); \ram_empty_i_i_1__2\: unisim.vcomponents.LUT4 generic map( INIT => X"F888" ) port map ( I0 => \ram_empty_i_i_2__2_n_0\, I1 => \ram_empty_i_i_3__2_n_0\, I2 => \gnxpm_cdc.wr_pntr_bin_reg[2]\, I3 => \gpregsm1.curr_fwft_state_reg[1]\, O => ram_empty_i_reg ); \ram_empty_i_i_2__2\: unisim.vcomponents.LUT4 generic map( INIT => X"9009" ) port map ( I0 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(2), I1 => \gnxpm_cdc.wr_pntr_bin_reg[3]\(2), I2 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(3), I3 => \gnxpm_cdc.wr_pntr_bin_reg[3]\(3), O => \ram_empty_i_i_2__2_n_0\ ); \ram_empty_i_i_3__2\: unisim.vcomponents.LUT4 generic map( INIT => X"9009" ) port map ( I0 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(0), I1 => \gnxpm_cdc.wr_pntr_bin_reg[3]\(0), I2 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(1), I3 => \gnxpm_cdc.wr_pntr_bin_reg[3]\(1), O => \ram_empty_i_i_3__2_n_0\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity bd_auto_cc_0_rd_bin_cntr_20 is port ( Q : out STD_LOGIC_VECTOR ( 3 downto 0 ); ram_empty_i_reg : out STD_LOGIC; D : out STD_LOGIC_VECTOR ( 2 downto 0 ); \gnxpm_cdc.rd_pntr_gc_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \gnxpm_cdc.wr_pntr_bin_reg[2]\ : in STD_LOGIC; \gpregsm1.curr_fwft_state_reg[1]\ : in STD_LOGIC; \gnxpm_cdc.wr_pntr_bin_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); E : in STD_LOGIC_VECTOR ( 0 to 0 ); m_aclk : in STD_LOGIC; \out\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of bd_auto_cc_0_rd_bin_cntr_20 : entity is "rd_bin_cntr"; end bd_auto_cc_0_rd_bin_cntr_20; architecture STRUCTURE of bd_auto_cc_0_rd_bin_cntr_20 is signal \^q\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \^gnxpm_cdc.rd_pntr_gc_reg[3]\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \plusOp__0\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \ram_empty_i_i_2__0_n_0\ : STD_LOGIC; signal \ram_empty_i_i_3__0_n_0\ : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \gc0.count[2]_i_1__0\ : label is "soft_lutpair22"; attribute SOFT_HLUTNM of \gc0.count[3]_i_1__0\ : label is "soft_lutpair22"; attribute SOFT_HLUTNM of \gnxpm_cdc.rd_pntr_gc[0]_i_1__0\ : label is "soft_lutpair21"; attribute SOFT_HLUTNM of \gnxpm_cdc.rd_pntr_gc[2]_i_1__0\ : label is "soft_lutpair20"; attribute SOFT_HLUTNM of \ram_empty_i_i_2__0\ : label is "soft_lutpair20"; attribute SOFT_HLUTNM of \ram_empty_i_i_3__0\ : label is "soft_lutpair21"; begin Q(3 downto 0) <= \^q\(3 downto 0); \gnxpm_cdc.rd_pntr_gc_reg[3]\(3 downto 0) <= \^gnxpm_cdc.rd_pntr_gc_reg[3]\(3 downto 0); \gc0.count[0]_i_1__0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \^q\(0), O => \plusOp__0\(0) ); \gc0.count[1]_i_1__0\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \^q\(0), I1 => \^q\(1), O => \plusOp__0\(1) ); \gc0.count[2]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"78" ) port map ( I0 => \^q\(1), I1 => \^q\(0), I2 => \^q\(2), O => \plusOp__0\(2) ); \gc0.count[3]_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"7F80" ) port map ( I0 => \^q\(2), I1 => \^q\(0), I2 => \^q\(1), I3 => \^q\(3), O => \plusOp__0\(3) ); \gc0.count_d1_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), CLR => \out\(0), D => \^q\(0), Q => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(0) ); \gc0.count_d1_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), CLR => \out\(0), D => \^q\(1), Q => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(1) ); \gc0.count_d1_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), CLR => \out\(0), D => \^q\(2), Q => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(2) ); \gc0.count_d1_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), CLR => \out\(0), D => \^q\(3), Q => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(3) ); \gc0.count_reg[0]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => E(0), D => \plusOp__0\(0), PRE => \out\(0), Q => \^q\(0) ); \gc0.count_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), CLR => \out\(0), D => \plusOp__0\(1), Q => \^q\(1) ); \gc0.count_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), CLR => \out\(0), D => \plusOp__0\(2), Q => \^q\(2) ); \gc0.count_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), CLR => \out\(0), D => \plusOp__0\(3), Q => \^q\(3) ); \gnxpm_cdc.rd_pntr_gc[0]_i_1__0\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(0), I1 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(1), O => D(0) ); \gnxpm_cdc.rd_pntr_gc[1]_i_1__0\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(1), I1 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(2), O => D(1) ); \gnxpm_cdc.rd_pntr_gc[2]_i_1__0\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(2), I1 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(3), O => D(2) ); \ram_empty_i_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"F888" ) port map ( I0 => \ram_empty_i_i_2__0_n_0\, I1 => \ram_empty_i_i_3__0_n_0\, I2 => \gnxpm_cdc.wr_pntr_bin_reg[2]\, I3 => \gpregsm1.curr_fwft_state_reg[1]\, O => ram_empty_i_reg ); \ram_empty_i_i_2__0\: unisim.vcomponents.LUT4 generic map( INIT => X"9009" ) port map ( I0 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(2), I1 => \gnxpm_cdc.wr_pntr_bin_reg[3]\(2), I2 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(3), I3 => \gnxpm_cdc.wr_pntr_bin_reg[3]\(3), O => \ram_empty_i_i_2__0_n_0\ ); \ram_empty_i_i_3__0\: unisim.vcomponents.LUT4 generic map( INIT => X"9009" ) port map ( I0 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(0), I1 => \gnxpm_cdc.wr_pntr_bin_reg[3]\(0), I2 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(1), I3 => \gnxpm_cdc.wr_pntr_bin_reg[3]\(1), O => \ram_empty_i_i_3__0_n_0\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity bd_auto_cc_0_rd_bin_cntr_41 is port ( Q : out STD_LOGIC_VECTOR ( 3 downto 0 ); ram_empty_i_reg : out STD_LOGIC; \gnxpm_cdc.rd_pntr_gc_reg[2]\ : out STD_LOGIC_VECTOR ( 2 downto 0 ); \gnxpm_cdc.rd_pntr_gc_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \gnxpm_cdc.wr_pntr_bin_reg[2]\ : in STD_LOGIC; \gpregsm1.curr_fwft_state_reg[1]\ : in STD_LOGIC; \gnxpm_cdc.wr_pntr_bin_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); E : in STD_LOGIC_VECTOR ( 0 to 0 ); m_aclk : in STD_LOGIC; \out\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of bd_auto_cc_0_rd_bin_cntr_41 : entity is "rd_bin_cntr"; end bd_auto_cc_0_rd_bin_cntr_41; architecture STRUCTURE of bd_auto_cc_0_rd_bin_cntr_41 is signal \^q\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \^gnxpm_cdc.rd_pntr_gc_reg[3]\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal plusOp : STD_LOGIC_VECTOR ( 3 downto 0 ); signal ram_empty_i_i_2_n_0 : STD_LOGIC; signal ram_empty_i_i_3_n_0 : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \gc0.count[2]_i_1\ : label is "soft_lutpair16"; attribute SOFT_HLUTNM of \gc0.count[3]_i_1\ : label is "soft_lutpair16"; attribute SOFT_HLUTNM of \gnxpm_cdc.rd_pntr_gc[0]_i_1\ : label is "soft_lutpair15"; attribute SOFT_HLUTNM of \gnxpm_cdc.rd_pntr_gc[2]_i_1\ : label is "soft_lutpair14"; attribute SOFT_HLUTNM of ram_empty_i_i_2 : label is "soft_lutpair14"; attribute SOFT_HLUTNM of ram_empty_i_i_3 : label is "soft_lutpair15"; begin Q(3 downto 0) <= \^q\(3 downto 0); \gnxpm_cdc.rd_pntr_gc_reg[3]\(3 downto 0) <= \^gnxpm_cdc.rd_pntr_gc_reg[3]\(3 downto 0); \gc0.count[0]_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \^q\(0), O => plusOp(0) ); \gc0.count[1]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \^q\(0), I1 => \^q\(1), O => plusOp(1) ); \gc0.count[2]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"78" ) port map ( I0 => \^q\(1), I1 => \^q\(0), I2 => \^q\(2), O => plusOp(2) ); \gc0.count[3]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"7F80" ) port map ( I0 => \^q\(2), I1 => \^q\(0), I2 => \^q\(1), I3 => \^q\(3), O => plusOp(3) ); \gc0.count_d1_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), CLR => \out\(0), D => \^q\(0), Q => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(0) ); \gc0.count_d1_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), CLR => \out\(0), D => \^q\(1), Q => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(1) ); \gc0.count_d1_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), CLR => \out\(0), D => \^q\(2), Q => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(2) ); \gc0.count_d1_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), CLR => \out\(0), D => \^q\(3), Q => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(3) ); \gc0.count_reg[0]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => E(0), D => plusOp(0), PRE => \out\(0), Q => \^q\(0) ); \gc0.count_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), CLR => \out\(0), D => plusOp(1), Q => \^q\(1) ); \gc0.count_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), CLR => \out\(0), D => plusOp(2), Q => \^q\(2) ); \gc0.count_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), CLR => \out\(0), D => plusOp(3), Q => \^q\(3) ); \gnxpm_cdc.rd_pntr_gc[0]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(0), I1 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(1), O => \gnxpm_cdc.rd_pntr_gc_reg[2]\(0) ); \gnxpm_cdc.rd_pntr_gc[1]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(1), I1 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(2), O => \gnxpm_cdc.rd_pntr_gc_reg[2]\(1) ); \gnxpm_cdc.rd_pntr_gc[2]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(2), I1 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(3), O => \gnxpm_cdc.rd_pntr_gc_reg[2]\(2) ); ram_empty_i_i_1: unisim.vcomponents.LUT4 generic map( INIT => X"F888" ) port map ( I0 => ram_empty_i_i_2_n_0, I1 => ram_empty_i_i_3_n_0, I2 => \gnxpm_cdc.wr_pntr_bin_reg[2]\, I3 => \gpregsm1.curr_fwft_state_reg[1]\, O => ram_empty_i_reg ); ram_empty_i_i_2: unisim.vcomponents.LUT4 generic map( INIT => X"9009" ) port map ( I0 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(2), I1 => \gnxpm_cdc.wr_pntr_bin_reg[3]\(2), I2 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(3), I3 => \gnxpm_cdc.wr_pntr_bin_reg[3]\(3), O => ram_empty_i_i_2_n_0 ); ram_empty_i_i_3: unisim.vcomponents.LUT4 generic map( INIT => X"9009" ) port map ( I0 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(0), I1 => \gnxpm_cdc.wr_pntr_bin_reg[3]\(0), I2 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(1), I3 => \gnxpm_cdc.wr_pntr_bin_reg[3]\(1), O => ram_empty_i_i_3_n_0 ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity bd_auto_cc_0_rd_bin_cntr_62 is port ( Q : out STD_LOGIC_VECTOR ( 3 downto 0 ); ram_empty_i_reg : out STD_LOGIC; D : out STD_LOGIC_VECTOR ( 2 downto 0 ); \gnxpm_cdc.rd_pntr_gc_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \gnxpm_cdc.wr_pntr_bin_reg[2]\ : in STD_LOGIC; \gpregsm1.curr_fwft_state_reg[1]\ : in STD_LOGIC; \gnxpm_cdc.wr_pntr_bin_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); E : in STD_LOGIC_VECTOR ( 0 to 0 ); s_aclk : in STD_LOGIC; \out\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of bd_auto_cc_0_rd_bin_cntr_62 : entity is "rd_bin_cntr"; end bd_auto_cc_0_rd_bin_cntr_62; architecture STRUCTURE of bd_auto_cc_0_rd_bin_cntr_62 is signal \^q\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \^gnxpm_cdc.rd_pntr_gc_reg[3]\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \plusOp__8\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \ram_empty_i_i_2__3_n_0\ : STD_LOGIC; signal \ram_empty_i_i_3__3_n_0\ : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \gc0.count[2]_i_1__3\ : label is "soft_lutpair10"; attribute SOFT_HLUTNM of \gc0.count[3]_i_1__3\ : label is "soft_lutpair10"; attribute SOFT_HLUTNM of \gnxpm_cdc.rd_pntr_gc[0]_i_1__3\ : label is "soft_lutpair9"; attribute SOFT_HLUTNM of \gnxpm_cdc.rd_pntr_gc[2]_i_1__3\ : label is "soft_lutpair8"; attribute SOFT_HLUTNM of \ram_empty_i_i_2__3\ : label is "soft_lutpair8"; attribute SOFT_HLUTNM of \ram_empty_i_i_3__3\ : label is "soft_lutpair9"; begin Q(3 downto 0) <= \^q\(3 downto 0); \gnxpm_cdc.rd_pntr_gc_reg[3]\(3 downto 0) <= \^gnxpm_cdc.rd_pntr_gc_reg[3]\(3 downto 0); \gc0.count[0]_i_1__3\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \^q\(0), O => \plusOp__8\(0) ); \gc0.count[1]_i_1__3\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \^q\(0), I1 => \^q\(1), O => \plusOp__8\(1) ); \gc0.count[2]_i_1__3\: unisim.vcomponents.LUT3 generic map( INIT => X"78" ) port map ( I0 => \^q\(1), I1 => \^q\(0), I2 => \^q\(2), O => \plusOp__8\(2) ); \gc0.count[3]_i_1__3\: unisim.vcomponents.LUT4 generic map( INIT => X"7F80" ) port map ( I0 => \^q\(2), I1 => \^q\(0), I2 => \^q\(1), I3 => \^q\(3), O => \plusOp__8\(3) ); \gc0.count_d1_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => \out\(0), D => \^q\(0), Q => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(0) ); \gc0.count_d1_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => \out\(0), D => \^q\(1), Q => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(1) ); \gc0.count_d1_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => \out\(0), D => \^q\(2), Q => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(2) ); \gc0.count_d1_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => \out\(0), D => \^q\(3), Q => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(3) ); \gc0.count_reg[0]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => E(0), D => \plusOp__8\(0), PRE => \out\(0), Q => \^q\(0) ); \gc0.count_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => \out\(0), D => \plusOp__8\(1), Q => \^q\(1) ); \gc0.count_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => \out\(0), D => \plusOp__8\(2), Q => \^q\(2) ); \gc0.count_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => \out\(0), D => \plusOp__8\(3), Q => \^q\(3) ); \gnxpm_cdc.rd_pntr_gc[0]_i_1__3\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(0), I1 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(1), O => D(0) ); \gnxpm_cdc.rd_pntr_gc[1]_i_1__3\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(1), I1 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(2), O => D(1) ); \gnxpm_cdc.rd_pntr_gc[2]_i_1__3\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(2), I1 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(3), O => D(2) ); \ram_empty_i_i_1__3\: unisim.vcomponents.LUT4 generic map( INIT => X"F888" ) port map ( I0 => \ram_empty_i_i_2__3_n_0\, I1 => \ram_empty_i_i_3__3_n_0\, I2 => \gnxpm_cdc.wr_pntr_bin_reg[2]\, I3 => \gpregsm1.curr_fwft_state_reg[1]\, O => ram_empty_i_reg ); \ram_empty_i_i_2__3\: unisim.vcomponents.LUT4 generic map( INIT => X"9009" ) port map ( I0 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(2), I1 => \gnxpm_cdc.wr_pntr_bin_reg[3]\(2), I2 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(3), I3 => \gnxpm_cdc.wr_pntr_bin_reg[3]\(3), O => \ram_empty_i_i_2__3_n_0\ ); \ram_empty_i_i_3__3\: unisim.vcomponents.LUT4 generic map( INIT => X"9009" ) port map ( I0 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(0), I1 => \gnxpm_cdc.wr_pntr_bin_reg[3]\(0), I2 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(1), I3 => \gnxpm_cdc.wr_pntr_bin_reg[3]\(1), O => \ram_empty_i_i_3__3_n_0\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity bd_auto_cc_0_rd_bin_cntr_86 is port ( Q : out STD_LOGIC_VECTOR ( 3 downto 0 ); ram_empty_i_reg : out STD_LOGIC; D : out STD_LOGIC_VECTOR ( 2 downto 0 ); \gnxpm_cdc.rd_pntr_gc_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \gnxpm_cdc.wr_pntr_bin_reg[2]\ : in STD_LOGIC; \gpregsm1.curr_fwft_state_reg[1]\ : in STD_LOGIC; \gnxpm_cdc.wr_pntr_bin_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); E : in STD_LOGIC_VECTOR ( 0 to 0 ); m_aclk : in STD_LOGIC; \out\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of bd_auto_cc_0_rd_bin_cntr_86 : entity is "rd_bin_cntr"; end bd_auto_cc_0_rd_bin_cntr_86; architecture STRUCTURE of bd_auto_cc_0_rd_bin_cntr_86 is signal \^q\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \^gnxpm_cdc.rd_pntr_gc_reg[3]\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \plusOp__2\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \ram_empty_i_i_2__1_n_0\ : STD_LOGIC; signal \ram_empty_i_i_3__1_n_0\ : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \gc0.count[2]_i_1__1\ : label is "soft_lutpair4"; attribute SOFT_HLUTNM of \gc0.count[3]_i_1__1\ : label is "soft_lutpair4"; attribute SOFT_HLUTNM of \gnxpm_cdc.rd_pntr_gc[0]_i_1__1\ : label is "soft_lutpair3"; attribute SOFT_HLUTNM of \gnxpm_cdc.rd_pntr_gc[2]_i_1__1\ : label is "soft_lutpair2"; attribute SOFT_HLUTNM of \ram_empty_i_i_2__1\ : label is "soft_lutpair2"; attribute SOFT_HLUTNM of \ram_empty_i_i_3__1\ : label is "soft_lutpair3"; begin Q(3 downto 0) <= \^q\(3 downto 0); \gnxpm_cdc.rd_pntr_gc_reg[3]\(3 downto 0) <= \^gnxpm_cdc.rd_pntr_gc_reg[3]\(3 downto 0); \gc0.count[0]_i_1__1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \^q\(0), O => \plusOp__2\(0) ); \gc0.count[1]_i_1__1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \^q\(0), I1 => \^q\(1), O => \plusOp__2\(1) ); \gc0.count[2]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"78" ) port map ( I0 => \^q\(1), I1 => \^q\(0), I2 => \^q\(2), O => \plusOp__2\(2) ); \gc0.count[3]_i_1__1\: unisim.vcomponents.LUT4 generic map( INIT => X"7F80" ) port map ( I0 => \^q\(2), I1 => \^q\(0), I2 => \^q\(1), I3 => \^q\(3), O => \plusOp__2\(3) ); \gc0.count_d1_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), CLR => \out\(0), D => \^q\(0), Q => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(0) ); \gc0.count_d1_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), CLR => \out\(0), D => \^q\(1), Q => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(1) ); \gc0.count_d1_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), CLR => \out\(0), D => \^q\(2), Q => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(2) ); \gc0.count_d1_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), CLR => \out\(0), D => \^q\(3), Q => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(3) ); \gc0.count_reg[0]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => E(0), D => \plusOp__2\(0), PRE => \out\(0), Q => \^q\(0) ); \gc0.count_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), CLR => \out\(0), D => \plusOp__2\(1), Q => \^q\(1) ); \gc0.count_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), CLR => \out\(0), D => \plusOp__2\(2), Q => \^q\(2) ); \gc0.count_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), CLR => \out\(0), D => \plusOp__2\(3), Q => \^q\(3) ); \gnxpm_cdc.rd_pntr_gc[0]_i_1__1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(0), I1 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(1), O => D(0) ); \gnxpm_cdc.rd_pntr_gc[1]_i_1__1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(1), I1 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(2), O => D(1) ); \gnxpm_cdc.rd_pntr_gc[2]_i_1__1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(2), I1 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(3), O => D(2) ); \ram_empty_i_i_1__1\: unisim.vcomponents.LUT4 generic map( INIT => X"F888" ) port map ( I0 => \ram_empty_i_i_2__1_n_0\, I1 => \ram_empty_i_i_3__1_n_0\, I2 => \gnxpm_cdc.wr_pntr_bin_reg[2]\, I3 => \gpregsm1.curr_fwft_state_reg[1]\, O => ram_empty_i_reg ); \ram_empty_i_i_2__1\: unisim.vcomponents.LUT4 generic map( INIT => X"9009" ) port map ( I0 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(2), I1 => \gnxpm_cdc.wr_pntr_bin_reg[3]\(2), I2 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(3), I3 => \gnxpm_cdc.wr_pntr_bin_reg[3]\(3), O => \ram_empty_i_i_2__1_n_0\ ); \ram_empty_i_i_3__1\: unisim.vcomponents.LUT4 generic map( INIT => X"9009" ) port map ( I0 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(0), I1 => \gnxpm_cdc.wr_pntr_bin_reg[3]\(0), I2 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(1), I3 => \gnxpm_cdc.wr_pntr_bin_reg[3]\(1), O => \ram_empty_i_i_3__1_n_0\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity bd_auto_cc_0_rd_fwft is port ( ram_empty_i_reg : out STD_LOGIC; E : out STD_LOGIC_VECTOR ( 0 to 0 ); \goreg_dm.dout_i_reg[5]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_bvalid : out STD_LOGIC; s_aclk : in STD_LOGIC; \out\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_bready : in STD_LOGIC; ram_empty_fb_i_reg : in STD_LOGIC; \gnxpm_cdc.wr_pntr_bin_reg[3]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); Q : in STD_LOGIC_VECTOR ( 0 to 0 ) ); end bd_auto_cc_0_rd_fwft; architecture STRUCTURE of bd_auto_cc_0_rd_fwft is signal aempty_fwft_fb_i : STD_LOGIC; attribute DONT_TOUCH : boolean; attribute DONT_TOUCH of aempty_fwft_fb_i : signal is std.standard.true; signal aempty_fwft_i : STD_LOGIC; attribute DONT_TOUCH of aempty_fwft_i : signal is std.standard.true; signal aempty_fwft_i0 : STD_LOGIC; signal curr_fwft_state : STD_LOGIC_VECTOR ( 1 downto 0 ); attribute DONT_TOUCH of curr_fwft_state : signal is std.standard.true; signal empty_fwft_fb_i : STD_LOGIC; attribute DONT_TOUCH of empty_fwft_fb_i : signal is std.standard.true; signal empty_fwft_fb_o_i : STD_LOGIC; attribute DONT_TOUCH of empty_fwft_fb_o_i : signal is std.standard.true; signal empty_fwft_fb_o_i0 : STD_LOGIC; signal empty_fwft_i : STD_LOGIC; attribute DONT_TOUCH of empty_fwft_i : signal is std.standard.true; signal empty_fwft_i0 : STD_LOGIC; signal next_fwft_state : STD_LOGIC_VECTOR ( 1 downto 0 ); signal user_valid : STD_LOGIC; attribute DONT_TOUCH of user_valid : signal is std.standard.true; attribute DONT_TOUCH of aempty_fwft_fb_i_reg : label is std.standard.true; attribute KEEP : string; attribute KEEP of aempty_fwft_fb_i_reg : label is "yes"; attribute equivalent_register_removal : string; attribute equivalent_register_removal of aempty_fwft_fb_i_reg : label is "no"; attribute DONT_TOUCH of aempty_fwft_i_reg : label is std.standard.true; attribute KEEP of aempty_fwft_i_reg : label is "yes"; attribute equivalent_register_removal of aempty_fwft_i_reg : label is "no"; attribute DONT_TOUCH of empty_fwft_fb_i_reg : label is std.standard.true; attribute KEEP of empty_fwft_fb_i_reg : label is "yes"; attribute equivalent_register_removal of empty_fwft_fb_i_reg : label is "no"; attribute DONT_TOUCH of empty_fwft_fb_o_i_reg : label is std.standard.true; attribute KEEP of empty_fwft_fb_o_i_reg : label is "yes"; attribute equivalent_register_removal of empty_fwft_fb_o_i_reg : label is "no"; attribute DONT_TOUCH of empty_fwft_i_reg : label is std.standard.true; attribute KEEP of empty_fwft_i_reg : label is "yes"; attribute equivalent_register_removal of empty_fwft_i_reg : label is "no"; attribute DONT_TOUCH of \gpregsm1.curr_fwft_state_reg[0]\ : label is std.standard.true; attribute KEEP of \gpregsm1.curr_fwft_state_reg[0]\ : label is "yes"; attribute equivalent_register_removal of \gpregsm1.curr_fwft_state_reg[0]\ : label is "no"; attribute DONT_TOUCH of \gpregsm1.curr_fwft_state_reg[1]\ : label is std.standard.true; attribute KEEP of \gpregsm1.curr_fwft_state_reg[1]\ : label is "yes"; attribute equivalent_register_removal of \gpregsm1.curr_fwft_state_reg[1]\ : label is "no"; attribute DONT_TOUCH of \gpregsm1.user_valid_reg\ : label is std.standard.true; attribute KEEP of \gpregsm1.user_valid_reg\ : label is "yes"; attribute equivalent_register_removal of \gpregsm1.user_valid_reg\ : label is "no"; begin \aempty_fwft_fb_i_i_1__2\: unisim.vcomponents.LUT5 generic map( INIT => X"FAEF8000" ) port map ( I0 => ram_empty_fb_i_reg, I1 => s_axi_bready, I2 => curr_fwft_state(0), I3 => curr_fwft_state(1), I4 => aempty_fwft_fb_i, O => aempty_fwft_i0 ); aempty_fwft_fb_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => aempty_fwft_i0, PRE => \out\(1), Q => aempty_fwft_fb_i ); aempty_fwft_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => aempty_fwft_i0, PRE => \out\(1), Q => aempty_fwft_i ); \empty_fwft_fb_i_i_1__2\: unisim.vcomponents.LUT4 generic map( INIT => X"B2A2" ) port map ( I0 => empty_fwft_fb_i, I1 => curr_fwft_state(1), I2 => curr_fwft_state(0), I3 => s_axi_bready, O => empty_fwft_i0 ); empty_fwft_fb_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => empty_fwft_i0, PRE => \out\(1), Q => empty_fwft_fb_i ); \empty_fwft_fb_o_i_i_1__2\: unisim.vcomponents.LUT4 generic map( INIT => X"B2A2" ) port map ( I0 => empty_fwft_fb_o_i, I1 => curr_fwft_state(1), I2 => curr_fwft_state(0), I3 => s_axi_bready, O => empty_fwft_fb_o_i0 ); empty_fwft_fb_o_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => empty_fwft_fb_o_i0, PRE => \out\(1), Q => empty_fwft_fb_o_i ); empty_fwft_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => empty_fwft_i0, PRE => \out\(1), Q => empty_fwft_i ); \gc0.count_d1[3]_i_1__2\: unisim.vcomponents.LUT4 generic map( INIT => X"00DF" ) port map ( I0 => curr_fwft_state(1), I1 => s_axi_bready, I2 => curr_fwft_state(0), I3 => ram_empty_fb_i_reg, O => E(0) ); \goreg_dm.dout_i[5]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"4404" ) port map ( I0 => \out\(0), I1 => curr_fwft_state(1), I2 => curr_fwft_state(0), I3 => s_axi_bready, O => \goreg_dm.dout_i_reg[5]\(0) ); \gpregsm1.curr_fwft_state[0]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"AE" ) port map ( I0 => curr_fwft_state(1), I1 => curr_fwft_state(0), I2 => s_axi_bready, O => next_fwft_state(0) ); \gpregsm1.curr_fwft_state[1]_i_1__2\: unisim.vcomponents.LUT4 generic map( INIT => X"20FF" ) port map ( I0 => curr_fwft_state(1), I1 => s_axi_bready, I2 => curr_fwft_state(0), I3 => ram_empty_fb_i_reg, O => next_fwft_state(1) ); \gpregsm1.curr_fwft_state_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \out\(1), D => next_fwft_state(0), Q => curr_fwft_state(0) ); \gpregsm1.curr_fwft_state_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \out\(1), D => next_fwft_state(1), Q => curr_fwft_state(1) ); \gpregsm1.user_valid_reg\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \out\(1), D => next_fwft_state(0), Q => user_valid ); \ram_empty_i_i_5__2\: unisim.vcomponents.LUT6 generic map( INIT => X"00DF0000000000DF" ) port map ( I0 => curr_fwft_state(1), I1 => s_axi_bready, I2 => curr_fwft_state(0), I3 => ram_empty_fb_i_reg, I4 => \gnxpm_cdc.wr_pntr_bin_reg[3]\(0), I5 => Q(0), O => ram_empty_i_reg ); s_axi_bvalid_INST_0: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => empty_fwft_i, O => s_axi_bvalid ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity bd_auto_cc_0_rd_fwft_18 is port ( ram_empty_i_reg : out STD_LOGIC; E : out STD_LOGIC_VECTOR ( 0 to 0 ); \goreg_dm.dout_i_reg[36]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_wvalid : out STD_LOGIC; m_aclk : in STD_LOGIC; \out\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_wready : in STD_LOGIC; ram_empty_fb_i_reg : in STD_LOGIC; \gnxpm_cdc.wr_pntr_bin_reg[3]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); Q : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of bd_auto_cc_0_rd_fwft_18 : entity is "rd_fwft"; end bd_auto_cc_0_rd_fwft_18; architecture STRUCTURE of bd_auto_cc_0_rd_fwft_18 is signal aempty_fwft_fb_i : STD_LOGIC; attribute DONT_TOUCH : boolean; attribute DONT_TOUCH of aempty_fwft_fb_i : signal is std.standard.true; signal aempty_fwft_i : STD_LOGIC; attribute DONT_TOUCH of aempty_fwft_i : signal is std.standard.true; signal aempty_fwft_i0 : STD_LOGIC; signal curr_fwft_state : STD_LOGIC_VECTOR ( 1 downto 0 ); attribute DONT_TOUCH of curr_fwft_state : signal is std.standard.true; signal empty_fwft_fb_i : STD_LOGIC; attribute DONT_TOUCH of empty_fwft_fb_i : signal is std.standard.true; signal empty_fwft_fb_o_i : STD_LOGIC; attribute DONT_TOUCH of empty_fwft_fb_o_i : signal is std.standard.true; signal empty_fwft_fb_o_i0 : STD_LOGIC; signal empty_fwft_i : STD_LOGIC; attribute DONT_TOUCH of empty_fwft_i : signal is std.standard.true; signal empty_fwft_i0 : STD_LOGIC; signal next_fwft_state : STD_LOGIC_VECTOR ( 1 downto 0 ); signal user_valid : STD_LOGIC; attribute DONT_TOUCH of user_valid : signal is std.standard.true; attribute DONT_TOUCH of aempty_fwft_fb_i_reg : label is std.standard.true; attribute KEEP : string; attribute KEEP of aempty_fwft_fb_i_reg : label is "yes"; attribute equivalent_register_removal : string; attribute equivalent_register_removal of aempty_fwft_fb_i_reg : label is "no"; attribute DONT_TOUCH of aempty_fwft_i_reg : label is std.standard.true; attribute KEEP of aempty_fwft_i_reg : label is "yes"; attribute equivalent_register_removal of aempty_fwft_i_reg : label is "no"; attribute DONT_TOUCH of empty_fwft_fb_i_reg : label is std.standard.true; attribute KEEP of empty_fwft_fb_i_reg : label is "yes"; attribute equivalent_register_removal of empty_fwft_fb_i_reg : label is "no"; attribute DONT_TOUCH of empty_fwft_fb_o_i_reg : label is std.standard.true; attribute KEEP of empty_fwft_fb_o_i_reg : label is "yes"; attribute equivalent_register_removal of empty_fwft_fb_o_i_reg : label is "no"; attribute DONT_TOUCH of empty_fwft_i_reg : label is std.standard.true; attribute KEEP of empty_fwft_i_reg : label is "yes"; attribute equivalent_register_removal of empty_fwft_i_reg : label is "no"; attribute DONT_TOUCH of \gpregsm1.curr_fwft_state_reg[0]\ : label is std.standard.true; attribute KEEP of \gpregsm1.curr_fwft_state_reg[0]\ : label is "yes"; attribute equivalent_register_removal of \gpregsm1.curr_fwft_state_reg[0]\ : label is "no"; attribute DONT_TOUCH of \gpregsm1.curr_fwft_state_reg[1]\ : label is std.standard.true; attribute KEEP of \gpregsm1.curr_fwft_state_reg[1]\ : label is "yes"; attribute equivalent_register_removal of \gpregsm1.curr_fwft_state_reg[1]\ : label is "no"; attribute DONT_TOUCH of \gpregsm1.user_valid_reg\ : label is std.standard.true; attribute KEEP of \gpregsm1.user_valid_reg\ : label is "yes"; attribute equivalent_register_removal of \gpregsm1.user_valid_reg\ : label is "no"; begin \aempty_fwft_fb_i_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"FAEF8000" ) port map ( I0 => ram_empty_fb_i_reg, I1 => m_axi_wready, I2 => curr_fwft_state(0), I3 => curr_fwft_state(1), I4 => aempty_fwft_fb_i, O => aempty_fwft_i0 ); aempty_fwft_fb_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => aempty_fwft_i0, PRE => \out\(1), Q => aempty_fwft_fb_i ); aempty_fwft_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => aempty_fwft_i0, PRE => \out\(1), Q => aempty_fwft_i ); \empty_fwft_fb_i_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"B2A2" ) port map ( I0 => empty_fwft_fb_i, I1 => curr_fwft_state(1), I2 => curr_fwft_state(0), I3 => m_axi_wready, O => empty_fwft_i0 ); empty_fwft_fb_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => empty_fwft_i0, PRE => \out\(1), Q => empty_fwft_fb_i ); \empty_fwft_fb_o_i_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"B2A2" ) port map ( I0 => empty_fwft_fb_o_i, I1 => curr_fwft_state(1), I2 => curr_fwft_state(0), I3 => m_axi_wready, O => empty_fwft_fb_o_i0 ); empty_fwft_fb_o_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => empty_fwft_fb_o_i0, PRE => \out\(1), Q => empty_fwft_fb_o_i ); empty_fwft_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => empty_fwft_i0, PRE => \out\(1), Q => empty_fwft_i ); \gc0.count_d1[3]_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"00DF" ) port map ( I0 => curr_fwft_state(1), I1 => m_axi_wready, I2 => curr_fwft_state(0), I3 => ram_empty_fb_i_reg, O => E(0) ); \goreg_dm.dout_i[36]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"4404" ) port map ( I0 => \out\(0), I1 => curr_fwft_state(1), I2 => curr_fwft_state(0), I3 => m_axi_wready, O => \goreg_dm.dout_i_reg[36]\(0) ); \gpregsm1.curr_fwft_state[0]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"AE" ) port map ( I0 => curr_fwft_state(1), I1 => curr_fwft_state(0), I2 => m_axi_wready, O => next_fwft_state(0) ); \gpregsm1.curr_fwft_state[1]_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"20FF" ) port map ( I0 => curr_fwft_state(1), I1 => m_axi_wready, I2 => curr_fwft_state(0), I3 => ram_empty_fb_i_reg, O => next_fwft_state(1) ); \gpregsm1.curr_fwft_state_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \out\(1), D => next_fwft_state(0), Q => curr_fwft_state(0) ); \gpregsm1.curr_fwft_state_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \out\(1), D => next_fwft_state(1), Q => curr_fwft_state(1) ); \gpregsm1.user_valid_reg\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \out\(1), D => next_fwft_state(0), Q => user_valid ); m_axi_wvalid_INST_0: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => empty_fwft_i, O => m_axi_wvalid ); \ram_empty_i_i_5__0\: unisim.vcomponents.LUT6 generic map( INIT => X"00DF0000000000DF" ) port map ( I0 => curr_fwft_state(1), I1 => m_axi_wready, I2 => curr_fwft_state(0), I3 => ram_empty_fb_i_reg, I4 => \gnxpm_cdc.wr_pntr_bin_reg[3]\(0), I5 => Q(0), O => ram_empty_i_reg ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity bd_auto_cc_0_rd_fwft_39 is port ( ram_empty_i_reg : out STD_LOGIC; E : out STD_LOGIC_VECTOR ( 0 to 0 ); \goreg_dm.dout_i_reg[64]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_awvalid : out STD_LOGIC; m_aclk : in STD_LOGIC; \out\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_awready : in STD_LOGIC; ram_empty_fb_i_reg : in STD_LOGIC; \gnxpm_cdc.wr_pntr_bin_reg[3]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); Q : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of bd_auto_cc_0_rd_fwft_39 : entity is "rd_fwft"; end bd_auto_cc_0_rd_fwft_39; architecture STRUCTURE of bd_auto_cc_0_rd_fwft_39 is signal aempty_fwft_fb_i : STD_LOGIC; attribute DONT_TOUCH : boolean; attribute DONT_TOUCH of aempty_fwft_fb_i : signal is std.standard.true; signal aempty_fwft_i : STD_LOGIC; attribute DONT_TOUCH of aempty_fwft_i : signal is std.standard.true; signal aempty_fwft_i0 : STD_LOGIC; signal curr_fwft_state : STD_LOGIC_VECTOR ( 1 downto 0 ); attribute DONT_TOUCH of curr_fwft_state : signal is std.standard.true; signal empty_fwft_fb_i : STD_LOGIC; attribute DONT_TOUCH of empty_fwft_fb_i : signal is std.standard.true; signal empty_fwft_fb_o_i : STD_LOGIC; attribute DONT_TOUCH of empty_fwft_fb_o_i : signal is std.standard.true; signal empty_fwft_fb_o_i0 : STD_LOGIC; signal empty_fwft_i : STD_LOGIC; attribute DONT_TOUCH of empty_fwft_i : signal is std.standard.true; signal empty_fwft_i0 : STD_LOGIC; signal next_fwft_state : STD_LOGIC_VECTOR ( 1 downto 0 ); signal user_valid : STD_LOGIC; attribute DONT_TOUCH of user_valid : signal is std.standard.true; attribute DONT_TOUCH of aempty_fwft_fb_i_reg : label is std.standard.true; attribute KEEP : string; attribute KEEP of aempty_fwft_fb_i_reg : label is "yes"; attribute equivalent_register_removal : string; attribute equivalent_register_removal of aempty_fwft_fb_i_reg : label is "no"; attribute DONT_TOUCH of aempty_fwft_i_reg : label is std.standard.true; attribute KEEP of aempty_fwft_i_reg : label is "yes"; attribute equivalent_register_removal of aempty_fwft_i_reg : label is "no"; attribute DONT_TOUCH of empty_fwft_fb_i_reg : label is std.standard.true; attribute KEEP of empty_fwft_fb_i_reg : label is "yes"; attribute equivalent_register_removal of empty_fwft_fb_i_reg : label is "no"; attribute DONT_TOUCH of empty_fwft_fb_o_i_reg : label is std.standard.true; attribute KEEP of empty_fwft_fb_o_i_reg : label is "yes"; attribute equivalent_register_removal of empty_fwft_fb_o_i_reg : label is "no"; attribute DONT_TOUCH of empty_fwft_i_reg : label is std.standard.true; attribute KEEP of empty_fwft_i_reg : label is "yes"; attribute equivalent_register_removal of empty_fwft_i_reg : label is "no"; attribute DONT_TOUCH of \gpregsm1.curr_fwft_state_reg[0]\ : label is std.standard.true; attribute KEEP of \gpregsm1.curr_fwft_state_reg[0]\ : label is "yes"; attribute equivalent_register_removal of \gpregsm1.curr_fwft_state_reg[0]\ : label is "no"; attribute DONT_TOUCH of \gpregsm1.curr_fwft_state_reg[1]\ : label is std.standard.true; attribute KEEP of \gpregsm1.curr_fwft_state_reg[1]\ : label is "yes"; attribute equivalent_register_removal of \gpregsm1.curr_fwft_state_reg[1]\ : label is "no"; attribute DONT_TOUCH of \gpregsm1.user_valid_reg\ : label is std.standard.true; attribute KEEP of \gpregsm1.user_valid_reg\ : label is "yes"; attribute equivalent_register_removal of \gpregsm1.user_valid_reg\ : label is "no"; begin aempty_fwft_fb_i_i_1: unisim.vcomponents.LUT5 generic map( INIT => X"FAEF8000" ) port map ( I0 => ram_empty_fb_i_reg, I1 => m_axi_awready, I2 => curr_fwft_state(0), I3 => curr_fwft_state(1), I4 => aempty_fwft_fb_i, O => aempty_fwft_i0 ); aempty_fwft_fb_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => aempty_fwft_i0, PRE => \out\(1), Q => aempty_fwft_fb_i ); aempty_fwft_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => aempty_fwft_i0, PRE => \out\(1), Q => aempty_fwft_i ); empty_fwft_fb_i_i_1: unisim.vcomponents.LUT4 generic map( INIT => X"B2A2" ) port map ( I0 => empty_fwft_fb_i, I1 => curr_fwft_state(1), I2 => curr_fwft_state(0), I3 => m_axi_awready, O => empty_fwft_i0 ); empty_fwft_fb_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => empty_fwft_i0, PRE => \out\(1), Q => empty_fwft_fb_i ); empty_fwft_fb_o_i_i_1: unisim.vcomponents.LUT4 generic map( INIT => X"B2A2" ) port map ( I0 => empty_fwft_fb_o_i, I1 => curr_fwft_state(1), I2 => curr_fwft_state(0), I3 => m_axi_awready, O => empty_fwft_fb_o_i0 ); empty_fwft_fb_o_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => empty_fwft_fb_o_i0, PRE => \out\(1), Q => empty_fwft_fb_o_i ); empty_fwft_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => empty_fwft_i0, PRE => \out\(1), Q => empty_fwft_i ); \gc0.count_d1[3]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"00DF" ) port map ( I0 => curr_fwft_state(1), I1 => m_axi_awready, I2 => curr_fwft_state(0), I3 => ram_empty_fb_i_reg, O => E(0) ); \goreg_dm.dout_i[64]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"4404" ) port map ( I0 => \out\(0), I1 => curr_fwft_state(1), I2 => curr_fwft_state(0), I3 => m_axi_awready, O => \goreg_dm.dout_i_reg[64]\(0) ); \gpregsm1.curr_fwft_state[0]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"AE" ) port map ( I0 => curr_fwft_state(1), I1 => curr_fwft_state(0), I2 => m_axi_awready, O => next_fwft_state(0) ); \gpregsm1.curr_fwft_state[1]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"20FF" ) port map ( I0 => curr_fwft_state(1), I1 => m_axi_awready, I2 => curr_fwft_state(0), I3 => ram_empty_fb_i_reg, O => next_fwft_state(1) ); \gpregsm1.curr_fwft_state_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \out\(1), D => next_fwft_state(0), Q => curr_fwft_state(0) ); \gpregsm1.curr_fwft_state_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \out\(1), D => next_fwft_state(1), Q => curr_fwft_state(1) ); \gpregsm1.user_valid_reg\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \out\(1), D => next_fwft_state(0), Q => user_valid ); m_axi_awvalid_INST_0: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => empty_fwft_i, O => m_axi_awvalid ); ram_empty_i_i_5: unisim.vcomponents.LUT6 generic map( INIT => X"00DF0000000000DF" ) port map ( I0 => curr_fwft_state(1), I1 => m_axi_awready, I2 => curr_fwft_state(0), I3 => ram_empty_fb_i_reg, I4 => \gnxpm_cdc.wr_pntr_bin_reg[3]\(0), I5 => Q(0), O => ram_empty_i_reg ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity bd_auto_cc_0_rd_fwft_60 is port ( ram_empty_i_reg : out STD_LOGIC; E : out STD_LOGIC_VECTOR ( 0 to 0 ); \goreg_dm.dout_i_reg[38]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_rvalid : out STD_LOGIC; s_aclk : in STD_LOGIC; \out\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_rready : in STD_LOGIC; ram_empty_fb_i_reg : in STD_LOGIC; \gnxpm_cdc.wr_pntr_bin_reg[3]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); Q : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of bd_auto_cc_0_rd_fwft_60 : entity is "rd_fwft"; end bd_auto_cc_0_rd_fwft_60; architecture STRUCTURE of bd_auto_cc_0_rd_fwft_60 is signal aempty_fwft_fb_i : STD_LOGIC; attribute DONT_TOUCH : boolean; attribute DONT_TOUCH of aempty_fwft_fb_i : signal is std.standard.true; signal aempty_fwft_i : STD_LOGIC; attribute DONT_TOUCH of aempty_fwft_i : signal is std.standard.true; signal aempty_fwft_i0 : STD_LOGIC; signal curr_fwft_state : STD_LOGIC_VECTOR ( 1 downto 0 ); attribute DONT_TOUCH of curr_fwft_state : signal is std.standard.true; signal empty_fwft_fb_i : STD_LOGIC; attribute DONT_TOUCH of empty_fwft_fb_i : signal is std.standard.true; signal empty_fwft_fb_o_i : STD_LOGIC; attribute DONT_TOUCH of empty_fwft_fb_o_i : signal is std.standard.true; signal empty_fwft_fb_o_i0 : STD_LOGIC; signal empty_fwft_i : STD_LOGIC; attribute DONT_TOUCH of empty_fwft_i : signal is std.standard.true; signal empty_fwft_i0 : STD_LOGIC; signal next_fwft_state : STD_LOGIC_VECTOR ( 1 downto 0 ); signal user_valid : STD_LOGIC; attribute DONT_TOUCH of user_valid : signal is std.standard.true; attribute DONT_TOUCH of aempty_fwft_fb_i_reg : label is std.standard.true; attribute KEEP : string; attribute KEEP of aempty_fwft_fb_i_reg : label is "yes"; attribute equivalent_register_removal : string; attribute equivalent_register_removal of aempty_fwft_fb_i_reg : label is "no"; attribute DONT_TOUCH of aempty_fwft_i_reg : label is std.standard.true; attribute KEEP of aempty_fwft_i_reg : label is "yes"; attribute equivalent_register_removal of aempty_fwft_i_reg : label is "no"; attribute DONT_TOUCH of empty_fwft_fb_i_reg : label is std.standard.true; attribute KEEP of empty_fwft_fb_i_reg : label is "yes"; attribute equivalent_register_removal of empty_fwft_fb_i_reg : label is "no"; attribute DONT_TOUCH of empty_fwft_fb_o_i_reg : label is std.standard.true; attribute KEEP of empty_fwft_fb_o_i_reg : label is "yes"; attribute equivalent_register_removal of empty_fwft_fb_o_i_reg : label is "no"; attribute DONT_TOUCH of empty_fwft_i_reg : label is std.standard.true; attribute KEEP of empty_fwft_i_reg : label is "yes"; attribute equivalent_register_removal of empty_fwft_i_reg : label is "no"; attribute DONT_TOUCH of \gpregsm1.curr_fwft_state_reg[0]\ : label is std.standard.true; attribute KEEP of \gpregsm1.curr_fwft_state_reg[0]\ : label is "yes"; attribute equivalent_register_removal of \gpregsm1.curr_fwft_state_reg[0]\ : label is "no"; attribute DONT_TOUCH of \gpregsm1.curr_fwft_state_reg[1]\ : label is std.standard.true; attribute KEEP of \gpregsm1.curr_fwft_state_reg[1]\ : label is "yes"; attribute equivalent_register_removal of \gpregsm1.curr_fwft_state_reg[1]\ : label is "no"; attribute DONT_TOUCH of \gpregsm1.user_valid_reg\ : label is std.standard.true; attribute KEEP of \gpregsm1.user_valid_reg\ : label is "yes"; attribute equivalent_register_removal of \gpregsm1.user_valid_reg\ : label is "no"; begin \aempty_fwft_fb_i_i_1__3\: unisim.vcomponents.LUT5 generic map( INIT => X"FAEF8000" ) port map ( I0 => ram_empty_fb_i_reg, I1 => s_axi_rready, I2 => curr_fwft_state(0), I3 => curr_fwft_state(1), I4 => aempty_fwft_fb_i, O => aempty_fwft_i0 ); aempty_fwft_fb_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => aempty_fwft_i0, PRE => \out\(1), Q => aempty_fwft_fb_i ); aempty_fwft_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => aempty_fwft_i0, PRE => \out\(1), Q => aempty_fwft_i ); \empty_fwft_fb_i_i_1__3\: unisim.vcomponents.LUT4 generic map( INIT => X"B2A2" ) port map ( I0 => empty_fwft_fb_i, I1 => curr_fwft_state(1), I2 => curr_fwft_state(0), I3 => s_axi_rready, O => empty_fwft_i0 ); empty_fwft_fb_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => empty_fwft_i0, PRE => \out\(1), Q => empty_fwft_fb_i ); \empty_fwft_fb_o_i_i_1__3\: unisim.vcomponents.LUT4 generic map( INIT => X"B2A2" ) port map ( I0 => empty_fwft_fb_o_i, I1 => curr_fwft_state(1), I2 => curr_fwft_state(0), I3 => s_axi_rready, O => empty_fwft_fb_o_i0 ); empty_fwft_fb_o_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => empty_fwft_fb_o_i0, PRE => \out\(1), Q => empty_fwft_fb_o_i ); empty_fwft_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => empty_fwft_i0, PRE => \out\(1), Q => empty_fwft_i ); \gc0.count_d1[3]_i_1__3\: unisim.vcomponents.LUT4 generic map( INIT => X"00DF" ) port map ( I0 => curr_fwft_state(1), I1 => s_axi_rready, I2 => curr_fwft_state(0), I3 => ram_empty_fb_i_reg, O => E(0) ); \goreg_dm.dout_i[38]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"4404" ) port map ( I0 => \out\(0), I1 => curr_fwft_state(1), I2 => curr_fwft_state(0), I3 => s_axi_rready, O => \goreg_dm.dout_i_reg[38]\(0) ); \gpregsm1.curr_fwft_state[0]_i_1__3\: unisim.vcomponents.LUT3 generic map( INIT => X"AE" ) port map ( I0 => curr_fwft_state(1), I1 => curr_fwft_state(0), I2 => s_axi_rready, O => next_fwft_state(0) ); \gpregsm1.curr_fwft_state[1]_i_1__3\: unisim.vcomponents.LUT4 generic map( INIT => X"20FF" ) port map ( I0 => curr_fwft_state(1), I1 => s_axi_rready, I2 => curr_fwft_state(0), I3 => ram_empty_fb_i_reg, O => next_fwft_state(1) ); \gpregsm1.curr_fwft_state_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \out\(1), D => next_fwft_state(0), Q => curr_fwft_state(0) ); \gpregsm1.curr_fwft_state_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \out\(1), D => next_fwft_state(1), Q => curr_fwft_state(1) ); \gpregsm1.user_valid_reg\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \out\(1), D => next_fwft_state(0), Q => user_valid ); \ram_empty_i_i_5__3\: unisim.vcomponents.LUT6 generic map( INIT => X"00DF0000000000DF" ) port map ( I0 => curr_fwft_state(1), I1 => s_axi_rready, I2 => curr_fwft_state(0), I3 => ram_empty_fb_i_reg, I4 => \gnxpm_cdc.wr_pntr_bin_reg[3]\(0), I5 => Q(0), O => ram_empty_i_reg ); s_axi_rvalid_INST_0: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => empty_fwft_i, O => s_axi_rvalid ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity bd_auto_cc_0_rd_fwft_84 is port ( ram_empty_i_reg : out STD_LOGIC; E : out STD_LOGIC_VECTOR ( 0 to 0 ); \goreg_dm.dout_i_reg[64]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_arvalid : out STD_LOGIC; m_aclk : in STD_LOGIC; \out\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_arready : in STD_LOGIC; ram_empty_fb_i_reg : in STD_LOGIC; \gnxpm_cdc.wr_pntr_bin_reg[3]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); Q : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of bd_auto_cc_0_rd_fwft_84 : entity is "rd_fwft"; end bd_auto_cc_0_rd_fwft_84; architecture STRUCTURE of bd_auto_cc_0_rd_fwft_84 is signal aempty_fwft_fb_i : STD_LOGIC; attribute DONT_TOUCH : boolean; attribute DONT_TOUCH of aempty_fwft_fb_i : signal is std.standard.true; signal aempty_fwft_i : STD_LOGIC; attribute DONT_TOUCH of aempty_fwft_i : signal is std.standard.true; signal aempty_fwft_i0 : STD_LOGIC; signal curr_fwft_state : STD_LOGIC_VECTOR ( 1 downto 0 ); attribute DONT_TOUCH of curr_fwft_state : signal is std.standard.true; signal empty_fwft_fb_i : STD_LOGIC; attribute DONT_TOUCH of empty_fwft_fb_i : signal is std.standard.true; signal empty_fwft_fb_o_i : STD_LOGIC; attribute DONT_TOUCH of empty_fwft_fb_o_i : signal is std.standard.true; signal empty_fwft_fb_o_i0 : STD_LOGIC; signal empty_fwft_i : STD_LOGIC; attribute DONT_TOUCH of empty_fwft_i : signal is std.standard.true; signal empty_fwft_i0 : STD_LOGIC; signal next_fwft_state : STD_LOGIC_VECTOR ( 1 downto 0 ); signal user_valid : STD_LOGIC; attribute DONT_TOUCH of user_valid : signal is std.standard.true; attribute DONT_TOUCH of aempty_fwft_fb_i_reg : label is std.standard.true; attribute KEEP : string; attribute KEEP of aempty_fwft_fb_i_reg : label is "yes"; attribute equivalent_register_removal : string; attribute equivalent_register_removal of aempty_fwft_fb_i_reg : label is "no"; attribute DONT_TOUCH of aempty_fwft_i_reg : label is std.standard.true; attribute KEEP of aempty_fwft_i_reg : label is "yes"; attribute equivalent_register_removal of aempty_fwft_i_reg : label is "no"; attribute DONT_TOUCH of empty_fwft_fb_i_reg : label is std.standard.true; attribute KEEP of empty_fwft_fb_i_reg : label is "yes"; attribute equivalent_register_removal of empty_fwft_fb_i_reg : label is "no"; attribute DONT_TOUCH of empty_fwft_fb_o_i_reg : label is std.standard.true; attribute KEEP of empty_fwft_fb_o_i_reg : label is "yes"; attribute equivalent_register_removal of empty_fwft_fb_o_i_reg : label is "no"; attribute DONT_TOUCH of empty_fwft_i_reg : label is std.standard.true; attribute KEEP of empty_fwft_i_reg : label is "yes"; attribute equivalent_register_removal of empty_fwft_i_reg : label is "no"; attribute DONT_TOUCH of \gpregsm1.curr_fwft_state_reg[0]\ : label is std.standard.true; attribute KEEP of \gpregsm1.curr_fwft_state_reg[0]\ : label is "yes"; attribute equivalent_register_removal of \gpregsm1.curr_fwft_state_reg[0]\ : label is "no"; attribute DONT_TOUCH of \gpregsm1.curr_fwft_state_reg[1]\ : label is std.standard.true; attribute KEEP of \gpregsm1.curr_fwft_state_reg[1]\ : label is "yes"; attribute equivalent_register_removal of \gpregsm1.curr_fwft_state_reg[1]\ : label is "no"; attribute DONT_TOUCH of \gpregsm1.user_valid_reg\ : label is std.standard.true; attribute KEEP of \gpregsm1.user_valid_reg\ : label is "yes"; attribute equivalent_register_removal of \gpregsm1.user_valid_reg\ : label is "no"; begin \aempty_fwft_fb_i_i_1__1\: unisim.vcomponents.LUT5 generic map( INIT => X"FAEF8000" ) port map ( I0 => ram_empty_fb_i_reg, I1 => m_axi_arready, I2 => curr_fwft_state(0), I3 => curr_fwft_state(1), I4 => aempty_fwft_fb_i, O => aempty_fwft_i0 ); aempty_fwft_fb_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => aempty_fwft_i0, PRE => \out\(1), Q => aempty_fwft_fb_i ); aempty_fwft_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => aempty_fwft_i0, PRE => \out\(1), Q => aempty_fwft_i ); \empty_fwft_fb_i_i_1__1\: unisim.vcomponents.LUT4 generic map( INIT => X"B2A2" ) port map ( I0 => empty_fwft_fb_i, I1 => curr_fwft_state(1), I2 => curr_fwft_state(0), I3 => m_axi_arready, O => empty_fwft_i0 ); empty_fwft_fb_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => empty_fwft_i0, PRE => \out\(1), Q => empty_fwft_fb_i ); \empty_fwft_fb_o_i_i_1__1\: unisim.vcomponents.LUT4 generic map( INIT => X"B2A2" ) port map ( I0 => empty_fwft_fb_o_i, I1 => curr_fwft_state(1), I2 => curr_fwft_state(0), I3 => m_axi_arready, O => empty_fwft_fb_o_i0 ); empty_fwft_fb_o_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => empty_fwft_fb_o_i0, PRE => \out\(1), Q => empty_fwft_fb_o_i ); empty_fwft_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => empty_fwft_i0, PRE => \out\(1), Q => empty_fwft_i ); \gc0.count_d1[3]_i_1__1\: unisim.vcomponents.LUT4 generic map( INIT => X"00DF" ) port map ( I0 => curr_fwft_state(1), I1 => m_axi_arready, I2 => curr_fwft_state(0), I3 => ram_empty_fb_i_reg, O => E(0) ); \goreg_dm.dout_i[64]_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"4404" ) port map ( I0 => \out\(0), I1 => curr_fwft_state(1), I2 => curr_fwft_state(0), I3 => m_axi_arready, O => \goreg_dm.dout_i_reg[64]\(0) ); \gpregsm1.curr_fwft_state[0]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"AE" ) port map ( I0 => curr_fwft_state(1), I1 => curr_fwft_state(0), I2 => m_axi_arready, O => next_fwft_state(0) ); \gpregsm1.curr_fwft_state[1]_i_1__1\: unisim.vcomponents.LUT4 generic map( INIT => X"20FF" ) port map ( I0 => curr_fwft_state(1), I1 => m_axi_arready, I2 => curr_fwft_state(0), I3 => ram_empty_fb_i_reg, O => next_fwft_state(1) ); \gpregsm1.curr_fwft_state_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \out\(1), D => next_fwft_state(0), Q => curr_fwft_state(0) ); \gpregsm1.curr_fwft_state_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \out\(1), D => next_fwft_state(1), Q => curr_fwft_state(1) ); \gpregsm1.user_valid_reg\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \out\(1), D => next_fwft_state(0), Q => user_valid ); m_axi_arvalid_INST_0: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => empty_fwft_i, O => m_axi_arvalid ); \ram_empty_i_i_5__1\: unisim.vcomponents.LUT6 generic map( INIT => X"00DF0000000000DF" ) port map ( I0 => curr_fwft_state(1), I1 => m_axi_arready, I2 => curr_fwft_state(0), I3 => ram_empty_fb_i_reg, I4 => \gnxpm_cdc.wr_pntr_bin_reg[3]\(0), I5 => Q(0), O => ram_empty_i_reg ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity bd_auto_cc_0_rd_status_flags_as is port ( \out\ : out STD_LOGIC; \gc0.count_d1_reg[2]\ : in STD_LOGIC; s_aclk : in STD_LOGIC; \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); end bd_auto_cc_0_rd_status_flags_as; architecture STRUCTURE of bd_auto_cc_0_rd_status_flags_as is signal ram_empty_fb_i : STD_LOGIC; attribute DONT_TOUCH : boolean; attribute DONT_TOUCH of ram_empty_fb_i : signal is std.standard.true; signal ram_empty_i : STD_LOGIC; attribute DONT_TOUCH of ram_empty_i : signal is std.standard.true; attribute DONT_TOUCH of ram_empty_fb_i_reg : label is std.standard.true; attribute KEEP : string; attribute KEEP of ram_empty_fb_i_reg : label is "yes"; attribute equivalent_register_removal : string; attribute equivalent_register_removal of ram_empty_fb_i_reg : label is "no"; attribute DONT_TOUCH of ram_empty_i_reg : label is std.standard.true; attribute KEEP of ram_empty_i_reg : label is "yes"; attribute equivalent_register_removal of ram_empty_i_reg : label is "no"; begin \out\ <= ram_empty_fb_i; ram_empty_fb_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => \gc0.count_d1_reg[2]\, PRE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0), Q => ram_empty_fb_i ); ram_empty_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => \gc0.count_d1_reg[2]\, PRE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0), Q => ram_empty_i ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity bd_auto_cc_0_rd_status_flags_as_19 is port ( \out\ : out STD_LOGIC; \gc0.count_d1_reg[2]\ : in STD_LOGIC; m_aclk : in STD_LOGIC; \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of bd_auto_cc_0_rd_status_flags_as_19 : entity is "rd_status_flags_as"; end bd_auto_cc_0_rd_status_flags_as_19; architecture STRUCTURE of bd_auto_cc_0_rd_status_flags_as_19 is signal ram_empty_fb_i : STD_LOGIC; attribute DONT_TOUCH : boolean; attribute DONT_TOUCH of ram_empty_fb_i : signal is std.standard.true; signal ram_empty_i : STD_LOGIC; attribute DONT_TOUCH of ram_empty_i : signal is std.standard.true; attribute DONT_TOUCH of ram_empty_fb_i_reg : label is std.standard.true; attribute KEEP : string; attribute KEEP of ram_empty_fb_i_reg : label is "yes"; attribute equivalent_register_removal : string; attribute equivalent_register_removal of ram_empty_fb_i_reg : label is "no"; attribute DONT_TOUCH of ram_empty_i_reg : label is std.standard.true; attribute KEEP of ram_empty_i_reg : label is "yes"; attribute equivalent_register_removal of ram_empty_i_reg : label is "no"; begin \out\ <= ram_empty_fb_i; ram_empty_fb_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => \gc0.count_d1_reg[2]\, PRE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0), Q => ram_empty_fb_i ); ram_empty_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => \gc0.count_d1_reg[2]\, PRE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0), Q => ram_empty_i ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity bd_auto_cc_0_rd_status_flags_as_40 is port ( \out\ : out STD_LOGIC; \gc0.count_d1_reg[2]\ : in STD_LOGIC; m_aclk : in STD_LOGIC; \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of bd_auto_cc_0_rd_status_flags_as_40 : entity is "rd_status_flags_as"; end bd_auto_cc_0_rd_status_flags_as_40; architecture STRUCTURE of bd_auto_cc_0_rd_status_flags_as_40 is signal ram_empty_fb_i : STD_LOGIC; attribute DONT_TOUCH : boolean; attribute DONT_TOUCH of ram_empty_fb_i : signal is std.standard.true; signal ram_empty_i : STD_LOGIC; attribute DONT_TOUCH of ram_empty_i : signal is std.standard.true; attribute DONT_TOUCH of ram_empty_fb_i_reg : label is std.standard.true; attribute KEEP : string; attribute KEEP of ram_empty_fb_i_reg : label is "yes"; attribute equivalent_register_removal : string; attribute equivalent_register_removal of ram_empty_fb_i_reg : label is "no"; attribute DONT_TOUCH of ram_empty_i_reg : label is std.standard.true; attribute KEEP of ram_empty_i_reg : label is "yes"; attribute equivalent_register_removal of ram_empty_i_reg : label is "no"; begin \out\ <= ram_empty_fb_i; ram_empty_fb_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => \gc0.count_d1_reg[2]\, PRE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0), Q => ram_empty_fb_i ); ram_empty_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => \gc0.count_d1_reg[2]\, PRE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0), Q => ram_empty_i ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity bd_auto_cc_0_rd_status_flags_as_61 is port ( \out\ : out STD_LOGIC; \gc0.count_d1_reg[2]\ : in STD_LOGIC; s_aclk : in STD_LOGIC; \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of bd_auto_cc_0_rd_status_flags_as_61 : entity is "rd_status_flags_as"; end bd_auto_cc_0_rd_status_flags_as_61; architecture STRUCTURE of bd_auto_cc_0_rd_status_flags_as_61 is signal ram_empty_fb_i : STD_LOGIC; attribute DONT_TOUCH : boolean; attribute DONT_TOUCH of ram_empty_fb_i : signal is std.standard.true; signal ram_empty_i : STD_LOGIC; attribute DONT_TOUCH of ram_empty_i : signal is std.standard.true; attribute DONT_TOUCH of ram_empty_fb_i_reg : label is std.standard.true; attribute KEEP : string; attribute KEEP of ram_empty_fb_i_reg : label is "yes"; attribute equivalent_register_removal : string; attribute equivalent_register_removal of ram_empty_fb_i_reg : label is "no"; attribute DONT_TOUCH of ram_empty_i_reg : label is std.standard.true; attribute KEEP of ram_empty_i_reg : label is "yes"; attribute equivalent_register_removal of ram_empty_i_reg : label is "no"; begin \out\ <= ram_empty_fb_i; ram_empty_fb_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => \gc0.count_d1_reg[2]\, PRE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0), Q => ram_empty_fb_i ); ram_empty_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => \gc0.count_d1_reg[2]\, PRE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0), Q => ram_empty_i ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity bd_auto_cc_0_rd_status_flags_as_85 is port ( \out\ : out STD_LOGIC; \gc0.count_d1_reg[2]\ : in STD_LOGIC; m_aclk : in STD_LOGIC; \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of bd_auto_cc_0_rd_status_flags_as_85 : entity is "rd_status_flags_as"; end bd_auto_cc_0_rd_status_flags_as_85; architecture STRUCTURE of bd_auto_cc_0_rd_status_flags_as_85 is signal ram_empty_fb_i : STD_LOGIC; attribute DONT_TOUCH : boolean; attribute DONT_TOUCH of ram_empty_fb_i : signal is std.standard.true; signal ram_empty_i : STD_LOGIC; attribute DONT_TOUCH of ram_empty_i : signal is std.standard.true; attribute DONT_TOUCH of ram_empty_fb_i_reg : label is std.standard.true; attribute KEEP : string; attribute KEEP of ram_empty_fb_i_reg : label is "yes"; attribute equivalent_register_removal : string; attribute equivalent_register_removal of ram_empty_fb_i_reg : label is "no"; attribute DONT_TOUCH of ram_empty_i_reg : label is std.standard.true; attribute KEEP of ram_empty_i_reg : label is "yes"; attribute equivalent_register_removal of ram_empty_i_reg : label is "no"; begin \out\ <= ram_empty_fb_i; ram_empty_fb_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => \gc0.count_d1_reg[2]\, PRE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0), Q => ram_empty_fb_i ); ram_empty_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => \gc0.count_d1_reg[2]\, PRE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0), Q => ram_empty_i ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity bd_auto_cc_0_synchronizer_ff is port ( \out\ : out STD_LOGIC; in0 : in STD_LOGIC_VECTOR ( 0 to 0 ); s_aclk : in STD_LOGIC ); end bd_auto_cc_0_synchronizer_ff; architecture STRUCTURE of bd_auto_cc_0_synchronizer_ff is signal Q_reg : STD_LOGIC; attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; begin \out\ <= Q_reg; \Q_reg_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', D => in0(0), Q => Q_reg, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity bd_auto_cc_0_synchronizer_ff_1 is port ( \out\ : out STD_LOGIC; in0 : in STD_LOGIC_VECTOR ( 0 to 0 ); m_aclk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of bd_auto_cc_0_synchronizer_ff_1 : entity is "synchronizer_ff"; end bd_auto_cc_0_synchronizer_ff_1; architecture STRUCTURE of bd_auto_cc_0_synchronizer_ff_1 is signal Q_reg : STD_LOGIC; attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; begin \out\ <= Q_reg; \Q_reg_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', D => in0(0), Q => Q_reg, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity bd_auto_cc_0_synchronizer_ff_10 is port ( \out\ : out STD_LOGIC; in0 : in STD_LOGIC_VECTOR ( 0 to 0 ); m_aclk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of bd_auto_cc_0_synchronizer_ff_10 : entity is "synchronizer_ff"; end bd_auto_cc_0_synchronizer_ff_10; architecture STRUCTURE of bd_auto_cc_0_synchronizer_ff_10 is signal Q_reg : STD_LOGIC; attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; begin \out\ <= Q_reg; \Q_reg_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', D => in0(0), Q => Q_reg, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity bd_auto_cc_0_synchronizer_ff_11 is port ( \out\ : out STD_LOGIC; in0 : in STD_LOGIC_VECTOR ( 0 to 0 ); s_aclk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of bd_auto_cc_0_synchronizer_ff_11 : entity is "synchronizer_ff"; end bd_auto_cc_0_synchronizer_ff_11; architecture STRUCTURE of bd_auto_cc_0_synchronizer_ff_11 is signal Q_reg : STD_LOGIC; attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; begin \out\ <= Q_reg; \Q_reg_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', D => in0(0), Q => Q_reg, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity bd_auto_cc_0_synchronizer_ff_12 is port ( \Q_reg_reg[0]_0\ : out STD_LOGIC; AS : out STD_LOGIC_VECTOR ( 0 to 0 ); \out\ : in STD_LOGIC; m_aclk : in STD_LOGIC; in0 : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of bd_auto_cc_0_synchronizer_ff_12 : entity is "synchronizer_ff"; end bd_auto_cc_0_synchronizer_ff_12; architecture STRUCTURE of bd_auto_cc_0_synchronizer_ff_12 is signal Q_reg : STD_LOGIC; attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; begin \Q_reg_reg[0]_0\ <= Q_reg; \Q_reg_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', D => \out\, Q => Q_reg, R => '0' ); \ngwrdrst.grst.g7serrst.rd_rst_reg[2]_i_1__0\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => in0(0), I1 => Q_reg, O => AS(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity bd_auto_cc_0_synchronizer_ff_13 is port ( \Q_reg_reg[0]_0\ : out STD_LOGIC; AS : out STD_LOGIC_VECTOR ( 0 to 0 ); \out\ : in STD_LOGIC; s_aclk : in STD_LOGIC; in0 : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of bd_auto_cc_0_synchronizer_ff_13 : entity is "synchronizer_ff"; end bd_auto_cc_0_synchronizer_ff_13; architecture STRUCTURE of bd_auto_cc_0_synchronizer_ff_13 is signal Q_reg : STD_LOGIC; attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; begin \Q_reg_reg[0]_0\ <= Q_reg; \Q_reg_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', D => \out\, Q => Q_reg, R => '0' ); \ngwrdrst.grst.g7serrst.wr_rst_reg[2]_i_1__0\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => in0(0), I1 => Q_reg, O => AS(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity bd_auto_cc_0_synchronizer_ff_14 is port ( \Q_reg_reg[0]_0\ : in STD_LOGIC; m_aclk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of bd_auto_cc_0_synchronizer_ff_14 : entity is "synchronizer_ff"; end bd_auto_cc_0_synchronizer_ff_14; architecture STRUCTURE of bd_auto_cc_0_synchronizer_ff_14 is signal Q_reg : STD_LOGIC; attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; begin \Q_reg_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', D => \Q_reg_reg[0]_0\, Q => Q_reg, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity bd_auto_cc_0_synchronizer_ff_15 is port ( \Q_reg_reg[0]_0\ : in STD_LOGIC; s_aclk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of bd_auto_cc_0_synchronizer_ff_15 : entity is "synchronizer_ff"; end bd_auto_cc_0_synchronizer_ff_15; architecture STRUCTURE of bd_auto_cc_0_synchronizer_ff_15 is signal Q_reg : STD_LOGIC; attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; begin \Q_reg_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', D => \Q_reg_reg[0]_0\, Q => Q_reg, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity bd_auto_cc_0_synchronizer_ff_2 is port ( \Q_reg_reg[0]_0\ : out STD_LOGIC; AS : out STD_LOGIC_VECTOR ( 0 to 0 ); \out\ : in STD_LOGIC; s_aclk : in STD_LOGIC; in0 : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of bd_auto_cc_0_synchronizer_ff_2 : entity is "synchronizer_ff"; end bd_auto_cc_0_synchronizer_ff_2; architecture STRUCTURE of bd_auto_cc_0_synchronizer_ff_2 is signal Q_reg : STD_LOGIC; attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; begin \Q_reg_reg[0]_0\ <= Q_reg; \Q_reg_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', D => \out\, Q => Q_reg, R => '0' ); \ngwrdrst.grst.g7serrst.rd_rst_reg[2]_i_1__1\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => in0(0), I1 => Q_reg, O => AS(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity bd_auto_cc_0_synchronizer_ff_3 is port ( \Q_reg_reg[0]_0\ : out STD_LOGIC; AS : out STD_LOGIC_VECTOR ( 0 to 0 ); \out\ : in STD_LOGIC; m_aclk : in STD_LOGIC; in0 : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of bd_auto_cc_0_synchronizer_ff_3 : entity is "synchronizer_ff"; end bd_auto_cc_0_synchronizer_ff_3; architecture STRUCTURE of bd_auto_cc_0_synchronizer_ff_3 is signal Q_reg : STD_LOGIC; attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; begin \Q_reg_reg[0]_0\ <= Q_reg; \Q_reg_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', D => \out\, Q => Q_reg, R => '0' ); \ngwrdrst.grst.g7serrst.wr_rst_reg[2]_i_1__1\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => in0(0), I1 => Q_reg, O => AS(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity bd_auto_cc_0_synchronizer_ff_31 is port ( \out\ : out STD_LOGIC; in0 : in STD_LOGIC_VECTOR ( 0 to 0 ); m_aclk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of bd_auto_cc_0_synchronizer_ff_31 : entity is "synchronizer_ff"; end bd_auto_cc_0_synchronizer_ff_31; architecture STRUCTURE of bd_auto_cc_0_synchronizer_ff_31 is signal Q_reg : STD_LOGIC; attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; begin \out\ <= Q_reg; \Q_reg_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', D => in0(0), Q => Q_reg, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity bd_auto_cc_0_synchronizer_ff_32 is port ( \out\ : out STD_LOGIC; in0 : in STD_LOGIC_VECTOR ( 0 to 0 ); s_aclk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of bd_auto_cc_0_synchronizer_ff_32 : entity is "synchronizer_ff"; end bd_auto_cc_0_synchronizer_ff_32; architecture STRUCTURE of bd_auto_cc_0_synchronizer_ff_32 is signal Q_reg : STD_LOGIC; attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; begin \out\ <= Q_reg; \Q_reg_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', D => in0(0), Q => Q_reg, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity bd_auto_cc_0_synchronizer_ff_33 is port ( \Q_reg_reg[0]_0\ : out STD_LOGIC; AS : out STD_LOGIC_VECTOR ( 0 to 0 ); \out\ : in STD_LOGIC; m_aclk : in STD_LOGIC; in0 : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of bd_auto_cc_0_synchronizer_ff_33 : entity is "synchronizer_ff"; end bd_auto_cc_0_synchronizer_ff_33; architecture STRUCTURE of bd_auto_cc_0_synchronizer_ff_33 is signal Q_reg : STD_LOGIC; attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; begin \Q_reg_reg[0]_0\ <= Q_reg; \Q_reg_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', D => \out\, Q => Q_reg, R => '0' ); \ngwrdrst.grst.g7serrst.rd_rst_reg[2]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => in0(0), I1 => Q_reg, O => AS(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity bd_auto_cc_0_synchronizer_ff_34 is port ( \Q_reg_reg[0]_0\ : out STD_LOGIC; AS : out STD_LOGIC_VECTOR ( 0 to 0 ); \out\ : in STD_LOGIC; s_aclk : in STD_LOGIC; in0 : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of bd_auto_cc_0_synchronizer_ff_34 : entity is "synchronizer_ff"; end bd_auto_cc_0_synchronizer_ff_34; architecture STRUCTURE of bd_auto_cc_0_synchronizer_ff_34 is signal Q_reg : STD_LOGIC; attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; begin \Q_reg_reg[0]_0\ <= Q_reg; \Q_reg_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', D => \out\, Q => Q_reg, R => '0' ); \ngwrdrst.grst.g7serrst.wr_rst_reg[2]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => in0(0), I1 => Q_reg, O => AS(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity bd_auto_cc_0_synchronizer_ff_35 is port ( \Q_reg_reg[0]_0\ : in STD_LOGIC; m_aclk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of bd_auto_cc_0_synchronizer_ff_35 : entity is "synchronizer_ff"; end bd_auto_cc_0_synchronizer_ff_35; architecture STRUCTURE of bd_auto_cc_0_synchronizer_ff_35 is signal Q_reg : STD_LOGIC; attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; begin \Q_reg_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', D => \Q_reg_reg[0]_0\, Q => Q_reg, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity bd_auto_cc_0_synchronizer_ff_36 is port ( \Q_reg_reg[0]_0\ : in STD_LOGIC; s_aclk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of bd_auto_cc_0_synchronizer_ff_36 : entity is "synchronizer_ff"; end bd_auto_cc_0_synchronizer_ff_36; architecture STRUCTURE of bd_auto_cc_0_synchronizer_ff_36 is signal Q_reg : STD_LOGIC; attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; begin \Q_reg_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', D => \Q_reg_reg[0]_0\, Q => Q_reg, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity bd_auto_cc_0_synchronizer_ff_4 is port ( \Q_reg_reg[0]_0\ : in STD_LOGIC; s_aclk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of bd_auto_cc_0_synchronizer_ff_4 : entity is "synchronizer_ff"; end bd_auto_cc_0_synchronizer_ff_4; architecture STRUCTURE of bd_auto_cc_0_synchronizer_ff_4 is signal Q_reg : STD_LOGIC; attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; begin \Q_reg_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', D => \Q_reg_reg[0]_0\, Q => Q_reg, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity bd_auto_cc_0_synchronizer_ff_5 is port ( \Q_reg_reg[0]_0\ : in STD_LOGIC; m_aclk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of bd_auto_cc_0_synchronizer_ff_5 : entity is "synchronizer_ff"; end bd_auto_cc_0_synchronizer_ff_5; architecture STRUCTURE of bd_auto_cc_0_synchronizer_ff_5 is signal Q_reg : STD_LOGIC; attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; begin \Q_reg_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', D => \Q_reg_reg[0]_0\, Q => Q_reg, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity bd_auto_cc_0_synchronizer_ff_52 is port ( \out\ : out STD_LOGIC; in0 : in STD_LOGIC_VECTOR ( 0 to 0 ); s_aclk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of bd_auto_cc_0_synchronizer_ff_52 : entity is "synchronizer_ff"; end bd_auto_cc_0_synchronizer_ff_52; architecture STRUCTURE of bd_auto_cc_0_synchronizer_ff_52 is signal Q_reg : STD_LOGIC; attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; begin \out\ <= Q_reg; \Q_reg_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', D => in0(0), Q => Q_reg, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity bd_auto_cc_0_synchronizer_ff_53 is port ( \out\ : out STD_LOGIC; in0 : in STD_LOGIC_VECTOR ( 0 to 0 ); m_aclk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of bd_auto_cc_0_synchronizer_ff_53 : entity is "synchronizer_ff"; end bd_auto_cc_0_synchronizer_ff_53; architecture STRUCTURE of bd_auto_cc_0_synchronizer_ff_53 is signal Q_reg : STD_LOGIC; attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; begin \out\ <= Q_reg; \Q_reg_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', D => in0(0), Q => Q_reg, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity bd_auto_cc_0_synchronizer_ff_54 is port ( \Q_reg_reg[0]_0\ : out STD_LOGIC; AS : out STD_LOGIC_VECTOR ( 0 to 0 ); \out\ : in STD_LOGIC; s_aclk : in STD_LOGIC; in0 : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of bd_auto_cc_0_synchronizer_ff_54 : entity is "synchronizer_ff"; end bd_auto_cc_0_synchronizer_ff_54; architecture STRUCTURE of bd_auto_cc_0_synchronizer_ff_54 is signal Q_reg : STD_LOGIC; attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; begin \Q_reg_reg[0]_0\ <= Q_reg; \Q_reg_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', D => \out\, Q => Q_reg, R => '0' ); \ngwrdrst.grst.g7serrst.rd_rst_reg[2]_i_1__3\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => in0(0), I1 => Q_reg, O => AS(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity bd_auto_cc_0_synchronizer_ff_55 is port ( \Q_reg_reg[0]_0\ : out STD_LOGIC; AS : out STD_LOGIC_VECTOR ( 0 to 0 ); \out\ : in STD_LOGIC; m_aclk : in STD_LOGIC; in0 : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of bd_auto_cc_0_synchronizer_ff_55 : entity is "synchronizer_ff"; end bd_auto_cc_0_synchronizer_ff_55; architecture STRUCTURE of bd_auto_cc_0_synchronizer_ff_55 is signal Q_reg : STD_LOGIC; attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; begin \Q_reg_reg[0]_0\ <= Q_reg; \Q_reg_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', D => \out\, Q => Q_reg, R => '0' ); \ngwrdrst.grst.g7serrst.wr_rst_reg[2]_i_1__3\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => in0(0), I1 => Q_reg, O => AS(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity bd_auto_cc_0_synchronizer_ff_56 is port ( \Q_reg_reg[0]_0\ : in STD_LOGIC; s_aclk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of bd_auto_cc_0_synchronizer_ff_56 : entity is "synchronizer_ff"; end bd_auto_cc_0_synchronizer_ff_56; architecture STRUCTURE of bd_auto_cc_0_synchronizer_ff_56 is signal Q_reg : STD_LOGIC; attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; begin \Q_reg_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', D => \Q_reg_reg[0]_0\, Q => Q_reg, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity bd_auto_cc_0_synchronizer_ff_57 is port ( \Q_reg_reg[0]_0\ : in STD_LOGIC; m_aclk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of bd_auto_cc_0_synchronizer_ff_57 : entity is "synchronizer_ff"; end bd_auto_cc_0_synchronizer_ff_57; architecture STRUCTURE of bd_auto_cc_0_synchronizer_ff_57 is signal Q_reg : STD_LOGIC; attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; begin \Q_reg_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', D => \Q_reg_reg[0]_0\, Q => Q_reg, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity bd_auto_cc_0_synchronizer_ff_75 is port ( \out\ : out STD_LOGIC; in0 : in STD_LOGIC_VECTOR ( 0 to 0 ); m_aclk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of bd_auto_cc_0_synchronizer_ff_75 : entity is "synchronizer_ff"; end bd_auto_cc_0_synchronizer_ff_75; architecture STRUCTURE of bd_auto_cc_0_synchronizer_ff_75 is signal Q_reg : STD_LOGIC; attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; begin \out\ <= Q_reg; \Q_reg_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', D => in0(0), Q => Q_reg, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity bd_auto_cc_0_synchronizer_ff_76 is port ( \out\ : out STD_LOGIC; in0 : in STD_LOGIC_VECTOR ( 0 to 0 ); s_aclk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of bd_auto_cc_0_synchronizer_ff_76 : entity is "synchronizer_ff"; end bd_auto_cc_0_synchronizer_ff_76; architecture STRUCTURE of bd_auto_cc_0_synchronizer_ff_76 is signal Q_reg : STD_LOGIC; attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; begin \out\ <= Q_reg; \Q_reg_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', D => in0(0), Q => Q_reg, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity bd_auto_cc_0_synchronizer_ff_77 is port ( \Q_reg_reg[0]_0\ : out STD_LOGIC; AS : out STD_LOGIC_VECTOR ( 0 to 0 ); \out\ : in STD_LOGIC; m_aclk : in STD_LOGIC; in0 : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of bd_auto_cc_0_synchronizer_ff_77 : entity is "synchronizer_ff"; end bd_auto_cc_0_synchronizer_ff_77; architecture STRUCTURE of bd_auto_cc_0_synchronizer_ff_77 is signal Q_reg : STD_LOGIC; attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; begin \Q_reg_reg[0]_0\ <= Q_reg; \Q_reg_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', D => \out\, Q => Q_reg, R => '0' ); \ngwrdrst.grst.g7serrst.rd_rst_reg[2]_i_1__2\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => in0(0), I1 => Q_reg, O => AS(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity bd_auto_cc_0_synchronizer_ff_78 is port ( \Q_reg_reg[0]_0\ : out STD_LOGIC; AS : out STD_LOGIC_VECTOR ( 0 to 0 ); \out\ : in STD_LOGIC; s_aclk : in STD_LOGIC; in0 : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of bd_auto_cc_0_synchronizer_ff_78 : entity is "synchronizer_ff"; end bd_auto_cc_0_synchronizer_ff_78; architecture STRUCTURE of bd_auto_cc_0_synchronizer_ff_78 is signal Q_reg : STD_LOGIC; attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; begin \Q_reg_reg[0]_0\ <= Q_reg; \Q_reg_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', D => \out\, Q => Q_reg, R => '0' ); \ngwrdrst.grst.g7serrst.wr_rst_reg[2]_i_1__2\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => in0(0), I1 => Q_reg, O => AS(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity bd_auto_cc_0_synchronizer_ff_79 is port ( \Q_reg_reg[0]_0\ : in STD_LOGIC; m_aclk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of bd_auto_cc_0_synchronizer_ff_79 : entity is "synchronizer_ff"; end bd_auto_cc_0_synchronizer_ff_79; architecture STRUCTURE of bd_auto_cc_0_synchronizer_ff_79 is signal Q_reg : STD_LOGIC; attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; begin \Q_reg_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', D => \Q_reg_reg[0]_0\, Q => Q_reg, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity bd_auto_cc_0_synchronizer_ff_80 is port ( \Q_reg_reg[0]_0\ : in STD_LOGIC; s_aclk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of bd_auto_cc_0_synchronizer_ff_80 : entity is "synchronizer_ff"; end bd_auto_cc_0_synchronizer_ff_80; architecture STRUCTURE of bd_auto_cc_0_synchronizer_ff_80 is signal Q_reg : STD_LOGIC; attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; begin \Q_reg_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', D => \Q_reg_reg[0]_0\, Q => Q_reg, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \bd_auto_cc_0_synchronizer_ff__parameterized0\ is port ( D : out STD_LOGIC_VECTOR ( 3 downto 0 ); Q : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_aclk : in STD_LOGIC; \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \bd_auto_cc_0_synchronizer_ff__parameterized0\ : entity is "synchronizer_ff"; end \bd_auto_cc_0_synchronizer_ff__parameterized0\; architecture STRUCTURE of \bd_auto_cc_0_synchronizer_ff__parameterized0\ is signal Q_reg : STD_LOGIC_VECTOR ( 3 downto 0 ); attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[1]\ : label is "yes"; attribute msgon of \Q_reg_reg[1]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[2]\ : label is "yes"; attribute msgon of \Q_reg_reg[2]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[3]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[3]\ : label is "yes"; attribute msgon of \Q_reg_reg[3]\ : label is "true"; begin D(3 downto 0) <= Q_reg(3 downto 0); \Q_reg_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => Q(0), Q => Q_reg(0) ); \Q_reg_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => Q(1), Q => Q_reg(1) ); \Q_reg_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => Q(2), Q => Q_reg(2) ); \Q_reg_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => Q(3), Q => Q_reg(3) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \bd_auto_cc_0_synchronizer_ff__parameterized0_21\ is port ( D : out STD_LOGIC_VECTOR ( 3 downto 0 ); Q : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_aclk : in STD_LOGIC; \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \bd_auto_cc_0_synchronizer_ff__parameterized0_21\ : entity is "synchronizer_ff"; end \bd_auto_cc_0_synchronizer_ff__parameterized0_21\; architecture STRUCTURE of \bd_auto_cc_0_synchronizer_ff__parameterized0_21\ is signal Q_reg : STD_LOGIC_VECTOR ( 3 downto 0 ); attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[1]\ : label is "yes"; attribute msgon of \Q_reg_reg[1]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[2]\ : label is "yes"; attribute msgon of \Q_reg_reg[2]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[3]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[3]\ : label is "yes"; attribute msgon of \Q_reg_reg[3]\ : label is "true"; begin D(3 downto 0) <= Q_reg(3 downto 0); \Q_reg_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => Q(0), Q => Q_reg(0) ); \Q_reg_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => Q(1), Q => Q_reg(1) ); \Q_reg_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => Q(2), Q => Q_reg(2) ); \Q_reg_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => Q(3), Q => Q_reg(3) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \bd_auto_cc_0_synchronizer_ff__parameterized0_42\ is port ( D : out STD_LOGIC_VECTOR ( 3 downto 0 ); Q : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_aclk : in STD_LOGIC; \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \bd_auto_cc_0_synchronizer_ff__parameterized0_42\ : entity is "synchronizer_ff"; end \bd_auto_cc_0_synchronizer_ff__parameterized0_42\; architecture STRUCTURE of \bd_auto_cc_0_synchronizer_ff__parameterized0_42\ is signal Q_reg : STD_LOGIC_VECTOR ( 3 downto 0 ); attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[1]\ : label is "yes"; attribute msgon of \Q_reg_reg[1]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[2]\ : label is "yes"; attribute msgon of \Q_reg_reg[2]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[3]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[3]\ : label is "yes"; attribute msgon of \Q_reg_reg[3]\ : label is "true"; begin D(3 downto 0) <= Q_reg(3 downto 0); \Q_reg_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => Q(0), Q => Q_reg(0) ); \Q_reg_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => Q(1), Q => Q_reg(1) ); \Q_reg_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => Q(2), Q => Q_reg(2) ); \Q_reg_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => Q(3), Q => Q_reg(3) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \bd_auto_cc_0_synchronizer_ff__parameterized0_63\ is port ( D : out STD_LOGIC_VECTOR ( 3 downto 0 ); Q : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_aclk : in STD_LOGIC; \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \bd_auto_cc_0_synchronizer_ff__parameterized0_63\ : entity is "synchronizer_ff"; end \bd_auto_cc_0_synchronizer_ff__parameterized0_63\; architecture STRUCTURE of \bd_auto_cc_0_synchronizer_ff__parameterized0_63\ is signal Q_reg : STD_LOGIC_VECTOR ( 3 downto 0 ); attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[1]\ : label is "yes"; attribute msgon of \Q_reg_reg[1]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[2]\ : label is "yes"; attribute msgon of \Q_reg_reg[2]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[3]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[3]\ : label is "yes"; attribute msgon of \Q_reg_reg[3]\ : label is "true"; begin D(3 downto 0) <= Q_reg(3 downto 0); \Q_reg_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => Q(0), Q => Q_reg(0) ); \Q_reg_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => Q(1), Q => Q_reg(1) ); \Q_reg_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => Q(2), Q => Q_reg(2) ); \Q_reg_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => Q(3), Q => Q_reg(3) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \bd_auto_cc_0_synchronizer_ff__parameterized0_87\ is port ( D : out STD_LOGIC_VECTOR ( 3 downto 0 ); Q : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_aclk : in STD_LOGIC; \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \bd_auto_cc_0_synchronizer_ff__parameterized0_87\ : entity is "synchronizer_ff"; end \bd_auto_cc_0_synchronizer_ff__parameterized0_87\; architecture STRUCTURE of \bd_auto_cc_0_synchronizer_ff__parameterized0_87\ is signal Q_reg : STD_LOGIC_VECTOR ( 3 downto 0 ); attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[1]\ : label is "yes"; attribute msgon of \Q_reg_reg[1]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[2]\ : label is "yes"; attribute msgon of \Q_reg_reg[2]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[3]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[3]\ : label is "yes"; attribute msgon of \Q_reg_reg[3]\ : label is "true"; begin D(3 downto 0) <= Q_reg(3 downto 0); \Q_reg_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => Q(0), Q => Q_reg(0) ); \Q_reg_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => Q(1), Q => Q_reg(1) ); \Q_reg_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => Q(2), Q => Q_reg(2) ); \Q_reg_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => Q(3), Q => Q_reg(3) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \bd_auto_cc_0_synchronizer_ff__parameterized1\ is port ( D : out STD_LOGIC_VECTOR ( 3 downto 0 ); Q : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_aclk : in STD_LOGIC; AR : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \bd_auto_cc_0_synchronizer_ff__parameterized1\ : entity is "synchronizer_ff"; end \bd_auto_cc_0_synchronizer_ff__parameterized1\; architecture STRUCTURE of \bd_auto_cc_0_synchronizer_ff__parameterized1\ is signal Q_reg : STD_LOGIC_VECTOR ( 3 downto 0 ); attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[1]\ : label is "yes"; attribute msgon of \Q_reg_reg[1]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[2]\ : label is "yes"; attribute msgon of \Q_reg_reg[2]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[3]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[3]\ : label is "yes"; attribute msgon of \Q_reg_reg[3]\ : label is "true"; begin D(3 downto 0) <= Q_reg(3 downto 0); \Q_reg_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => AR(0), D => Q(0), Q => Q_reg(0) ); \Q_reg_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => AR(0), D => Q(1), Q => Q_reg(1) ); \Q_reg_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => AR(0), D => Q(2), Q => Q_reg(2) ); \Q_reg_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => AR(0), D => Q(3), Q => Q_reg(3) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \bd_auto_cc_0_synchronizer_ff__parameterized1_22\ is port ( D : out STD_LOGIC_VECTOR ( 3 downto 0 ); Q : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_aclk : in STD_LOGIC; AR : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \bd_auto_cc_0_synchronizer_ff__parameterized1_22\ : entity is "synchronizer_ff"; end \bd_auto_cc_0_synchronizer_ff__parameterized1_22\; architecture STRUCTURE of \bd_auto_cc_0_synchronizer_ff__parameterized1_22\ is signal Q_reg : STD_LOGIC_VECTOR ( 3 downto 0 ); attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[1]\ : label is "yes"; attribute msgon of \Q_reg_reg[1]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[2]\ : label is "yes"; attribute msgon of \Q_reg_reg[2]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[3]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[3]\ : label is "yes"; attribute msgon of \Q_reg_reg[3]\ : label is "true"; begin D(3 downto 0) <= Q_reg(3 downto 0); \Q_reg_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => Q(0), Q => Q_reg(0) ); \Q_reg_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => Q(1), Q => Q_reg(1) ); \Q_reg_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => Q(2), Q => Q_reg(2) ); \Q_reg_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => Q(3), Q => Q_reg(3) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \bd_auto_cc_0_synchronizer_ff__parameterized1_43\ is port ( D : out STD_LOGIC_VECTOR ( 3 downto 0 ); Q : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_aclk : in STD_LOGIC; AR : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \bd_auto_cc_0_synchronizer_ff__parameterized1_43\ : entity is "synchronizer_ff"; end \bd_auto_cc_0_synchronizer_ff__parameterized1_43\; architecture STRUCTURE of \bd_auto_cc_0_synchronizer_ff__parameterized1_43\ is signal Q_reg : STD_LOGIC_VECTOR ( 3 downto 0 ); attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[1]\ : label is "yes"; attribute msgon of \Q_reg_reg[1]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[2]\ : label is "yes"; attribute msgon of \Q_reg_reg[2]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[3]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[3]\ : label is "yes"; attribute msgon of \Q_reg_reg[3]\ : label is "true"; begin D(3 downto 0) <= Q_reg(3 downto 0); \Q_reg_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => Q(0), Q => Q_reg(0) ); \Q_reg_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => Q(1), Q => Q_reg(1) ); \Q_reg_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => Q(2), Q => Q_reg(2) ); \Q_reg_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => Q(3), Q => Q_reg(3) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \bd_auto_cc_0_synchronizer_ff__parameterized1_64\ is port ( D : out STD_LOGIC_VECTOR ( 3 downto 0 ); Q : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_aclk : in STD_LOGIC; AR : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \bd_auto_cc_0_synchronizer_ff__parameterized1_64\ : entity is "synchronizer_ff"; end \bd_auto_cc_0_synchronizer_ff__parameterized1_64\; architecture STRUCTURE of \bd_auto_cc_0_synchronizer_ff__parameterized1_64\ is signal Q_reg : STD_LOGIC_VECTOR ( 3 downto 0 ); attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[1]\ : label is "yes"; attribute msgon of \Q_reg_reg[1]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[2]\ : label is "yes"; attribute msgon of \Q_reg_reg[2]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[3]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[3]\ : label is "yes"; attribute msgon of \Q_reg_reg[3]\ : label is "true"; begin D(3 downto 0) <= Q_reg(3 downto 0); \Q_reg_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => AR(0), D => Q(0), Q => Q_reg(0) ); \Q_reg_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => AR(0), D => Q(1), Q => Q_reg(1) ); \Q_reg_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => AR(0), D => Q(2), Q => Q_reg(2) ); \Q_reg_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => AR(0), D => Q(3), Q => Q_reg(3) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \bd_auto_cc_0_synchronizer_ff__parameterized1_88\ is port ( D : out STD_LOGIC_VECTOR ( 3 downto 0 ); Q : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_aclk : in STD_LOGIC; AR : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \bd_auto_cc_0_synchronizer_ff__parameterized1_88\ : entity is "synchronizer_ff"; end \bd_auto_cc_0_synchronizer_ff__parameterized1_88\; architecture STRUCTURE of \bd_auto_cc_0_synchronizer_ff__parameterized1_88\ is signal Q_reg : STD_LOGIC_VECTOR ( 3 downto 0 ); attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[1]\ : label is "yes"; attribute msgon of \Q_reg_reg[1]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[2]\ : label is "yes"; attribute msgon of \Q_reg_reg[2]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[3]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[3]\ : label is "yes"; attribute msgon of \Q_reg_reg[3]\ : label is "true"; begin D(3 downto 0) <= Q_reg(3 downto 0); \Q_reg_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => Q(0), Q => Q_reg(0) ); \Q_reg_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => Q(1), Q => Q_reg(1) ); \Q_reg_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => Q(2), Q => Q_reg(2) ); \Q_reg_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => Q(3), Q => Q_reg(3) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \bd_auto_cc_0_synchronizer_ff__parameterized2\ is port ( D : out STD_LOGIC_VECTOR ( 3 downto 0 ); \Q_reg_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_aclk : in STD_LOGIC; \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \bd_auto_cc_0_synchronizer_ff__parameterized2\ : entity is "synchronizer_ff"; end \bd_auto_cc_0_synchronizer_ff__parameterized2\; architecture STRUCTURE of \bd_auto_cc_0_synchronizer_ff__parameterized2\ is signal Q_reg : STD_LOGIC_VECTOR ( 3 downto 0 ); attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[1]\ : label is "yes"; attribute msgon of \Q_reg_reg[1]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[2]\ : label is "yes"; attribute msgon of \Q_reg_reg[2]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[3]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[3]\ : label is "yes"; attribute msgon of \Q_reg_reg[3]\ : label is "true"; begin D(3 downto 0) <= Q_reg(3 downto 0); \Q_reg_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[3]_0\(0), Q => Q_reg(0) ); \Q_reg_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[3]_0\(1), Q => Q_reg(1) ); \Q_reg_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[3]_0\(2), Q => Q_reg(2) ); \Q_reg_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[3]_0\(3), Q => Q_reg(3) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \bd_auto_cc_0_synchronizer_ff__parameterized2_23\ is port ( D : out STD_LOGIC_VECTOR ( 3 downto 0 ); \Q_reg_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_aclk : in STD_LOGIC; \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \bd_auto_cc_0_synchronizer_ff__parameterized2_23\ : entity is "synchronizer_ff"; end \bd_auto_cc_0_synchronizer_ff__parameterized2_23\; architecture STRUCTURE of \bd_auto_cc_0_synchronizer_ff__parameterized2_23\ is signal Q_reg : STD_LOGIC_VECTOR ( 3 downto 0 ); attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[1]\ : label is "yes"; attribute msgon of \Q_reg_reg[1]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[2]\ : label is "yes"; attribute msgon of \Q_reg_reg[2]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[3]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[3]\ : label is "yes"; attribute msgon of \Q_reg_reg[3]\ : label is "true"; begin D(3 downto 0) <= Q_reg(3 downto 0); \Q_reg_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[3]_0\(0), Q => Q_reg(0) ); \Q_reg_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[3]_0\(1), Q => Q_reg(1) ); \Q_reg_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[3]_0\(2), Q => Q_reg(2) ); \Q_reg_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[3]_0\(3), Q => Q_reg(3) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \bd_auto_cc_0_synchronizer_ff__parameterized2_44\ is port ( D : out STD_LOGIC_VECTOR ( 3 downto 0 ); \Q_reg_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_aclk : in STD_LOGIC; \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \bd_auto_cc_0_synchronizer_ff__parameterized2_44\ : entity is "synchronizer_ff"; end \bd_auto_cc_0_synchronizer_ff__parameterized2_44\; architecture STRUCTURE of \bd_auto_cc_0_synchronizer_ff__parameterized2_44\ is signal Q_reg : STD_LOGIC_VECTOR ( 3 downto 0 ); attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[1]\ : label is "yes"; attribute msgon of \Q_reg_reg[1]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[2]\ : label is "yes"; attribute msgon of \Q_reg_reg[2]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[3]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[3]\ : label is "yes"; attribute msgon of \Q_reg_reg[3]\ : label is "true"; begin D(3 downto 0) <= Q_reg(3 downto 0); \Q_reg_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[3]_0\(0), Q => Q_reg(0) ); \Q_reg_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[3]_0\(1), Q => Q_reg(1) ); \Q_reg_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[3]_0\(2), Q => Q_reg(2) ); \Q_reg_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[3]_0\(3), Q => Q_reg(3) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \bd_auto_cc_0_synchronizer_ff__parameterized2_65\ is port ( D : out STD_LOGIC_VECTOR ( 3 downto 0 ); \Q_reg_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_aclk : in STD_LOGIC; \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \bd_auto_cc_0_synchronizer_ff__parameterized2_65\ : entity is "synchronizer_ff"; end \bd_auto_cc_0_synchronizer_ff__parameterized2_65\; architecture STRUCTURE of \bd_auto_cc_0_synchronizer_ff__parameterized2_65\ is signal Q_reg : STD_LOGIC_VECTOR ( 3 downto 0 ); attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[1]\ : label is "yes"; attribute msgon of \Q_reg_reg[1]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[2]\ : label is "yes"; attribute msgon of \Q_reg_reg[2]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[3]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[3]\ : label is "yes"; attribute msgon of \Q_reg_reg[3]\ : label is "true"; begin D(3 downto 0) <= Q_reg(3 downto 0); \Q_reg_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[3]_0\(0), Q => Q_reg(0) ); \Q_reg_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[3]_0\(1), Q => Q_reg(1) ); \Q_reg_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[3]_0\(2), Q => Q_reg(2) ); \Q_reg_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[3]_0\(3), Q => Q_reg(3) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \bd_auto_cc_0_synchronizer_ff__parameterized2_89\ is port ( D : out STD_LOGIC_VECTOR ( 3 downto 0 ); \Q_reg_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_aclk : in STD_LOGIC; \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \bd_auto_cc_0_synchronizer_ff__parameterized2_89\ : entity is "synchronizer_ff"; end \bd_auto_cc_0_synchronizer_ff__parameterized2_89\; architecture STRUCTURE of \bd_auto_cc_0_synchronizer_ff__parameterized2_89\ is signal Q_reg : STD_LOGIC_VECTOR ( 3 downto 0 ); attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[1]\ : label is "yes"; attribute msgon of \Q_reg_reg[1]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[2]\ : label is "yes"; attribute msgon of \Q_reg_reg[2]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[3]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[3]\ : label is "yes"; attribute msgon of \Q_reg_reg[3]\ : label is "true"; begin D(3 downto 0) <= Q_reg(3 downto 0); \Q_reg_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[3]_0\(0), Q => Q_reg(0) ); \Q_reg_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[3]_0\(1), Q => Q_reg(1) ); \Q_reg_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[3]_0\(2), Q => Q_reg(2) ); \Q_reg_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[3]_0\(3), Q => Q_reg(3) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \bd_auto_cc_0_synchronizer_ff__parameterized3\ is port ( D : out STD_LOGIC_VECTOR ( 3 downto 0 ); \Q_reg_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_aclk : in STD_LOGIC; AR : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \bd_auto_cc_0_synchronizer_ff__parameterized3\ : entity is "synchronizer_ff"; end \bd_auto_cc_0_synchronizer_ff__parameterized3\; architecture STRUCTURE of \bd_auto_cc_0_synchronizer_ff__parameterized3\ is signal Q_reg : STD_LOGIC_VECTOR ( 3 downto 0 ); attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[1]\ : label is "yes"; attribute msgon of \Q_reg_reg[1]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[2]\ : label is "yes"; attribute msgon of \Q_reg_reg[2]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[3]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[3]\ : label is "yes"; attribute msgon of \Q_reg_reg[3]\ : label is "true"; begin D(3 downto 0) <= Q_reg(3 downto 0); \Q_reg_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => AR(0), D => \Q_reg_reg[3]_0\(0), Q => Q_reg(0) ); \Q_reg_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => AR(0), D => \Q_reg_reg[3]_0\(1), Q => Q_reg(1) ); \Q_reg_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => AR(0), D => \Q_reg_reg[3]_0\(2), Q => Q_reg(2) ); \Q_reg_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => AR(0), D => \Q_reg_reg[3]_0\(3), Q => Q_reg(3) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \bd_auto_cc_0_synchronizer_ff__parameterized3_24\ is port ( D : out STD_LOGIC_VECTOR ( 3 downto 0 ); \Q_reg_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_aclk : in STD_LOGIC; AR : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \bd_auto_cc_0_synchronizer_ff__parameterized3_24\ : entity is "synchronizer_ff"; end \bd_auto_cc_0_synchronizer_ff__parameterized3_24\; architecture STRUCTURE of \bd_auto_cc_0_synchronizer_ff__parameterized3_24\ is signal Q_reg : STD_LOGIC_VECTOR ( 3 downto 0 ); attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[1]\ : label is "yes"; attribute msgon of \Q_reg_reg[1]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[2]\ : label is "yes"; attribute msgon of \Q_reg_reg[2]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[3]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[3]\ : label is "yes"; attribute msgon of \Q_reg_reg[3]\ : label is "true"; begin D(3 downto 0) <= Q_reg(3 downto 0); \Q_reg_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => \Q_reg_reg[3]_0\(0), Q => Q_reg(0) ); \Q_reg_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => \Q_reg_reg[3]_0\(1), Q => Q_reg(1) ); \Q_reg_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => \Q_reg_reg[3]_0\(2), Q => Q_reg(2) ); \Q_reg_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => \Q_reg_reg[3]_0\(3), Q => Q_reg(3) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \bd_auto_cc_0_synchronizer_ff__parameterized3_45\ is port ( D : out STD_LOGIC_VECTOR ( 3 downto 0 ); \Q_reg_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_aclk : in STD_LOGIC; AR : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \bd_auto_cc_0_synchronizer_ff__parameterized3_45\ : entity is "synchronizer_ff"; end \bd_auto_cc_0_synchronizer_ff__parameterized3_45\; architecture STRUCTURE of \bd_auto_cc_0_synchronizer_ff__parameterized3_45\ is signal Q_reg : STD_LOGIC_VECTOR ( 3 downto 0 ); attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[1]\ : label is "yes"; attribute msgon of \Q_reg_reg[1]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[2]\ : label is "yes"; attribute msgon of \Q_reg_reg[2]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[3]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[3]\ : label is "yes"; attribute msgon of \Q_reg_reg[3]\ : label is "true"; begin D(3 downto 0) <= Q_reg(3 downto 0); \Q_reg_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => \Q_reg_reg[3]_0\(0), Q => Q_reg(0) ); \Q_reg_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => \Q_reg_reg[3]_0\(1), Q => Q_reg(1) ); \Q_reg_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => \Q_reg_reg[3]_0\(2), Q => Q_reg(2) ); \Q_reg_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => \Q_reg_reg[3]_0\(3), Q => Q_reg(3) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \bd_auto_cc_0_synchronizer_ff__parameterized3_66\ is port ( D : out STD_LOGIC_VECTOR ( 3 downto 0 ); \Q_reg_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_aclk : in STD_LOGIC; AR : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \bd_auto_cc_0_synchronizer_ff__parameterized3_66\ : entity is "synchronizer_ff"; end \bd_auto_cc_0_synchronizer_ff__parameterized3_66\; architecture STRUCTURE of \bd_auto_cc_0_synchronizer_ff__parameterized3_66\ is signal Q_reg : STD_LOGIC_VECTOR ( 3 downto 0 ); attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[1]\ : label is "yes"; attribute msgon of \Q_reg_reg[1]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[2]\ : label is "yes"; attribute msgon of \Q_reg_reg[2]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[3]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[3]\ : label is "yes"; attribute msgon of \Q_reg_reg[3]\ : label is "true"; begin D(3 downto 0) <= Q_reg(3 downto 0); \Q_reg_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => AR(0), D => \Q_reg_reg[3]_0\(0), Q => Q_reg(0) ); \Q_reg_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => AR(0), D => \Q_reg_reg[3]_0\(1), Q => Q_reg(1) ); \Q_reg_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => AR(0), D => \Q_reg_reg[3]_0\(2), Q => Q_reg(2) ); \Q_reg_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => AR(0), D => \Q_reg_reg[3]_0\(3), Q => Q_reg(3) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \bd_auto_cc_0_synchronizer_ff__parameterized3_90\ is port ( D : out STD_LOGIC_VECTOR ( 3 downto 0 ); \Q_reg_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_aclk : in STD_LOGIC; AR : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \bd_auto_cc_0_synchronizer_ff__parameterized3_90\ : entity is "synchronizer_ff"; end \bd_auto_cc_0_synchronizer_ff__parameterized3_90\; architecture STRUCTURE of \bd_auto_cc_0_synchronizer_ff__parameterized3_90\ is signal Q_reg : STD_LOGIC_VECTOR ( 3 downto 0 ); attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[1]\ : label is "yes"; attribute msgon of \Q_reg_reg[1]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[2]\ : label is "yes"; attribute msgon of \Q_reg_reg[2]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[3]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[3]\ : label is "yes"; attribute msgon of \Q_reg_reg[3]\ : label is "true"; begin D(3 downto 0) <= Q_reg(3 downto 0); \Q_reg_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => \Q_reg_reg[3]_0\(0), Q => Q_reg(0) ); \Q_reg_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => \Q_reg_reg[3]_0\(1), Q => Q_reg(1) ); \Q_reg_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => \Q_reg_reg[3]_0\(2), Q => Q_reg(2) ); \Q_reg_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => \Q_reg_reg[3]_0\(3), Q => Q_reg(3) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \bd_auto_cc_0_synchronizer_ff__parameterized4\ is port ( \out\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); D : out STD_LOGIC_VECTOR ( 0 to 0 ); \Q_reg_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_aclk : in STD_LOGIC; \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \bd_auto_cc_0_synchronizer_ff__parameterized4\ : entity is "synchronizer_ff"; end \bd_auto_cc_0_synchronizer_ff__parameterized4\; architecture STRUCTURE of \bd_auto_cc_0_synchronizer_ff__parameterized4\ is signal Q_reg : STD_LOGIC_VECTOR ( 3 downto 0 ); attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[1]\ : label is "yes"; attribute msgon of \Q_reg_reg[1]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[2]\ : label is "yes"; attribute msgon of \Q_reg_reg[2]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[3]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[3]\ : label is "yes"; attribute msgon of \Q_reg_reg[3]\ : label is "true"; begin \out\(3 downto 0) <= Q_reg(3 downto 0); \Q_reg_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[3]_0\(0), Q => Q_reg(0) ); \Q_reg_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[3]_0\(1), Q => Q_reg(1) ); \Q_reg_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[3]_0\(2), Q => Q_reg(2) ); \Q_reg_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[3]_0\(3), Q => Q_reg(3) ); \gnxpm_cdc.wr_pntr_bin[2]_i_1__1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => Q_reg(2), I1 => Q_reg(3), O => D(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \bd_auto_cc_0_synchronizer_ff__parameterized4_25\ is port ( \out\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); D : out STD_LOGIC_VECTOR ( 0 to 0 ); \Q_reg_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_aclk : in STD_LOGIC; \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \bd_auto_cc_0_synchronizer_ff__parameterized4_25\ : entity is "synchronizer_ff"; end \bd_auto_cc_0_synchronizer_ff__parameterized4_25\; architecture STRUCTURE of \bd_auto_cc_0_synchronizer_ff__parameterized4_25\ is signal Q_reg : STD_LOGIC_VECTOR ( 3 downto 0 ); attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[1]\ : label is "yes"; attribute msgon of \Q_reg_reg[1]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[2]\ : label is "yes"; attribute msgon of \Q_reg_reg[2]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[3]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[3]\ : label is "yes"; attribute msgon of \Q_reg_reg[3]\ : label is "true"; begin \out\(3 downto 0) <= Q_reg(3 downto 0); \Q_reg_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[3]_0\(0), Q => Q_reg(0) ); \Q_reg_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[3]_0\(1), Q => Q_reg(1) ); \Q_reg_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[3]_0\(2), Q => Q_reg(2) ); \Q_reg_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[3]_0\(3), Q => Q_reg(3) ); \gnxpm_cdc.wr_pntr_bin[2]_i_1__0\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => Q_reg(2), I1 => Q_reg(3), O => D(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \bd_auto_cc_0_synchronizer_ff__parameterized4_46\ is port ( \out\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); D : out STD_LOGIC_VECTOR ( 0 to 0 ); \Q_reg_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_aclk : in STD_LOGIC; \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \bd_auto_cc_0_synchronizer_ff__parameterized4_46\ : entity is "synchronizer_ff"; end \bd_auto_cc_0_synchronizer_ff__parameterized4_46\; architecture STRUCTURE of \bd_auto_cc_0_synchronizer_ff__parameterized4_46\ is signal Q_reg : STD_LOGIC_VECTOR ( 3 downto 0 ); attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[1]\ : label is "yes"; attribute msgon of \Q_reg_reg[1]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[2]\ : label is "yes"; attribute msgon of \Q_reg_reg[2]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[3]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[3]\ : label is "yes"; attribute msgon of \Q_reg_reg[3]\ : label is "true"; begin \out\(3 downto 0) <= Q_reg(3 downto 0); \Q_reg_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[3]_0\(0), Q => Q_reg(0) ); \Q_reg_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[3]_0\(1), Q => Q_reg(1) ); \Q_reg_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[3]_0\(2), Q => Q_reg(2) ); \Q_reg_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[3]_0\(3), Q => Q_reg(3) ); \gnxpm_cdc.wr_pntr_bin[2]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => Q_reg(2), I1 => Q_reg(3), O => D(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \bd_auto_cc_0_synchronizer_ff__parameterized4_67\ is port ( \out\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); D : out STD_LOGIC_VECTOR ( 0 to 0 ); \Q_reg_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_aclk : in STD_LOGIC; \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \bd_auto_cc_0_synchronizer_ff__parameterized4_67\ : entity is "synchronizer_ff"; end \bd_auto_cc_0_synchronizer_ff__parameterized4_67\; architecture STRUCTURE of \bd_auto_cc_0_synchronizer_ff__parameterized4_67\ is signal Q_reg : STD_LOGIC_VECTOR ( 3 downto 0 ); attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[1]\ : label is "yes"; attribute msgon of \Q_reg_reg[1]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[2]\ : label is "yes"; attribute msgon of \Q_reg_reg[2]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[3]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[3]\ : label is "yes"; attribute msgon of \Q_reg_reg[3]\ : label is "true"; begin \out\(3 downto 0) <= Q_reg(3 downto 0); \Q_reg_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[3]_0\(0), Q => Q_reg(0) ); \Q_reg_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[3]_0\(1), Q => Q_reg(1) ); \Q_reg_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[3]_0\(2), Q => Q_reg(2) ); \Q_reg_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[3]_0\(3), Q => Q_reg(3) ); \gnxpm_cdc.wr_pntr_bin[2]_i_1__3\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => Q_reg(2), I1 => Q_reg(3), O => D(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \bd_auto_cc_0_synchronizer_ff__parameterized4_91\ is port ( \out\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); D : out STD_LOGIC_VECTOR ( 0 to 0 ); \Q_reg_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_aclk : in STD_LOGIC; \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \bd_auto_cc_0_synchronizer_ff__parameterized4_91\ : entity is "synchronizer_ff"; end \bd_auto_cc_0_synchronizer_ff__parameterized4_91\; architecture STRUCTURE of \bd_auto_cc_0_synchronizer_ff__parameterized4_91\ is signal Q_reg : STD_LOGIC_VECTOR ( 3 downto 0 ); attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[1]\ : label is "yes"; attribute msgon of \Q_reg_reg[1]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[2]\ : label is "yes"; attribute msgon of \Q_reg_reg[2]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[3]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[3]\ : label is "yes"; attribute msgon of \Q_reg_reg[3]\ : label is "true"; begin \out\(3 downto 0) <= Q_reg(3 downto 0); \Q_reg_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[3]_0\(0), Q => Q_reg(0) ); \Q_reg_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[3]_0\(1), Q => Q_reg(1) ); \Q_reg_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[3]_0\(2), Q => Q_reg(2) ); \Q_reg_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[3]_0\(3), Q => Q_reg(3) ); \gnxpm_cdc.wr_pntr_bin[2]_i_1__2\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => Q_reg(2), I1 => Q_reg(3), O => D(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \bd_auto_cc_0_synchronizer_ff__parameterized5\ is port ( \out\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); D : out STD_LOGIC_VECTOR ( 0 to 0 ); \Q_reg_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_aclk : in STD_LOGIC; AR : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \bd_auto_cc_0_synchronizer_ff__parameterized5\ : entity is "synchronizer_ff"; end \bd_auto_cc_0_synchronizer_ff__parameterized5\; architecture STRUCTURE of \bd_auto_cc_0_synchronizer_ff__parameterized5\ is signal Q_reg : STD_LOGIC_VECTOR ( 3 downto 0 ); attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[1]\ : label is "yes"; attribute msgon of \Q_reg_reg[1]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[2]\ : label is "yes"; attribute msgon of \Q_reg_reg[2]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[3]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[3]\ : label is "yes"; attribute msgon of \Q_reg_reg[3]\ : label is "true"; begin \out\(3 downto 0) <= Q_reg(3 downto 0); \Q_reg_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => AR(0), D => \Q_reg_reg[3]_0\(0), Q => Q_reg(0) ); \Q_reg_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => AR(0), D => \Q_reg_reg[3]_0\(1), Q => Q_reg(1) ); \Q_reg_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => AR(0), D => \Q_reg_reg[3]_0\(2), Q => Q_reg(2) ); \Q_reg_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => AR(0), D => \Q_reg_reg[3]_0\(3), Q => Q_reg(3) ); \gnxpm_cdc.rd_pntr_bin[2]_i_1__1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => Q_reg(2), I1 => Q_reg(3), O => D(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \bd_auto_cc_0_synchronizer_ff__parameterized5_26\ is port ( \out\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); D : out STD_LOGIC_VECTOR ( 0 to 0 ); \Q_reg_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_aclk : in STD_LOGIC; AR : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \bd_auto_cc_0_synchronizer_ff__parameterized5_26\ : entity is "synchronizer_ff"; end \bd_auto_cc_0_synchronizer_ff__parameterized5_26\; architecture STRUCTURE of \bd_auto_cc_0_synchronizer_ff__parameterized5_26\ is signal Q_reg : STD_LOGIC_VECTOR ( 3 downto 0 ); attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[1]\ : label is "yes"; attribute msgon of \Q_reg_reg[1]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[2]\ : label is "yes"; attribute msgon of \Q_reg_reg[2]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[3]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[3]\ : label is "yes"; attribute msgon of \Q_reg_reg[3]\ : label is "true"; begin \out\(3 downto 0) <= Q_reg(3 downto 0); \Q_reg_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => \Q_reg_reg[3]_0\(0), Q => Q_reg(0) ); \Q_reg_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => \Q_reg_reg[3]_0\(1), Q => Q_reg(1) ); \Q_reg_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => \Q_reg_reg[3]_0\(2), Q => Q_reg(2) ); \Q_reg_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => \Q_reg_reg[3]_0\(3), Q => Q_reg(3) ); \gnxpm_cdc.rd_pntr_bin[2]_i_1__0\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => Q_reg(2), I1 => Q_reg(3), O => D(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \bd_auto_cc_0_synchronizer_ff__parameterized5_47\ is port ( \out\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); D : out STD_LOGIC_VECTOR ( 0 to 0 ); \Q_reg_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_aclk : in STD_LOGIC; AR : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \bd_auto_cc_0_synchronizer_ff__parameterized5_47\ : entity is "synchronizer_ff"; end \bd_auto_cc_0_synchronizer_ff__parameterized5_47\; architecture STRUCTURE of \bd_auto_cc_0_synchronizer_ff__parameterized5_47\ is signal Q_reg : STD_LOGIC_VECTOR ( 3 downto 0 ); attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[1]\ : label is "yes"; attribute msgon of \Q_reg_reg[1]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[2]\ : label is "yes"; attribute msgon of \Q_reg_reg[2]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[3]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[3]\ : label is "yes"; attribute msgon of \Q_reg_reg[3]\ : label is "true"; begin \out\(3 downto 0) <= Q_reg(3 downto 0); \Q_reg_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => \Q_reg_reg[3]_0\(0), Q => Q_reg(0) ); \Q_reg_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => \Q_reg_reg[3]_0\(1), Q => Q_reg(1) ); \Q_reg_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => \Q_reg_reg[3]_0\(2), Q => Q_reg(2) ); \Q_reg_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => \Q_reg_reg[3]_0\(3), Q => Q_reg(3) ); \gnxpm_cdc.rd_pntr_bin[2]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => Q_reg(2), I1 => Q_reg(3), O => D(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \bd_auto_cc_0_synchronizer_ff__parameterized5_68\ is port ( \out\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); D : out STD_LOGIC_VECTOR ( 0 to 0 ); \Q_reg_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_aclk : in STD_LOGIC; AR : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \bd_auto_cc_0_synchronizer_ff__parameterized5_68\ : entity is "synchronizer_ff"; end \bd_auto_cc_0_synchronizer_ff__parameterized5_68\; architecture STRUCTURE of \bd_auto_cc_0_synchronizer_ff__parameterized5_68\ is signal Q_reg : STD_LOGIC_VECTOR ( 3 downto 0 ); attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[1]\ : label is "yes"; attribute msgon of \Q_reg_reg[1]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[2]\ : label is "yes"; attribute msgon of \Q_reg_reg[2]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[3]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[3]\ : label is "yes"; attribute msgon of \Q_reg_reg[3]\ : label is "true"; begin \out\(3 downto 0) <= Q_reg(3 downto 0); \Q_reg_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => AR(0), D => \Q_reg_reg[3]_0\(0), Q => Q_reg(0) ); \Q_reg_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => AR(0), D => \Q_reg_reg[3]_0\(1), Q => Q_reg(1) ); \Q_reg_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => AR(0), D => \Q_reg_reg[3]_0\(2), Q => Q_reg(2) ); \Q_reg_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => AR(0), D => \Q_reg_reg[3]_0\(3), Q => Q_reg(3) ); \gnxpm_cdc.rd_pntr_bin[2]_i_1__3\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => Q_reg(2), I1 => Q_reg(3), O => D(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \bd_auto_cc_0_synchronizer_ff__parameterized5_92\ is port ( \out\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); D : out STD_LOGIC_VECTOR ( 0 to 0 ); \Q_reg_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_aclk : in STD_LOGIC; AR : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \bd_auto_cc_0_synchronizer_ff__parameterized5_92\ : entity is "synchronizer_ff"; end \bd_auto_cc_0_synchronizer_ff__parameterized5_92\; architecture STRUCTURE of \bd_auto_cc_0_synchronizer_ff__parameterized5_92\ is signal Q_reg : STD_LOGIC_VECTOR ( 3 downto 0 ); attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[1]\ : label is "yes"; attribute msgon of \Q_reg_reg[1]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[2]\ : label is "yes"; attribute msgon of \Q_reg_reg[2]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[3]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[3]\ : label is "yes"; attribute msgon of \Q_reg_reg[3]\ : label is "true"; begin \out\(3 downto 0) <= Q_reg(3 downto 0); \Q_reg_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => \Q_reg_reg[3]_0\(0), Q => Q_reg(0) ); \Q_reg_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => \Q_reg_reg[3]_0\(1), Q => Q_reg(1) ); \Q_reg_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => \Q_reg_reg[3]_0\(2), Q => Q_reg(2) ); \Q_reg_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => \Q_reg_reg[3]_0\(3), Q => Q_reg(3) ); \gnxpm_cdc.rd_pntr_bin[2]_i_1__2\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => Q_reg(2), I1 => Q_reg(3), O => D(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity bd_auto_cc_0_wr_bin_cntr is port ( Q : out STD_LOGIC_VECTOR ( 3 downto 0 ); \gic0.gc0.count_d2_reg[3]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \gnxpm_cdc.wr_pntr_gc_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); E : in STD_LOGIC_VECTOR ( 0 to 0 ); m_aclk : in STD_LOGIC; AR : in STD_LOGIC_VECTOR ( 0 to 0 ) ); end bd_auto_cc_0_wr_bin_cntr; architecture STRUCTURE of bd_auto_cc_0_wr_bin_cntr is signal \^q\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \^gic0.gc0.count_d2_reg[3]_0\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \plusOp__1\ : STD_LOGIC_VECTOR ( 3 downto 0 ); attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \gic0.gc0.count[2]_i_1\ : label is "soft_lutpair29"; attribute SOFT_HLUTNM of \gic0.gc0.count[3]_i_1\ : label is "soft_lutpair29"; begin Q(3 downto 0) <= \^q\(3 downto 0); \gic0.gc0.count_d2_reg[3]_0\(3 downto 0) <= \^gic0.gc0.count_d2_reg[3]_0\(3 downto 0); \gic0.gc0.count[0]_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \^q\(0), O => \plusOp__1\(0) ); \gic0.gc0.count[1]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \^q\(0), I1 => \^q\(1), O => \plusOp__1\(1) ); \gic0.gc0.count[2]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"78" ) port map ( I0 => \^q\(1), I1 => \^q\(0), I2 => \^q\(2), O => \plusOp__1\(2) ); \gic0.gc0.count[3]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"7F80" ) port map ( I0 => \^q\(2), I1 => \^q\(0), I2 => \^q\(1), I3 => \^q\(3), O => \plusOp__1\(3) ); \gic0.gc0.count_d1_reg[0]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => E(0), D => \^q\(0), PRE => AR(0), Q => \^gic0.gc0.count_d2_reg[3]_0\(0) ); \gic0.gc0.count_d1_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), CLR => AR(0), D => \^q\(1), Q => \^gic0.gc0.count_d2_reg[3]_0\(1) ); \gic0.gc0.count_d1_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), CLR => AR(0), D => \^q\(2), Q => \^gic0.gc0.count_d2_reg[3]_0\(2) ); \gic0.gc0.count_d1_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), CLR => AR(0), D => \^q\(3), Q => \^gic0.gc0.count_d2_reg[3]_0\(3) ); \gic0.gc0.count_d2_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), CLR => AR(0), D => \^gic0.gc0.count_d2_reg[3]_0\(0), Q => \gnxpm_cdc.wr_pntr_gc_reg[3]\(0) ); \gic0.gc0.count_d2_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), CLR => AR(0), D => \^gic0.gc0.count_d2_reg[3]_0\(1), Q => \gnxpm_cdc.wr_pntr_gc_reg[3]\(1) ); \gic0.gc0.count_d2_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), CLR => AR(0), D => \^gic0.gc0.count_d2_reg[3]_0\(2), Q => \gnxpm_cdc.wr_pntr_gc_reg[3]\(2) ); \gic0.gc0.count_d2_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), CLR => AR(0), D => \^gic0.gc0.count_d2_reg[3]_0\(3), Q => \gnxpm_cdc.wr_pntr_gc_reg[3]\(3) ); \gic0.gc0.count_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), CLR => AR(0), D => \plusOp__1\(0), Q => \^q\(0) ); \gic0.gc0.count_reg[1]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => E(0), D => \plusOp__1\(1), PRE => AR(0), Q => \^q\(1) ); \gic0.gc0.count_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), CLR => AR(0), D => \plusOp__1\(2), Q => \^q\(2) ); \gic0.gc0.count_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), CLR => AR(0), D => \plusOp__1\(3), Q => \^q\(3) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity bd_auto_cc_0_wr_bin_cntr_17 is port ( Q : out STD_LOGIC_VECTOR ( 3 downto 0 ); \gic0.gc0.count_d2_reg[3]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \gnxpm_cdc.wr_pntr_gc_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); E : in STD_LOGIC_VECTOR ( 0 to 0 ); s_aclk : in STD_LOGIC; AR : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of bd_auto_cc_0_wr_bin_cntr_17 : entity is "wr_bin_cntr"; end bd_auto_cc_0_wr_bin_cntr_17; architecture STRUCTURE of bd_auto_cc_0_wr_bin_cntr_17 is signal \^q\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \^gic0.gc0.count_d2_reg[3]_0\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \plusOp__5\ : STD_LOGIC_VECTOR ( 3 downto 0 ); attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \gic0.gc0.count[2]_i_1__2\ : label is "soft_lutpair23"; attribute SOFT_HLUTNM of \gic0.gc0.count[3]_i_1__2\ : label is "soft_lutpair23"; begin Q(3 downto 0) <= \^q\(3 downto 0); \gic0.gc0.count_d2_reg[3]_0\(3 downto 0) <= \^gic0.gc0.count_d2_reg[3]_0\(3 downto 0); \gic0.gc0.count[0]_i_1__2\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \^q\(0), O => \plusOp__5\(0) ); \gic0.gc0.count[1]_i_1__2\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \^q\(0), I1 => \^q\(1), O => \plusOp__5\(1) ); \gic0.gc0.count[2]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"78" ) port map ( I0 => \^q\(1), I1 => \^q\(0), I2 => \^q\(2), O => \plusOp__5\(2) ); \gic0.gc0.count[3]_i_1__2\: unisim.vcomponents.LUT4 generic map( INIT => X"7F80" ) port map ( I0 => \^q\(2), I1 => \^q\(0), I2 => \^q\(1), I3 => \^q\(3), O => \plusOp__5\(3) ); \gic0.gc0.count_d1_reg[0]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => E(0), D => \^q\(0), PRE => AR(0), Q => \^gic0.gc0.count_d2_reg[3]_0\(0) ); \gic0.gc0.count_d1_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => AR(0), D => \^q\(1), Q => \^gic0.gc0.count_d2_reg[3]_0\(1) ); \gic0.gc0.count_d1_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => AR(0), D => \^q\(2), Q => \^gic0.gc0.count_d2_reg[3]_0\(2) ); \gic0.gc0.count_d1_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => AR(0), D => \^q\(3), Q => \^gic0.gc0.count_d2_reg[3]_0\(3) ); \gic0.gc0.count_d2_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => AR(0), D => \^gic0.gc0.count_d2_reg[3]_0\(0), Q => \gnxpm_cdc.wr_pntr_gc_reg[3]\(0) ); \gic0.gc0.count_d2_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => AR(0), D => \^gic0.gc0.count_d2_reg[3]_0\(1), Q => \gnxpm_cdc.wr_pntr_gc_reg[3]\(1) ); \gic0.gc0.count_d2_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => AR(0), D => \^gic0.gc0.count_d2_reg[3]_0\(2), Q => \gnxpm_cdc.wr_pntr_gc_reg[3]\(2) ); \gic0.gc0.count_d2_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => AR(0), D => \^gic0.gc0.count_d2_reg[3]_0\(3), Q => \gnxpm_cdc.wr_pntr_gc_reg[3]\(3) ); \gic0.gc0.count_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => AR(0), D => \plusOp__5\(0), Q => \^q\(0) ); \gic0.gc0.count_reg[1]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => E(0), D => \plusOp__5\(1), PRE => AR(0), Q => \^q\(1) ); \gic0.gc0.count_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => AR(0), D => \plusOp__5\(2), Q => \^q\(2) ); \gic0.gc0.count_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => AR(0), D => \plusOp__5\(3), Q => \^q\(3) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity bd_auto_cc_0_wr_bin_cntr_38 is port ( Q : out STD_LOGIC_VECTOR ( 3 downto 0 ); \gic0.gc0.count_d2_reg[3]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \gnxpm_cdc.wr_pntr_gc_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); E : in STD_LOGIC_VECTOR ( 0 to 0 ); s_aclk : in STD_LOGIC; AR : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of bd_auto_cc_0_wr_bin_cntr_38 : entity is "wr_bin_cntr"; end bd_auto_cc_0_wr_bin_cntr_38; architecture STRUCTURE of bd_auto_cc_0_wr_bin_cntr_38 is signal \^q\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \^gic0.gc0.count_d2_reg[3]_0\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \plusOp__4\ : STD_LOGIC_VECTOR ( 3 downto 0 ); attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \gic0.gc0.count[2]_i_1__1\ : label is "soft_lutpair17"; attribute SOFT_HLUTNM of \gic0.gc0.count[3]_i_1__1\ : label is "soft_lutpair17"; begin Q(3 downto 0) <= \^q\(3 downto 0); \gic0.gc0.count_d2_reg[3]_0\(3 downto 0) <= \^gic0.gc0.count_d2_reg[3]_0\(3 downto 0); \gic0.gc0.count[0]_i_1__1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \^q\(0), O => \plusOp__4\(0) ); \gic0.gc0.count[1]_i_1__1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \^q\(0), I1 => \^q\(1), O => \plusOp__4\(1) ); \gic0.gc0.count[2]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"78" ) port map ( I0 => \^q\(1), I1 => \^q\(0), I2 => \^q\(2), O => \plusOp__4\(2) ); \gic0.gc0.count[3]_i_1__1\: unisim.vcomponents.LUT4 generic map( INIT => X"7F80" ) port map ( I0 => \^q\(2), I1 => \^q\(0), I2 => \^q\(1), I3 => \^q\(3), O => \plusOp__4\(3) ); \gic0.gc0.count_d1_reg[0]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => E(0), D => \^q\(0), PRE => AR(0), Q => \^gic0.gc0.count_d2_reg[3]_0\(0) ); \gic0.gc0.count_d1_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => AR(0), D => \^q\(1), Q => \^gic0.gc0.count_d2_reg[3]_0\(1) ); \gic0.gc0.count_d1_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => AR(0), D => \^q\(2), Q => \^gic0.gc0.count_d2_reg[3]_0\(2) ); \gic0.gc0.count_d1_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => AR(0), D => \^q\(3), Q => \^gic0.gc0.count_d2_reg[3]_0\(3) ); \gic0.gc0.count_d2_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => AR(0), D => \^gic0.gc0.count_d2_reg[3]_0\(0), Q => \gnxpm_cdc.wr_pntr_gc_reg[3]\(0) ); \gic0.gc0.count_d2_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => AR(0), D => \^gic0.gc0.count_d2_reg[3]_0\(1), Q => \gnxpm_cdc.wr_pntr_gc_reg[3]\(1) ); \gic0.gc0.count_d2_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => AR(0), D => \^gic0.gc0.count_d2_reg[3]_0\(2), Q => \gnxpm_cdc.wr_pntr_gc_reg[3]\(2) ); \gic0.gc0.count_d2_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => AR(0), D => \^gic0.gc0.count_d2_reg[3]_0\(3), Q => \gnxpm_cdc.wr_pntr_gc_reg[3]\(3) ); \gic0.gc0.count_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => AR(0), D => \plusOp__4\(0), Q => \^q\(0) ); \gic0.gc0.count_reg[1]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => E(0), D => \plusOp__4\(1), PRE => AR(0), Q => \^q\(1) ); \gic0.gc0.count_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => AR(0), D => \plusOp__4\(2), Q => \^q\(2) ); \gic0.gc0.count_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => AR(0), D => \plusOp__4\(3), Q => \^q\(3) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity bd_auto_cc_0_wr_bin_cntr_59 is port ( Q : out STD_LOGIC_VECTOR ( 3 downto 0 ); \gic0.gc0.count_d2_reg[3]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \gnxpm_cdc.wr_pntr_gc_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); E : in STD_LOGIC_VECTOR ( 0 to 0 ); m_aclk : in STD_LOGIC; AR : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of bd_auto_cc_0_wr_bin_cntr_59 : entity is "wr_bin_cntr"; end bd_auto_cc_0_wr_bin_cntr_59; architecture STRUCTURE of bd_auto_cc_0_wr_bin_cntr_59 is signal \^q\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \^gic0.gc0.count_d2_reg[3]_0\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \plusOp__3\ : STD_LOGIC_VECTOR ( 3 downto 0 ); attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \gic0.gc0.count[2]_i_1__0\ : label is "soft_lutpair11"; attribute SOFT_HLUTNM of \gic0.gc0.count[3]_i_1__0\ : label is "soft_lutpair11"; begin Q(3 downto 0) <= \^q\(3 downto 0); \gic0.gc0.count_d2_reg[3]_0\(3 downto 0) <= \^gic0.gc0.count_d2_reg[3]_0\(3 downto 0); \gic0.gc0.count[0]_i_1__0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \^q\(0), O => \plusOp__3\(0) ); \gic0.gc0.count[1]_i_1__0\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \^q\(0), I1 => \^q\(1), O => \plusOp__3\(1) ); \gic0.gc0.count[2]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"78" ) port map ( I0 => \^q\(1), I1 => \^q\(0), I2 => \^q\(2), O => \plusOp__3\(2) ); \gic0.gc0.count[3]_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"7F80" ) port map ( I0 => \^q\(2), I1 => \^q\(0), I2 => \^q\(1), I3 => \^q\(3), O => \plusOp__3\(3) ); \gic0.gc0.count_d1_reg[0]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => E(0), D => \^q\(0), PRE => AR(0), Q => \^gic0.gc0.count_d2_reg[3]_0\(0) ); \gic0.gc0.count_d1_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), CLR => AR(0), D => \^q\(1), Q => \^gic0.gc0.count_d2_reg[3]_0\(1) ); \gic0.gc0.count_d1_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), CLR => AR(0), D => \^q\(2), Q => \^gic0.gc0.count_d2_reg[3]_0\(2) ); \gic0.gc0.count_d1_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), CLR => AR(0), D => \^q\(3), Q => \^gic0.gc0.count_d2_reg[3]_0\(3) ); \gic0.gc0.count_d2_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), CLR => AR(0), D => \^gic0.gc0.count_d2_reg[3]_0\(0), Q => \gnxpm_cdc.wr_pntr_gc_reg[3]\(0) ); \gic0.gc0.count_d2_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), CLR => AR(0), D => \^gic0.gc0.count_d2_reg[3]_0\(1), Q => \gnxpm_cdc.wr_pntr_gc_reg[3]\(1) ); \gic0.gc0.count_d2_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), CLR => AR(0), D => \^gic0.gc0.count_d2_reg[3]_0\(2), Q => \gnxpm_cdc.wr_pntr_gc_reg[3]\(2) ); \gic0.gc0.count_d2_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), CLR => AR(0), D => \^gic0.gc0.count_d2_reg[3]_0\(3), Q => \gnxpm_cdc.wr_pntr_gc_reg[3]\(3) ); \gic0.gc0.count_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), CLR => AR(0), D => \plusOp__3\(0), Q => \^q\(0) ); \gic0.gc0.count_reg[1]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => E(0), D => \plusOp__3\(1), PRE => AR(0), Q => \^q\(1) ); \gic0.gc0.count_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), CLR => AR(0), D => \plusOp__3\(2), Q => \^q\(2) ); \gic0.gc0.count_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), CLR => AR(0), D => \plusOp__3\(3), Q => \^q\(3) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity bd_auto_cc_0_wr_bin_cntr_83 is port ( Q : out STD_LOGIC_VECTOR ( 3 downto 0 ); \gic0.gc0.count_d2_reg[3]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \gnxpm_cdc.wr_pntr_gc_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); E : in STD_LOGIC_VECTOR ( 0 to 0 ); s_aclk : in STD_LOGIC; AR : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of bd_auto_cc_0_wr_bin_cntr_83 : entity is "wr_bin_cntr"; end bd_auto_cc_0_wr_bin_cntr_83; architecture STRUCTURE of bd_auto_cc_0_wr_bin_cntr_83 is signal \^q\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \^gic0.gc0.count_d2_reg[3]_0\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \plusOp__7\ : STD_LOGIC_VECTOR ( 3 downto 0 ); attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \gic0.gc0.count[2]_i_1__3\ : label is "soft_lutpair5"; attribute SOFT_HLUTNM of \gic0.gc0.count[3]_i_1__3\ : label is "soft_lutpair5"; begin Q(3 downto 0) <= \^q\(3 downto 0); \gic0.gc0.count_d2_reg[3]_0\(3 downto 0) <= \^gic0.gc0.count_d2_reg[3]_0\(3 downto 0); \gic0.gc0.count[0]_i_1__3\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \^q\(0), O => \plusOp__7\(0) ); \gic0.gc0.count[1]_i_1__3\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \^q\(0), I1 => \^q\(1), O => \plusOp__7\(1) ); \gic0.gc0.count[2]_i_1__3\: unisim.vcomponents.LUT3 generic map( INIT => X"78" ) port map ( I0 => \^q\(1), I1 => \^q\(0), I2 => \^q\(2), O => \plusOp__7\(2) ); \gic0.gc0.count[3]_i_1__3\: unisim.vcomponents.LUT4 generic map( INIT => X"7F80" ) port map ( I0 => \^q\(2), I1 => \^q\(0), I2 => \^q\(1), I3 => \^q\(3), O => \plusOp__7\(3) ); \gic0.gc0.count_d1_reg[0]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => E(0), D => \^q\(0), PRE => AR(0), Q => \^gic0.gc0.count_d2_reg[3]_0\(0) ); \gic0.gc0.count_d1_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => AR(0), D => \^q\(1), Q => \^gic0.gc0.count_d2_reg[3]_0\(1) ); \gic0.gc0.count_d1_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => AR(0), D => \^q\(2), Q => \^gic0.gc0.count_d2_reg[3]_0\(2) ); \gic0.gc0.count_d1_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => AR(0), D => \^q\(3), Q => \^gic0.gc0.count_d2_reg[3]_0\(3) ); \gic0.gc0.count_d2_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => AR(0), D => \^gic0.gc0.count_d2_reg[3]_0\(0), Q => \gnxpm_cdc.wr_pntr_gc_reg[3]\(0) ); \gic0.gc0.count_d2_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => AR(0), D => \^gic0.gc0.count_d2_reg[3]_0\(1), Q => \gnxpm_cdc.wr_pntr_gc_reg[3]\(1) ); \gic0.gc0.count_d2_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => AR(0), D => \^gic0.gc0.count_d2_reg[3]_0\(2), Q => \gnxpm_cdc.wr_pntr_gc_reg[3]\(2) ); \gic0.gc0.count_d2_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => AR(0), D => \^gic0.gc0.count_d2_reg[3]_0\(3), Q => \gnxpm_cdc.wr_pntr_gc_reg[3]\(3) ); \gic0.gc0.count_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => AR(0), D => \plusOp__7\(0), Q => \^q\(0) ); \gic0.gc0.count_reg[1]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => E(0), D => \plusOp__7\(1), PRE => AR(0), Q => \^q\(1) ); \gic0.gc0.count_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => AR(0), D => \plusOp__7\(2), Q => \^q\(2) ); \gic0.gc0.count_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => AR(0), D => \plusOp__7\(3), Q => \^q\(3) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity bd_auto_cc_0_wr_status_flags_as is port ( ram_full_fb_i_reg_0 : out STD_LOGIC; E : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_bready : out STD_LOGIC; \gic0.gc0.count_d1_reg[3]\ : in STD_LOGIC; m_aclk : in STD_LOGIC; \out\ : in STD_LOGIC; m_axi_bvalid : in STD_LOGIC; Q : in STD_LOGIC_VECTOR ( 0 to 0 ); \gnxpm_cdc.rd_pntr_bin_reg[3]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); end bd_auto_cc_0_wr_status_flags_as; architecture STRUCTURE of bd_auto_cc_0_wr_status_flags_as is signal ram_full_fb_i : STD_LOGIC; attribute DONT_TOUCH : boolean; attribute DONT_TOUCH of ram_full_fb_i : signal is std.standard.true; signal ram_full_i : STD_LOGIC; attribute DONT_TOUCH of ram_full_i : signal is std.standard.true; attribute DONT_TOUCH of ram_full_fb_i_reg : label is std.standard.true; attribute KEEP : string; attribute KEEP of ram_full_fb_i_reg : label is "yes"; attribute equivalent_register_removal : string; attribute equivalent_register_removal of ram_full_fb_i_reg : label is "no"; attribute DONT_TOUCH of ram_full_i_reg : label is std.standard.true; attribute KEEP of ram_full_i_reg : label is "yes"; attribute equivalent_register_removal of ram_full_i_reg : label is "no"; begin \gic0.gc0.count_d1[3]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => m_axi_bvalid, I1 => ram_full_fb_i, O => E(0) ); m_axi_bready_INST_0: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => ram_full_i, O => m_axi_bready ); ram_full_fb_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => \gic0.gc0.count_d1_reg[3]\, PRE => \out\, Q => ram_full_fb_i ); ram_full_i_i_3: unisim.vcomponents.LUT4 generic map( INIT => X"4004" ) port map ( I0 => ram_full_fb_i, I1 => m_axi_bvalid, I2 => Q(0), I3 => \gnxpm_cdc.rd_pntr_bin_reg[3]\(0), O => ram_full_fb_i_reg_0 ); ram_full_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => \gic0.gc0.count_d1_reg[3]\, PRE => \out\, Q => ram_full_i ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity bd_auto_cc_0_wr_status_flags_as_16 is port ( ram_full_fb_i_reg_0 : out STD_LOGIC; E : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_wready : out STD_LOGIC; \gic0.gc0.count_d1_reg[3]\ : in STD_LOGIC; s_aclk : in STD_LOGIC; \out\ : in STD_LOGIC; s_axi_wvalid : in STD_LOGIC; Q : in STD_LOGIC_VECTOR ( 0 to 0 ); \gnxpm_cdc.rd_pntr_bin_reg[3]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of bd_auto_cc_0_wr_status_flags_as_16 : entity is "wr_status_flags_as"; end bd_auto_cc_0_wr_status_flags_as_16; architecture STRUCTURE of bd_auto_cc_0_wr_status_flags_as_16 is signal ram_full_fb_i : STD_LOGIC; attribute DONT_TOUCH : boolean; attribute DONT_TOUCH of ram_full_fb_i : signal is std.standard.true; signal ram_full_i : STD_LOGIC; attribute DONT_TOUCH of ram_full_i : signal is std.standard.true; attribute DONT_TOUCH of ram_full_fb_i_reg : label is std.standard.true; attribute KEEP : string; attribute KEEP of ram_full_fb_i_reg : label is "yes"; attribute equivalent_register_removal : string; attribute equivalent_register_removal of ram_full_fb_i_reg : label is "no"; attribute DONT_TOUCH of ram_full_i_reg : label is std.standard.true; attribute KEEP of ram_full_i_reg : label is "yes"; attribute equivalent_register_removal of ram_full_i_reg : label is "no"; begin \gic0.gc0.count_d1[3]_i_1__2\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => s_axi_wvalid, I1 => ram_full_fb_i, O => E(0) ); ram_full_fb_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => \gic0.gc0.count_d1_reg[3]\, PRE => \out\, Q => ram_full_fb_i ); \ram_full_i_i_3__2\: unisim.vcomponents.LUT4 generic map( INIT => X"4004" ) port map ( I0 => ram_full_fb_i, I1 => s_axi_wvalid, I2 => Q(0), I3 => \gnxpm_cdc.rd_pntr_bin_reg[3]\(0), O => ram_full_fb_i_reg_0 ); ram_full_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => \gic0.gc0.count_d1_reg[3]\, PRE => \out\, Q => ram_full_i ); s_axi_wready_INST_0: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => ram_full_i, O => s_axi_wready ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity bd_auto_cc_0_wr_status_flags_as_37 is port ( ram_full_fb_i_reg_0 : out STD_LOGIC; E : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_awready : out STD_LOGIC; \gic0.gc0.count_d1_reg[3]\ : in STD_LOGIC; s_aclk : in STD_LOGIC; \out\ : in STD_LOGIC; s_axi_awvalid : in STD_LOGIC; Q : in STD_LOGIC_VECTOR ( 0 to 0 ); \gnxpm_cdc.rd_pntr_bin_reg[3]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of bd_auto_cc_0_wr_status_flags_as_37 : entity is "wr_status_flags_as"; end bd_auto_cc_0_wr_status_flags_as_37; architecture STRUCTURE of bd_auto_cc_0_wr_status_flags_as_37 is signal ram_full_fb_i : STD_LOGIC; attribute DONT_TOUCH : boolean; attribute DONT_TOUCH of ram_full_fb_i : signal is std.standard.true; signal ram_full_i : STD_LOGIC; attribute DONT_TOUCH of ram_full_i : signal is std.standard.true; attribute DONT_TOUCH of ram_full_fb_i_reg : label is std.standard.true; attribute KEEP : string; attribute KEEP of ram_full_fb_i_reg : label is "yes"; attribute equivalent_register_removal : string; attribute equivalent_register_removal of ram_full_fb_i_reg : label is "no"; attribute DONT_TOUCH of ram_full_i_reg : label is std.standard.true; attribute KEEP of ram_full_i_reg : label is "yes"; attribute equivalent_register_removal of ram_full_i_reg : label is "no"; begin \gic0.gc0.count_d1[3]_i_1__1\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => s_axi_awvalid, I1 => ram_full_fb_i, O => E(0) ); ram_full_fb_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => \gic0.gc0.count_d1_reg[3]\, PRE => \out\, Q => ram_full_fb_i ); \ram_full_i_i_3__1\: unisim.vcomponents.LUT4 generic map( INIT => X"4004" ) port map ( I0 => ram_full_fb_i, I1 => s_axi_awvalid, I2 => Q(0), I3 => \gnxpm_cdc.rd_pntr_bin_reg[3]\(0), O => ram_full_fb_i_reg_0 ); ram_full_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => \gic0.gc0.count_d1_reg[3]\, PRE => \out\, Q => ram_full_i ); s_axi_awready_INST_0: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => ram_full_i, O => s_axi_awready ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity bd_auto_cc_0_wr_status_flags_as_58 is port ( ram_full_fb_i_reg_0 : out STD_LOGIC; E : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_rready : out STD_LOGIC; \gic0.gc0.count_d1_reg[3]\ : in STD_LOGIC; m_aclk : in STD_LOGIC; \out\ : in STD_LOGIC; m_axi_rvalid : in STD_LOGIC; Q : in STD_LOGIC_VECTOR ( 0 to 0 ); \gnxpm_cdc.rd_pntr_bin_reg[3]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of bd_auto_cc_0_wr_status_flags_as_58 : entity is "wr_status_flags_as"; end bd_auto_cc_0_wr_status_flags_as_58; architecture STRUCTURE of bd_auto_cc_0_wr_status_flags_as_58 is signal ram_full_fb_i : STD_LOGIC; attribute DONT_TOUCH : boolean; attribute DONT_TOUCH of ram_full_fb_i : signal is std.standard.true; signal ram_full_i : STD_LOGIC; attribute DONT_TOUCH of ram_full_i : signal is std.standard.true; attribute DONT_TOUCH of ram_full_fb_i_reg : label is std.standard.true; attribute KEEP : string; attribute KEEP of ram_full_fb_i_reg : label is "yes"; attribute equivalent_register_removal : string; attribute equivalent_register_removal of ram_full_fb_i_reg : label is "no"; attribute DONT_TOUCH of ram_full_i_reg : label is std.standard.true; attribute KEEP of ram_full_i_reg : label is "yes"; attribute equivalent_register_removal of ram_full_i_reg : label is "no"; begin \gic0.gc0.count_d1[3]_i_1__0\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => m_axi_rvalid, I1 => ram_full_fb_i, O => E(0) ); m_axi_rready_INST_0: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => ram_full_i, O => m_axi_rready ); ram_full_fb_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => \gic0.gc0.count_d1_reg[3]\, PRE => \out\, Q => ram_full_fb_i ); \ram_full_i_i_3__0\: unisim.vcomponents.LUT4 generic map( INIT => X"4004" ) port map ( I0 => ram_full_fb_i, I1 => m_axi_rvalid, I2 => Q(0), I3 => \gnxpm_cdc.rd_pntr_bin_reg[3]\(0), O => ram_full_fb_i_reg_0 ); ram_full_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => \gic0.gc0.count_d1_reg[3]\, PRE => \out\, Q => ram_full_i ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity bd_auto_cc_0_wr_status_flags_as_82 is port ( ram_full_fb_i_reg_0 : out STD_LOGIC; E : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_arready : out STD_LOGIC; \gic0.gc0.count_d1_reg[3]\ : in STD_LOGIC; s_aclk : in STD_LOGIC; \out\ : in STD_LOGIC; s_axi_arvalid : in STD_LOGIC; Q : in STD_LOGIC_VECTOR ( 0 to 0 ); \gnxpm_cdc.rd_pntr_bin_reg[3]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of bd_auto_cc_0_wr_status_flags_as_82 : entity is "wr_status_flags_as"; end bd_auto_cc_0_wr_status_flags_as_82; architecture STRUCTURE of bd_auto_cc_0_wr_status_flags_as_82 is signal ram_full_fb_i : STD_LOGIC; attribute DONT_TOUCH : boolean; attribute DONT_TOUCH of ram_full_fb_i : signal is std.standard.true; signal ram_full_i : STD_LOGIC; attribute DONT_TOUCH of ram_full_i : signal is std.standard.true; attribute DONT_TOUCH of ram_full_fb_i_reg : label is std.standard.true; attribute KEEP : string; attribute KEEP of ram_full_fb_i_reg : label is "yes"; attribute equivalent_register_removal : string; attribute equivalent_register_removal of ram_full_fb_i_reg : label is "no"; attribute DONT_TOUCH of ram_full_i_reg : label is std.standard.true; attribute KEEP of ram_full_i_reg : label is "yes"; attribute equivalent_register_removal of ram_full_i_reg : label is "no"; begin \gic0.gc0.count_d1[3]_i_1__3\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => s_axi_arvalid, I1 => ram_full_fb_i, O => E(0) ); ram_full_fb_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => \gic0.gc0.count_d1_reg[3]\, PRE => \out\, Q => ram_full_fb_i ); \ram_full_i_i_3__3\: unisim.vcomponents.LUT4 generic map( INIT => X"4004" ) port map ( I0 => ram_full_fb_i, I1 => s_axi_arvalid, I2 => Q(0), I3 => \gnxpm_cdc.rd_pntr_bin_reg[3]\(0), O => ram_full_fb_i_reg_0 ); ram_full_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => \gic0.gc0.count_d1_reg[3]\, PRE => \out\, Q => ram_full_i ); s_axi_arready_INST_0: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => ram_full_i, O => s_axi_arready ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity bd_auto_cc_0_clk_x_pntrs is port ( \out\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); ram_full_fb_i_reg : out STD_LOGIC; ram_full_fb_i_reg_0 : out STD_LOGIC_VECTOR ( 0 to 0 ); ram_empty_i_reg : out STD_LOGIC; ram_empty_i_reg_0 : out STD_LOGIC_VECTOR ( 3 downto 0 ); ram_full_fb_i_reg_1 : in STD_LOGIC; Q : in STD_LOGIC_VECTOR ( 3 downto 0 ); \grstd1.grst_full.grst_f.rst_d3_reg\ : in STD_LOGIC; \gic0.gc0.count_reg[2]\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); \gc0.count_reg[2]\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); \gic0.gc0.count_d2_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_aclk : in STD_LOGIC; AR : in STD_LOGIC_VECTOR ( 0 to 0 ); s_aclk : in STD_LOGIC; \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \gc0.count_d1_reg[3]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); D : in STD_LOGIC_VECTOR ( 2 downto 0 ); \Q_reg_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); end bd_auto_cc_0_clk_x_pntrs; architecture STRUCTURE of bd_auto_cc_0_clk_x_pntrs is signal \__0_n_0\ : STD_LOGIC; signal \__1_n_0\ : STD_LOGIC; signal \__2_n_0\ : STD_LOGIC; signal bin2gray : STD_LOGIC_VECTOR ( 2 downto 0 ); signal \gnxpm_cdc.gsync_stage[3].rd_stg_inst_n_4\ : STD_LOGIC; signal \gnxpm_cdc.gsync_stage[3].wr_stg_inst_n_4\ : STD_LOGIC; signal \gnxpm_cdc.rd_pntr_gc_reg_n_0_[0]\ : STD_LOGIC; signal \gnxpm_cdc.rd_pntr_gc_reg_n_0_[1]\ : STD_LOGIC; signal \gnxpm_cdc.rd_pntr_gc_reg_n_0_[2]\ : STD_LOGIC; signal \gnxpm_cdc.rd_pntr_gc_reg_n_0_[3]\ : STD_LOGIC; signal \gnxpm_cdc.wr_pntr_gc_reg_n_0_[0]\ : STD_LOGIC; signal \gnxpm_cdc.wr_pntr_gc_reg_n_0_[1]\ : STD_LOGIC; signal \gnxpm_cdc.wr_pntr_gc_reg_n_0_[2]\ : STD_LOGIC; signal \gnxpm_cdc.wr_pntr_gc_reg_n_0_[3]\ : STD_LOGIC; signal \^out\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_23_out : STD_LOGIC_VECTOR ( 2 downto 0 ); signal p_3_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_4_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_5_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_6_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_8_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \^ram_empty_i_reg_0\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \^ram_full_fb_i_reg_0\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal ram_full_i_i_2_n_0 : STD_LOGIC; signal ram_full_i_i_4_n_0 : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \__1\ : label is "soft_lutpair24"; attribute SOFT_HLUTNM of \__2\ : label is "soft_lutpair24"; attribute SOFT_HLUTNM of \gnxpm_cdc.wr_pntr_gc[0]_i_1\ : label is "soft_lutpair25"; attribute SOFT_HLUTNM of \gnxpm_cdc.wr_pntr_gc[1]_i_1\ : label is "soft_lutpair25"; begin \out\(3 downto 0) <= \^out\(3 downto 0); ram_empty_i_reg_0(3 downto 0) <= \^ram_empty_i_reg_0\(3 downto 0); ram_full_fb_i_reg_0(0) <= \^ram_full_fb_i_reg_0\(0); \__0\: unisim.vcomponents.LUT3 generic map( INIT => X"96" ) port map ( I0 => \^out\(2), I1 => \^out\(1), I2 => \^out\(3), O => \__0_n_0\ ); \__1\: unisim.vcomponents.LUT4 generic map( INIT => X"6996" ) port map ( I0 => p_8_out(1), I1 => p_8_out(0), I2 => p_8_out(3), I3 => p_8_out(2), O => \__1_n_0\ ); \__2\: unisim.vcomponents.LUT3 generic map( INIT => X"96" ) port map ( I0 => p_8_out(2), I1 => p_8_out(1), I2 => p_8_out(3), O => \__2_n_0\ ); \gnxpm_cdc.gsync_stage[1].rd_stg_inst\: entity work.\bd_auto_cc_0_synchronizer_ff__parameterized0\ port map ( D(3 downto 0) => p_3_out(3 downto 0), Q(3) => \gnxpm_cdc.wr_pntr_gc_reg_n_0_[3]\, Q(2) => \gnxpm_cdc.wr_pntr_gc_reg_n_0_[2]\, Q(1) => \gnxpm_cdc.wr_pntr_gc_reg_n_0_[1]\, Q(0) => \gnxpm_cdc.wr_pntr_gc_reg_n_0_[0]\, \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0) => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), s_aclk => s_aclk ); \gnxpm_cdc.gsync_stage[1].wr_stg_inst\: entity work.\bd_auto_cc_0_synchronizer_ff__parameterized1\ port map ( AR(0) => AR(0), D(3 downto 0) => p_4_out(3 downto 0), Q(3) => \gnxpm_cdc.rd_pntr_gc_reg_n_0_[3]\, Q(2) => \gnxpm_cdc.rd_pntr_gc_reg_n_0_[2]\, Q(1) => \gnxpm_cdc.rd_pntr_gc_reg_n_0_[1]\, Q(0) => \gnxpm_cdc.rd_pntr_gc_reg_n_0_[0]\, m_aclk => m_aclk ); \gnxpm_cdc.gsync_stage[2].rd_stg_inst\: entity work.\bd_auto_cc_0_synchronizer_ff__parameterized2\ port map ( D(3 downto 0) => p_5_out(3 downto 0), \Q_reg_reg[3]_0\(3 downto 0) => p_3_out(3 downto 0), \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0) => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), s_aclk => s_aclk ); \gnxpm_cdc.gsync_stage[2].wr_stg_inst\: entity work.\bd_auto_cc_0_synchronizer_ff__parameterized3\ port map ( AR(0) => AR(0), D(3 downto 0) => p_6_out(3 downto 0), \Q_reg_reg[3]_0\(3 downto 0) => p_4_out(3 downto 0), m_aclk => m_aclk ); \gnxpm_cdc.gsync_stage[3].rd_stg_inst\: entity work.\bd_auto_cc_0_synchronizer_ff__parameterized4\ port map ( D(0) => \gnxpm_cdc.gsync_stage[3].rd_stg_inst_n_4\, \Q_reg_reg[3]_0\(3 downto 0) => p_5_out(3 downto 0), \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0) => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), \out\(3 downto 0) => \^out\(3 downto 0), s_aclk => s_aclk ); \gnxpm_cdc.gsync_stage[3].wr_stg_inst\: entity work.\bd_auto_cc_0_synchronizer_ff__parameterized5\ port map ( AR(0) => AR(0), D(0) => \gnxpm_cdc.gsync_stage[3].wr_stg_inst_n_4\, \Q_reg_reg[3]_0\(3 downto 0) => p_6_out(3 downto 0), m_aclk => m_aclk, \out\(3 downto 0) => p_8_out(3 downto 0) ); \gnxpm_cdc.rd_pntr_bin_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => AR(0), D => \__1_n_0\, Q => p_23_out(0) ); \gnxpm_cdc.rd_pntr_bin_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => AR(0), D => \__2_n_0\, Q => p_23_out(1) ); \gnxpm_cdc.rd_pntr_bin_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => AR(0), D => \gnxpm_cdc.gsync_stage[3].wr_stg_inst_n_4\, Q => p_23_out(2) ); \gnxpm_cdc.rd_pntr_bin_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => AR(0), D => p_8_out(3), Q => \^ram_full_fb_i_reg_0\(0) ); \gnxpm_cdc.rd_pntr_gc_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => D(0), Q => \gnxpm_cdc.rd_pntr_gc_reg_n_0_[0]\ ); \gnxpm_cdc.rd_pntr_gc_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => D(1), Q => \gnxpm_cdc.rd_pntr_gc_reg_n_0_[1]\ ); \gnxpm_cdc.rd_pntr_gc_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => D(2), Q => \gnxpm_cdc.rd_pntr_gc_reg_n_0_[2]\ ); \gnxpm_cdc.rd_pntr_gc_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \gc0.count_d1_reg[3]\(0), Q => \gnxpm_cdc.rd_pntr_gc_reg_n_0_[3]\ ); \gnxpm_cdc.wr_pntr_bin_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[1]\(0), Q => \^ram_empty_i_reg_0\(0) ); \gnxpm_cdc.wr_pntr_bin_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \__0_n_0\, Q => \^ram_empty_i_reg_0\(1) ); \gnxpm_cdc.wr_pntr_bin_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \gnxpm_cdc.gsync_stage[3].rd_stg_inst_n_4\, Q => \^ram_empty_i_reg_0\(2) ); \gnxpm_cdc.wr_pntr_bin_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \^out\(3), Q => \^ram_empty_i_reg_0\(3) ); \gnxpm_cdc.wr_pntr_gc[0]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \gic0.gc0.count_d2_reg[3]\(0), I1 => \gic0.gc0.count_d2_reg[3]\(1), O => bin2gray(0) ); \gnxpm_cdc.wr_pntr_gc[1]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \gic0.gc0.count_d2_reg[3]\(1), I1 => \gic0.gc0.count_d2_reg[3]\(2), O => bin2gray(1) ); \gnxpm_cdc.wr_pntr_gc[2]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \gic0.gc0.count_d2_reg[3]\(2), I1 => \gic0.gc0.count_d2_reg[3]\(3), O => bin2gray(2) ); \gnxpm_cdc.wr_pntr_gc_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => AR(0), D => bin2gray(0), Q => \gnxpm_cdc.wr_pntr_gc_reg_n_0_[0]\ ); \gnxpm_cdc.wr_pntr_gc_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => AR(0), D => bin2gray(1), Q => \gnxpm_cdc.wr_pntr_gc_reg_n_0_[1]\ ); \gnxpm_cdc.wr_pntr_gc_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => AR(0), D => bin2gray(2), Q => \gnxpm_cdc.wr_pntr_gc_reg_n_0_[2]\ ); \gnxpm_cdc.wr_pntr_gc_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => AR(0), D => \gic0.gc0.count_d2_reg[3]\(3), Q => \gnxpm_cdc.wr_pntr_gc_reg_n_0_[3]\ ); \ram_empty_i_i_4__2\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^ram_empty_i_reg_0\(2), I1 => \gc0.count_reg[2]\(2), I2 => \^ram_empty_i_reg_0\(1), I3 => \gc0.count_reg[2]\(1), I4 => \gc0.count_reg[2]\(0), I5 => \^ram_empty_i_reg_0\(0), O => ram_empty_i_reg ); ram_full_i_i_1: unisim.vcomponents.LUT6 generic map( INIT => X"0000F88F00008888" ) port map ( I0 => ram_full_i_i_2_n_0, I1 => ram_full_fb_i_reg_1, I2 => Q(3), I3 => \^ram_full_fb_i_reg_0\(0), I4 => \grstd1.grst_full.grst_f.rst_d3_reg\, I5 => ram_full_i_i_4_n_0, O => ram_full_fb_i_reg ); ram_full_i_i_2: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => p_23_out(2), I1 => \gic0.gc0.count_reg[2]\(2), I2 => p_23_out(1), I3 => \gic0.gc0.count_reg[2]\(1), I4 => \gic0.gc0.count_reg[2]\(0), I5 => p_23_out(0), O => ram_full_i_i_2_n_0 ); ram_full_i_i_4: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => p_23_out(2), I1 => Q(2), I2 => p_23_out(1), I3 => Q(1), I4 => Q(0), I5 => p_23_out(0), O => ram_full_i_i_4_n_0 ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity bd_auto_cc_0_clk_x_pntrs_27 is port ( \out\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); ram_empty_i_reg : out STD_LOGIC; Q : out STD_LOGIC_VECTOR ( 3 downto 0 ); ram_full_fb_i_reg : out STD_LOGIC; ram_full_fb_i_reg_0 : out STD_LOGIC_VECTOR ( 0 to 0 ); D : in STD_LOGIC_VECTOR ( 0 to 0 ); \gc0.count_reg[2]\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); ram_full_fb_i_reg_1 : in STD_LOGIC; \gic0.gc0.count_d1_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \grstd1.grst_full.grst_f.rst_d3_reg\ : in STD_LOGIC; \gic0.gc0.count_reg[2]\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); \gic0.gc0.count_d2_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_aclk : in STD_LOGIC; AR : in STD_LOGIC_VECTOR ( 0 to 0 ); m_aclk : in STD_LOGIC; \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \gc0.count_d1_reg[3]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \gc0.count_d1_reg[2]\ : in STD_LOGIC_VECTOR ( 2 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of bd_auto_cc_0_clk_x_pntrs_27 : entity is "clk_x_pntrs"; end bd_auto_cc_0_clk_x_pntrs_27; architecture STRUCTURE of bd_auto_cc_0_clk_x_pntrs_27 is signal \^q\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \__1_n_0\ : STD_LOGIC; signal \__2_n_0\ : STD_LOGIC; signal bin2gray : STD_LOGIC_VECTOR ( 2 downto 0 ); signal \gnxpm_cdc.gsync_stage[3].wr_stg_inst_n_4\ : STD_LOGIC; signal gray2bin : STD_LOGIC_VECTOR ( 1 to 1 ); signal \^out\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_0_out : STD_LOGIC; signal p_23_out_1 : STD_LOGIC_VECTOR ( 2 downto 0 ); signal p_3_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_4_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_5_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_6_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_8_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \^ram_full_fb_i_reg_0\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \ram_full_i_i_2__1_n_0\ : STD_LOGIC; signal \ram_full_i_i_4__1_n_0\ : STD_LOGIC; signal rd_pntr_gc : STD_LOGIC_VECTOR ( 3 downto 0 ); signal wr_pntr_gc : STD_LOGIC_VECTOR ( 3 downto 0 ); attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \__1\ : label is "soft_lutpair12"; attribute SOFT_HLUTNM of \__2\ : label is "soft_lutpair12"; attribute SOFT_HLUTNM of \gnxpm_cdc.wr_pntr_gc[1]_i_1\ : label is "soft_lutpair13"; attribute SOFT_HLUTNM of \gnxpm_cdc.wr_pntr_gc[2]_i_1\ : label is "soft_lutpair13"; begin Q(3 downto 0) <= \^q\(3 downto 0); \out\(3 downto 0) <= \^out\(3 downto 0); ram_full_fb_i_reg_0(0) <= \^ram_full_fb_i_reg_0\(0); \__0\: unisim.vcomponents.LUT3 generic map( INIT => X"96" ) port map ( I0 => \^out\(2), I1 => \^out\(1), I2 => \^out\(3), O => gray2bin(1) ); \__1\: unisim.vcomponents.LUT4 generic map( INIT => X"6996" ) port map ( I0 => p_8_out(1), I1 => p_8_out(0), I2 => p_8_out(3), I3 => p_8_out(2), O => \__1_n_0\ ); \__2\: unisim.vcomponents.LUT3 generic map( INIT => X"96" ) port map ( I0 => p_8_out(2), I1 => p_8_out(1), I2 => p_8_out(3), O => \__2_n_0\ ); \gnxpm_cdc.gsync_stage[1].rd_stg_inst\: entity work.\bd_auto_cc_0_synchronizer_ff__parameterized0_42\ port map ( D(3 downto 0) => p_3_out(3 downto 0), Q(3 downto 0) => wr_pntr_gc(3 downto 0), m_aclk => m_aclk, \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0) => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0) ); \gnxpm_cdc.gsync_stage[1].wr_stg_inst\: entity work.\bd_auto_cc_0_synchronizer_ff__parameterized1_43\ port map ( AR(0) => AR(0), D(3 downto 0) => p_4_out(3 downto 0), Q(3 downto 0) => rd_pntr_gc(3 downto 0), s_aclk => s_aclk ); \gnxpm_cdc.gsync_stage[2].rd_stg_inst\: entity work.\bd_auto_cc_0_synchronizer_ff__parameterized2_44\ port map ( D(3 downto 0) => p_5_out(3 downto 0), \Q_reg_reg[3]_0\(3 downto 0) => p_3_out(3 downto 0), m_aclk => m_aclk, \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0) => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0) ); \gnxpm_cdc.gsync_stage[2].wr_stg_inst\: entity work.\bd_auto_cc_0_synchronizer_ff__parameterized3_45\ port map ( AR(0) => AR(0), D(3 downto 0) => p_6_out(3 downto 0), \Q_reg_reg[3]_0\(3 downto 0) => p_4_out(3 downto 0), s_aclk => s_aclk ); \gnxpm_cdc.gsync_stage[3].rd_stg_inst\: entity work.\bd_auto_cc_0_synchronizer_ff__parameterized4_46\ port map ( D(0) => p_0_out, \Q_reg_reg[3]_0\(3 downto 0) => p_5_out(3 downto 0), m_aclk => m_aclk, \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0) => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), \out\(3 downto 0) => \^out\(3 downto 0) ); \gnxpm_cdc.gsync_stage[3].wr_stg_inst\: entity work.\bd_auto_cc_0_synchronizer_ff__parameterized5_47\ port map ( AR(0) => AR(0), D(0) => \gnxpm_cdc.gsync_stage[3].wr_stg_inst_n_4\, \Q_reg_reg[3]_0\(3 downto 0) => p_6_out(3 downto 0), \out\(3 downto 0) => p_8_out(3 downto 0), s_aclk => s_aclk ); \gnxpm_cdc.rd_pntr_bin_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => \__1_n_0\, Q => p_23_out_1(0) ); \gnxpm_cdc.rd_pntr_bin_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => \__2_n_0\, Q => p_23_out_1(1) ); \gnxpm_cdc.rd_pntr_bin_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => \gnxpm_cdc.gsync_stage[3].wr_stg_inst_n_4\, Q => p_23_out_1(2) ); \gnxpm_cdc.rd_pntr_bin_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => p_8_out(3), Q => \^ram_full_fb_i_reg_0\(0) ); \gnxpm_cdc.rd_pntr_gc_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \gc0.count_d1_reg[2]\(0), Q => rd_pntr_gc(0) ); \gnxpm_cdc.rd_pntr_gc_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \gc0.count_d1_reg[2]\(1), Q => rd_pntr_gc(1) ); \gnxpm_cdc.rd_pntr_gc_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \gc0.count_d1_reg[2]\(2), Q => rd_pntr_gc(2) ); \gnxpm_cdc.rd_pntr_gc_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \gc0.count_d1_reg[3]\(0), Q => rd_pntr_gc(3) ); \gnxpm_cdc.wr_pntr_bin_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => D(0), Q => \^q\(0) ); \gnxpm_cdc.wr_pntr_bin_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => gray2bin(1), Q => \^q\(1) ); \gnxpm_cdc.wr_pntr_bin_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => p_0_out, Q => \^q\(2) ); \gnxpm_cdc.wr_pntr_bin_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \^out\(3), Q => \^q\(3) ); \gnxpm_cdc.wr_pntr_gc[0]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \gic0.gc0.count_d2_reg[3]\(0), I1 => \gic0.gc0.count_d2_reg[3]\(1), O => bin2gray(0) ); \gnxpm_cdc.wr_pntr_gc[1]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \gic0.gc0.count_d2_reg[3]\(1), I1 => \gic0.gc0.count_d2_reg[3]\(2), O => bin2gray(1) ); \gnxpm_cdc.wr_pntr_gc[2]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \gic0.gc0.count_d2_reg[3]\(2), I1 => \gic0.gc0.count_d2_reg[3]\(3), O => bin2gray(2) ); \gnxpm_cdc.wr_pntr_gc_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => bin2gray(0), Q => wr_pntr_gc(0) ); \gnxpm_cdc.wr_pntr_gc_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => bin2gray(1), Q => wr_pntr_gc(1) ); \gnxpm_cdc.wr_pntr_gc_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => bin2gray(2), Q => wr_pntr_gc(2) ); \gnxpm_cdc.wr_pntr_gc_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => \gic0.gc0.count_d2_reg[3]\(3), Q => wr_pntr_gc(3) ); ram_empty_i_i_4: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^q\(2), I1 => \gc0.count_reg[2]\(2), I2 => \^q\(1), I3 => \gc0.count_reg[2]\(1), I4 => \gc0.count_reg[2]\(0), I5 => \^q\(0), O => ram_empty_i_reg ); \ram_full_i_i_1__1\: unisim.vcomponents.LUT6 generic map( INIT => X"0000F88F00008888" ) port map ( I0 => \ram_full_i_i_2__1_n_0\, I1 => ram_full_fb_i_reg_1, I2 => \gic0.gc0.count_d1_reg[3]\(3), I3 => \^ram_full_fb_i_reg_0\(0), I4 => \grstd1.grst_full.grst_f.rst_d3_reg\, I5 => \ram_full_i_i_4__1_n_0\, O => ram_full_fb_i_reg ); \ram_full_i_i_2__1\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => p_23_out_1(2), I1 => \gic0.gc0.count_reg[2]\(2), I2 => p_23_out_1(1), I3 => \gic0.gc0.count_reg[2]\(1), I4 => \gic0.gc0.count_reg[2]\(0), I5 => p_23_out_1(0), O => \ram_full_i_i_2__1_n_0\ ); \ram_full_i_i_4__1\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => p_23_out_1(2), I1 => \gic0.gc0.count_d1_reg[3]\(2), I2 => p_23_out_1(1), I3 => \gic0.gc0.count_d1_reg[3]\(1), I4 => \gic0.gc0.count_d1_reg[3]\(0), I5 => p_23_out_1(0), O => \ram_full_i_i_4__1_n_0\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity bd_auto_cc_0_clk_x_pntrs_48 is port ( \out\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); ram_full_fb_i_reg : out STD_LOGIC; ram_full_fb_i_reg_0 : out STD_LOGIC_VECTOR ( 0 to 0 ); ram_empty_i_reg : out STD_LOGIC; ram_empty_i_reg_0 : out STD_LOGIC_VECTOR ( 3 downto 0 ); ram_full_fb_i_reg_1 : in STD_LOGIC; Q : in STD_LOGIC_VECTOR ( 3 downto 0 ); \grstd1.grst_full.grst_f.rst_d3_reg\ : in STD_LOGIC; \gic0.gc0.count_reg[2]\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); \gc0.count_reg[2]\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); \gic0.gc0.count_d2_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_aclk : in STD_LOGIC; AR : in STD_LOGIC_VECTOR ( 0 to 0 ); s_aclk : in STD_LOGIC; \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \gc0.count_d1_reg[3]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); D : in STD_LOGIC_VECTOR ( 2 downto 0 ); \Q_reg_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of bd_auto_cc_0_clk_x_pntrs_48 : entity is "clk_x_pntrs"; end bd_auto_cc_0_clk_x_pntrs_48; architecture STRUCTURE of bd_auto_cc_0_clk_x_pntrs_48 is signal \__0_n_0\ : STD_LOGIC; signal \__1_n_0\ : STD_LOGIC; signal \__2_n_0\ : STD_LOGIC; signal bin2gray : STD_LOGIC_VECTOR ( 2 downto 0 ); signal \gnxpm_cdc.gsync_stage[3].rd_stg_inst_n_4\ : STD_LOGIC; signal \gnxpm_cdc.gsync_stage[3].wr_stg_inst_n_4\ : STD_LOGIC; signal \gnxpm_cdc.rd_pntr_gc_reg_n_0_[0]\ : STD_LOGIC; signal \gnxpm_cdc.rd_pntr_gc_reg_n_0_[1]\ : STD_LOGIC; signal \gnxpm_cdc.rd_pntr_gc_reg_n_0_[2]\ : STD_LOGIC; signal \gnxpm_cdc.rd_pntr_gc_reg_n_0_[3]\ : STD_LOGIC; signal \gnxpm_cdc.wr_pntr_gc_reg_n_0_[0]\ : STD_LOGIC; signal \gnxpm_cdc.wr_pntr_gc_reg_n_0_[1]\ : STD_LOGIC; signal \gnxpm_cdc.wr_pntr_gc_reg_n_0_[2]\ : STD_LOGIC; signal \gnxpm_cdc.wr_pntr_gc_reg_n_0_[3]\ : STD_LOGIC; signal \^out\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_23_out : STD_LOGIC_VECTOR ( 2 downto 0 ); signal p_3_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_4_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_5_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_6_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_8_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \^ram_empty_i_reg_0\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \^ram_full_fb_i_reg_0\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \ram_full_i_i_2__0_n_0\ : STD_LOGIC; signal \ram_full_i_i_4__0_n_0\ : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \__1\ : label is "soft_lutpair6"; attribute SOFT_HLUTNM of \__2\ : label is "soft_lutpair6"; attribute SOFT_HLUTNM of \gnxpm_cdc.wr_pntr_gc[0]_i_1\ : label is "soft_lutpair7"; attribute SOFT_HLUTNM of \gnxpm_cdc.wr_pntr_gc[1]_i_1\ : label is "soft_lutpair7"; begin \out\(3 downto 0) <= \^out\(3 downto 0); ram_empty_i_reg_0(3 downto 0) <= \^ram_empty_i_reg_0\(3 downto 0); ram_full_fb_i_reg_0(0) <= \^ram_full_fb_i_reg_0\(0); \__0\: unisim.vcomponents.LUT3 generic map( INIT => X"96" ) port map ( I0 => \^out\(2), I1 => \^out\(1), I2 => \^out\(3), O => \__0_n_0\ ); \__1\: unisim.vcomponents.LUT4 generic map( INIT => X"6996" ) port map ( I0 => p_8_out(1), I1 => p_8_out(0), I2 => p_8_out(3), I3 => p_8_out(2), O => \__1_n_0\ ); \__2\: unisim.vcomponents.LUT3 generic map( INIT => X"96" ) port map ( I0 => p_8_out(2), I1 => p_8_out(1), I2 => p_8_out(3), O => \__2_n_0\ ); \gnxpm_cdc.gsync_stage[1].rd_stg_inst\: entity work.\bd_auto_cc_0_synchronizer_ff__parameterized0_63\ port map ( D(3 downto 0) => p_3_out(3 downto 0), Q(3) => \gnxpm_cdc.wr_pntr_gc_reg_n_0_[3]\, Q(2) => \gnxpm_cdc.wr_pntr_gc_reg_n_0_[2]\, Q(1) => \gnxpm_cdc.wr_pntr_gc_reg_n_0_[1]\, Q(0) => \gnxpm_cdc.wr_pntr_gc_reg_n_0_[0]\, \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0) => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), s_aclk => s_aclk ); \gnxpm_cdc.gsync_stage[1].wr_stg_inst\: entity work.\bd_auto_cc_0_synchronizer_ff__parameterized1_64\ port map ( AR(0) => AR(0), D(3 downto 0) => p_4_out(3 downto 0), Q(3) => \gnxpm_cdc.rd_pntr_gc_reg_n_0_[3]\, Q(2) => \gnxpm_cdc.rd_pntr_gc_reg_n_0_[2]\, Q(1) => \gnxpm_cdc.rd_pntr_gc_reg_n_0_[1]\, Q(0) => \gnxpm_cdc.rd_pntr_gc_reg_n_0_[0]\, m_aclk => m_aclk ); \gnxpm_cdc.gsync_stage[2].rd_stg_inst\: entity work.\bd_auto_cc_0_synchronizer_ff__parameterized2_65\ port map ( D(3 downto 0) => p_5_out(3 downto 0), \Q_reg_reg[3]_0\(3 downto 0) => p_3_out(3 downto 0), \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0) => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), s_aclk => s_aclk ); \gnxpm_cdc.gsync_stage[2].wr_stg_inst\: entity work.\bd_auto_cc_0_synchronizer_ff__parameterized3_66\ port map ( AR(0) => AR(0), D(3 downto 0) => p_6_out(3 downto 0), \Q_reg_reg[3]_0\(3 downto 0) => p_4_out(3 downto 0), m_aclk => m_aclk ); \gnxpm_cdc.gsync_stage[3].rd_stg_inst\: entity work.\bd_auto_cc_0_synchronizer_ff__parameterized4_67\ port map ( D(0) => \gnxpm_cdc.gsync_stage[3].rd_stg_inst_n_4\, \Q_reg_reg[3]_0\(3 downto 0) => p_5_out(3 downto 0), \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0) => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), \out\(3 downto 0) => \^out\(3 downto 0), s_aclk => s_aclk ); \gnxpm_cdc.gsync_stage[3].wr_stg_inst\: entity work.\bd_auto_cc_0_synchronizer_ff__parameterized5_68\ port map ( AR(0) => AR(0), D(0) => \gnxpm_cdc.gsync_stage[3].wr_stg_inst_n_4\, \Q_reg_reg[3]_0\(3 downto 0) => p_6_out(3 downto 0), m_aclk => m_aclk, \out\(3 downto 0) => p_8_out(3 downto 0) ); \gnxpm_cdc.rd_pntr_bin_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => AR(0), D => \__1_n_0\, Q => p_23_out(0) ); \gnxpm_cdc.rd_pntr_bin_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => AR(0), D => \__2_n_0\, Q => p_23_out(1) ); \gnxpm_cdc.rd_pntr_bin_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => AR(0), D => \gnxpm_cdc.gsync_stage[3].wr_stg_inst_n_4\, Q => p_23_out(2) ); \gnxpm_cdc.rd_pntr_bin_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => AR(0), D => p_8_out(3), Q => \^ram_full_fb_i_reg_0\(0) ); \gnxpm_cdc.rd_pntr_gc_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => D(0), Q => \gnxpm_cdc.rd_pntr_gc_reg_n_0_[0]\ ); \gnxpm_cdc.rd_pntr_gc_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => D(1), Q => \gnxpm_cdc.rd_pntr_gc_reg_n_0_[1]\ ); \gnxpm_cdc.rd_pntr_gc_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => D(2), Q => \gnxpm_cdc.rd_pntr_gc_reg_n_0_[2]\ ); \gnxpm_cdc.rd_pntr_gc_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \gc0.count_d1_reg[3]\(0), Q => \gnxpm_cdc.rd_pntr_gc_reg_n_0_[3]\ ); \gnxpm_cdc.wr_pntr_bin_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[1]\(0), Q => \^ram_empty_i_reg_0\(0) ); \gnxpm_cdc.wr_pntr_bin_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \__0_n_0\, Q => \^ram_empty_i_reg_0\(1) ); \gnxpm_cdc.wr_pntr_bin_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \gnxpm_cdc.gsync_stage[3].rd_stg_inst_n_4\, Q => \^ram_empty_i_reg_0\(2) ); \gnxpm_cdc.wr_pntr_bin_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \^out\(3), Q => \^ram_empty_i_reg_0\(3) ); \gnxpm_cdc.wr_pntr_gc[0]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \gic0.gc0.count_d2_reg[3]\(0), I1 => \gic0.gc0.count_d2_reg[3]\(1), O => bin2gray(0) ); \gnxpm_cdc.wr_pntr_gc[1]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \gic0.gc0.count_d2_reg[3]\(1), I1 => \gic0.gc0.count_d2_reg[3]\(2), O => bin2gray(1) ); \gnxpm_cdc.wr_pntr_gc[2]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \gic0.gc0.count_d2_reg[3]\(2), I1 => \gic0.gc0.count_d2_reg[3]\(3), O => bin2gray(2) ); \gnxpm_cdc.wr_pntr_gc_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => AR(0), D => bin2gray(0), Q => \gnxpm_cdc.wr_pntr_gc_reg_n_0_[0]\ ); \gnxpm_cdc.wr_pntr_gc_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => AR(0), D => bin2gray(1), Q => \gnxpm_cdc.wr_pntr_gc_reg_n_0_[1]\ ); \gnxpm_cdc.wr_pntr_gc_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => AR(0), D => bin2gray(2), Q => \gnxpm_cdc.wr_pntr_gc_reg_n_0_[2]\ ); \gnxpm_cdc.wr_pntr_gc_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => AR(0), D => \gic0.gc0.count_d2_reg[3]\(3), Q => \gnxpm_cdc.wr_pntr_gc_reg_n_0_[3]\ ); \ram_empty_i_i_4__3\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^ram_empty_i_reg_0\(2), I1 => \gc0.count_reg[2]\(2), I2 => \^ram_empty_i_reg_0\(1), I3 => \gc0.count_reg[2]\(1), I4 => \gc0.count_reg[2]\(0), I5 => \^ram_empty_i_reg_0\(0), O => ram_empty_i_reg ); \ram_full_i_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"0000F88F00008888" ) port map ( I0 => \ram_full_i_i_2__0_n_0\, I1 => ram_full_fb_i_reg_1, I2 => Q(3), I3 => \^ram_full_fb_i_reg_0\(0), I4 => \grstd1.grst_full.grst_f.rst_d3_reg\, I5 => \ram_full_i_i_4__0_n_0\, O => ram_full_fb_i_reg ); \ram_full_i_i_2__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => p_23_out(2), I1 => \gic0.gc0.count_reg[2]\(2), I2 => p_23_out(1), I3 => \gic0.gc0.count_reg[2]\(1), I4 => \gic0.gc0.count_reg[2]\(0), I5 => p_23_out(0), O => \ram_full_i_i_2__0_n_0\ ); \ram_full_i_i_4__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => p_23_out(2), I1 => Q(2), I2 => p_23_out(1), I3 => Q(1), I4 => Q(0), I5 => p_23_out(0), O => \ram_full_i_i_4__0_n_0\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity bd_auto_cc_0_clk_x_pntrs_6 is port ( \out\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); ram_empty_i_reg : out STD_LOGIC; Q : out STD_LOGIC_VECTOR ( 3 downto 0 ); ram_full_fb_i_reg : out STD_LOGIC; ram_full_fb_i_reg_0 : out STD_LOGIC_VECTOR ( 0 to 0 ); \gc0.count_reg[2]\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); ram_full_fb_i_reg_1 : in STD_LOGIC; \gic0.gc0.count_d1_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \grstd1.grst_full.grst_f.rst_d3_reg\ : in STD_LOGIC; \gic0.gc0.count_reg[2]\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); \gic0.gc0.count_d2_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_aclk : in STD_LOGIC; AR : in STD_LOGIC_VECTOR ( 0 to 0 ); m_aclk : in STD_LOGIC; \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \gc0.count_d1_reg[3]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); D : in STD_LOGIC_VECTOR ( 2 downto 0 ); \Q_reg_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of bd_auto_cc_0_clk_x_pntrs_6 : entity is "clk_x_pntrs"; end bd_auto_cc_0_clk_x_pntrs_6; architecture STRUCTURE of bd_auto_cc_0_clk_x_pntrs_6 is signal \^q\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \__0_n_0\ : STD_LOGIC; signal \__1_n_0\ : STD_LOGIC; signal \__2_n_0\ : STD_LOGIC; signal bin2gray : STD_LOGIC_VECTOR ( 2 downto 0 ); signal \gnxpm_cdc.gsync_stage[3].rd_stg_inst_n_4\ : STD_LOGIC; signal \gnxpm_cdc.gsync_stage[3].wr_stg_inst_n_4\ : STD_LOGIC; signal \gnxpm_cdc.rd_pntr_gc_reg_n_0_[0]\ : STD_LOGIC; signal \gnxpm_cdc.rd_pntr_gc_reg_n_0_[1]\ : STD_LOGIC; signal \gnxpm_cdc.rd_pntr_gc_reg_n_0_[2]\ : STD_LOGIC; signal \gnxpm_cdc.rd_pntr_gc_reg_n_0_[3]\ : STD_LOGIC; signal \gnxpm_cdc.wr_pntr_gc_reg_n_0_[0]\ : STD_LOGIC; signal \gnxpm_cdc.wr_pntr_gc_reg_n_0_[1]\ : STD_LOGIC; signal \gnxpm_cdc.wr_pntr_gc_reg_n_0_[2]\ : STD_LOGIC; signal \gnxpm_cdc.wr_pntr_gc_reg_n_0_[3]\ : STD_LOGIC; signal \^out\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_23_out : STD_LOGIC_VECTOR ( 2 downto 0 ); signal p_3_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_4_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_5_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_6_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_8_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \^ram_full_fb_i_reg_0\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \ram_full_i_i_2__2_n_0\ : STD_LOGIC; signal \ram_full_i_i_4__2_n_0\ : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \__1\ : label is "soft_lutpair18"; attribute SOFT_HLUTNM of \__2\ : label is "soft_lutpair18"; attribute SOFT_HLUTNM of \gnxpm_cdc.wr_pntr_gc[1]_i_1\ : label is "soft_lutpair19"; attribute SOFT_HLUTNM of \gnxpm_cdc.wr_pntr_gc[2]_i_1\ : label is "soft_lutpair19"; begin Q(3 downto 0) <= \^q\(3 downto 0); \out\(3 downto 0) <= \^out\(3 downto 0); ram_full_fb_i_reg_0(0) <= \^ram_full_fb_i_reg_0\(0); \__0\: unisim.vcomponents.LUT3 generic map( INIT => X"96" ) port map ( I0 => \^out\(2), I1 => \^out\(1), I2 => \^out\(3), O => \__0_n_0\ ); \__1\: unisim.vcomponents.LUT4 generic map( INIT => X"6996" ) port map ( I0 => p_8_out(1), I1 => p_8_out(0), I2 => p_8_out(3), I3 => p_8_out(2), O => \__1_n_0\ ); \__2\: unisim.vcomponents.LUT3 generic map( INIT => X"96" ) port map ( I0 => p_8_out(2), I1 => p_8_out(1), I2 => p_8_out(3), O => \__2_n_0\ ); \gnxpm_cdc.gsync_stage[1].rd_stg_inst\: entity work.\bd_auto_cc_0_synchronizer_ff__parameterized0_21\ port map ( D(3 downto 0) => p_3_out(3 downto 0), Q(3) => \gnxpm_cdc.wr_pntr_gc_reg_n_0_[3]\, Q(2) => \gnxpm_cdc.wr_pntr_gc_reg_n_0_[2]\, Q(1) => \gnxpm_cdc.wr_pntr_gc_reg_n_0_[1]\, Q(0) => \gnxpm_cdc.wr_pntr_gc_reg_n_0_[0]\, m_aclk => m_aclk, \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0) => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0) ); \gnxpm_cdc.gsync_stage[1].wr_stg_inst\: entity work.\bd_auto_cc_0_synchronizer_ff__parameterized1_22\ port map ( AR(0) => AR(0), D(3 downto 0) => p_4_out(3 downto 0), Q(3) => \gnxpm_cdc.rd_pntr_gc_reg_n_0_[3]\, Q(2) => \gnxpm_cdc.rd_pntr_gc_reg_n_0_[2]\, Q(1) => \gnxpm_cdc.rd_pntr_gc_reg_n_0_[1]\, Q(0) => \gnxpm_cdc.rd_pntr_gc_reg_n_0_[0]\, s_aclk => s_aclk ); \gnxpm_cdc.gsync_stage[2].rd_stg_inst\: entity work.\bd_auto_cc_0_synchronizer_ff__parameterized2_23\ port map ( D(3 downto 0) => p_5_out(3 downto 0), \Q_reg_reg[3]_0\(3 downto 0) => p_3_out(3 downto 0), m_aclk => m_aclk, \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0) => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0) ); \gnxpm_cdc.gsync_stage[2].wr_stg_inst\: entity work.\bd_auto_cc_0_synchronizer_ff__parameterized3_24\ port map ( AR(0) => AR(0), D(3 downto 0) => p_6_out(3 downto 0), \Q_reg_reg[3]_0\(3 downto 0) => p_4_out(3 downto 0), s_aclk => s_aclk ); \gnxpm_cdc.gsync_stage[3].rd_stg_inst\: entity work.\bd_auto_cc_0_synchronizer_ff__parameterized4_25\ port map ( D(0) => \gnxpm_cdc.gsync_stage[3].rd_stg_inst_n_4\, \Q_reg_reg[3]_0\(3 downto 0) => p_5_out(3 downto 0), m_aclk => m_aclk, \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0) => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), \out\(3 downto 0) => \^out\(3 downto 0) ); \gnxpm_cdc.gsync_stage[3].wr_stg_inst\: entity work.\bd_auto_cc_0_synchronizer_ff__parameterized5_26\ port map ( AR(0) => AR(0), D(0) => \gnxpm_cdc.gsync_stage[3].wr_stg_inst_n_4\, \Q_reg_reg[3]_0\(3 downto 0) => p_6_out(3 downto 0), \out\(3 downto 0) => p_8_out(3 downto 0), s_aclk => s_aclk ); \gnxpm_cdc.rd_pntr_bin_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => \__1_n_0\, Q => p_23_out(0) ); \gnxpm_cdc.rd_pntr_bin_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => \__2_n_0\, Q => p_23_out(1) ); \gnxpm_cdc.rd_pntr_bin_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => \gnxpm_cdc.gsync_stage[3].wr_stg_inst_n_4\, Q => p_23_out(2) ); \gnxpm_cdc.rd_pntr_bin_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => p_8_out(3), Q => \^ram_full_fb_i_reg_0\(0) ); \gnxpm_cdc.rd_pntr_gc_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => D(0), Q => \gnxpm_cdc.rd_pntr_gc_reg_n_0_[0]\ ); \gnxpm_cdc.rd_pntr_gc_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => D(1), Q => \gnxpm_cdc.rd_pntr_gc_reg_n_0_[1]\ ); \gnxpm_cdc.rd_pntr_gc_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => D(2), Q => \gnxpm_cdc.rd_pntr_gc_reg_n_0_[2]\ ); \gnxpm_cdc.rd_pntr_gc_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \gc0.count_d1_reg[3]\(0), Q => \gnxpm_cdc.rd_pntr_gc_reg_n_0_[3]\ ); \gnxpm_cdc.wr_pntr_bin_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[1]\(0), Q => \^q\(0) ); \gnxpm_cdc.wr_pntr_bin_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \__0_n_0\, Q => \^q\(1) ); \gnxpm_cdc.wr_pntr_bin_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \gnxpm_cdc.gsync_stage[3].rd_stg_inst_n_4\, Q => \^q\(2) ); \gnxpm_cdc.wr_pntr_bin_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \^out\(3), Q => \^q\(3) ); \gnxpm_cdc.wr_pntr_gc[0]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \gic0.gc0.count_d2_reg[3]\(0), I1 => \gic0.gc0.count_d2_reg[3]\(1), O => bin2gray(0) ); \gnxpm_cdc.wr_pntr_gc[1]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \gic0.gc0.count_d2_reg[3]\(1), I1 => \gic0.gc0.count_d2_reg[3]\(2), O => bin2gray(1) ); \gnxpm_cdc.wr_pntr_gc[2]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \gic0.gc0.count_d2_reg[3]\(2), I1 => \gic0.gc0.count_d2_reg[3]\(3), O => bin2gray(2) ); \gnxpm_cdc.wr_pntr_gc_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => bin2gray(0), Q => \gnxpm_cdc.wr_pntr_gc_reg_n_0_[0]\ ); \gnxpm_cdc.wr_pntr_gc_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => bin2gray(1), Q => \gnxpm_cdc.wr_pntr_gc_reg_n_0_[1]\ ); \gnxpm_cdc.wr_pntr_gc_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => bin2gray(2), Q => \gnxpm_cdc.wr_pntr_gc_reg_n_0_[2]\ ); \gnxpm_cdc.wr_pntr_gc_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => \gic0.gc0.count_d2_reg[3]\(3), Q => \gnxpm_cdc.wr_pntr_gc_reg_n_0_[3]\ ); \ram_empty_i_i_4__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^q\(2), I1 => \gc0.count_reg[2]\(2), I2 => \^q\(1), I3 => \gc0.count_reg[2]\(1), I4 => \gc0.count_reg[2]\(0), I5 => \^q\(0), O => ram_empty_i_reg ); \ram_full_i_i_1__2\: unisim.vcomponents.LUT6 generic map( INIT => X"0000F88F00008888" ) port map ( I0 => \ram_full_i_i_2__2_n_0\, I1 => ram_full_fb_i_reg_1, I2 => \gic0.gc0.count_d1_reg[3]\(3), I3 => \^ram_full_fb_i_reg_0\(0), I4 => \grstd1.grst_full.grst_f.rst_d3_reg\, I5 => \ram_full_i_i_4__2_n_0\, O => ram_full_fb_i_reg ); \ram_full_i_i_2__2\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => p_23_out(2), I1 => \gic0.gc0.count_reg[2]\(2), I2 => p_23_out(1), I3 => \gic0.gc0.count_reg[2]\(1), I4 => \gic0.gc0.count_reg[2]\(0), I5 => p_23_out(0), O => \ram_full_i_i_2__2_n_0\ ); \ram_full_i_i_4__2\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => p_23_out(2), I1 => \gic0.gc0.count_d1_reg[3]\(2), I2 => p_23_out(1), I3 => \gic0.gc0.count_d1_reg[3]\(1), I4 => \gic0.gc0.count_d1_reg[3]\(0), I5 => p_23_out(0), O => \ram_full_i_i_4__2_n_0\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity bd_auto_cc_0_clk_x_pntrs_70 is port ( \out\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); ram_empty_i_reg : out STD_LOGIC; Q : out STD_LOGIC_VECTOR ( 3 downto 0 ); ram_full_fb_i_reg : out STD_LOGIC; ram_full_fb_i_reg_0 : out STD_LOGIC_VECTOR ( 0 to 0 ); \gc0.count_reg[2]\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); ram_full_fb_i_reg_1 : in STD_LOGIC; \gic0.gc0.count_d1_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \grstd1.grst_full.grst_f.rst_d3_reg\ : in STD_LOGIC; \gic0.gc0.count_reg[2]\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); \gic0.gc0.count_d2_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_aclk : in STD_LOGIC; AR : in STD_LOGIC_VECTOR ( 0 to 0 ); m_aclk : in STD_LOGIC; \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \gc0.count_d1_reg[3]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); D : in STD_LOGIC_VECTOR ( 2 downto 0 ); \Q_reg_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of bd_auto_cc_0_clk_x_pntrs_70 : entity is "clk_x_pntrs"; end bd_auto_cc_0_clk_x_pntrs_70; architecture STRUCTURE of bd_auto_cc_0_clk_x_pntrs_70 is signal \^q\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \__0_n_0\ : STD_LOGIC; signal \__1_n_0\ : STD_LOGIC; signal \__2_n_0\ : STD_LOGIC; signal bin2gray : STD_LOGIC_VECTOR ( 2 downto 0 ); signal \gnxpm_cdc.gsync_stage[3].rd_stg_inst_n_4\ : STD_LOGIC; signal \gnxpm_cdc.gsync_stage[3].wr_stg_inst_n_4\ : STD_LOGIC; signal \gnxpm_cdc.rd_pntr_gc_reg_n_0_[0]\ : STD_LOGIC; signal \gnxpm_cdc.rd_pntr_gc_reg_n_0_[1]\ : STD_LOGIC; signal \gnxpm_cdc.rd_pntr_gc_reg_n_0_[2]\ : STD_LOGIC; signal \gnxpm_cdc.rd_pntr_gc_reg_n_0_[3]\ : STD_LOGIC; signal \gnxpm_cdc.wr_pntr_gc_reg_n_0_[0]\ : STD_LOGIC; signal \gnxpm_cdc.wr_pntr_gc_reg_n_0_[1]\ : STD_LOGIC; signal \gnxpm_cdc.wr_pntr_gc_reg_n_0_[2]\ : STD_LOGIC; signal \gnxpm_cdc.wr_pntr_gc_reg_n_0_[3]\ : STD_LOGIC; signal \^out\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_23_out : STD_LOGIC_VECTOR ( 2 downto 0 ); signal p_3_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_4_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_5_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_6_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_8_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \^ram_full_fb_i_reg_0\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \ram_full_i_i_2__3_n_0\ : STD_LOGIC; signal \ram_full_i_i_4__3_n_0\ : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \__1\ : label is "soft_lutpair0"; attribute SOFT_HLUTNM of \__2\ : label is "soft_lutpair0"; attribute SOFT_HLUTNM of \gnxpm_cdc.wr_pntr_gc[1]_i_1\ : label is "soft_lutpair1"; attribute SOFT_HLUTNM of \gnxpm_cdc.wr_pntr_gc[2]_i_1\ : label is "soft_lutpair1"; begin Q(3 downto 0) <= \^q\(3 downto 0); \out\(3 downto 0) <= \^out\(3 downto 0); ram_full_fb_i_reg_0(0) <= \^ram_full_fb_i_reg_0\(0); \__0\: unisim.vcomponents.LUT3 generic map( INIT => X"96" ) port map ( I0 => \^out\(2), I1 => \^out\(1), I2 => \^out\(3), O => \__0_n_0\ ); \__1\: unisim.vcomponents.LUT4 generic map( INIT => X"6996" ) port map ( I0 => p_8_out(1), I1 => p_8_out(0), I2 => p_8_out(3), I3 => p_8_out(2), O => \__1_n_0\ ); \__2\: unisim.vcomponents.LUT3 generic map( INIT => X"96" ) port map ( I0 => p_8_out(2), I1 => p_8_out(1), I2 => p_8_out(3), O => \__2_n_0\ ); \gnxpm_cdc.gsync_stage[1].rd_stg_inst\: entity work.\bd_auto_cc_0_synchronizer_ff__parameterized0_87\ port map ( D(3 downto 0) => p_3_out(3 downto 0), Q(3) => \gnxpm_cdc.wr_pntr_gc_reg_n_0_[3]\, Q(2) => \gnxpm_cdc.wr_pntr_gc_reg_n_0_[2]\, Q(1) => \gnxpm_cdc.wr_pntr_gc_reg_n_0_[1]\, Q(0) => \gnxpm_cdc.wr_pntr_gc_reg_n_0_[0]\, m_aclk => m_aclk, \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0) => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0) ); \gnxpm_cdc.gsync_stage[1].wr_stg_inst\: entity work.\bd_auto_cc_0_synchronizer_ff__parameterized1_88\ port map ( AR(0) => AR(0), D(3 downto 0) => p_4_out(3 downto 0), Q(3) => \gnxpm_cdc.rd_pntr_gc_reg_n_0_[3]\, Q(2) => \gnxpm_cdc.rd_pntr_gc_reg_n_0_[2]\, Q(1) => \gnxpm_cdc.rd_pntr_gc_reg_n_0_[1]\, Q(0) => \gnxpm_cdc.rd_pntr_gc_reg_n_0_[0]\, s_aclk => s_aclk ); \gnxpm_cdc.gsync_stage[2].rd_stg_inst\: entity work.\bd_auto_cc_0_synchronizer_ff__parameterized2_89\ port map ( D(3 downto 0) => p_5_out(3 downto 0), \Q_reg_reg[3]_0\(3 downto 0) => p_3_out(3 downto 0), m_aclk => m_aclk, \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0) => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0) ); \gnxpm_cdc.gsync_stage[2].wr_stg_inst\: entity work.\bd_auto_cc_0_synchronizer_ff__parameterized3_90\ port map ( AR(0) => AR(0), D(3 downto 0) => p_6_out(3 downto 0), \Q_reg_reg[3]_0\(3 downto 0) => p_4_out(3 downto 0), s_aclk => s_aclk ); \gnxpm_cdc.gsync_stage[3].rd_stg_inst\: entity work.\bd_auto_cc_0_synchronizer_ff__parameterized4_91\ port map ( D(0) => \gnxpm_cdc.gsync_stage[3].rd_stg_inst_n_4\, \Q_reg_reg[3]_0\(3 downto 0) => p_5_out(3 downto 0), m_aclk => m_aclk, \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0) => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), \out\(3 downto 0) => \^out\(3 downto 0) ); \gnxpm_cdc.gsync_stage[3].wr_stg_inst\: entity work.\bd_auto_cc_0_synchronizer_ff__parameterized5_92\ port map ( AR(0) => AR(0), D(0) => \gnxpm_cdc.gsync_stage[3].wr_stg_inst_n_4\, \Q_reg_reg[3]_0\(3 downto 0) => p_6_out(3 downto 0), \out\(3 downto 0) => p_8_out(3 downto 0), s_aclk => s_aclk ); \gnxpm_cdc.rd_pntr_bin_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => \__1_n_0\, Q => p_23_out(0) ); \gnxpm_cdc.rd_pntr_bin_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => \__2_n_0\, Q => p_23_out(1) ); \gnxpm_cdc.rd_pntr_bin_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => \gnxpm_cdc.gsync_stage[3].wr_stg_inst_n_4\, Q => p_23_out(2) ); \gnxpm_cdc.rd_pntr_bin_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => p_8_out(3), Q => \^ram_full_fb_i_reg_0\(0) ); \gnxpm_cdc.rd_pntr_gc_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => D(0), Q => \gnxpm_cdc.rd_pntr_gc_reg_n_0_[0]\ ); \gnxpm_cdc.rd_pntr_gc_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => D(1), Q => \gnxpm_cdc.rd_pntr_gc_reg_n_0_[1]\ ); \gnxpm_cdc.rd_pntr_gc_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => D(2), Q => \gnxpm_cdc.rd_pntr_gc_reg_n_0_[2]\ ); \gnxpm_cdc.rd_pntr_gc_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \gc0.count_d1_reg[3]\(0), Q => \gnxpm_cdc.rd_pntr_gc_reg_n_0_[3]\ ); \gnxpm_cdc.wr_pntr_bin_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[1]\(0), Q => \^q\(0) ); \gnxpm_cdc.wr_pntr_bin_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \__0_n_0\, Q => \^q\(1) ); \gnxpm_cdc.wr_pntr_bin_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \gnxpm_cdc.gsync_stage[3].rd_stg_inst_n_4\, Q => \^q\(2) ); \gnxpm_cdc.wr_pntr_bin_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \^out\(3), Q => \^q\(3) ); \gnxpm_cdc.wr_pntr_gc[0]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \gic0.gc0.count_d2_reg[3]\(0), I1 => \gic0.gc0.count_d2_reg[3]\(1), O => bin2gray(0) ); \gnxpm_cdc.wr_pntr_gc[1]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \gic0.gc0.count_d2_reg[3]\(1), I1 => \gic0.gc0.count_d2_reg[3]\(2), O => bin2gray(1) ); \gnxpm_cdc.wr_pntr_gc[2]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \gic0.gc0.count_d2_reg[3]\(2), I1 => \gic0.gc0.count_d2_reg[3]\(3), O => bin2gray(2) ); \gnxpm_cdc.wr_pntr_gc_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => bin2gray(0), Q => \gnxpm_cdc.wr_pntr_gc_reg_n_0_[0]\ ); \gnxpm_cdc.wr_pntr_gc_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => bin2gray(1), Q => \gnxpm_cdc.wr_pntr_gc_reg_n_0_[1]\ ); \gnxpm_cdc.wr_pntr_gc_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => bin2gray(2), Q => \gnxpm_cdc.wr_pntr_gc_reg_n_0_[2]\ ); \gnxpm_cdc.wr_pntr_gc_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => \gic0.gc0.count_d2_reg[3]\(3), Q => \gnxpm_cdc.wr_pntr_gc_reg_n_0_[3]\ ); \ram_empty_i_i_4__1\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^q\(2), I1 => \gc0.count_reg[2]\(2), I2 => \^q\(1), I3 => \gc0.count_reg[2]\(1), I4 => \gc0.count_reg[2]\(0), I5 => \^q\(0), O => ram_empty_i_reg ); \ram_full_i_i_1__3\: unisim.vcomponents.LUT6 generic map( INIT => X"0000F88F00008888" ) port map ( I0 => \ram_full_i_i_2__3_n_0\, I1 => ram_full_fb_i_reg_1, I2 => \gic0.gc0.count_d1_reg[3]\(3), I3 => \^ram_full_fb_i_reg_0\(0), I4 => \grstd1.grst_full.grst_f.rst_d3_reg\, I5 => \ram_full_i_i_4__3_n_0\, O => ram_full_fb_i_reg ); \ram_full_i_i_2__3\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => p_23_out(2), I1 => \gic0.gc0.count_reg[2]\(2), I2 => p_23_out(1), I3 => \gic0.gc0.count_reg[2]\(1), I4 => \gic0.gc0.count_reg[2]\(0), I5 => p_23_out(0), O => \ram_full_i_i_2__3_n_0\ ); \ram_full_i_i_4__3\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => p_23_out(2), I1 => \gic0.gc0.count_d1_reg[3]\(2), I2 => p_23_out(1), I3 => \gic0.gc0.count_d1_reg[3]\(1), I4 => \gic0.gc0.count_d1_reg[3]\(0), I5 => p_23_out(0), O => \ram_full_i_i_4__3_n_0\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity bd_auto_cc_0_memory is port ( Q : out STD_LOGIC_VECTOR ( 64 downto 0 ); E : in STD_LOGIC_VECTOR ( 0 to 0 ); m_aclk : in STD_LOGIC; s_aclk : in STD_LOGIC; ram_full_fb_i_reg : in STD_LOGIC_VECTOR ( 0 to 0 ); DI : in STD_LOGIC_VECTOR ( 64 downto 0 ); \gc0.count_d1_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \gic0.gc0.count_d2_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \gpregsm1.curr_fwft_state_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); end bd_auto_cc_0_memory; architecture STRUCTURE of bd_auto_cc_0_memory is signal \gdm.dm_gen.dm_n_0\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_1\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_10\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_11\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_12\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_13\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_14\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_15\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_16\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_17\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_18\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_19\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_2\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_20\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_21\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_22\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_23\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_24\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_25\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_26\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_27\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_28\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_29\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_3\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_30\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_31\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_32\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_33\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_34\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_35\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_36\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_37\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_38\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_39\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_4\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_40\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_41\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_42\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_43\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_44\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_45\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_46\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_47\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_48\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_49\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_5\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_50\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_51\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_52\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_53\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_54\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_55\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_56\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_57\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_58\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_59\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_6\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_60\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_61\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_62\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_63\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_64\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_7\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_8\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_9\ : STD_LOGIC; begin \gdm.dm_gen.dm\: entity work.bd_auto_cc_0_dmem port map ( DI(64 downto 0) => DI(64 downto 0), dout_i(64) => \gdm.dm_gen.dm_n_0\, dout_i(63) => \gdm.dm_gen.dm_n_1\, dout_i(62) => \gdm.dm_gen.dm_n_2\, dout_i(61) => \gdm.dm_gen.dm_n_3\, dout_i(60) => \gdm.dm_gen.dm_n_4\, dout_i(59) => \gdm.dm_gen.dm_n_5\, dout_i(58) => \gdm.dm_gen.dm_n_6\, dout_i(57) => \gdm.dm_gen.dm_n_7\, dout_i(56) => \gdm.dm_gen.dm_n_8\, dout_i(55) => \gdm.dm_gen.dm_n_9\, dout_i(54) => \gdm.dm_gen.dm_n_10\, dout_i(53) => \gdm.dm_gen.dm_n_11\, dout_i(52) => \gdm.dm_gen.dm_n_12\, dout_i(51) => \gdm.dm_gen.dm_n_13\, dout_i(50) => \gdm.dm_gen.dm_n_14\, dout_i(49) => \gdm.dm_gen.dm_n_15\, dout_i(48) => \gdm.dm_gen.dm_n_16\, dout_i(47) => \gdm.dm_gen.dm_n_17\, dout_i(46) => \gdm.dm_gen.dm_n_18\, dout_i(45) => \gdm.dm_gen.dm_n_19\, dout_i(44) => \gdm.dm_gen.dm_n_20\, dout_i(43) => \gdm.dm_gen.dm_n_21\, dout_i(42) => \gdm.dm_gen.dm_n_22\, dout_i(41) => \gdm.dm_gen.dm_n_23\, dout_i(40) => \gdm.dm_gen.dm_n_24\, dout_i(39) => \gdm.dm_gen.dm_n_25\, dout_i(38) => \gdm.dm_gen.dm_n_26\, dout_i(37) => \gdm.dm_gen.dm_n_27\, dout_i(36) => \gdm.dm_gen.dm_n_28\, dout_i(35) => \gdm.dm_gen.dm_n_29\, dout_i(34) => \gdm.dm_gen.dm_n_30\, dout_i(33) => \gdm.dm_gen.dm_n_31\, dout_i(32) => \gdm.dm_gen.dm_n_32\, dout_i(31) => \gdm.dm_gen.dm_n_33\, dout_i(30) => \gdm.dm_gen.dm_n_34\, dout_i(29) => \gdm.dm_gen.dm_n_35\, dout_i(28) => \gdm.dm_gen.dm_n_36\, dout_i(27) => \gdm.dm_gen.dm_n_37\, dout_i(26) => \gdm.dm_gen.dm_n_38\, dout_i(25) => \gdm.dm_gen.dm_n_39\, dout_i(24) => \gdm.dm_gen.dm_n_40\, dout_i(23) => \gdm.dm_gen.dm_n_41\, dout_i(22) => \gdm.dm_gen.dm_n_42\, dout_i(21) => \gdm.dm_gen.dm_n_43\, dout_i(20) => \gdm.dm_gen.dm_n_44\, dout_i(19) => \gdm.dm_gen.dm_n_45\, dout_i(18) => \gdm.dm_gen.dm_n_46\, dout_i(17) => \gdm.dm_gen.dm_n_47\, dout_i(16) => \gdm.dm_gen.dm_n_48\, dout_i(15) => \gdm.dm_gen.dm_n_49\, dout_i(14) => \gdm.dm_gen.dm_n_50\, dout_i(13) => \gdm.dm_gen.dm_n_51\, dout_i(12) => \gdm.dm_gen.dm_n_52\, dout_i(11) => \gdm.dm_gen.dm_n_53\, dout_i(10) => \gdm.dm_gen.dm_n_54\, dout_i(9) => \gdm.dm_gen.dm_n_55\, dout_i(8) => \gdm.dm_gen.dm_n_56\, dout_i(7) => \gdm.dm_gen.dm_n_57\, dout_i(6) => \gdm.dm_gen.dm_n_58\, dout_i(5) => \gdm.dm_gen.dm_n_59\, dout_i(4) => \gdm.dm_gen.dm_n_60\, dout_i(3) => \gdm.dm_gen.dm_n_61\, dout_i(2) => \gdm.dm_gen.dm_n_62\, dout_i(1) => \gdm.dm_gen.dm_n_63\, dout_i(0) => \gdm.dm_gen.dm_n_64\, \gc0.count_d1_reg[3]\(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), \gic0.gc0.count_d2_reg[3]\(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), \gpregsm1.curr_fwft_state_reg[1]\(0) => \gpregsm1.curr_fwft_state_reg[1]\(0), m_aclk => m_aclk, ram_full_fb_i_reg(0) => ram_full_fb_i_reg(0), s_aclk => s_aclk ); \goreg_dm.dout_i_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_64\, Q => Q(0), R => '0' ); \goreg_dm.dout_i_reg[10]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_54\, Q => Q(10), R => '0' ); \goreg_dm.dout_i_reg[11]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_53\, Q => Q(11), R => '0' ); \goreg_dm.dout_i_reg[12]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_52\, Q => Q(12), R => '0' ); \goreg_dm.dout_i_reg[13]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_51\, Q => Q(13), R => '0' ); \goreg_dm.dout_i_reg[14]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_50\, Q => Q(14), R => '0' ); \goreg_dm.dout_i_reg[15]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_49\, Q => Q(15), R => '0' ); \goreg_dm.dout_i_reg[16]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_48\, Q => Q(16), R => '0' ); \goreg_dm.dout_i_reg[17]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_47\, Q => Q(17), R => '0' ); \goreg_dm.dout_i_reg[18]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_46\, Q => Q(18), R => '0' ); \goreg_dm.dout_i_reg[19]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_45\, Q => Q(19), R => '0' ); \goreg_dm.dout_i_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_63\, Q => Q(1), R => '0' ); \goreg_dm.dout_i_reg[20]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_44\, Q => Q(20), R => '0' ); \goreg_dm.dout_i_reg[21]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_43\, Q => Q(21), R => '0' ); \goreg_dm.dout_i_reg[22]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_42\, Q => Q(22), R => '0' ); \goreg_dm.dout_i_reg[23]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_41\, Q => Q(23), R => '0' ); \goreg_dm.dout_i_reg[24]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_40\, Q => Q(24), R => '0' ); \goreg_dm.dout_i_reg[25]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_39\, Q => Q(25), R => '0' ); \goreg_dm.dout_i_reg[26]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_38\, Q => Q(26), R => '0' ); \goreg_dm.dout_i_reg[27]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_37\, Q => Q(27), R => '0' ); \goreg_dm.dout_i_reg[28]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_36\, Q => Q(28), R => '0' ); \goreg_dm.dout_i_reg[29]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_35\, Q => Q(29), R => '0' ); \goreg_dm.dout_i_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_62\, Q => Q(2), R => '0' ); \goreg_dm.dout_i_reg[30]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_34\, Q => Q(30), R => '0' ); \goreg_dm.dout_i_reg[31]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_33\, Q => Q(31), R => '0' ); \goreg_dm.dout_i_reg[32]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_32\, Q => Q(32), R => '0' ); \goreg_dm.dout_i_reg[33]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_31\, Q => Q(33), R => '0' ); \goreg_dm.dout_i_reg[34]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_30\, Q => Q(34), R => '0' ); \goreg_dm.dout_i_reg[35]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_29\, Q => Q(35), R => '0' ); \goreg_dm.dout_i_reg[36]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_28\, Q => Q(36), R => '0' ); \goreg_dm.dout_i_reg[37]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_27\, Q => Q(37), R => '0' ); \goreg_dm.dout_i_reg[38]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_26\, Q => Q(38), R => '0' ); \goreg_dm.dout_i_reg[39]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_25\, Q => Q(39), R => '0' ); \goreg_dm.dout_i_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_61\, Q => Q(3), R => '0' ); \goreg_dm.dout_i_reg[40]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_24\, Q => Q(40), R => '0' ); \goreg_dm.dout_i_reg[41]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_23\, Q => Q(41), R => '0' ); \goreg_dm.dout_i_reg[42]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_22\, Q => Q(42), R => '0' ); \goreg_dm.dout_i_reg[43]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_21\, Q => Q(43), R => '0' ); \goreg_dm.dout_i_reg[44]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_20\, Q => Q(44), R => '0' ); \goreg_dm.dout_i_reg[45]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_19\, Q => Q(45), R => '0' ); \goreg_dm.dout_i_reg[46]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_18\, Q => Q(46), R => '0' ); \goreg_dm.dout_i_reg[47]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_17\, Q => Q(47), R => '0' ); \goreg_dm.dout_i_reg[48]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_16\, Q => Q(48), R => '0' ); \goreg_dm.dout_i_reg[49]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_15\, Q => Q(49), R => '0' ); \goreg_dm.dout_i_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_60\, Q => Q(4), R => '0' ); \goreg_dm.dout_i_reg[50]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_14\, Q => Q(50), R => '0' ); \goreg_dm.dout_i_reg[51]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_13\, Q => Q(51), R => '0' ); \goreg_dm.dout_i_reg[52]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_12\, Q => Q(52), R => '0' ); \goreg_dm.dout_i_reg[53]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_11\, Q => Q(53), R => '0' ); \goreg_dm.dout_i_reg[54]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_10\, Q => Q(54), R => '0' ); \goreg_dm.dout_i_reg[55]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_9\, Q => Q(55), R => '0' ); \goreg_dm.dout_i_reg[56]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_8\, Q => Q(56), R => '0' ); \goreg_dm.dout_i_reg[57]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_7\, Q => Q(57), R => '0' ); \goreg_dm.dout_i_reg[58]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_6\, Q => Q(58), R => '0' ); \goreg_dm.dout_i_reg[59]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_5\, Q => Q(59), R => '0' ); \goreg_dm.dout_i_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_59\, Q => Q(5), R => '0' ); \goreg_dm.dout_i_reg[60]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_4\, Q => Q(60), R => '0' ); \goreg_dm.dout_i_reg[61]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_3\, Q => Q(61), R => '0' ); \goreg_dm.dout_i_reg[62]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_2\, Q => Q(62), R => '0' ); \goreg_dm.dout_i_reg[63]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_1\, Q => Q(63), R => '0' ); \goreg_dm.dout_i_reg[64]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_0\, Q => Q(64), R => '0' ); \goreg_dm.dout_i_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_58\, Q => Q(6), R => '0' ); \goreg_dm.dout_i_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_57\, Q => Q(7), R => '0' ); \goreg_dm.dout_i_reg[8]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_56\, Q => Q(8), R => '0' ); \goreg_dm.dout_i_reg[9]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_55\, Q => Q(9), R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity bd_auto_cc_0_memory_73 is port ( \m_axi_arid[3]\ : out STD_LOGIC_VECTOR ( 64 downto 0 ); s_aclk : in STD_LOGIC; E : in STD_LOGIC_VECTOR ( 0 to 0 ); I123 : in STD_LOGIC_VECTOR ( 64 downto 0 ); \gc0.count_d1_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \gic0.gc0.count_d2_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \gpregsm1.curr_fwft_state_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); m_aclk : in STD_LOGIC; \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of bd_auto_cc_0_memory_73 : entity is "memory"; end bd_auto_cc_0_memory_73; architecture STRUCTURE of bd_auto_cc_0_memory_73 is signal \gdm.dm_gen.dm_n_0\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_1\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_10\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_11\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_12\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_13\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_14\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_15\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_16\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_17\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_18\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_19\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_2\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_20\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_21\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_22\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_23\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_24\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_25\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_26\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_27\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_28\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_29\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_3\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_30\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_31\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_32\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_33\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_34\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_35\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_36\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_37\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_38\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_39\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_4\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_40\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_41\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_42\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_43\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_44\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_45\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_46\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_47\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_48\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_49\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_5\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_50\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_51\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_52\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_53\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_54\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_55\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_56\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_57\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_58\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_59\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_6\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_60\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_61\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_62\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_63\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_64\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_7\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_8\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_9\ : STD_LOGIC; begin \gdm.dm_gen.dm\: entity work.bd_auto_cc_0_dmem_81 port map ( E(0) => E(0), I123(64 downto 0) => I123(64 downto 0), Q(64) => \gdm.dm_gen.dm_n_0\, Q(63) => \gdm.dm_gen.dm_n_1\, Q(62) => \gdm.dm_gen.dm_n_2\, Q(61) => \gdm.dm_gen.dm_n_3\, Q(60) => \gdm.dm_gen.dm_n_4\, Q(59) => \gdm.dm_gen.dm_n_5\, Q(58) => \gdm.dm_gen.dm_n_6\, Q(57) => \gdm.dm_gen.dm_n_7\, Q(56) => \gdm.dm_gen.dm_n_8\, Q(55) => \gdm.dm_gen.dm_n_9\, Q(54) => \gdm.dm_gen.dm_n_10\, Q(53) => \gdm.dm_gen.dm_n_11\, Q(52) => \gdm.dm_gen.dm_n_12\, Q(51) => \gdm.dm_gen.dm_n_13\, Q(50) => \gdm.dm_gen.dm_n_14\, Q(49) => \gdm.dm_gen.dm_n_15\, Q(48) => \gdm.dm_gen.dm_n_16\, Q(47) => \gdm.dm_gen.dm_n_17\, Q(46) => \gdm.dm_gen.dm_n_18\, Q(45) => \gdm.dm_gen.dm_n_19\, Q(44) => \gdm.dm_gen.dm_n_20\, Q(43) => \gdm.dm_gen.dm_n_21\, Q(42) => \gdm.dm_gen.dm_n_22\, Q(41) => \gdm.dm_gen.dm_n_23\, Q(40) => \gdm.dm_gen.dm_n_24\, Q(39) => \gdm.dm_gen.dm_n_25\, Q(38) => \gdm.dm_gen.dm_n_26\, Q(37) => \gdm.dm_gen.dm_n_27\, Q(36) => \gdm.dm_gen.dm_n_28\, Q(35) => \gdm.dm_gen.dm_n_29\, Q(34) => \gdm.dm_gen.dm_n_30\, Q(33) => \gdm.dm_gen.dm_n_31\, Q(32) => \gdm.dm_gen.dm_n_32\, Q(31) => \gdm.dm_gen.dm_n_33\, Q(30) => \gdm.dm_gen.dm_n_34\, Q(29) => \gdm.dm_gen.dm_n_35\, Q(28) => \gdm.dm_gen.dm_n_36\, Q(27) => \gdm.dm_gen.dm_n_37\, Q(26) => \gdm.dm_gen.dm_n_38\, Q(25) => \gdm.dm_gen.dm_n_39\, Q(24) => \gdm.dm_gen.dm_n_40\, Q(23) => \gdm.dm_gen.dm_n_41\, Q(22) => \gdm.dm_gen.dm_n_42\, Q(21) => \gdm.dm_gen.dm_n_43\, Q(20) => \gdm.dm_gen.dm_n_44\, Q(19) => \gdm.dm_gen.dm_n_45\, Q(18) => \gdm.dm_gen.dm_n_46\, Q(17) => \gdm.dm_gen.dm_n_47\, Q(16) => \gdm.dm_gen.dm_n_48\, Q(15) => \gdm.dm_gen.dm_n_49\, Q(14) => \gdm.dm_gen.dm_n_50\, Q(13) => \gdm.dm_gen.dm_n_51\, Q(12) => \gdm.dm_gen.dm_n_52\, Q(11) => \gdm.dm_gen.dm_n_53\, Q(10) => \gdm.dm_gen.dm_n_54\, Q(9) => \gdm.dm_gen.dm_n_55\, Q(8) => \gdm.dm_gen.dm_n_56\, Q(7) => \gdm.dm_gen.dm_n_57\, Q(6) => \gdm.dm_gen.dm_n_58\, Q(5) => \gdm.dm_gen.dm_n_59\, Q(4) => \gdm.dm_gen.dm_n_60\, Q(3) => \gdm.dm_gen.dm_n_61\, Q(2) => \gdm.dm_gen.dm_n_62\, Q(1) => \gdm.dm_gen.dm_n_63\, Q(0) => \gdm.dm_gen.dm_n_64\, \gc0.count_d1_reg[3]\(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), \gic0.gc0.count_d2_reg[3]\(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), \gpregsm1.curr_fwft_state_reg[1]\(0) => \gpregsm1.curr_fwft_state_reg[1]\(0), m_aclk => m_aclk, s_aclk => s_aclk ); \goreg_dm.dout_i_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_64\, Q => \m_axi_arid[3]\(0), R => '0' ); \goreg_dm.dout_i_reg[10]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_54\, Q => \m_axi_arid[3]\(10), R => '0' ); \goreg_dm.dout_i_reg[11]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_53\, Q => \m_axi_arid[3]\(11), R => '0' ); \goreg_dm.dout_i_reg[12]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_52\, Q => \m_axi_arid[3]\(12), R => '0' ); \goreg_dm.dout_i_reg[13]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_51\, Q => \m_axi_arid[3]\(13), R => '0' ); \goreg_dm.dout_i_reg[14]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_50\, Q => \m_axi_arid[3]\(14), R => '0' ); \goreg_dm.dout_i_reg[15]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_49\, Q => \m_axi_arid[3]\(15), R => '0' ); \goreg_dm.dout_i_reg[16]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_48\, Q => \m_axi_arid[3]\(16), R => '0' ); \goreg_dm.dout_i_reg[17]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_47\, Q => \m_axi_arid[3]\(17), R => '0' ); \goreg_dm.dout_i_reg[18]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_46\, Q => \m_axi_arid[3]\(18), R => '0' ); \goreg_dm.dout_i_reg[19]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_45\, Q => \m_axi_arid[3]\(19), R => '0' ); \goreg_dm.dout_i_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_63\, Q => \m_axi_arid[3]\(1), R => '0' ); \goreg_dm.dout_i_reg[20]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_44\, Q => \m_axi_arid[3]\(20), R => '0' ); \goreg_dm.dout_i_reg[21]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_43\, Q => \m_axi_arid[3]\(21), R => '0' ); \goreg_dm.dout_i_reg[22]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_42\, Q => \m_axi_arid[3]\(22), R => '0' ); \goreg_dm.dout_i_reg[23]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_41\, Q => \m_axi_arid[3]\(23), R => '0' ); \goreg_dm.dout_i_reg[24]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_40\, Q => \m_axi_arid[3]\(24), R => '0' ); \goreg_dm.dout_i_reg[25]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_39\, Q => \m_axi_arid[3]\(25), R => '0' ); \goreg_dm.dout_i_reg[26]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_38\, Q => \m_axi_arid[3]\(26), R => '0' ); \goreg_dm.dout_i_reg[27]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_37\, Q => \m_axi_arid[3]\(27), R => '0' ); \goreg_dm.dout_i_reg[28]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_36\, Q => \m_axi_arid[3]\(28), R => '0' ); \goreg_dm.dout_i_reg[29]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_35\, Q => \m_axi_arid[3]\(29), R => '0' ); \goreg_dm.dout_i_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_62\, Q => \m_axi_arid[3]\(2), R => '0' ); \goreg_dm.dout_i_reg[30]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_34\, Q => \m_axi_arid[3]\(30), R => '0' ); \goreg_dm.dout_i_reg[31]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_33\, Q => \m_axi_arid[3]\(31), R => '0' ); \goreg_dm.dout_i_reg[32]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_32\, Q => \m_axi_arid[3]\(32), R => '0' ); \goreg_dm.dout_i_reg[33]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_31\, Q => \m_axi_arid[3]\(33), R => '0' ); \goreg_dm.dout_i_reg[34]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_30\, Q => \m_axi_arid[3]\(34), R => '0' ); \goreg_dm.dout_i_reg[35]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_29\, Q => \m_axi_arid[3]\(35), R => '0' ); \goreg_dm.dout_i_reg[36]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_28\, Q => \m_axi_arid[3]\(36), R => '0' ); \goreg_dm.dout_i_reg[37]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_27\, Q => \m_axi_arid[3]\(37), R => '0' ); \goreg_dm.dout_i_reg[38]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_26\, Q => \m_axi_arid[3]\(38), R => '0' ); \goreg_dm.dout_i_reg[39]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_25\, Q => \m_axi_arid[3]\(39), R => '0' ); \goreg_dm.dout_i_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_61\, Q => \m_axi_arid[3]\(3), R => '0' ); \goreg_dm.dout_i_reg[40]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_24\, Q => \m_axi_arid[3]\(40), R => '0' ); \goreg_dm.dout_i_reg[41]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_23\, Q => \m_axi_arid[3]\(41), R => '0' ); \goreg_dm.dout_i_reg[42]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_22\, Q => \m_axi_arid[3]\(42), R => '0' ); \goreg_dm.dout_i_reg[43]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_21\, Q => \m_axi_arid[3]\(43), R => '0' ); \goreg_dm.dout_i_reg[44]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_20\, Q => \m_axi_arid[3]\(44), R => '0' ); \goreg_dm.dout_i_reg[45]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_19\, Q => \m_axi_arid[3]\(45), R => '0' ); \goreg_dm.dout_i_reg[46]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_18\, Q => \m_axi_arid[3]\(46), R => '0' ); \goreg_dm.dout_i_reg[47]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_17\, Q => \m_axi_arid[3]\(47), R => '0' ); \goreg_dm.dout_i_reg[48]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_16\, Q => \m_axi_arid[3]\(48), R => '0' ); \goreg_dm.dout_i_reg[49]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_15\, Q => \m_axi_arid[3]\(49), R => '0' ); \goreg_dm.dout_i_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_60\, Q => \m_axi_arid[3]\(4), R => '0' ); \goreg_dm.dout_i_reg[50]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_14\, Q => \m_axi_arid[3]\(50), R => '0' ); \goreg_dm.dout_i_reg[51]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_13\, Q => \m_axi_arid[3]\(51), R => '0' ); \goreg_dm.dout_i_reg[52]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_12\, Q => \m_axi_arid[3]\(52), R => '0' ); \goreg_dm.dout_i_reg[53]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_11\, Q => \m_axi_arid[3]\(53), R => '0' ); \goreg_dm.dout_i_reg[54]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_10\, Q => \m_axi_arid[3]\(54), R => '0' ); \goreg_dm.dout_i_reg[55]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_9\, Q => \m_axi_arid[3]\(55), R => '0' ); \goreg_dm.dout_i_reg[56]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_8\, Q => \m_axi_arid[3]\(56), R => '0' ); \goreg_dm.dout_i_reg[57]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_7\, Q => \m_axi_arid[3]\(57), R => '0' ); \goreg_dm.dout_i_reg[58]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_6\, Q => \m_axi_arid[3]\(58), R => '0' ); \goreg_dm.dout_i_reg[59]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_5\, Q => \m_axi_arid[3]\(59), R => '0' ); \goreg_dm.dout_i_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_59\, Q => \m_axi_arid[3]\(5), R => '0' ); \goreg_dm.dout_i_reg[60]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_4\, Q => \m_axi_arid[3]\(60), R => '0' ); \goreg_dm.dout_i_reg[61]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_3\, Q => \m_axi_arid[3]\(61), R => '0' ); \goreg_dm.dout_i_reg[62]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_2\, Q => \m_axi_arid[3]\(62), R => '0' ); \goreg_dm.dout_i_reg[63]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_1\, Q => \m_axi_arid[3]\(63), R => '0' ); \goreg_dm.dout_i_reg[64]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_0\, Q => \m_axi_arid[3]\(64), R => '0' ); \goreg_dm.dout_i_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_58\, Q => \m_axi_arid[3]\(6), R => '0' ); \goreg_dm.dout_i_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_57\, Q => \m_axi_arid[3]\(7), R => '0' ); \goreg_dm.dout_i_reg[8]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_56\, Q => \m_axi_arid[3]\(8), R => '0' ); \goreg_dm.dout_i_reg[9]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_55\, Q => \m_axi_arid[3]\(9), R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \bd_auto_cc_0_memory__parameterized0\ is port ( \m_axi_wdata[31]\ : out STD_LOGIC_VECTOR ( 36 downto 0 ); s_aclk : in STD_LOGIC; E : in STD_LOGIC_VECTOR ( 0 to 0 ); I115 : in STD_LOGIC_VECTOR ( 36 downto 0 ); \gc0.count_d1_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \gic0.gc0.count_d2_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \gpregsm1.curr_fwft_state_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); m_aclk : in STD_LOGIC; \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \bd_auto_cc_0_memory__parameterized0\ : entity is "memory"; end \bd_auto_cc_0_memory__parameterized0\; architecture STRUCTURE of \bd_auto_cc_0_memory__parameterized0\ is signal \gdm.dm_gen.dm_n_0\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_1\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_10\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_11\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_12\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_13\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_14\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_15\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_16\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_17\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_18\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_19\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_2\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_20\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_21\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_22\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_23\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_24\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_25\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_26\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_27\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_28\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_29\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_3\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_30\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_31\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_32\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_33\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_34\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_35\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_36\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_4\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_5\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_6\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_7\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_8\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_9\ : STD_LOGIC; begin \gdm.dm_gen.dm\: entity work.\bd_auto_cc_0_dmem__parameterized0\ port map ( E(0) => E(0), I115(36 downto 0) => I115(36 downto 0), Q(36) => \gdm.dm_gen.dm_n_0\, Q(35) => \gdm.dm_gen.dm_n_1\, Q(34) => \gdm.dm_gen.dm_n_2\, Q(33) => \gdm.dm_gen.dm_n_3\, Q(32) => \gdm.dm_gen.dm_n_4\, Q(31) => \gdm.dm_gen.dm_n_5\, Q(30) => \gdm.dm_gen.dm_n_6\, Q(29) => \gdm.dm_gen.dm_n_7\, Q(28) => \gdm.dm_gen.dm_n_8\, Q(27) => \gdm.dm_gen.dm_n_9\, Q(26) => \gdm.dm_gen.dm_n_10\, Q(25) => \gdm.dm_gen.dm_n_11\, Q(24) => \gdm.dm_gen.dm_n_12\, Q(23) => \gdm.dm_gen.dm_n_13\, Q(22) => \gdm.dm_gen.dm_n_14\, Q(21) => \gdm.dm_gen.dm_n_15\, Q(20) => \gdm.dm_gen.dm_n_16\, Q(19) => \gdm.dm_gen.dm_n_17\, Q(18) => \gdm.dm_gen.dm_n_18\, Q(17) => \gdm.dm_gen.dm_n_19\, Q(16) => \gdm.dm_gen.dm_n_20\, Q(15) => \gdm.dm_gen.dm_n_21\, Q(14) => \gdm.dm_gen.dm_n_22\, Q(13) => \gdm.dm_gen.dm_n_23\, Q(12) => \gdm.dm_gen.dm_n_24\, Q(11) => \gdm.dm_gen.dm_n_25\, Q(10) => \gdm.dm_gen.dm_n_26\, Q(9) => \gdm.dm_gen.dm_n_27\, Q(8) => \gdm.dm_gen.dm_n_28\, Q(7) => \gdm.dm_gen.dm_n_29\, Q(6) => \gdm.dm_gen.dm_n_30\, Q(5) => \gdm.dm_gen.dm_n_31\, Q(4) => \gdm.dm_gen.dm_n_32\, Q(3) => \gdm.dm_gen.dm_n_33\, Q(2) => \gdm.dm_gen.dm_n_34\, Q(1) => \gdm.dm_gen.dm_n_35\, Q(0) => \gdm.dm_gen.dm_n_36\, \gc0.count_d1_reg[3]\(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), \gic0.gc0.count_d2_reg[3]\(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), \gpregsm1.curr_fwft_state_reg[1]\(0) => \gpregsm1.curr_fwft_state_reg[1]\(0), m_aclk => m_aclk, s_aclk => s_aclk ); \goreg_dm.dout_i_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_36\, Q => \m_axi_wdata[31]\(0), R => '0' ); \goreg_dm.dout_i_reg[10]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_26\, Q => \m_axi_wdata[31]\(10), R => '0' ); \goreg_dm.dout_i_reg[11]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_25\, Q => \m_axi_wdata[31]\(11), R => '0' ); \goreg_dm.dout_i_reg[12]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_24\, Q => \m_axi_wdata[31]\(12), R => '0' ); \goreg_dm.dout_i_reg[13]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_23\, Q => \m_axi_wdata[31]\(13), R => '0' ); \goreg_dm.dout_i_reg[14]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_22\, Q => \m_axi_wdata[31]\(14), R => '0' ); \goreg_dm.dout_i_reg[15]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_21\, Q => \m_axi_wdata[31]\(15), R => '0' ); \goreg_dm.dout_i_reg[16]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_20\, Q => \m_axi_wdata[31]\(16), R => '0' ); \goreg_dm.dout_i_reg[17]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_19\, Q => \m_axi_wdata[31]\(17), R => '0' ); \goreg_dm.dout_i_reg[18]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_18\, Q => \m_axi_wdata[31]\(18), R => '0' ); \goreg_dm.dout_i_reg[19]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_17\, Q => \m_axi_wdata[31]\(19), R => '0' ); \goreg_dm.dout_i_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_35\, Q => \m_axi_wdata[31]\(1), R => '0' ); \goreg_dm.dout_i_reg[20]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_16\, Q => \m_axi_wdata[31]\(20), R => '0' ); \goreg_dm.dout_i_reg[21]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_15\, Q => \m_axi_wdata[31]\(21), R => '0' ); \goreg_dm.dout_i_reg[22]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_14\, Q => \m_axi_wdata[31]\(22), R => '0' ); \goreg_dm.dout_i_reg[23]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_13\, Q => \m_axi_wdata[31]\(23), R => '0' ); \goreg_dm.dout_i_reg[24]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_12\, Q => \m_axi_wdata[31]\(24), R => '0' ); \goreg_dm.dout_i_reg[25]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_11\, Q => \m_axi_wdata[31]\(25), R => '0' ); \goreg_dm.dout_i_reg[26]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_10\, Q => \m_axi_wdata[31]\(26), R => '0' ); \goreg_dm.dout_i_reg[27]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_9\, Q => \m_axi_wdata[31]\(27), R => '0' ); \goreg_dm.dout_i_reg[28]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_8\, Q => \m_axi_wdata[31]\(28), R => '0' ); \goreg_dm.dout_i_reg[29]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_7\, Q => \m_axi_wdata[31]\(29), R => '0' ); \goreg_dm.dout_i_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_34\, Q => \m_axi_wdata[31]\(2), R => '0' ); \goreg_dm.dout_i_reg[30]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_6\, Q => \m_axi_wdata[31]\(30), R => '0' ); \goreg_dm.dout_i_reg[31]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_5\, Q => \m_axi_wdata[31]\(31), R => '0' ); \goreg_dm.dout_i_reg[32]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_4\, Q => \m_axi_wdata[31]\(32), R => '0' ); \goreg_dm.dout_i_reg[33]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_3\, Q => \m_axi_wdata[31]\(33), R => '0' ); \goreg_dm.dout_i_reg[34]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_2\, Q => \m_axi_wdata[31]\(34), R => '0' ); \goreg_dm.dout_i_reg[35]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_1\, Q => \m_axi_wdata[31]\(35), R => '0' ); \goreg_dm.dout_i_reg[36]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_0\, Q => \m_axi_wdata[31]\(36), R => '0' ); \goreg_dm.dout_i_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_33\, Q => \m_axi_wdata[31]\(3), R => '0' ); \goreg_dm.dout_i_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_32\, Q => \m_axi_wdata[31]\(4), R => '0' ); \goreg_dm.dout_i_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_31\, Q => \m_axi_wdata[31]\(5), R => '0' ); \goreg_dm.dout_i_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_30\, Q => \m_axi_wdata[31]\(6), R => '0' ); \goreg_dm.dout_i_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_29\, Q => \m_axi_wdata[31]\(7), R => '0' ); \goreg_dm.dout_i_reg[8]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_28\, Q => \m_axi_wdata[31]\(8), R => '0' ); \goreg_dm.dout_i_reg[9]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_27\, Q => \m_axi_wdata[31]\(9), R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \bd_auto_cc_0_memory__parameterized1\ is port ( \s_axi_bid[3]\ : out STD_LOGIC_VECTOR ( 5 downto 0 ); m_aclk : in STD_LOGIC; E : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_bid : in STD_LOGIC_VECTOR ( 3 downto 0 ); \gc0.count_d1_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \gic0.gc0.count_d2_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \gpregsm1.curr_fwft_state_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); s_aclk : in STD_LOGIC; \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \bd_auto_cc_0_memory__parameterized1\ : entity is "memory"; end \bd_auto_cc_0_memory__parameterized1\; architecture STRUCTURE of \bd_auto_cc_0_memory__parameterized1\ is signal \gdm.dm_gen.dm_n_0\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_1\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_2\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_3\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_4\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_5\ : STD_LOGIC; begin \gdm.dm_gen.dm\: entity work.\bd_auto_cc_0_dmem__parameterized1\ port map ( E(0) => E(0), Q(5) => \gdm.dm_gen.dm_n_0\, Q(4) => \gdm.dm_gen.dm_n_1\, Q(3) => \gdm.dm_gen.dm_n_2\, Q(2) => \gdm.dm_gen.dm_n_3\, Q(1) => \gdm.dm_gen.dm_n_4\, Q(0) => \gdm.dm_gen.dm_n_5\, \gc0.count_d1_reg[3]\(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), \gic0.gc0.count_d2_reg[3]\(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), \gpregsm1.curr_fwft_state_reg[1]\(0) => \gpregsm1.curr_fwft_state_reg[1]\(0), m_aclk => m_aclk, m_axi_bid(3 downto 0) => m_axi_bid(3 downto 0), m_axi_bresp(1 downto 0) => m_axi_bresp(1 downto 0), s_aclk => s_aclk ); \goreg_dm.dout_i_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_5\, Q => \s_axi_bid[3]\(0), R => '0' ); \goreg_dm.dout_i_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_4\, Q => \s_axi_bid[3]\(1), R => '0' ); \goreg_dm.dout_i_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_3\, Q => \s_axi_bid[3]\(2), R => '0' ); \goreg_dm.dout_i_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_2\, Q => \s_axi_bid[3]\(3), R => '0' ); \goreg_dm.dout_i_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_1\, Q => \s_axi_bid[3]\(4), R => '0' ); \goreg_dm.dout_i_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_0\, Q => \s_axi_bid[3]\(5), R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \bd_auto_cc_0_memory__parameterized2\ is port ( \s_axi_rid[3]\ : out STD_LOGIC_VECTOR ( 38 downto 0 ); m_aclk : in STD_LOGIC; E : in STD_LOGIC_VECTOR ( 0 to 0 ); I127 : in STD_LOGIC_VECTOR ( 38 downto 0 ); \gc0.count_d1_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \gic0.gc0.count_d2_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \gpregsm1.curr_fwft_state_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); s_aclk : in STD_LOGIC; \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \bd_auto_cc_0_memory__parameterized2\ : entity is "memory"; end \bd_auto_cc_0_memory__parameterized2\; architecture STRUCTURE of \bd_auto_cc_0_memory__parameterized2\ is signal \gdm.dm_gen.dm_n_0\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_1\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_10\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_11\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_12\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_13\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_14\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_15\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_16\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_17\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_18\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_19\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_2\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_20\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_21\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_22\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_23\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_24\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_25\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_26\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_27\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_28\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_29\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_3\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_30\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_31\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_32\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_33\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_34\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_35\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_36\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_37\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_38\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_4\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_5\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_6\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_7\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_8\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_9\ : STD_LOGIC; begin \gdm.dm_gen.dm\: entity work.\bd_auto_cc_0_dmem__parameterized2\ port map ( E(0) => E(0), I127(38 downto 0) => I127(38 downto 0), Q(38) => \gdm.dm_gen.dm_n_0\, Q(37) => \gdm.dm_gen.dm_n_1\, Q(36) => \gdm.dm_gen.dm_n_2\, Q(35) => \gdm.dm_gen.dm_n_3\, Q(34) => \gdm.dm_gen.dm_n_4\, Q(33) => \gdm.dm_gen.dm_n_5\, Q(32) => \gdm.dm_gen.dm_n_6\, Q(31) => \gdm.dm_gen.dm_n_7\, Q(30) => \gdm.dm_gen.dm_n_8\, Q(29) => \gdm.dm_gen.dm_n_9\, Q(28) => \gdm.dm_gen.dm_n_10\, Q(27) => \gdm.dm_gen.dm_n_11\, Q(26) => \gdm.dm_gen.dm_n_12\, Q(25) => \gdm.dm_gen.dm_n_13\, Q(24) => \gdm.dm_gen.dm_n_14\, Q(23) => \gdm.dm_gen.dm_n_15\, Q(22) => \gdm.dm_gen.dm_n_16\, Q(21) => \gdm.dm_gen.dm_n_17\, Q(20) => \gdm.dm_gen.dm_n_18\, Q(19) => \gdm.dm_gen.dm_n_19\, Q(18) => \gdm.dm_gen.dm_n_20\, Q(17) => \gdm.dm_gen.dm_n_21\, Q(16) => \gdm.dm_gen.dm_n_22\, Q(15) => \gdm.dm_gen.dm_n_23\, Q(14) => \gdm.dm_gen.dm_n_24\, Q(13) => \gdm.dm_gen.dm_n_25\, Q(12) => \gdm.dm_gen.dm_n_26\, Q(11) => \gdm.dm_gen.dm_n_27\, Q(10) => \gdm.dm_gen.dm_n_28\, Q(9) => \gdm.dm_gen.dm_n_29\, Q(8) => \gdm.dm_gen.dm_n_30\, Q(7) => \gdm.dm_gen.dm_n_31\, Q(6) => \gdm.dm_gen.dm_n_32\, Q(5) => \gdm.dm_gen.dm_n_33\, Q(4) => \gdm.dm_gen.dm_n_34\, Q(3) => \gdm.dm_gen.dm_n_35\, Q(2) => \gdm.dm_gen.dm_n_36\, Q(1) => \gdm.dm_gen.dm_n_37\, Q(0) => \gdm.dm_gen.dm_n_38\, \gc0.count_d1_reg[3]\(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), \gic0.gc0.count_d2_reg[3]\(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), \gpregsm1.curr_fwft_state_reg[1]\(0) => \gpregsm1.curr_fwft_state_reg[1]\(0), m_aclk => m_aclk, s_aclk => s_aclk ); \goreg_dm.dout_i_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_38\, Q => \s_axi_rid[3]\(0), R => '0' ); \goreg_dm.dout_i_reg[10]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_28\, Q => \s_axi_rid[3]\(10), R => '0' ); \goreg_dm.dout_i_reg[11]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_27\, Q => \s_axi_rid[3]\(11), R => '0' ); \goreg_dm.dout_i_reg[12]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_26\, Q => \s_axi_rid[3]\(12), R => '0' ); \goreg_dm.dout_i_reg[13]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_25\, Q => \s_axi_rid[3]\(13), R => '0' ); \goreg_dm.dout_i_reg[14]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_24\, Q => \s_axi_rid[3]\(14), R => '0' ); \goreg_dm.dout_i_reg[15]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_23\, Q => \s_axi_rid[3]\(15), R => '0' ); \goreg_dm.dout_i_reg[16]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_22\, Q => \s_axi_rid[3]\(16), R => '0' ); \goreg_dm.dout_i_reg[17]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_21\, Q => \s_axi_rid[3]\(17), R => '0' ); \goreg_dm.dout_i_reg[18]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_20\, Q => \s_axi_rid[3]\(18), R => '0' ); \goreg_dm.dout_i_reg[19]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_19\, Q => \s_axi_rid[3]\(19), R => '0' ); \goreg_dm.dout_i_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_37\, Q => \s_axi_rid[3]\(1), R => '0' ); \goreg_dm.dout_i_reg[20]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_18\, Q => \s_axi_rid[3]\(20), R => '0' ); \goreg_dm.dout_i_reg[21]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_17\, Q => \s_axi_rid[3]\(21), R => '0' ); \goreg_dm.dout_i_reg[22]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_16\, Q => \s_axi_rid[3]\(22), R => '0' ); \goreg_dm.dout_i_reg[23]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_15\, Q => \s_axi_rid[3]\(23), R => '0' ); \goreg_dm.dout_i_reg[24]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_14\, Q => \s_axi_rid[3]\(24), R => '0' ); \goreg_dm.dout_i_reg[25]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_13\, Q => \s_axi_rid[3]\(25), R => '0' ); \goreg_dm.dout_i_reg[26]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_12\, Q => \s_axi_rid[3]\(26), R => '0' ); \goreg_dm.dout_i_reg[27]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_11\, Q => \s_axi_rid[3]\(27), R => '0' ); \goreg_dm.dout_i_reg[28]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_10\, Q => \s_axi_rid[3]\(28), R => '0' ); \goreg_dm.dout_i_reg[29]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_9\, Q => \s_axi_rid[3]\(29), R => '0' ); \goreg_dm.dout_i_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_36\, Q => \s_axi_rid[3]\(2), R => '0' ); \goreg_dm.dout_i_reg[30]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_8\, Q => \s_axi_rid[3]\(30), R => '0' ); \goreg_dm.dout_i_reg[31]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_7\, Q => \s_axi_rid[3]\(31), R => '0' ); \goreg_dm.dout_i_reg[32]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_6\, Q => \s_axi_rid[3]\(32), R => '0' ); \goreg_dm.dout_i_reg[33]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_5\, Q => \s_axi_rid[3]\(33), R => '0' ); \goreg_dm.dout_i_reg[34]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_4\, Q => \s_axi_rid[3]\(34), R => '0' ); \goreg_dm.dout_i_reg[35]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_3\, Q => \s_axi_rid[3]\(35), R => '0' ); \goreg_dm.dout_i_reg[36]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_2\, Q => \s_axi_rid[3]\(36), R => '0' ); \goreg_dm.dout_i_reg[37]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_1\, Q => \s_axi_rid[3]\(37), R => '0' ); \goreg_dm.dout_i_reg[38]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_0\, Q => \s_axi_rid[3]\(38), R => '0' ); \goreg_dm.dout_i_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_35\, Q => \s_axi_rid[3]\(3), R => '0' ); \goreg_dm.dout_i_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_34\, Q => \s_axi_rid[3]\(4), R => '0' ); \goreg_dm.dout_i_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_33\, Q => \s_axi_rid[3]\(5), R => '0' ); \goreg_dm.dout_i_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_32\, Q => \s_axi_rid[3]\(6), R => '0' ); \goreg_dm.dout_i_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_31\, Q => \s_axi_rid[3]\(7), R => '0' ); \goreg_dm.dout_i_reg[8]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_30\, Q => \s_axi_rid[3]\(8), R => '0' ); \goreg_dm.dout_i_reg[9]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_29\, Q => \s_axi_rid[3]\(9), R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity bd_auto_cc_0_rd_logic is port ( Q : out STD_LOGIC_VECTOR ( 2 downto 0 ); E : out STD_LOGIC_VECTOR ( 0 to 0 ); \goreg_dm.dout_i_reg[5]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); D : out STD_LOGIC_VECTOR ( 2 downto 0 ); \gnxpm_cdc.rd_pntr_gc_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_bvalid : out STD_LOGIC; s_aclk : in STD_LOGIC; \out\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_bready : in STD_LOGIC; \gnxpm_cdc.wr_pntr_bin_reg[2]\ : in STD_LOGIC; \gnxpm_cdc.wr_pntr_bin_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ) ); end bd_auto_cc_0_rd_logic; architecture STRUCTURE of bd_auto_cc_0_rd_logic is signal \^e\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \gr1.gr1_int.rfwft_n_0\ : STD_LOGIC; signal p_2_out : STD_LOGIC; signal rd_pntr_plus1 : STD_LOGIC_VECTOR ( 3 to 3 ); signal rpntr_n_4 : STD_LOGIC; begin E(0) <= \^e\(0); \gr1.gr1_int.rfwft\: entity work.bd_auto_cc_0_rd_fwft port map ( E(0) => \^e\(0), Q(0) => rd_pntr_plus1(3), \gnxpm_cdc.wr_pntr_bin_reg[3]\(0) => \gnxpm_cdc.wr_pntr_bin_reg[3]\(3), \goreg_dm.dout_i_reg[5]\(0) => \goreg_dm.dout_i_reg[5]\(0), \out\(1 downto 0) => \out\(1 downto 0), ram_empty_fb_i_reg => p_2_out, ram_empty_i_reg => \gr1.gr1_int.rfwft_n_0\, s_aclk => s_aclk, s_axi_bready => s_axi_bready, s_axi_bvalid => s_axi_bvalid ); \gras.rsts\: entity work.bd_auto_cc_0_rd_status_flags_as port map ( \gc0.count_d1_reg[2]\ => rpntr_n_4, \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0) => \out\(1), \out\ => p_2_out, s_aclk => s_aclk ); rpntr: entity work.bd_auto_cc_0_rd_bin_cntr port map ( D(2 downto 0) => D(2 downto 0), E(0) => \^e\(0), Q(3) => rd_pntr_plus1(3), Q(2 downto 0) => Q(2 downto 0), \gnxpm_cdc.rd_pntr_gc_reg[3]\(3 downto 0) => \gnxpm_cdc.rd_pntr_gc_reg[3]\(3 downto 0), \gnxpm_cdc.wr_pntr_bin_reg[2]\ => \gnxpm_cdc.wr_pntr_bin_reg[2]\, \gnxpm_cdc.wr_pntr_bin_reg[3]\(3 downto 0) => \gnxpm_cdc.wr_pntr_bin_reg[3]\(3 downto 0), \gpregsm1.curr_fwft_state_reg[1]\ => \gr1.gr1_int.rfwft_n_0\, \out\(0) => \out\(1), ram_empty_i_reg => rpntr_n_4, s_aclk => s_aclk ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity bd_auto_cc_0_rd_logic_28 is port ( Q : out STD_LOGIC_VECTOR ( 2 downto 0 ); E : out STD_LOGIC_VECTOR ( 0 to 0 ); \goreg_dm.dout_i_reg[64]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \gnxpm_cdc.rd_pntr_gc_reg[2]\ : out STD_LOGIC_VECTOR ( 2 downto 0 ); \gnxpm_cdc.rd_pntr_gc_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awvalid : out STD_LOGIC; m_aclk : in STD_LOGIC; \out\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_awready : in STD_LOGIC; \gnxpm_cdc.wr_pntr_bin_reg[2]\ : in STD_LOGIC; \gnxpm_cdc.wr_pntr_bin_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of bd_auto_cc_0_rd_logic_28 : entity is "rd_logic"; end bd_auto_cc_0_rd_logic_28; architecture STRUCTURE of bd_auto_cc_0_rd_logic_28 is signal \^e\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \gr1.gr1_int.rfwft_n_0\ : STD_LOGIC; signal p_2_out : STD_LOGIC; signal rd_pntr_plus1 : STD_LOGIC_VECTOR ( 3 to 3 ); signal rpntr_n_4 : STD_LOGIC; begin E(0) <= \^e\(0); \gr1.gr1_int.rfwft\: entity work.bd_auto_cc_0_rd_fwft_39 port map ( E(0) => \^e\(0), Q(0) => rd_pntr_plus1(3), \gnxpm_cdc.wr_pntr_bin_reg[3]\(0) => \gnxpm_cdc.wr_pntr_bin_reg[3]\(3), \goreg_dm.dout_i_reg[64]\(0) => \goreg_dm.dout_i_reg[64]\(0), m_aclk => m_aclk, m_axi_awready => m_axi_awready, m_axi_awvalid => m_axi_awvalid, \out\(1 downto 0) => \out\(1 downto 0), ram_empty_fb_i_reg => p_2_out, ram_empty_i_reg => \gr1.gr1_int.rfwft_n_0\ ); \gras.rsts\: entity work.bd_auto_cc_0_rd_status_flags_as_40 port map ( \gc0.count_d1_reg[2]\ => rpntr_n_4, m_aclk => m_aclk, \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0) => \out\(1), \out\ => p_2_out ); rpntr: entity work.bd_auto_cc_0_rd_bin_cntr_41 port map ( E(0) => \^e\(0), Q(3) => rd_pntr_plus1(3), Q(2 downto 0) => Q(2 downto 0), \gnxpm_cdc.rd_pntr_gc_reg[2]\(2 downto 0) => \gnxpm_cdc.rd_pntr_gc_reg[2]\(2 downto 0), \gnxpm_cdc.rd_pntr_gc_reg[3]\(3 downto 0) => \gnxpm_cdc.rd_pntr_gc_reg[3]\(3 downto 0), \gnxpm_cdc.wr_pntr_bin_reg[2]\ => \gnxpm_cdc.wr_pntr_bin_reg[2]\, \gnxpm_cdc.wr_pntr_bin_reg[3]\(3 downto 0) => \gnxpm_cdc.wr_pntr_bin_reg[3]\(3 downto 0), \gpregsm1.curr_fwft_state_reg[1]\ => \gr1.gr1_int.rfwft_n_0\, m_aclk => m_aclk, \out\(0) => \out\(1), ram_empty_i_reg => rpntr_n_4 ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity bd_auto_cc_0_rd_logic_49 is port ( Q : out STD_LOGIC_VECTOR ( 2 downto 0 ); E : out STD_LOGIC_VECTOR ( 0 to 0 ); \goreg_dm.dout_i_reg[38]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); D : out STD_LOGIC_VECTOR ( 2 downto 0 ); \gnxpm_cdc.rd_pntr_gc_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_rvalid : out STD_LOGIC; s_aclk : in STD_LOGIC; \out\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_rready : in STD_LOGIC; \gnxpm_cdc.wr_pntr_bin_reg[2]\ : in STD_LOGIC; \gnxpm_cdc.wr_pntr_bin_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of bd_auto_cc_0_rd_logic_49 : entity is "rd_logic"; end bd_auto_cc_0_rd_logic_49; architecture STRUCTURE of bd_auto_cc_0_rd_logic_49 is signal \^e\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \gr1.gr1_int.rfwft_n_0\ : STD_LOGIC; signal p_2_out : STD_LOGIC; signal rd_pntr_plus1 : STD_LOGIC_VECTOR ( 3 to 3 ); signal rpntr_n_4 : STD_LOGIC; begin E(0) <= \^e\(0); \gr1.gr1_int.rfwft\: entity work.bd_auto_cc_0_rd_fwft_60 port map ( E(0) => \^e\(0), Q(0) => rd_pntr_plus1(3), \gnxpm_cdc.wr_pntr_bin_reg[3]\(0) => \gnxpm_cdc.wr_pntr_bin_reg[3]\(3), \goreg_dm.dout_i_reg[38]\(0) => \goreg_dm.dout_i_reg[38]\(0), \out\(1 downto 0) => \out\(1 downto 0), ram_empty_fb_i_reg => p_2_out, ram_empty_i_reg => \gr1.gr1_int.rfwft_n_0\, s_aclk => s_aclk, s_axi_rready => s_axi_rready, s_axi_rvalid => s_axi_rvalid ); \gras.rsts\: entity work.bd_auto_cc_0_rd_status_flags_as_61 port map ( \gc0.count_d1_reg[2]\ => rpntr_n_4, \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0) => \out\(1), \out\ => p_2_out, s_aclk => s_aclk ); rpntr: entity work.bd_auto_cc_0_rd_bin_cntr_62 port map ( D(2 downto 0) => D(2 downto 0), E(0) => \^e\(0), Q(3) => rd_pntr_plus1(3), Q(2 downto 0) => Q(2 downto 0), \gnxpm_cdc.rd_pntr_gc_reg[3]\(3 downto 0) => \gnxpm_cdc.rd_pntr_gc_reg[3]\(3 downto 0), \gnxpm_cdc.wr_pntr_bin_reg[2]\ => \gnxpm_cdc.wr_pntr_bin_reg[2]\, \gnxpm_cdc.wr_pntr_bin_reg[3]\(3 downto 0) => \gnxpm_cdc.wr_pntr_bin_reg[3]\(3 downto 0), \gpregsm1.curr_fwft_state_reg[1]\ => \gr1.gr1_int.rfwft_n_0\, \out\(0) => \out\(1), ram_empty_i_reg => rpntr_n_4, s_aclk => s_aclk ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity bd_auto_cc_0_rd_logic_7 is port ( Q : out STD_LOGIC_VECTOR ( 2 downto 0 ); E : out STD_LOGIC_VECTOR ( 0 to 0 ); \goreg_dm.dout_i_reg[36]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); D : out STD_LOGIC_VECTOR ( 2 downto 0 ); \gnxpm_cdc.rd_pntr_gc_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_wvalid : out STD_LOGIC; m_aclk : in STD_LOGIC; \out\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_wready : in STD_LOGIC; \gnxpm_cdc.wr_pntr_bin_reg[2]\ : in STD_LOGIC; \gnxpm_cdc.wr_pntr_bin_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of bd_auto_cc_0_rd_logic_7 : entity is "rd_logic"; end bd_auto_cc_0_rd_logic_7; architecture STRUCTURE of bd_auto_cc_0_rd_logic_7 is signal \^e\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \gr1.gr1_int.rfwft_n_0\ : STD_LOGIC; signal p_2_out : STD_LOGIC; signal rd_pntr_plus1 : STD_LOGIC_VECTOR ( 3 to 3 ); signal rpntr_n_4 : STD_LOGIC; begin E(0) <= \^e\(0); \gr1.gr1_int.rfwft\: entity work.bd_auto_cc_0_rd_fwft_18 port map ( E(0) => \^e\(0), Q(0) => rd_pntr_plus1(3), \gnxpm_cdc.wr_pntr_bin_reg[3]\(0) => \gnxpm_cdc.wr_pntr_bin_reg[3]\(3), \goreg_dm.dout_i_reg[36]\(0) => \goreg_dm.dout_i_reg[36]\(0), m_aclk => m_aclk, m_axi_wready => m_axi_wready, m_axi_wvalid => m_axi_wvalid, \out\(1 downto 0) => \out\(1 downto 0), ram_empty_fb_i_reg => p_2_out, ram_empty_i_reg => \gr1.gr1_int.rfwft_n_0\ ); \gras.rsts\: entity work.bd_auto_cc_0_rd_status_flags_as_19 port map ( \gc0.count_d1_reg[2]\ => rpntr_n_4, m_aclk => m_aclk, \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0) => \out\(1), \out\ => p_2_out ); rpntr: entity work.bd_auto_cc_0_rd_bin_cntr_20 port map ( D(2 downto 0) => D(2 downto 0), E(0) => \^e\(0), Q(3) => rd_pntr_plus1(3), Q(2 downto 0) => Q(2 downto 0), \gnxpm_cdc.rd_pntr_gc_reg[3]\(3 downto 0) => \gnxpm_cdc.rd_pntr_gc_reg[3]\(3 downto 0), \gnxpm_cdc.wr_pntr_bin_reg[2]\ => \gnxpm_cdc.wr_pntr_bin_reg[2]\, \gnxpm_cdc.wr_pntr_bin_reg[3]\(3 downto 0) => \gnxpm_cdc.wr_pntr_bin_reg[3]\(3 downto 0), \gpregsm1.curr_fwft_state_reg[1]\ => \gr1.gr1_int.rfwft_n_0\, m_aclk => m_aclk, \out\(0) => \out\(1), ram_empty_i_reg => rpntr_n_4 ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity bd_auto_cc_0_rd_logic_71 is port ( Q : out STD_LOGIC_VECTOR ( 2 downto 0 ); E : out STD_LOGIC_VECTOR ( 0 to 0 ); \goreg_dm.dout_i_reg[64]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); D : out STD_LOGIC_VECTOR ( 2 downto 0 ); \gnxpm_cdc.rd_pntr_gc_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_arvalid : out STD_LOGIC; m_aclk : in STD_LOGIC; \out\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_arready : in STD_LOGIC; \gnxpm_cdc.wr_pntr_bin_reg[2]\ : in STD_LOGIC; \gnxpm_cdc.wr_pntr_bin_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of bd_auto_cc_0_rd_logic_71 : entity is "rd_logic"; end bd_auto_cc_0_rd_logic_71; architecture STRUCTURE of bd_auto_cc_0_rd_logic_71 is signal \^e\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \gr1.gr1_int.rfwft_n_0\ : STD_LOGIC; signal p_2_out : STD_LOGIC; signal rd_pntr_plus1 : STD_LOGIC_VECTOR ( 3 to 3 ); signal rpntr_n_4 : STD_LOGIC; begin E(0) <= \^e\(0); \gr1.gr1_int.rfwft\: entity work.bd_auto_cc_0_rd_fwft_84 port map ( E(0) => \^e\(0), Q(0) => rd_pntr_plus1(3), \gnxpm_cdc.wr_pntr_bin_reg[3]\(0) => \gnxpm_cdc.wr_pntr_bin_reg[3]\(3), \goreg_dm.dout_i_reg[64]\(0) => \goreg_dm.dout_i_reg[64]\(0), m_aclk => m_aclk, m_axi_arready => m_axi_arready, m_axi_arvalid => m_axi_arvalid, \out\(1 downto 0) => \out\(1 downto 0), ram_empty_fb_i_reg => p_2_out, ram_empty_i_reg => \gr1.gr1_int.rfwft_n_0\ ); \gras.rsts\: entity work.bd_auto_cc_0_rd_status_flags_as_85 port map ( \gc0.count_d1_reg[2]\ => rpntr_n_4, m_aclk => m_aclk, \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0) => \out\(1), \out\ => p_2_out ); rpntr: entity work.bd_auto_cc_0_rd_bin_cntr_86 port map ( D(2 downto 0) => D(2 downto 0), E(0) => \^e\(0), Q(3) => rd_pntr_plus1(3), Q(2 downto 0) => Q(2 downto 0), \gnxpm_cdc.rd_pntr_gc_reg[3]\(3 downto 0) => \gnxpm_cdc.rd_pntr_gc_reg[3]\(3 downto 0), \gnxpm_cdc.wr_pntr_bin_reg[2]\ => \gnxpm_cdc.wr_pntr_bin_reg[2]\, \gnxpm_cdc.wr_pntr_bin_reg[3]\(3 downto 0) => \gnxpm_cdc.wr_pntr_bin_reg[3]\(3 downto 0), \gpregsm1.curr_fwft_state_reg[1]\ => \gr1.gr1_int.rfwft_n_0\, m_aclk => m_aclk, \out\(0) => \out\(1), ram_empty_i_reg => rpntr_n_4 ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity bd_auto_cc_0_reset_blk_ramfifo is port ( \out\ : out STD_LOGIC_VECTOR ( 1 downto 0 ); \gc0.count_reg[1]\ : out STD_LOGIC_VECTOR ( 2 downto 0 ); \grstd1.grst_full.grst_f.rst_d3_reg_0\ : out STD_LOGIC; ram_full_fb_i_reg : out STD_LOGIC; s_aclk : in STD_LOGIC; m_aclk : in STD_LOGIC; inverted_reset : in STD_LOGIC ); end bd_auto_cc_0_reset_blk_ramfifo; architecture STRUCTURE of bd_auto_cc_0_reset_blk_ramfifo is signal \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].rrst_inst_n_1\ : STD_LOGIC; signal \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].wrst_inst_n_1\ : STD_LOGIC; signal p_5_out : STD_LOGIC; signal p_6_out : STD_LOGIC; signal p_7_out : STD_LOGIC; signal p_8_out : STD_LOGIC; signal rd_rst_asreg : STD_LOGIC; signal rd_rst_reg : STD_LOGIC_VECTOR ( 2 downto 0 ); attribute DONT_TOUCH : boolean; attribute DONT_TOUCH of rd_rst_reg : signal is std.standard.true; signal rst_d1 : STD_LOGIC; attribute async_reg : string; attribute async_reg of rst_d1 : signal is "true"; attribute msgon : string; attribute msgon of rst_d1 : signal is "true"; signal rst_d2 : STD_LOGIC; attribute async_reg of rst_d2 : signal is "true"; attribute msgon of rst_d2 : signal is "true"; signal rst_d3 : STD_LOGIC; attribute async_reg of rst_d3 : signal is "true"; attribute msgon of rst_d3 : signal is "true"; signal rst_rd_reg1 : STD_LOGIC; attribute async_reg of rst_rd_reg1 : signal is "true"; attribute msgon of rst_rd_reg1 : signal is "true"; signal rst_rd_reg2 : STD_LOGIC; attribute async_reg of rst_rd_reg2 : signal is "true"; attribute msgon of rst_rd_reg2 : signal is "true"; signal rst_wr_reg1 : STD_LOGIC; attribute async_reg of rst_wr_reg1 : signal is "true"; attribute msgon of rst_wr_reg1 : signal is "true"; signal rst_wr_reg2 : STD_LOGIC; attribute async_reg of rst_wr_reg2 : signal is "true"; attribute msgon of rst_wr_reg2 : signal is "true"; signal wr_rst_asreg : STD_LOGIC; signal wr_rst_reg : STD_LOGIC_VECTOR ( 2 downto 0 ); attribute DONT_TOUCH of wr_rst_reg : signal is std.standard.true; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \grstd1.grst_full.grst_f.rst_d1_reg\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \grstd1.grst_full.grst_f.rst_d1_reg\ : label is "yes"; attribute msgon of \grstd1.grst_full.grst_f.rst_d1_reg\ : label is "true"; attribute ASYNC_REG_boolean of \grstd1.grst_full.grst_f.rst_d2_reg\ : label is std.standard.true; attribute KEEP of \grstd1.grst_full.grst_f.rst_d2_reg\ : label is "yes"; attribute msgon of \grstd1.grst_full.grst_f.rst_d2_reg\ : label is "true"; attribute ASYNC_REG_boolean of \grstd1.grst_full.grst_f.rst_d3_reg\ : label is std.standard.true; attribute KEEP of \grstd1.grst_full.grst_f.rst_d3_reg\ : label is "yes"; attribute msgon of \grstd1.grst_full.grst_f.rst_d3_reg\ : label is "true"; attribute DONT_TOUCH of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\ : label is "yes"; attribute equivalent_register_removal : string; attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\ : label is "no"; attribute DONT_TOUCH of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : label is "yes"; attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : label is "no"; attribute DONT_TOUCH of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\ : label is "yes"; attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\ : label is "no"; attribute ASYNC_REG_boolean of \ngwrdrst.grst.g7serrst.rst_rd_reg1_reg\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rst_rd_reg1_reg\ : label is "yes"; attribute msgon of \ngwrdrst.grst.g7serrst.rst_rd_reg1_reg\ : label is "true"; attribute ASYNC_REG_boolean of \ngwrdrst.grst.g7serrst.rst_rd_reg2_reg\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rst_rd_reg2_reg\ : label is "yes"; attribute msgon of \ngwrdrst.grst.g7serrst.rst_rd_reg2_reg\ : label is "true"; attribute ASYNC_REG_boolean of \ngwrdrst.grst.g7serrst.rst_wr_reg1_reg\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rst_wr_reg1_reg\ : label is "yes"; attribute msgon of \ngwrdrst.grst.g7serrst.rst_wr_reg1_reg\ : label is "true"; attribute ASYNC_REG_boolean of \ngwrdrst.grst.g7serrst.rst_wr_reg2_reg\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rst_wr_reg2_reg\ : label is "yes"; attribute msgon of \ngwrdrst.grst.g7serrst.rst_wr_reg2_reg\ : label is "true"; attribute DONT_TOUCH of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\ : label is "yes"; attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\ : label is "no"; attribute DONT_TOUCH of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\ : label is "yes"; attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\ : label is "no"; attribute DONT_TOUCH of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[2]\ : label is "yes"; attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[2]\ : label is "no"; begin \gc0.count_reg[1]\(2 downto 0) <= rd_rst_reg(2 downto 0); \grstd1.grst_full.grst_f.rst_d3_reg_0\ <= rst_d2; \out\(1 downto 0) <= wr_rst_reg(1 downto 0); ram_full_fb_i_reg <= rst_d3; \grstd1.grst_full.grst_f.rst_d1_reg\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => '0', PRE => rst_wr_reg2, Q => rst_d1 ); \grstd1.grst_full.grst_f.rst_d2_reg\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => rst_d1, PRE => rst_wr_reg2, Q => rst_d2 ); \grstd1.grst_full.grst_f.rst_d3_reg\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => rst_d2, PRE => rst_wr_reg2, Q => rst_d3 ); \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[1].rrst_inst\: entity work.bd_auto_cc_0_synchronizer_ff port map ( in0(0) => rd_rst_asreg, \out\ => p_5_out, s_aclk => s_aclk ); \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[1].wrst_inst\: entity work.bd_auto_cc_0_synchronizer_ff_1 port map ( in0(0) => wr_rst_asreg, m_aclk => m_aclk, \out\ => p_6_out ); \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].rrst_inst\: entity work.bd_auto_cc_0_synchronizer_ff_2 port map ( AS(0) => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].rrst_inst_n_1\, \Q_reg_reg[0]_0\ => p_7_out, in0(0) => rd_rst_asreg, \out\ => p_5_out, s_aclk => s_aclk ); \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].wrst_inst\: entity work.bd_auto_cc_0_synchronizer_ff_3 port map ( AS(0) => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].wrst_inst_n_1\, \Q_reg_reg[0]_0\ => p_8_out, in0(0) => wr_rst_asreg, m_aclk => m_aclk, \out\ => p_6_out ); \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[3].rrst_inst\: entity work.bd_auto_cc_0_synchronizer_ff_4 port map ( \Q_reg_reg[0]_0\ => p_7_out, s_aclk => s_aclk ); \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[3].wrst_inst\: entity work.bd_auto_cc_0_synchronizer_ff_5 port map ( \Q_reg_reg[0]_0\ => p_8_out, m_aclk => m_aclk ); \ngwrdrst.grst.g7serrst.rd_rst_asreg_reg\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].rrst_inst_n_1\, PRE => rst_rd_reg2, Q => rd_rst_asreg ); \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => '0', PRE => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].rrst_inst_n_1\, Q => rd_rst_reg(0) ); \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => '0', PRE => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].rrst_inst_n_1\, Q => rd_rst_reg(1) ); \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => '0', PRE => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].rrst_inst_n_1\, Q => rd_rst_reg(2) ); \ngwrdrst.grst.g7serrst.rst_rd_reg1_reg\: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', D => '0', PRE => inverted_reset, Q => rst_rd_reg1 ); \ngwrdrst.grst.g7serrst.rst_rd_reg2_reg\: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', D => rst_rd_reg1, PRE => inverted_reset, Q => rst_rd_reg2 ); \ngwrdrst.grst.g7serrst.rst_wr_reg1_reg\: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', D => '0', PRE => inverted_reset, Q => rst_wr_reg1 ); \ngwrdrst.grst.g7serrst.rst_wr_reg2_reg\: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', D => rst_wr_reg1, PRE => inverted_reset, Q => rst_wr_reg2 ); \ngwrdrst.grst.g7serrst.wr_rst_asreg_reg\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].wrst_inst_n_1\, PRE => rst_wr_reg2, Q => wr_rst_asreg ); \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => '0', PRE => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].wrst_inst_n_1\, Q => wr_rst_reg(0) ); \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => '0', PRE => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].wrst_inst_n_1\, Q => wr_rst_reg(1) ); \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[2]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => '0', PRE => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].wrst_inst_n_1\, Q => wr_rst_reg(2) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity bd_auto_cc_0_reset_blk_ramfifo_30 is port ( \out\ : out STD_LOGIC_VECTOR ( 1 downto 0 ); \gc0.count_reg[1]\ : out STD_LOGIC_VECTOR ( 2 downto 0 ); \grstd1.grst_full.grst_f.rst_d3_reg_0\ : out STD_LOGIC; ram_full_fb_i_reg : out STD_LOGIC; m_aclk : in STD_LOGIC; s_aclk : in STD_LOGIC; inverted_reset : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of bd_auto_cc_0_reset_blk_ramfifo_30 : entity is "reset_blk_ramfifo"; end bd_auto_cc_0_reset_blk_ramfifo_30; architecture STRUCTURE of bd_auto_cc_0_reset_blk_ramfifo_30 is signal \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].rrst_inst_n_1\ : STD_LOGIC; signal \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].wrst_inst_n_1\ : STD_LOGIC; signal p_5_out : STD_LOGIC; signal p_6_out : STD_LOGIC; signal p_7_out : STD_LOGIC; signal p_8_out : STD_LOGIC; signal rd_rst_asreg : STD_LOGIC; signal rd_rst_reg : STD_LOGIC_VECTOR ( 2 downto 0 ); attribute DONT_TOUCH : boolean; attribute DONT_TOUCH of rd_rst_reg : signal is std.standard.true; signal rst_d1 : STD_LOGIC; attribute async_reg : string; attribute async_reg of rst_d1 : signal is "true"; attribute msgon : string; attribute msgon of rst_d1 : signal is "true"; signal rst_d2 : STD_LOGIC; attribute async_reg of rst_d2 : signal is "true"; attribute msgon of rst_d2 : signal is "true"; signal rst_d3 : STD_LOGIC; attribute async_reg of rst_d3 : signal is "true"; attribute msgon of rst_d3 : signal is "true"; signal rst_rd_reg1 : STD_LOGIC; attribute async_reg of rst_rd_reg1 : signal is "true"; attribute msgon of rst_rd_reg1 : signal is "true"; signal rst_rd_reg2 : STD_LOGIC; attribute async_reg of rst_rd_reg2 : signal is "true"; attribute msgon of rst_rd_reg2 : signal is "true"; signal rst_wr_reg1 : STD_LOGIC; attribute async_reg of rst_wr_reg1 : signal is "true"; attribute msgon of rst_wr_reg1 : signal is "true"; signal rst_wr_reg2 : STD_LOGIC; attribute async_reg of rst_wr_reg2 : signal is "true"; attribute msgon of rst_wr_reg2 : signal is "true"; signal wr_rst_asreg : STD_LOGIC; signal wr_rst_reg : STD_LOGIC_VECTOR ( 2 downto 0 ); attribute DONT_TOUCH of wr_rst_reg : signal is std.standard.true; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \grstd1.grst_full.grst_f.rst_d1_reg\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \grstd1.grst_full.grst_f.rst_d1_reg\ : label is "yes"; attribute msgon of \grstd1.grst_full.grst_f.rst_d1_reg\ : label is "true"; attribute ASYNC_REG_boolean of \grstd1.grst_full.grst_f.rst_d2_reg\ : label is std.standard.true; attribute KEEP of \grstd1.grst_full.grst_f.rst_d2_reg\ : label is "yes"; attribute msgon of \grstd1.grst_full.grst_f.rst_d2_reg\ : label is "true"; attribute ASYNC_REG_boolean of \grstd1.grst_full.grst_f.rst_d3_reg\ : label is std.standard.true; attribute KEEP of \grstd1.grst_full.grst_f.rst_d3_reg\ : label is "yes"; attribute msgon of \grstd1.grst_full.grst_f.rst_d3_reg\ : label is "true"; attribute DONT_TOUCH of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\ : label is "yes"; attribute equivalent_register_removal : string; attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\ : label is "no"; attribute DONT_TOUCH of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : label is "yes"; attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : label is "no"; attribute DONT_TOUCH of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\ : label is "yes"; attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\ : label is "no"; attribute ASYNC_REG_boolean of \ngwrdrst.grst.g7serrst.rst_rd_reg1_reg\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rst_rd_reg1_reg\ : label is "yes"; attribute msgon of \ngwrdrst.grst.g7serrst.rst_rd_reg1_reg\ : label is "true"; attribute ASYNC_REG_boolean of \ngwrdrst.grst.g7serrst.rst_rd_reg2_reg\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rst_rd_reg2_reg\ : label is "yes"; attribute msgon of \ngwrdrst.grst.g7serrst.rst_rd_reg2_reg\ : label is "true"; attribute ASYNC_REG_boolean of \ngwrdrst.grst.g7serrst.rst_wr_reg1_reg\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rst_wr_reg1_reg\ : label is "yes"; attribute msgon of \ngwrdrst.grst.g7serrst.rst_wr_reg1_reg\ : label is "true"; attribute ASYNC_REG_boolean of \ngwrdrst.grst.g7serrst.rst_wr_reg2_reg\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rst_wr_reg2_reg\ : label is "yes"; attribute msgon of \ngwrdrst.grst.g7serrst.rst_wr_reg2_reg\ : label is "true"; attribute DONT_TOUCH of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\ : label is "yes"; attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\ : label is "no"; attribute DONT_TOUCH of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\ : label is "yes"; attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\ : label is "no"; attribute DONT_TOUCH of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[2]\ : label is "yes"; attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[2]\ : label is "no"; begin \gc0.count_reg[1]\(2 downto 0) <= rd_rst_reg(2 downto 0); \grstd1.grst_full.grst_f.rst_d3_reg_0\ <= rst_d2; \out\(1 downto 0) <= wr_rst_reg(1 downto 0); ram_full_fb_i_reg <= rst_d3; \grstd1.grst_full.grst_f.rst_d1_reg\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => '0', PRE => rst_wr_reg2, Q => rst_d1 ); \grstd1.grst_full.grst_f.rst_d2_reg\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => rst_d1, PRE => rst_wr_reg2, Q => rst_d2 ); \grstd1.grst_full.grst_f.rst_d3_reg\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => rst_d2, PRE => rst_wr_reg2, Q => rst_d3 ); \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[1].rrst_inst\: entity work.bd_auto_cc_0_synchronizer_ff_31 port map ( in0(0) => rd_rst_asreg, m_aclk => m_aclk, \out\ => p_5_out ); \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[1].wrst_inst\: entity work.bd_auto_cc_0_synchronizer_ff_32 port map ( in0(0) => wr_rst_asreg, \out\ => p_6_out, s_aclk => s_aclk ); \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].rrst_inst\: entity work.bd_auto_cc_0_synchronizer_ff_33 port map ( AS(0) => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].rrst_inst_n_1\, \Q_reg_reg[0]_0\ => p_7_out, in0(0) => rd_rst_asreg, m_aclk => m_aclk, \out\ => p_5_out ); \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].wrst_inst\: entity work.bd_auto_cc_0_synchronizer_ff_34 port map ( AS(0) => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].wrst_inst_n_1\, \Q_reg_reg[0]_0\ => p_8_out, in0(0) => wr_rst_asreg, \out\ => p_6_out, s_aclk => s_aclk ); \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[3].rrst_inst\: entity work.bd_auto_cc_0_synchronizer_ff_35 port map ( \Q_reg_reg[0]_0\ => p_7_out, m_aclk => m_aclk ); \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[3].wrst_inst\: entity work.bd_auto_cc_0_synchronizer_ff_36 port map ( \Q_reg_reg[0]_0\ => p_8_out, s_aclk => s_aclk ); \ngwrdrst.grst.g7serrst.rd_rst_asreg_reg\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].rrst_inst_n_1\, PRE => rst_rd_reg2, Q => rd_rst_asreg ); \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => '0', PRE => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].rrst_inst_n_1\, Q => rd_rst_reg(0) ); \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => '0', PRE => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].rrst_inst_n_1\, Q => rd_rst_reg(1) ); \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => '0', PRE => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].rrst_inst_n_1\, Q => rd_rst_reg(2) ); \ngwrdrst.grst.g7serrst.rst_rd_reg1_reg\: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', D => '0', PRE => inverted_reset, Q => rst_rd_reg1 ); \ngwrdrst.grst.g7serrst.rst_rd_reg2_reg\: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', D => rst_rd_reg1, PRE => inverted_reset, Q => rst_rd_reg2 ); \ngwrdrst.grst.g7serrst.rst_wr_reg1_reg\: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', D => '0', PRE => inverted_reset, Q => rst_wr_reg1 ); \ngwrdrst.grst.g7serrst.rst_wr_reg2_reg\: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', D => rst_wr_reg1, PRE => inverted_reset, Q => rst_wr_reg2 ); \ngwrdrst.grst.g7serrst.wr_rst_asreg_reg\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].wrst_inst_n_1\, PRE => rst_wr_reg2, Q => wr_rst_asreg ); \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => '0', PRE => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].wrst_inst_n_1\, Q => wr_rst_reg(0) ); \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => '0', PRE => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].wrst_inst_n_1\, Q => wr_rst_reg(1) ); \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[2]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => '0', PRE => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].wrst_inst_n_1\, Q => wr_rst_reg(2) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity bd_auto_cc_0_reset_blk_ramfifo_51 is port ( \out\ : out STD_LOGIC_VECTOR ( 1 downto 0 ); \gc0.count_reg[1]\ : out STD_LOGIC_VECTOR ( 2 downto 0 ); \grstd1.grst_full.grst_f.rst_d3_reg_0\ : out STD_LOGIC; ram_full_fb_i_reg : out STD_LOGIC; \ngwrdrst.grst.g7serrst.rst_wr_reg1_reg_0\ : out STD_LOGIC; s_aclk : in STD_LOGIC; m_aclk : in STD_LOGIC; s_aresetn : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of bd_auto_cc_0_reset_blk_ramfifo_51 : entity is "reset_blk_ramfifo"; end bd_auto_cc_0_reset_blk_ramfifo_51; architecture STRUCTURE of bd_auto_cc_0_reset_blk_ramfifo_51 is signal \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].rrst_inst_n_1\ : STD_LOGIC; signal \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].wrst_inst_n_1\ : STD_LOGIC; signal \^ngwrdrst.grst.g7serrst.rst_wr_reg1_reg_0\ : STD_LOGIC; signal p_5_out : STD_LOGIC; signal p_6_out : STD_LOGIC; signal p_7_out : STD_LOGIC; signal p_8_out : STD_LOGIC; signal rd_rst_asreg : STD_LOGIC; signal rd_rst_reg : STD_LOGIC_VECTOR ( 2 downto 0 ); attribute DONT_TOUCH : boolean; attribute DONT_TOUCH of rd_rst_reg : signal is std.standard.true; signal rst_d1 : STD_LOGIC; attribute async_reg : string; attribute async_reg of rst_d1 : signal is "true"; attribute msgon : string; attribute msgon of rst_d1 : signal is "true"; signal rst_d2 : STD_LOGIC; attribute async_reg of rst_d2 : signal is "true"; attribute msgon of rst_d2 : signal is "true"; signal rst_d3 : STD_LOGIC; attribute async_reg of rst_d3 : signal is "true"; attribute msgon of rst_d3 : signal is "true"; signal rst_rd_reg1 : STD_LOGIC; attribute async_reg of rst_rd_reg1 : signal is "true"; attribute msgon of rst_rd_reg1 : signal is "true"; signal rst_rd_reg2 : STD_LOGIC; attribute async_reg of rst_rd_reg2 : signal is "true"; attribute msgon of rst_rd_reg2 : signal is "true"; signal rst_wr_reg1 : STD_LOGIC; attribute async_reg of rst_wr_reg1 : signal is "true"; attribute msgon of rst_wr_reg1 : signal is "true"; signal rst_wr_reg2 : STD_LOGIC; attribute async_reg of rst_wr_reg2 : signal is "true"; attribute msgon of rst_wr_reg2 : signal is "true"; signal wr_rst_asreg : STD_LOGIC; signal wr_rst_reg : STD_LOGIC_VECTOR ( 2 downto 0 ); attribute DONT_TOUCH of wr_rst_reg : signal is std.standard.true; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \grstd1.grst_full.grst_f.rst_d1_reg\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \grstd1.grst_full.grst_f.rst_d1_reg\ : label is "yes"; attribute msgon of \grstd1.grst_full.grst_f.rst_d1_reg\ : label is "true"; attribute ASYNC_REG_boolean of \grstd1.grst_full.grst_f.rst_d2_reg\ : label is std.standard.true; attribute KEEP of \grstd1.grst_full.grst_f.rst_d2_reg\ : label is "yes"; attribute msgon of \grstd1.grst_full.grst_f.rst_d2_reg\ : label is "true"; attribute ASYNC_REG_boolean of \grstd1.grst_full.grst_f.rst_d3_reg\ : label is std.standard.true; attribute KEEP of \grstd1.grst_full.grst_f.rst_d3_reg\ : label is "yes"; attribute msgon of \grstd1.grst_full.grst_f.rst_d3_reg\ : label is "true"; attribute DONT_TOUCH of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\ : label is "yes"; attribute equivalent_register_removal : string; attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\ : label is "no"; attribute DONT_TOUCH of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : label is "yes"; attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : label is "no"; attribute DONT_TOUCH of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\ : label is "yes"; attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\ : label is "no"; attribute ASYNC_REG_boolean of \ngwrdrst.grst.g7serrst.rst_rd_reg1_reg\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rst_rd_reg1_reg\ : label is "yes"; attribute msgon of \ngwrdrst.grst.g7serrst.rst_rd_reg1_reg\ : label is "true"; attribute ASYNC_REG_boolean of \ngwrdrst.grst.g7serrst.rst_rd_reg2_reg\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rst_rd_reg2_reg\ : label is "yes"; attribute msgon of \ngwrdrst.grst.g7serrst.rst_rd_reg2_reg\ : label is "true"; attribute ASYNC_REG_boolean of \ngwrdrst.grst.g7serrst.rst_wr_reg1_reg\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rst_wr_reg1_reg\ : label is "yes"; attribute msgon of \ngwrdrst.grst.g7serrst.rst_wr_reg1_reg\ : label is "true"; attribute ASYNC_REG_boolean of \ngwrdrst.grst.g7serrst.rst_wr_reg2_reg\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rst_wr_reg2_reg\ : label is "yes"; attribute msgon of \ngwrdrst.grst.g7serrst.rst_wr_reg2_reg\ : label is "true"; attribute DONT_TOUCH of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\ : label is "yes"; attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\ : label is "no"; attribute DONT_TOUCH of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\ : label is "yes"; attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\ : label is "no"; attribute DONT_TOUCH of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[2]\ : label is "yes"; attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[2]\ : label is "no"; begin \gc0.count_reg[1]\(2 downto 0) <= rd_rst_reg(2 downto 0); \grstd1.grst_full.grst_f.rst_d3_reg_0\ <= rst_d2; \ngwrdrst.grst.g7serrst.rst_wr_reg1_reg_0\ <= \^ngwrdrst.grst.g7serrst.rst_wr_reg1_reg_0\; \out\(1 downto 0) <= wr_rst_reg(1 downto 0); ram_full_fb_i_reg <= rst_d3; \grstd1.grst_full.grst_f.rst_d1_reg\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => '0', PRE => rst_wr_reg2, Q => rst_d1 ); \grstd1.grst_full.grst_f.rst_d2_reg\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => rst_d1, PRE => rst_wr_reg2, Q => rst_d2 ); \grstd1.grst_full.grst_f.rst_d3_reg\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => rst_d2, PRE => rst_wr_reg2, Q => rst_d3 ); \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[1].rrst_inst\: entity work.bd_auto_cc_0_synchronizer_ff_52 port map ( in0(0) => rd_rst_asreg, \out\ => p_5_out, s_aclk => s_aclk ); \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[1].wrst_inst\: entity work.bd_auto_cc_0_synchronizer_ff_53 port map ( in0(0) => wr_rst_asreg, m_aclk => m_aclk, \out\ => p_6_out ); \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].rrst_inst\: entity work.bd_auto_cc_0_synchronizer_ff_54 port map ( AS(0) => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].rrst_inst_n_1\, \Q_reg_reg[0]_0\ => p_7_out, in0(0) => rd_rst_asreg, \out\ => p_5_out, s_aclk => s_aclk ); \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].wrst_inst\: entity work.bd_auto_cc_0_synchronizer_ff_55 port map ( AS(0) => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].wrst_inst_n_1\, \Q_reg_reg[0]_0\ => p_8_out, in0(0) => wr_rst_asreg, m_aclk => m_aclk, \out\ => p_6_out ); \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[3].rrst_inst\: entity work.bd_auto_cc_0_synchronizer_ff_56 port map ( \Q_reg_reg[0]_0\ => p_7_out, s_aclk => s_aclk ); \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[3].wrst_inst\: entity work.bd_auto_cc_0_synchronizer_ff_57 port map ( \Q_reg_reg[0]_0\ => p_8_out, m_aclk => m_aclk ); \ngwrdrst.grst.g7serrst.rd_rst_asreg_reg\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].rrst_inst_n_1\, PRE => rst_rd_reg2, Q => rd_rst_asreg ); \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => '0', PRE => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].rrst_inst_n_1\, Q => rd_rst_reg(0) ); \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => '0', PRE => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].rrst_inst_n_1\, Q => rd_rst_reg(1) ); \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => '0', PRE => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].rrst_inst_n_1\, Q => rd_rst_reg(2) ); \ngwrdrst.grst.g7serrst.rst_rd_reg1_reg\: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', D => '0', PRE => \^ngwrdrst.grst.g7serrst.rst_wr_reg1_reg_0\, Q => rst_rd_reg1 ); \ngwrdrst.grst.g7serrst.rst_rd_reg2_reg\: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', D => rst_rd_reg1, PRE => \^ngwrdrst.grst.g7serrst.rst_wr_reg1_reg_0\, Q => rst_rd_reg2 ); \ngwrdrst.grst.g7serrst.rst_wr_reg1_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => s_aresetn, O => \^ngwrdrst.grst.g7serrst.rst_wr_reg1_reg_0\ ); \ngwrdrst.grst.g7serrst.rst_wr_reg1_reg\: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', D => '0', PRE => \^ngwrdrst.grst.g7serrst.rst_wr_reg1_reg_0\, Q => rst_wr_reg1 ); \ngwrdrst.grst.g7serrst.rst_wr_reg2_reg\: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', D => rst_wr_reg1, PRE => \^ngwrdrst.grst.g7serrst.rst_wr_reg1_reg_0\, Q => rst_wr_reg2 ); \ngwrdrst.grst.g7serrst.wr_rst_asreg_reg\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].wrst_inst_n_1\, PRE => rst_wr_reg2, Q => wr_rst_asreg ); \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => '0', PRE => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].wrst_inst_n_1\, Q => wr_rst_reg(0) ); \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => '0', PRE => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].wrst_inst_n_1\, Q => wr_rst_reg(1) ); \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[2]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => '0', PRE => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].wrst_inst_n_1\, Q => wr_rst_reg(2) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity bd_auto_cc_0_reset_blk_ramfifo_74 is port ( \out\ : out STD_LOGIC_VECTOR ( 1 downto 0 ); \gc0.count_reg[1]\ : out STD_LOGIC_VECTOR ( 2 downto 0 ); \grstd1.grst_full.grst_f.rst_d3_reg_0\ : out STD_LOGIC; ram_full_fb_i_reg : out STD_LOGIC; m_aclk : in STD_LOGIC; s_aclk : in STD_LOGIC; inverted_reset : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of bd_auto_cc_0_reset_blk_ramfifo_74 : entity is "reset_blk_ramfifo"; end bd_auto_cc_0_reset_blk_ramfifo_74; architecture STRUCTURE of bd_auto_cc_0_reset_blk_ramfifo_74 is signal \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].rrst_inst_n_1\ : STD_LOGIC; signal \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].wrst_inst_n_1\ : STD_LOGIC; signal p_5_out : STD_LOGIC; signal p_6_out : STD_LOGIC; signal p_7_out : STD_LOGIC; signal p_8_out : STD_LOGIC; signal rd_rst_asreg : STD_LOGIC; signal rd_rst_reg : STD_LOGIC_VECTOR ( 2 downto 0 ); attribute DONT_TOUCH : boolean; attribute DONT_TOUCH of rd_rst_reg : signal is std.standard.true; signal rst_d1 : STD_LOGIC; attribute async_reg : string; attribute async_reg of rst_d1 : signal is "true"; attribute msgon : string; attribute msgon of rst_d1 : signal is "true"; signal rst_d2 : STD_LOGIC; attribute async_reg of rst_d2 : signal is "true"; attribute msgon of rst_d2 : signal is "true"; signal rst_d3 : STD_LOGIC; attribute async_reg of rst_d3 : signal is "true"; attribute msgon of rst_d3 : signal is "true"; signal rst_rd_reg1 : STD_LOGIC; attribute async_reg of rst_rd_reg1 : signal is "true"; attribute msgon of rst_rd_reg1 : signal is "true"; signal rst_rd_reg2 : STD_LOGIC; attribute async_reg of rst_rd_reg2 : signal is "true"; attribute msgon of rst_rd_reg2 : signal is "true"; signal rst_wr_reg1 : STD_LOGIC; attribute async_reg of rst_wr_reg1 : signal is "true"; attribute msgon of rst_wr_reg1 : signal is "true"; signal rst_wr_reg2 : STD_LOGIC; attribute async_reg of rst_wr_reg2 : signal is "true"; attribute msgon of rst_wr_reg2 : signal is "true"; signal wr_rst_asreg : STD_LOGIC; signal wr_rst_reg : STD_LOGIC_VECTOR ( 2 downto 0 ); attribute DONT_TOUCH of wr_rst_reg : signal is std.standard.true; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \grstd1.grst_full.grst_f.rst_d1_reg\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \grstd1.grst_full.grst_f.rst_d1_reg\ : label is "yes"; attribute msgon of \grstd1.grst_full.grst_f.rst_d1_reg\ : label is "true"; attribute ASYNC_REG_boolean of \grstd1.grst_full.grst_f.rst_d2_reg\ : label is std.standard.true; attribute KEEP of \grstd1.grst_full.grst_f.rst_d2_reg\ : label is "yes"; attribute msgon of \grstd1.grst_full.grst_f.rst_d2_reg\ : label is "true"; attribute ASYNC_REG_boolean of \grstd1.grst_full.grst_f.rst_d3_reg\ : label is std.standard.true; attribute KEEP of \grstd1.grst_full.grst_f.rst_d3_reg\ : label is "yes"; attribute msgon of \grstd1.grst_full.grst_f.rst_d3_reg\ : label is "true"; attribute DONT_TOUCH of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\ : label is "yes"; attribute equivalent_register_removal : string; attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\ : label is "no"; attribute DONT_TOUCH of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : label is "yes"; attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : label is "no"; attribute DONT_TOUCH of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\ : label is "yes"; attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\ : label is "no"; attribute ASYNC_REG_boolean of \ngwrdrst.grst.g7serrst.rst_rd_reg1_reg\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rst_rd_reg1_reg\ : label is "yes"; attribute msgon of \ngwrdrst.grst.g7serrst.rst_rd_reg1_reg\ : label is "true"; attribute ASYNC_REG_boolean of \ngwrdrst.grst.g7serrst.rst_rd_reg2_reg\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rst_rd_reg2_reg\ : label is "yes"; attribute msgon of \ngwrdrst.grst.g7serrst.rst_rd_reg2_reg\ : label is "true"; attribute ASYNC_REG_boolean of \ngwrdrst.grst.g7serrst.rst_wr_reg1_reg\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rst_wr_reg1_reg\ : label is "yes"; attribute msgon of \ngwrdrst.grst.g7serrst.rst_wr_reg1_reg\ : label is "true"; attribute ASYNC_REG_boolean of \ngwrdrst.grst.g7serrst.rst_wr_reg2_reg\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rst_wr_reg2_reg\ : label is "yes"; attribute msgon of \ngwrdrst.grst.g7serrst.rst_wr_reg2_reg\ : label is "true"; attribute DONT_TOUCH of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\ : label is "yes"; attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\ : label is "no"; attribute DONT_TOUCH of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\ : label is "yes"; attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\ : label is "no"; attribute DONT_TOUCH of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[2]\ : label is "yes"; attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[2]\ : label is "no"; begin \gc0.count_reg[1]\(2 downto 0) <= rd_rst_reg(2 downto 0); \grstd1.grst_full.grst_f.rst_d3_reg_0\ <= rst_d2; \out\(1 downto 0) <= wr_rst_reg(1 downto 0); ram_full_fb_i_reg <= rst_d3; \grstd1.grst_full.grst_f.rst_d1_reg\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => '0', PRE => rst_wr_reg2, Q => rst_d1 ); \grstd1.grst_full.grst_f.rst_d2_reg\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => rst_d1, PRE => rst_wr_reg2, Q => rst_d2 ); \grstd1.grst_full.grst_f.rst_d3_reg\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => rst_d2, PRE => rst_wr_reg2, Q => rst_d3 ); \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[1].rrst_inst\: entity work.bd_auto_cc_0_synchronizer_ff_75 port map ( in0(0) => rd_rst_asreg, m_aclk => m_aclk, \out\ => p_5_out ); \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[1].wrst_inst\: entity work.bd_auto_cc_0_synchronizer_ff_76 port map ( in0(0) => wr_rst_asreg, \out\ => p_6_out, s_aclk => s_aclk ); \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].rrst_inst\: entity work.bd_auto_cc_0_synchronizer_ff_77 port map ( AS(0) => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].rrst_inst_n_1\, \Q_reg_reg[0]_0\ => p_7_out, in0(0) => rd_rst_asreg, m_aclk => m_aclk, \out\ => p_5_out ); \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].wrst_inst\: entity work.bd_auto_cc_0_synchronizer_ff_78 port map ( AS(0) => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].wrst_inst_n_1\, \Q_reg_reg[0]_0\ => p_8_out, in0(0) => wr_rst_asreg, \out\ => p_6_out, s_aclk => s_aclk ); \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[3].rrst_inst\: entity work.bd_auto_cc_0_synchronizer_ff_79 port map ( \Q_reg_reg[0]_0\ => p_7_out, m_aclk => m_aclk ); \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[3].wrst_inst\: entity work.bd_auto_cc_0_synchronizer_ff_80 port map ( \Q_reg_reg[0]_0\ => p_8_out, s_aclk => s_aclk ); \ngwrdrst.grst.g7serrst.rd_rst_asreg_reg\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].rrst_inst_n_1\, PRE => rst_rd_reg2, Q => rd_rst_asreg ); \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => '0', PRE => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].rrst_inst_n_1\, Q => rd_rst_reg(0) ); \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => '0', PRE => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].rrst_inst_n_1\, Q => rd_rst_reg(1) ); \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => '0', PRE => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].rrst_inst_n_1\, Q => rd_rst_reg(2) ); \ngwrdrst.grst.g7serrst.rst_rd_reg1_reg\: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', D => '0', PRE => inverted_reset, Q => rst_rd_reg1 ); \ngwrdrst.grst.g7serrst.rst_rd_reg2_reg\: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', D => rst_rd_reg1, PRE => inverted_reset, Q => rst_rd_reg2 ); \ngwrdrst.grst.g7serrst.rst_wr_reg1_reg\: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', D => '0', PRE => inverted_reset, Q => rst_wr_reg1 ); \ngwrdrst.grst.g7serrst.rst_wr_reg2_reg\: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', D => rst_wr_reg1, PRE => inverted_reset, Q => rst_wr_reg2 ); \ngwrdrst.grst.g7serrst.wr_rst_asreg_reg\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].wrst_inst_n_1\, PRE => rst_wr_reg2, Q => wr_rst_asreg ); \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => '0', PRE => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].wrst_inst_n_1\, Q => wr_rst_reg(0) ); \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => '0', PRE => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].wrst_inst_n_1\, Q => wr_rst_reg(1) ); \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[2]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => '0', PRE => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].wrst_inst_n_1\, Q => wr_rst_reg(2) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity bd_auto_cc_0_reset_blk_ramfifo_9 is port ( \out\ : out STD_LOGIC_VECTOR ( 1 downto 0 ); \gc0.count_reg[1]\ : out STD_LOGIC_VECTOR ( 2 downto 0 ); \grstd1.grst_full.grst_f.rst_d3_reg_0\ : out STD_LOGIC; ram_full_fb_i_reg : out STD_LOGIC; m_aclk : in STD_LOGIC; s_aclk : in STD_LOGIC; inverted_reset : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of bd_auto_cc_0_reset_blk_ramfifo_9 : entity is "reset_blk_ramfifo"; end bd_auto_cc_0_reset_blk_ramfifo_9; architecture STRUCTURE of bd_auto_cc_0_reset_blk_ramfifo_9 is signal \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].rrst_inst_n_1\ : STD_LOGIC; signal \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].wrst_inst_n_1\ : STD_LOGIC; signal p_5_out : STD_LOGIC; signal p_6_out : STD_LOGIC; signal p_7_out : STD_LOGIC; signal p_8_out : STD_LOGIC; signal rd_rst_asreg : STD_LOGIC; signal rd_rst_reg : STD_LOGIC_VECTOR ( 2 downto 0 ); attribute DONT_TOUCH : boolean; attribute DONT_TOUCH of rd_rst_reg : signal is std.standard.true; signal rst_d1 : STD_LOGIC; attribute async_reg : string; attribute async_reg of rst_d1 : signal is "true"; attribute msgon : string; attribute msgon of rst_d1 : signal is "true"; signal rst_d2 : STD_LOGIC; attribute async_reg of rst_d2 : signal is "true"; attribute msgon of rst_d2 : signal is "true"; signal rst_d3 : STD_LOGIC; attribute async_reg of rst_d3 : signal is "true"; attribute msgon of rst_d3 : signal is "true"; signal rst_rd_reg1 : STD_LOGIC; attribute async_reg of rst_rd_reg1 : signal is "true"; attribute msgon of rst_rd_reg1 : signal is "true"; signal rst_rd_reg2 : STD_LOGIC; attribute async_reg of rst_rd_reg2 : signal is "true"; attribute msgon of rst_rd_reg2 : signal is "true"; signal rst_wr_reg1 : STD_LOGIC; attribute async_reg of rst_wr_reg1 : signal is "true"; attribute msgon of rst_wr_reg1 : signal is "true"; signal rst_wr_reg2 : STD_LOGIC; attribute async_reg of rst_wr_reg2 : signal is "true"; attribute msgon of rst_wr_reg2 : signal is "true"; signal wr_rst_asreg : STD_LOGIC; signal wr_rst_reg : STD_LOGIC_VECTOR ( 2 downto 0 ); attribute DONT_TOUCH of wr_rst_reg : signal is std.standard.true; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \grstd1.grst_full.grst_f.rst_d1_reg\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \grstd1.grst_full.grst_f.rst_d1_reg\ : label is "yes"; attribute msgon of \grstd1.grst_full.grst_f.rst_d1_reg\ : label is "true"; attribute ASYNC_REG_boolean of \grstd1.grst_full.grst_f.rst_d2_reg\ : label is std.standard.true; attribute KEEP of \grstd1.grst_full.grst_f.rst_d2_reg\ : label is "yes"; attribute msgon of \grstd1.grst_full.grst_f.rst_d2_reg\ : label is "true"; attribute ASYNC_REG_boolean of \grstd1.grst_full.grst_f.rst_d3_reg\ : label is std.standard.true; attribute KEEP of \grstd1.grst_full.grst_f.rst_d3_reg\ : label is "yes"; attribute msgon of \grstd1.grst_full.grst_f.rst_d3_reg\ : label is "true"; attribute DONT_TOUCH of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\ : label is "yes"; attribute equivalent_register_removal : string; attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\ : label is "no"; attribute DONT_TOUCH of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : label is "yes"; attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : label is "no"; attribute DONT_TOUCH of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\ : label is "yes"; attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\ : label is "no"; attribute ASYNC_REG_boolean of \ngwrdrst.grst.g7serrst.rst_rd_reg1_reg\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rst_rd_reg1_reg\ : label is "yes"; attribute msgon of \ngwrdrst.grst.g7serrst.rst_rd_reg1_reg\ : label is "true"; attribute ASYNC_REG_boolean of \ngwrdrst.grst.g7serrst.rst_rd_reg2_reg\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rst_rd_reg2_reg\ : label is "yes"; attribute msgon of \ngwrdrst.grst.g7serrst.rst_rd_reg2_reg\ : label is "true"; attribute ASYNC_REG_boolean of \ngwrdrst.grst.g7serrst.rst_wr_reg1_reg\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rst_wr_reg1_reg\ : label is "yes"; attribute msgon of \ngwrdrst.grst.g7serrst.rst_wr_reg1_reg\ : label is "true"; attribute ASYNC_REG_boolean of \ngwrdrst.grst.g7serrst.rst_wr_reg2_reg\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rst_wr_reg2_reg\ : label is "yes"; attribute msgon of \ngwrdrst.grst.g7serrst.rst_wr_reg2_reg\ : label is "true"; attribute DONT_TOUCH of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\ : label is "yes"; attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\ : label is "no"; attribute DONT_TOUCH of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\ : label is "yes"; attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\ : label is "no"; attribute DONT_TOUCH of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[2]\ : label is "yes"; attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[2]\ : label is "no"; begin \gc0.count_reg[1]\(2 downto 0) <= rd_rst_reg(2 downto 0); \grstd1.grst_full.grst_f.rst_d3_reg_0\ <= rst_d2; \out\(1 downto 0) <= wr_rst_reg(1 downto 0); ram_full_fb_i_reg <= rst_d3; \grstd1.grst_full.grst_f.rst_d1_reg\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => '0', PRE => rst_wr_reg2, Q => rst_d1 ); \grstd1.grst_full.grst_f.rst_d2_reg\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => rst_d1, PRE => rst_wr_reg2, Q => rst_d2 ); \grstd1.grst_full.grst_f.rst_d3_reg\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => rst_d2, PRE => rst_wr_reg2, Q => rst_d3 ); \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[1].rrst_inst\: entity work.bd_auto_cc_0_synchronizer_ff_10 port map ( in0(0) => rd_rst_asreg, m_aclk => m_aclk, \out\ => p_5_out ); \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[1].wrst_inst\: entity work.bd_auto_cc_0_synchronizer_ff_11 port map ( in0(0) => wr_rst_asreg, \out\ => p_6_out, s_aclk => s_aclk ); \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].rrst_inst\: entity work.bd_auto_cc_0_synchronizer_ff_12 port map ( AS(0) => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].rrst_inst_n_1\, \Q_reg_reg[0]_0\ => p_7_out, in0(0) => rd_rst_asreg, m_aclk => m_aclk, \out\ => p_5_out ); \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].wrst_inst\: entity work.bd_auto_cc_0_synchronizer_ff_13 port map ( AS(0) => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].wrst_inst_n_1\, \Q_reg_reg[0]_0\ => p_8_out, in0(0) => wr_rst_asreg, \out\ => p_6_out, s_aclk => s_aclk ); \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[3].rrst_inst\: entity work.bd_auto_cc_0_synchronizer_ff_14 port map ( \Q_reg_reg[0]_0\ => p_7_out, m_aclk => m_aclk ); \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[3].wrst_inst\: entity work.bd_auto_cc_0_synchronizer_ff_15 port map ( \Q_reg_reg[0]_0\ => p_8_out, s_aclk => s_aclk ); \ngwrdrst.grst.g7serrst.rd_rst_asreg_reg\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].rrst_inst_n_1\, PRE => rst_rd_reg2, Q => rd_rst_asreg ); \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => '0', PRE => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].rrst_inst_n_1\, Q => rd_rst_reg(0) ); \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => '0', PRE => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].rrst_inst_n_1\, Q => rd_rst_reg(1) ); \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => '0', PRE => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].rrst_inst_n_1\, Q => rd_rst_reg(2) ); \ngwrdrst.grst.g7serrst.rst_rd_reg1_reg\: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', D => '0', PRE => inverted_reset, Q => rst_rd_reg1 ); \ngwrdrst.grst.g7serrst.rst_rd_reg2_reg\: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', D => rst_rd_reg1, PRE => inverted_reset, Q => rst_rd_reg2 ); \ngwrdrst.grst.g7serrst.rst_wr_reg1_reg\: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', D => '0', PRE => inverted_reset, Q => rst_wr_reg1 ); \ngwrdrst.grst.g7serrst.rst_wr_reg2_reg\: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', D => rst_wr_reg1, PRE => inverted_reset, Q => rst_wr_reg2 ); \ngwrdrst.grst.g7serrst.wr_rst_asreg_reg\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].wrst_inst_n_1\, PRE => rst_wr_reg2, Q => wr_rst_asreg ); \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => '0', PRE => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].wrst_inst_n_1\, Q => wr_rst_reg(0) ); \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => '0', PRE => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].wrst_inst_n_1\, Q => wr_rst_reg(1) ); \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[2]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => '0', PRE => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].wrst_inst_n_1\, Q => wr_rst_reg(2) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity bd_auto_cc_0_wr_logic is port ( Q : out STD_LOGIC_VECTOR ( 2 downto 0 ); ram_full_fb_i_reg : out STD_LOGIC; E : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_bready : out STD_LOGIC; \gic0.gc0.count_d2_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \gnxpm_cdc.wr_pntr_gc_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \gic0.gc0.count_d1_reg[3]\ : in STD_LOGIC; m_aclk : in STD_LOGIC; \out\ : in STD_LOGIC; m_axi_bvalid : in STD_LOGIC; \gnxpm_cdc.rd_pntr_bin_reg[3]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); AR : in STD_LOGIC_VECTOR ( 0 to 0 ) ); end bd_auto_cc_0_wr_logic; architecture STRUCTURE of bd_auto_cc_0_wr_logic is signal \^e\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal wr_pntr_plus2 : STD_LOGIC_VECTOR ( 3 to 3 ); begin E(0) <= \^e\(0); \gwas.wsts\: entity work.bd_auto_cc_0_wr_status_flags_as port map ( E(0) => \^e\(0), Q(0) => wr_pntr_plus2(3), \gic0.gc0.count_d1_reg[3]\ => \gic0.gc0.count_d1_reg[3]\, \gnxpm_cdc.rd_pntr_bin_reg[3]\(0) => \gnxpm_cdc.rd_pntr_bin_reg[3]\(0), m_aclk => m_aclk, m_axi_bready => m_axi_bready, m_axi_bvalid => m_axi_bvalid, \out\ => \out\, ram_full_fb_i_reg_0 => ram_full_fb_i_reg ); wpntr: entity work.bd_auto_cc_0_wr_bin_cntr port map ( AR(0) => AR(0), E(0) => \^e\(0), Q(3) => wr_pntr_plus2(3), Q(2 downto 0) => Q(2 downto 0), \gic0.gc0.count_d2_reg[3]_0\(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), \gnxpm_cdc.wr_pntr_gc_reg[3]\(3 downto 0) => \gnxpm_cdc.wr_pntr_gc_reg[3]\(3 downto 0), m_aclk => m_aclk ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity bd_auto_cc_0_wr_logic_29 is port ( Q : out STD_LOGIC_VECTOR ( 2 downto 0 ); ram_full_fb_i_reg : out STD_LOGIC; E : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_awready : out STD_LOGIC; \gic0.gc0.count_d2_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \gnxpm_cdc.wr_pntr_gc_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \gic0.gc0.count_d1_reg[3]\ : in STD_LOGIC; s_aclk : in STD_LOGIC; \out\ : in STD_LOGIC; s_axi_awvalid : in STD_LOGIC; \gnxpm_cdc.rd_pntr_bin_reg[3]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); AR : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of bd_auto_cc_0_wr_logic_29 : entity is "wr_logic"; end bd_auto_cc_0_wr_logic_29; architecture STRUCTURE of bd_auto_cc_0_wr_logic_29 is signal \^e\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal wr_pntr_plus2 : STD_LOGIC_VECTOR ( 3 to 3 ); begin E(0) <= \^e\(0); \gwas.wsts\: entity work.bd_auto_cc_0_wr_status_flags_as_37 port map ( E(0) => \^e\(0), Q(0) => wr_pntr_plus2(3), \gic0.gc0.count_d1_reg[3]\ => \gic0.gc0.count_d1_reg[3]\, \gnxpm_cdc.rd_pntr_bin_reg[3]\(0) => \gnxpm_cdc.rd_pntr_bin_reg[3]\(0), \out\ => \out\, ram_full_fb_i_reg_0 => ram_full_fb_i_reg, s_aclk => s_aclk, s_axi_awready => s_axi_awready, s_axi_awvalid => s_axi_awvalid ); wpntr: entity work.bd_auto_cc_0_wr_bin_cntr_38 port map ( AR(0) => AR(0), E(0) => \^e\(0), Q(3) => wr_pntr_plus2(3), Q(2 downto 0) => Q(2 downto 0), \gic0.gc0.count_d2_reg[3]_0\(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), \gnxpm_cdc.wr_pntr_gc_reg[3]\(3 downto 0) => \gnxpm_cdc.wr_pntr_gc_reg[3]\(3 downto 0), s_aclk => s_aclk ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity bd_auto_cc_0_wr_logic_50 is port ( Q : out STD_LOGIC_VECTOR ( 2 downto 0 ); ram_full_fb_i_reg : out STD_LOGIC; E : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_rready : out STD_LOGIC; \gic0.gc0.count_d2_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \gnxpm_cdc.wr_pntr_gc_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \gic0.gc0.count_d1_reg[3]\ : in STD_LOGIC; m_aclk : in STD_LOGIC; \out\ : in STD_LOGIC; m_axi_rvalid : in STD_LOGIC; \gnxpm_cdc.rd_pntr_bin_reg[3]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); AR : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of bd_auto_cc_0_wr_logic_50 : entity is "wr_logic"; end bd_auto_cc_0_wr_logic_50; architecture STRUCTURE of bd_auto_cc_0_wr_logic_50 is signal \^e\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal wr_pntr_plus2 : STD_LOGIC_VECTOR ( 3 to 3 ); begin E(0) <= \^e\(0); \gwas.wsts\: entity work.bd_auto_cc_0_wr_status_flags_as_58 port map ( E(0) => \^e\(0), Q(0) => wr_pntr_plus2(3), \gic0.gc0.count_d1_reg[3]\ => \gic0.gc0.count_d1_reg[3]\, \gnxpm_cdc.rd_pntr_bin_reg[3]\(0) => \gnxpm_cdc.rd_pntr_bin_reg[3]\(0), m_aclk => m_aclk, m_axi_rready => m_axi_rready, m_axi_rvalid => m_axi_rvalid, \out\ => \out\, ram_full_fb_i_reg_0 => ram_full_fb_i_reg ); wpntr: entity work.bd_auto_cc_0_wr_bin_cntr_59 port map ( AR(0) => AR(0), E(0) => \^e\(0), Q(3) => wr_pntr_plus2(3), Q(2 downto 0) => Q(2 downto 0), \gic0.gc0.count_d2_reg[3]_0\(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), \gnxpm_cdc.wr_pntr_gc_reg[3]\(3 downto 0) => \gnxpm_cdc.wr_pntr_gc_reg[3]\(3 downto 0), m_aclk => m_aclk ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity bd_auto_cc_0_wr_logic_72 is port ( Q : out STD_LOGIC_VECTOR ( 2 downto 0 ); ram_full_fb_i_reg : out STD_LOGIC; E : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_arready : out STD_LOGIC; \gic0.gc0.count_d2_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \gnxpm_cdc.wr_pntr_gc_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \gic0.gc0.count_d1_reg[3]\ : in STD_LOGIC; s_aclk : in STD_LOGIC; \out\ : in STD_LOGIC; s_axi_arvalid : in STD_LOGIC; \gnxpm_cdc.rd_pntr_bin_reg[3]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); AR : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of bd_auto_cc_0_wr_logic_72 : entity is "wr_logic"; end bd_auto_cc_0_wr_logic_72; architecture STRUCTURE of bd_auto_cc_0_wr_logic_72 is signal \^e\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal wr_pntr_plus2 : STD_LOGIC_VECTOR ( 3 to 3 ); begin E(0) <= \^e\(0); \gwas.wsts\: entity work.bd_auto_cc_0_wr_status_flags_as_82 port map ( E(0) => \^e\(0), Q(0) => wr_pntr_plus2(3), \gic0.gc0.count_d1_reg[3]\ => \gic0.gc0.count_d1_reg[3]\, \gnxpm_cdc.rd_pntr_bin_reg[3]\(0) => \gnxpm_cdc.rd_pntr_bin_reg[3]\(0), \out\ => \out\, ram_full_fb_i_reg_0 => ram_full_fb_i_reg, s_aclk => s_aclk, s_axi_arready => s_axi_arready, s_axi_arvalid => s_axi_arvalid ); wpntr: entity work.bd_auto_cc_0_wr_bin_cntr_83 port map ( AR(0) => AR(0), E(0) => \^e\(0), Q(3) => wr_pntr_plus2(3), Q(2 downto 0) => Q(2 downto 0), \gic0.gc0.count_d2_reg[3]_0\(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), \gnxpm_cdc.wr_pntr_gc_reg[3]\(3 downto 0) => \gnxpm_cdc.wr_pntr_gc_reg[3]\(3 downto 0), s_aclk => s_aclk ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity bd_auto_cc_0_wr_logic_8 is port ( Q : out STD_LOGIC_VECTOR ( 2 downto 0 ); ram_full_fb_i_reg : out STD_LOGIC; E : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_wready : out STD_LOGIC; \gic0.gc0.count_d2_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \gnxpm_cdc.wr_pntr_gc_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \gic0.gc0.count_d1_reg[3]\ : in STD_LOGIC; s_aclk : in STD_LOGIC; \out\ : in STD_LOGIC; s_axi_wvalid : in STD_LOGIC; \gnxpm_cdc.rd_pntr_bin_reg[3]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); AR : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of bd_auto_cc_0_wr_logic_8 : entity is "wr_logic"; end bd_auto_cc_0_wr_logic_8; architecture STRUCTURE of bd_auto_cc_0_wr_logic_8 is signal \^e\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal wr_pntr_plus2 : STD_LOGIC_VECTOR ( 3 to 3 ); begin E(0) <= \^e\(0); \gwas.wsts\: entity work.bd_auto_cc_0_wr_status_flags_as_16 port map ( E(0) => \^e\(0), Q(0) => wr_pntr_plus2(3), \gic0.gc0.count_d1_reg[3]\ => \gic0.gc0.count_d1_reg[3]\, \gnxpm_cdc.rd_pntr_bin_reg[3]\(0) => \gnxpm_cdc.rd_pntr_bin_reg[3]\(0), \out\ => \out\, ram_full_fb_i_reg_0 => ram_full_fb_i_reg, s_aclk => s_aclk, s_axi_wready => s_axi_wready, s_axi_wvalid => s_axi_wvalid ); wpntr: entity work.bd_auto_cc_0_wr_bin_cntr_17 port map ( AR(0) => AR(0), E(0) => \^e\(0), Q(3) => wr_pntr_plus2(3), Q(2 downto 0) => Q(2 downto 0), \gic0.gc0.count_d2_reg[3]_0\(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), \gnxpm_cdc.wr_pntr_gc_reg[3]\(3 downto 0) => \gnxpm_cdc.wr_pntr_gc_reg[3]\(3 downto 0), s_aclk => s_aclk ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity bd_auto_cc_0_fifo_generator_ramfifo is port ( s_axi_awready : out STD_LOGIC; m_axi_awvalid : out STD_LOGIC; Q : out STD_LOGIC_VECTOR ( 64 downto 0 ); m_aclk : in STD_LOGIC; s_aclk : in STD_LOGIC; inverted_reset : in STD_LOGIC; m_axi_awready : in STD_LOGIC; s_axi_awvalid : in STD_LOGIC; DI : in STD_LOGIC_VECTOR ( 64 downto 0 ) ); end bd_auto_cc_0_fifo_generator_ramfifo; architecture STRUCTURE of bd_auto_cc_0_fifo_generator_ramfifo is signal \gntv_or_sync_fifo.gcx.clkx_n_4\ : STD_LOGIC; signal \gntv_or_sync_fifo.gcx.clkx_n_9\ : STD_LOGIC; signal \gntv_or_sync_fifo.gl0.rd_n_4\ : STD_LOGIC; signal \gntv_or_sync_fifo.gl0.rd_n_5\ : STD_LOGIC; signal \gntv_or_sync_fifo.gl0.rd_n_6\ : STD_LOGIC; signal \gntv_or_sync_fifo.gl0.rd_n_7\ : STD_LOGIC; signal \gntv_or_sync_fifo.gl0.wr_n_3\ : STD_LOGIC; signal gray2bin : STD_LOGIC_VECTOR ( 0 to 0 ); signal p_0_out_0 : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_12_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_13_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_18_out : STD_LOGIC; signal p_22_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_23_out : STD_LOGIC; signal p_23_out_1 : STD_LOGIC_VECTOR ( 3 to 3 ); signal p_7_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal ram_rd_en_i : STD_LOGIC; signal rd_pntr_plus1 : STD_LOGIC_VECTOR ( 2 downto 0 ); signal rd_rst_i : STD_LOGIC_VECTOR ( 2 downto 0 ); signal rst_full_ff_i : STD_LOGIC; signal wr_pntr_plus2 : STD_LOGIC_VECTOR ( 2 downto 0 ); signal wr_rst_i : STD_LOGIC_VECTOR ( 1 downto 0 ); begin \gntv_or_sync_fifo.gcx.clkx\: entity work.bd_auto_cc_0_clk_x_pntrs_27 port map ( AR(0) => wr_rst_i(0), D(0) => gray2bin(0), Q(3 downto 0) => p_22_out(3 downto 0), \gc0.count_d1_reg[2]\(2) => \gntv_or_sync_fifo.gl0.rd_n_5\, \gc0.count_d1_reg[2]\(1) => \gntv_or_sync_fifo.gl0.rd_n_6\, \gc0.count_d1_reg[2]\(0) => \gntv_or_sync_fifo.gl0.rd_n_7\, \gc0.count_d1_reg[3]\(0) => p_0_out_0(3), \gc0.count_reg[2]\(2 downto 0) => rd_pntr_plus1(2 downto 0), \gic0.gc0.count_d1_reg[3]\(3 downto 0) => p_13_out(3 downto 0), \gic0.gc0.count_d2_reg[3]\(3 downto 0) => p_12_out(3 downto 0), \gic0.gc0.count_reg[2]\(2 downto 0) => wr_pntr_plus2(2 downto 0), \grstd1.grst_full.grst_f.rst_d3_reg\ => p_23_out, m_aclk => m_aclk, \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0) => rd_rst_i(1), \out\(3 downto 0) => p_7_out(3 downto 0), ram_empty_i_reg => \gntv_or_sync_fifo.gcx.clkx_n_4\, ram_full_fb_i_reg => \gntv_or_sync_fifo.gcx.clkx_n_9\, ram_full_fb_i_reg_0(0) => p_23_out_1(3), ram_full_fb_i_reg_1 => \gntv_or_sync_fifo.gl0.wr_n_3\, s_aclk => s_aclk ); \gntv_or_sync_fifo.gcx.clkx/\: unisim.vcomponents.LUT4 generic map( INIT => X"6996" ) port map ( I0 => p_7_out(1), I1 => p_7_out(0), I2 => p_7_out(3), I3 => p_7_out(2), O => gray2bin(0) ); \gntv_or_sync_fifo.gl0.rd\: entity work.bd_auto_cc_0_rd_logic_28 port map ( E(0) => ram_rd_en_i, Q(2 downto 0) => rd_pntr_plus1(2 downto 0), \gnxpm_cdc.rd_pntr_gc_reg[2]\(2) => \gntv_or_sync_fifo.gl0.rd_n_5\, \gnxpm_cdc.rd_pntr_gc_reg[2]\(1) => \gntv_or_sync_fifo.gl0.rd_n_6\, \gnxpm_cdc.rd_pntr_gc_reg[2]\(0) => \gntv_or_sync_fifo.gl0.rd_n_7\, \gnxpm_cdc.rd_pntr_gc_reg[3]\(3 downto 0) => p_0_out_0(3 downto 0), \gnxpm_cdc.wr_pntr_bin_reg[2]\ => \gntv_or_sync_fifo.gcx.clkx_n_4\, \gnxpm_cdc.wr_pntr_bin_reg[3]\(3 downto 0) => p_22_out(3 downto 0), \goreg_dm.dout_i_reg[64]\(0) => \gntv_or_sync_fifo.gl0.rd_n_4\, m_aclk => m_aclk, m_axi_awready => m_axi_awready, m_axi_awvalid => m_axi_awvalid, \out\(1) => rd_rst_i(2), \out\(0) => rd_rst_i(0) ); \gntv_or_sync_fifo.gl0.wr\: entity work.bd_auto_cc_0_wr_logic_29 port map ( AR(0) => wr_rst_i(1), E(0) => p_18_out, Q(2 downto 0) => wr_pntr_plus2(2 downto 0), \gic0.gc0.count_d1_reg[3]\ => \gntv_or_sync_fifo.gcx.clkx_n_9\, \gic0.gc0.count_d2_reg[3]\(3 downto 0) => p_13_out(3 downto 0), \gnxpm_cdc.rd_pntr_bin_reg[3]\(0) => p_23_out_1(3), \gnxpm_cdc.wr_pntr_gc_reg[3]\(3 downto 0) => p_12_out(3 downto 0), \out\ => rst_full_ff_i, ram_full_fb_i_reg => \gntv_or_sync_fifo.gl0.wr_n_3\, s_aclk => s_aclk, s_axi_awready => s_axi_awready, s_axi_awvalid => s_axi_awvalid ); \gntv_or_sync_fifo.mem\: entity work.bd_auto_cc_0_memory port map ( DI(64 downto 0) => DI(64 downto 0), E(0) => \gntv_or_sync_fifo.gl0.rd_n_4\, Q(64 downto 0) => Q(64 downto 0), \gc0.count_d1_reg[3]\(3 downto 0) => p_0_out_0(3 downto 0), \gic0.gc0.count_d2_reg[3]\(3 downto 0) => p_12_out(3 downto 0), \gpregsm1.curr_fwft_state_reg[1]\(0) => ram_rd_en_i, m_aclk => m_aclk, ram_full_fb_i_reg(0) => p_18_out, s_aclk => s_aclk ); rstblk: entity work.bd_auto_cc_0_reset_blk_ramfifo_30 port map ( \gc0.count_reg[1]\(2 downto 0) => rd_rst_i(2 downto 0), \grstd1.grst_full.grst_f.rst_d3_reg_0\ => rst_full_ff_i, inverted_reset => inverted_reset, m_aclk => m_aclk, \out\(1 downto 0) => wr_rst_i(1 downto 0), ram_full_fb_i_reg => p_23_out, s_aclk => s_aclk ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity bd_auto_cc_0_fifo_generator_ramfifo_69 is port ( s_axi_arready : out STD_LOGIC; m_axi_arvalid : out STD_LOGIC; \m_axi_arid[3]\ : out STD_LOGIC_VECTOR ( 64 downto 0 ); m_aclk : in STD_LOGIC; s_aclk : in STD_LOGIC; inverted_reset : in STD_LOGIC; m_axi_arready : in STD_LOGIC; s_axi_arvalid : in STD_LOGIC; I123 : in STD_LOGIC_VECTOR ( 64 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of bd_auto_cc_0_fifo_generator_ramfifo_69 : entity is "fifo_generator_ramfifo"; end bd_auto_cc_0_fifo_generator_ramfifo_69; architecture STRUCTURE of bd_auto_cc_0_fifo_generator_ramfifo_69 is signal \gntv_or_sync_fifo.gcx.clkx/_n_0\ : STD_LOGIC; signal \gntv_or_sync_fifo.gcx.clkx_n_4\ : STD_LOGIC; signal \gntv_or_sync_fifo.gcx.clkx_n_9\ : STD_LOGIC; signal \gntv_or_sync_fifo.gl0.rd_n_4\ : STD_LOGIC; signal \gntv_or_sync_fifo.gl0.rd_n_5\ : STD_LOGIC; signal \gntv_or_sync_fifo.gl0.rd_n_6\ : STD_LOGIC; signal \gntv_or_sync_fifo.gl0.rd_n_7\ : STD_LOGIC; signal \gntv_or_sync_fifo.gl0.wr_n_3\ : STD_LOGIC; signal p_0_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_12_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_13_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_18_out : STD_LOGIC; signal p_22_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_23_out : STD_LOGIC_VECTOR ( 3 to 3 ); signal p_7_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal ram_rd_en_i : STD_LOGIC; signal rd_pntr_plus1 : STD_LOGIC_VECTOR ( 2 downto 0 ); signal rd_rst_i : STD_LOGIC_VECTOR ( 2 downto 0 ); signal rst_full_ff_i : STD_LOGIC; signal wr_pntr_plus2 : STD_LOGIC_VECTOR ( 2 downto 0 ); signal wr_rst_busy_rach : STD_LOGIC; signal wr_rst_i : STD_LOGIC_VECTOR ( 1 downto 0 ); begin \gntv_or_sync_fifo.gcx.clkx\: entity work.bd_auto_cc_0_clk_x_pntrs_70 port map ( AR(0) => wr_rst_i(0), D(2) => \gntv_or_sync_fifo.gl0.rd_n_5\, D(1) => \gntv_or_sync_fifo.gl0.rd_n_6\, D(0) => \gntv_or_sync_fifo.gl0.rd_n_7\, Q(3 downto 0) => p_22_out(3 downto 0), \Q_reg_reg[1]\(0) => \gntv_or_sync_fifo.gcx.clkx/_n_0\, \gc0.count_d1_reg[3]\(0) => p_0_out(3), \gc0.count_reg[2]\(2 downto 0) => rd_pntr_plus1(2 downto 0), \gic0.gc0.count_d1_reg[3]\(3 downto 0) => p_13_out(3 downto 0), \gic0.gc0.count_d2_reg[3]\(3 downto 0) => p_12_out(3 downto 0), \gic0.gc0.count_reg[2]\(2 downto 0) => wr_pntr_plus2(2 downto 0), \grstd1.grst_full.grst_f.rst_d3_reg\ => wr_rst_busy_rach, m_aclk => m_aclk, \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0) => rd_rst_i(1), \out\(3 downto 0) => p_7_out(3 downto 0), ram_empty_i_reg => \gntv_or_sync_fifo.gcx.clkx_n_4\, ram_full_fb_i_reg => \gntv_or_sync_fifo.gcx.clkx_n_9\, ram_full_fb_i_reg_0(0) => p_23_out(3), ram_full_fb_i_reg_1 => \gntv_or_sync_fifo.gl0.wr_n_3\, s_aclk => s_aclk ); \gntv_or_sync_fifo.gcx.clkx/\: unisim.vcomponents.LUT4 generic map( INIT => X"6996" ) port map ( I0 => p_7_out(1), I1 => p_7_out(0), I2 => p_7_out(3), I3 => p_7_out(2), O => \gntv_or_sync_fifo.gcx.clkx/_n_0\ ); \gntv_or_sync_fifo.gl0.rd\: entity work.bd_auto_cc_0_rd_logic_71 port map ( D(2) => \gntv_or_sync_fifo.gl0.rd_n_5\, D(1) => \gntv_or_sync_fifo.gl0.rd_n_6\, D(0) => \gntv_or_sync_fifo.gl0.rd_n_7\, E(0) => ram_rd_en_i, Q(2 downto 0) => rd_pntr_plus1(2 downto 0), \gnxpm_cdc.rd_pntr_gc_reg[3]\(3 downto 0) => p_0_out(3 downto 0), \gnxpm_cdc.wr_pntr_bin_reg[2]\ => \gntv_or_sync_fifo.gcx.clkx_n_4\, \gnxpm_cdc.wr_pntr_bin_reg[3]\(3 downto 0) => p_22_out(3 downto 0), \goreg_dm.dout_i_reg[64]\(0) => \gntv_or_sync_fifo.gl0.rd_n_4\, m_aclk => m_aclk, m_axi_arready => m_axi_arready, m_axi_arvalid => m_axi_arvalid, \out\(1) => rd_rst_i(2), \out\(0) => rd_rst_i(0) ); \gntv_or_sync_fifo.gl0.wr\: entity work.bd_auto_cc_0_wr_logic_72 port map ( AR(0) => wr_rst_i(1), E(0) => p_18_out, Q(2 downto 0) => wr_pntr_plus2(2 downto 0), \gic0.gc0.count_d1_reg[3]\ => \gntv_or_sync_fifo.gcx.clkx_n_9\, \gic0.gc0.count_d2_reg[3]\(3 downto 0) => p_13_out(3 downto 0), \gnxpm_cdc.rd_pntr_bin_reg[3]\(0) => p_23_out(3), \gnxpm_cdc.wr_pntr_gc_reg[3]\(3 downto 0) => p_12_out(3 downto 0), \out\ => rst_full_ff_i, ram_full_fb_i_reg => \gntv_or_sync_fifo.gl0.wr_n_3\, s_aclk => s_aclk, s_axi_arready => s_axi_arready, s_axi_arvalid => s_axi_arvalid ); \gntv_or_sync_fifo.mem\: entity work.bd_auto_cc_0_memory_73 port map ( E(0) => p_18_out, I123(64 downto 0) => I123(64 downto 0), \gc0.count_d1_reg[3]\(3 downto 0) => p_0_out(3 downto 0), \gic0.gc0.count_d2_reg[3]\(3 downto 0) => p_12_out(3 downto 0), \gpregsm1.curr_fwft_state_reg[1]\(0) => ram_rd_en_i, m_aclk => m_aclk, \m_axi_arid[3]\(64 downto 0) => \m_axi_arid[3]\(64 downto 0), \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0) => \gntv_or_sync_fifo.gl0.rd_n_4\, s_aclk => s_aclk ); rstblk: entity work.bd_auto_cc_0_reset_blk_ramfifo_74 port map ( \gc0.count_reg[1]\(2 downto 0) => rd_rst_i(2 downto 0), \grstd1.grst_full.grst_f.rst_d3_reg_0\ => rst_full_ff_i, inverted_reset => inverted_reset, m_aclk => m_aclk, \out\(1 downto 0) => wr_rst_i(1 downto 0), ram_full_fb_i_reg => wr_rst_busy_rach, s_aclk => s_aclk ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \bd_auto_cc_0_fifo_generator_ramfifo__parameterized0\ is port ( s_axi_wready : out STD_LOGIC; m_axi_wvalid : out STD_LOGIC; \m_axi_wdata[31]\ : out STD_LOGIC_VECTOR ( 36 downto 0 ); m_aclk : in STD_LOGIC; s_aclk : in STD_LOGIC; inverted_reset : in STD_LOGIC; m_axi_wready : in STD_LOGIC; s_axi_wvalid : in STD_LOGIC; I115 : in STD_LOGIC_VECTOR ( 36 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \bd_auto_cc_0_fifo_generator_ramfifo__parameterized0\ : entity is "fifo_generator_ramfifo"; end \bd_auto_cc_0_fifo_generator_ramfifo__parameterized0\; architecture STRUCTURE of \bd_auto_cc_0_fifo_generator_ramfifo__parameterized0\ is signal \gntv_or_sync_fifo.gcx.clkx/_n_0\ : STD_LOGIC; signal \gntv_or_sync_fifo.gcx.clkx_n_4\ : STD_LOGIC; signal \gntv_or_sync_fifo.gcx.clkx_n_9\ : STD_LOGIC; signal \gntv_or_sync_fifo.gl0.rd_n_4\ : STD_LOGIC; signal \gntv_or_sync_fifo.gl0.rd_n_5\ : STD_LOGIC; signal \gntv_or_sync_fifo.gl0.rd_n_6\ : STD_LOGIC; signal \gntv_or_sync_fifo.gl0.rd_n_7\ : STD_LOGIC; signal \gntv_or_sync_fifo.gl0.wr_n_3\ : STD_LOGIC; signal p_0_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_12_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_13_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_15_out : STD_LOGIC; signal p_18_out : STD_LOGIC; signal p_22_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_23_out : STD_LOGIC_VECTOR ( 3 to 3 ); signal p_7_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal ram_rd_en_i : STD_LOGIC; signal rd_pntr_plus1 : STD_LOGIC_VECTOR ( 2 downto 0 ); signal rd_rst_i : STD_LOGIC_VECTOR ( 2 downto 0 ); signal rst_full_ff_i : STD_LOGIC; signal wr_pntr_plus2 : STD_LOGIC_VECTOR ( 2 downto 0 ); signal wr_rst_i : STD_LOGIC_VECTOR ( 1 downto 0 ); begin \gntv_or_sync_fifo.gcx.clkx\: entity work.bd_auto_cc_0_clk_x_pntrs_6 port map ( AR(0) => wr_rst_i(0), D(2) => \gntv_or_sync_fifo.gl0.rd_n_5\, D(1) => \gntv_or_sync_fifo.gl0.rd_n_6\, D(0) => \gntv_or_sync_fifo.gl0.rd_n_7\, Q(3 downto 0) => p_22_out(3 downto 0), \Q_reg_reg[1]\(0) => \gntv_or_sync_fifo.gcx.clkx/_n_0\, \gc0.count_d1_reg[3]\(0) => p_0_out(3), \gc0.count_reg[2]\(2 downto 0) => rd_pntr_plus1(2 downto 0), \gic0.gc0.count_d1_reg[3]\(3 downto 0) => p_13_out(3 downto 0), \gic0.gc0.count_d2_reg[3]\(3 downto 0) => p_12_out(3 downto 0), \gic0.gc0.count_reg[2]\(2 downto 0) => wr_pntr_plus2(2 downto 0), \grstd1.grst_full.grst_f.rst_d3_reg\ => p_15_out, m_aclk => m_aclk, \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0) => rd_rst_i(1), \out\(3 downto 0) => p_7_out(3 downto 0), ram_empty_i_reg => \gntv_or_sync_fifo.gcx.clkx_n_4\, ram_full_fb_i_reg => \gntv_or_sync_fifo.gcx.clkx_n_9\, ram_full_fb_i_reg_0(0) => p_23_out(3), ram_full_fb_i_reg_1 => \gntv_or_sync_fifo.gl0.wr_n_3\, s_aclk => s_aclk ); \gntv_or_sync_fifo.gcx.clkx/\: unisim.vcomponents.LUT4 generic map( INIT => X"6996" ) port map ( I0 => p_7_out(1), I1 => p_7_out(0), I2 => p_7_out(3), I3 => p_7_out(2), O => \gntv_or_sync_fifo.gcx.clkx/_n_0\ ); \gntv_or_sync_fifo.gl0.rd\: entity work.bd_auto_cc_0_rd_logic_7 port map ( D(2) => \gntv_or_sync_fifo.gl0.rd_n_5\, D(1) => \gntv_or_sync_fifo.gl0.rd_n_6\, D(0) => \gntv_or_sync_fifo.gl0.rd_n_7\, E(0) => ram_rd_en_i, Q(2 downto 0) => rd_pntr_plus1(2 downto 0), \gnxpm_cdc.rd_pntr_gc_reg[3]\(3 downto 0) => p_0_out(3 downto 0), \gnxpm_cdc.wr_pntr_bin_reg[2]\ => \gntv_or_sync_fifo.gcx.clkx_n_4\, \gnxpm_cdc.wr_pntr_bin_reg[3]\(3 downto 0) => p_22_out(3 downto 0), \goreg_dm.dout_i_reg[36]\(0) => \gntv_or_sync_fifo.gl0.rd_n_4\, m_aclk => m_aclk, m_axi_wready => m_axi_wready, m_axi_wvalid => m_axi_wvalid, \out\(1) => rd_rst_i(2), \out\(0) => rd_rst_i(0) ); \gntv_or_sync_fifo.gl0.wr\: entity work.bd_auto_cc_0_wr_logic_8 port map ( AR(0) => wr_rst_i(1), E(0) => p_18_out, Q(2 downto 0) => wr_pntr_plus2(2 downto 0), \gic0.gc0.count_d1_reg[3]\ => \gntv_or_sync_fifo.gcx.clkx_n_9\, \gic0.gc0.count_d2_reg[3]\(3 downto 0) => p_13_out(3 downto 0), \gnxpm_cdc.rd_pntr_bin_reg[3]\(0) => p_23_out(3), \gnxpm_cdc.wr_pntr_gc_reg[3]\(3 downto 0) => p_12_out(3 downto 0), \out\ => rst_full_ff_i, ram_full_fb_i_reg => \gntv_or_sync_fifo.gl0.wr_n_3\, s_aclk => s_aclk, s_axi_wready => s_axi_wready, s_axi_wvalid => s_axi_wvalid ); \gntv_or_sync_fifo.mem\: entity work.\bd_auto_cc_0_memory__parameterized0\ port map ( E(0) => p_18_out, I115(36 downto 0) => I115(36 downto 0), \gc0.count_d1_reg[3]\(3 downto 0) => p_0_out(3 downto 0), \gic0.gc0.count_d2_reg[3]\(3 downto 0) => p_12_out(3 downto 0), \gpregsm1.curr_fwft_state_reg[1]\(0) => ram_rd_en_i, m_aclk => m_aclk, \m_axi_wdata[31]\(36 downto 0) => \m_axi_wdata[31]\(36 downto 0), \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0) => \gntv_or_sync_fifo.gl0.rd_n_4\, s_aclk => s_aclk ); rstblk: entity work.bd_auto_cc_0_reset_blk_ramfifo_9 port map ( \gc0.count_reg[1]\(2 downto 0) => rd_rst_i(2 downto 0), \grstd1.grst_full.grst_f.rst_d3_reg_0\ => rst_full_ff_i, inverted_reset => inverted_reset, m_aclk => m_aclk, \out\(1 downto 0) => wr_rst_i(1 downto 0), ram_full_fb_i_reg => p_15_out, s_aclk => s_aclk ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \bd_auto_cc_0_fifo_generator_ramfifo__parameterized1\ is port ( s_axi_bvalid : out STD_LOGIC; m_axi_bready : out STD_LOGIC; \s_axi_bid[3]\ : out STD_LOGIC_VECTOR ( 5 downto 0 ); s_aclk : in STD_LOGIC; m_aclk : in STD_LOGIC; inverted_reset : in STD_LOGIC; m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_bid : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_bvalid : in STD_LOGIC; s_axi_bready : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \bd_auto_cc_0_fifo_generator_ramfifo__parameterized1\ : entity is "fifo_generator_ramfifo"; end \bd_auto_cc_0_fifo_generator_ramfifo__parameterized1\; architecture STRUCTURE of \bd_auto_cc_0_fifo_generator_ramfifo__parameterized1\ is signal \gntv_or_sync_fifo.gcx.clkx/_n_0\ : STD_LOGIC; signal \gntv_or_sync_fifo.gcx.clkx_n_4\ : STD_LOGIC; signal \gntv_or_sync_fifo.gcx.clkx_n_6\ : STD_LOGIC; signal \gntv_or_sync_fifo.gl0.rd_n_4\ : STD_LOGIC; signal \gntv_or_sync_fifo.gl0.rd_n_5\ : STD_LOGIC; signal \gntv_or_sync_fifo.gl0.rd_n_6\ : STD_LOGIC; signal \gntv_or_sync_fifo.gl0.rd_n_7\ : STD_LOGIC; signal \gntv_or_sync_fifo.gl0.wr_n_3\ : STD_LOGIC; signal p_0_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_12_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_13_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_18_out : STD_LOGIC; signal p_22_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_23_out : STD_LOGIC_VECTOR ( 3 to 3 ); signal p_7_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal ram_rd_en_i : STD_LOGIC; signal rd_pntr_plus1 : STD_LOGIC_VECTOR ( 2 downto 0 ); signal rd_rst_i : STD_LOGIC_VECTOR ( 2 downto 0 ); signal rst_full_ff_i : STD_LOGIC; signal wr_pntr_plus2 : STD_LOGIC_VECTOR ( 2 downto 0 ); signal wr_rst_busy_wrch : STD_LOGIC; signal wr_rst_i : STD_LOGIC_VECTOR ( 1 downto 0 ); begin \gntv_or_sync_fifo.gcx.clkx\: entity work.bd_auto_cc_0_clk_x_pntrs port map ( AR(0) => wr_rst_i(0), D(2) => \gntv_or_sync_fifo.gl0.rd_n_5\, D(1) => \gntv_or_sync_fifo.gl0.rd_n_6\, D(0) => \gntv_or_sync_fifo.gl0.rd_n_7\, Q(3 downto 0) => p_13_out(3 downto 0), \Q_reg_reg[1]\(0) => \gntv_or_sync_fifo.gcx.clkx/_n_0\, \gc0.count_d1_reg[3]\(0) => p_0_out(3), \gc0.count_reg[2]\(2 downto 0) => rd_pntr_plus1(2 downto 0), \gic0.gc0.count_d2_reg[3]\(3 downto 0) => p_12_out(3 downto 0), \gic0.gc0.count_reg[2]\(2 downto 0) => wr_pntr_plus2(2 downto 0), \grstd1.grst_full.grst_f.rst_d3_reg\ => wr_rst_busy_wrch, m_aclk => m_aclk, \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0) => rd_rst_i(1), \out\(3 downto 0) => p_7_out(3 downto 0), ram_empty_i_reg => \gntv_or_sync_fifo.gcx.clkx_n_6\, ram_empty_i_reg_0(3 downto 0) => p_22_out(3 downto 0), ram_full_fb_i_reg => \gntv_or_sync_fifo.gcx.clkx_n_4\, ram_full_fb_i_reg_0(0) => p_23_out(3), ram_full_fb_i_reg_1 => \gntv_or_sync_fifo.gl0.wr_n_3\, s_aclk => s_aclk ); \gntv_or_sync_fifo.gcx.clkx/\: unisim.vcomponents.LUT4 generic map( INIT => X"6996" ) port map ( I0 => p_7_out(1), I1 => p_7_out(0), I2 => p_7_out(3), I3 => p_7_out(2), O => \gntv_or_sync_fifo.gcx.clkx/_n_0\ ); \gntv_or_sync_fifo.gl0.rd\: entity work.bd_auto_cc_0_rd_logic port map ( D(2) => \gntv_or_sync_fifo.gl0.rd_n_5\, D(1) => \gntv_or_sync_fifo.gl0.rd_n_6\, D(0) => \gntv_or_sync_fifo.gl0.rd_n_7\, E(0) => ram_rd_en_i, Q(2 downto 0) => rd_pntr_plus1(2 downto 0), \gnxpm_cdc.rd_pntr_gc_reg[3]\(3 downto 0) => p_0_out(3 downto 0), \gnxpm_cdc.wr_pntr_bin_reg[2]\ => \gntv_or_sync_fifo.gcx.clkx_n_6\, \gnxpm_cdc.wr_pntr_bin_reg[3]\(3 downto 0) => p_22_out(3 downto 0), \goreg_dm.dout_i_reg[5]\(0) => \gntv_or_sync_fifo.gl0.rd_n_4\, \out\(1) => rd_rst_i(2), \out\(0) => rd_rst_i(0), s_aclk => s_aclk, s_axi_bready => s_axi_bready, s_axi_bvalid => s_axi_bvalid ); \gntv_or_sync_fifo.gl0.wr\: entity work.bd_auto_cc_0_wr_logic port map ( AR(0) => wr_rst_i(1), E(0) => p_18_out, Q(2 downto 0) => wr_pntr_plus2(2 downto 0), \gic0.gc0.count_d1_reg[3]\ => \gntv_or_sync_fifo.gcx.clkx_n_4\, \gic0.gc0.count_d2_reg[3]\(3 downto 0) => p_13_out(3 downto 0), \gnxpm_cdc.rd_pntr_bin_reg[3]\(0) => p_23_out(3), \gnxpm_cdc.wr_pntr_gc_reg[3]\(3 downto 0) => p_12_out(3 downto 0), m_aclk => m_aclk, m_axi_bready => m_axi_bready, m_axi_bvalid => m_axi_bvalid, \out\ => rst_full_ff_i, ram_full_fb_i_reg => \gntv_or_sync_fifo.gl0.wr_n_3\ ); \gntv_or_sync_fifo.mem\: entity work.\bd_auto_cc_0_memory__parameterized1\ port map ( E(0) => p_18_out, \gc0.count_d1_reg[3]\(3 downto 0) => p_0_out(3 downto 0), \gic0.gc0.count_d2_reg[3]\(3 downto 0) => p_12_out(3 downto 0), \gpregsm1.curr_fwft_state_reg[1]\(0) => ram_rd_en_i, m_aclk => m_aclk, m_axi_bid(3 downto 0) => m_axi_bid(3 downto 0), m_axi_bresp(1 downto 0) => m_axi_bresp(1 downto 0), \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0) => \gntv_or_sync_fifo.gl0.rd_n_4\, s_aclk => s_aclk, \s_axi_bid[3]\(5 downto 0) => \s_axi_bid[3]\(5 downto 0) ); rstblk: entity work.bd_auto_cc_0_reset_blk_ramfifo port map ( \gc0.count_reg[1]\(2 downto 0) => rd_rst_i(2 downto 0), \grstd1.grst_full.grst_f.rst_d3_reg_0\ => rst_full_ff_i, inverted_reset => inverted_reset, m_aclk => m_aclk, \out\(1 downto 0) => wr_rst_i(1 downto 0), ram_full_fb_i_reg => wr_rst_busy_wrch, s_aclk => s_aclk ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \bd_auto_cc_0_fifo_generator_ramfifo__parameterized2\ is port ( \ngwrdrst.grst.g7serrst.rst_wr_reg1_reg\ : out STD_LOGIC; s_axi_rvalid : out STD_LOGIC; m_axi_rready : out STD_LOGIC; \s_axi_rid[3]\ : out STD_LOGIC_VECTOR ( 38 downto 0 ); s_aclk : in STD_LOGIC; m_aclk : in STD_LOGIC; m_axi_rvalid : in STD_LOGIC; s_axi_rready : in STD_LOGIC; s_aresetn : in STD_LOGIC; I127 : in STD_LOGIC_VECTOR ( 38 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \bd_auto_cc_0_fifo_generator_ramfifo__parameterized2\ : entity is "fifo_generator_ramfifo"; end \bd_auto_cc_0_fifo_generator_ramfifo__parameterized2\; architecture STRUCTURE of \bd_auto_cc_0_fifo_generator_ramfifo__parameterized2\ is signal \gntv_or_sync_fifo.gcx.clkx/_n_0\ : STD_LOGIC; signal \gntv_or_sync_fifo.gcx.clkx_n_4\ : STD_LOGIC; signal \gntv_or_sync_fifo.gcx.clkx_n_6\ : STD_LOGIC; signal \gntv_or_sync_fifo.gl0.rd_n_4\ : STD_LOGIC; signal \gntv_or_sync_fifo.gl0.rd_n_5\ : STD_LOGIC; signal \gntv_or_sync_fifo.gl0.rd_n_6\ : STD_LOGIC; signal \gntv_or_sync_fifo.gl0.rd_n_7\ : STD_LOGIC; signal \gntv_or_sync_fifo.gl0.wr_n_3\ : STD_LOGIC; signal p_0_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_12_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_13_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_18_out : STD_LOGIC; signal p_22_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_23_out : STD_LOGIC_VECTOR ( 3 to 3 ); signal p_7_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal ram_rd_en_i : STD_LOGIC; signal rd_pntr_plus1 : STD_LOGIC_VECTOR ( 2 downto 0 ); signal rd_rst_i : STD_LOGIC_VECTOR ( 2 downto 0 ); signal rst_full_ff_i : STD_LOGIC; signal wr_pntr_plus2 : STD_LOGIC_VECTOR ( 2 downto 0 ); signal wr_rst_busy_rdch : STD_LOGIC; signal wr_rst_i : STD_LOGIC_VECTOR ( 1 downto 0 ); begin \gntv_or_sync_fifo.gcx.clkx\: entity work.bd_auto_cc_0_clk_x_pntrs_48 port map ( AR(0) => wr_rst_i(0), D(2) => \gntv_or_sync_fifo.gl0.rd_n_5\, D(1) => \gntv_or_sync_fifo.gl0.rd_n_6\, D(0) => \gntv_or_sync_fifo.gl0.rd_n_7\, Q(3 downto 0) => p_13_out(3 downto 0), \Q_reg_reg[1]\(0) => \gntv_or_sync_fifo.gcx.clkx/_n_0\, \gc0.count_d1_reg[3]\(0) => p_0_out(3), \gc0.count_reg[2]\(2 downto 0) => rd_pntr_plus1(2 downto 0), \gic0.gc0.count_d2_reg[3]\(3 downto 0) => p_12_out(3 downto 0), \gic0.gc0.count_reg[2]\(2 downto 0) => wr_pntr_plus2(2 downto 0), \grstd1.grst_full.grst_f.rst_d3_reg\ => wr_rst_busy_rdch, m_aclk => m_aclk, \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0) => rd_rst_i(1), \out\(3 downto 0) => p_7_out(3 downto 0), ram_empty_i_reg => \gntv_or_sync_fifo.gcx.clkx_n_6\, ram_empty_i_reg_0(3 downto 0) => p_22_out(3 downto 0), ram_full_fb_i_reg => \gntv_or_sync_fifo.gcx.clkx_n_4\, ram_full_fb_i_reg_0(0) => p_23_out(3), ram_full_fb_i_reg_1 => \gntv_or_sync_fifo.gl0.wr_n_3\, s_aclk => s_aclk ); \gntv_or_sync_fifo.gcx.clkx/\: unisim.vcomponents.LUT4 generic map( INIT => X"6996" ) port map ( I0 => p_7_out(1), I1 => p_7_out(0), I2 => p_7_out(3), I3 => p_7_out(2), O => \gntv_or_sync_fifo.gcx.clkx/_n_0\ ); \gntv_or_sync_fifo.gl0.rd\: entity work.bd_auto_cc_0_rd_logic_49 port map ( D(2) => \gntv_or_sync_fifo.gl0.rd_n_5\, D(1) => \gntv_or_sync_fifo.gl0.rd_n_6\, D(0) => \gntv_or_sync_fifo.gl0.rd_n_7\, E(0) => ram_rd_en_i, Q(2 downto 0) => rd_pntr_plus1(2 downto 0), \gnxpm_cdc.rd_pntr_gc_reg[3]\(3 downto 0) => p_0_out(3 downto 0), \gnxpm_cdc.wr_pntr_bin_reg[2]\ => \gntv_or_sync_fifo.gcx.clkx_n_6\, \gnxpm_cdc.wr_pntr_bin_reg[3]\(3 downto 0) => p_22_out(3 downto 0), \goreg_dm.dout_i_reg[38]\(0) => \gntv_or_sync_fifo.gl0.rd_n_4\, \out\(1) => rd_rst_i(2), \out\(0) => rd_rst_i(0), s_aclk => s_aclk, s_axi_rready => s_axi_rready, s_axi_rvalid => s_axi_rvalid ); \gntv_or_sync_fifo.gl0.wr\: entity work.bd_auto_cc_0_wr_logic_50 port map ( AR(0) => wr_rst_i(1), E(0) => p_18_out, Q(2 downto 0) => wr_pntr_plus2(2 downto 0), \gic0.gc0.count_d1_reg[3]\ => \gntv_or_sync_fifo.gcx.clkx_n_4\, \gic0.gc0.count_d2_reg[3]\(3 downto 0) => p_13_out(3 downto 0), \gnxpm_cdc.rd_pntr_bin_reg[3]\(0) => p_23_out(3), \gnxpm_cdc.wr_pntr_gc_reg[3]\(3 downto 0) => p_12_out(3 downto 0), m_aclk => m_aclk, m_axi_rready => m_axi_rready, m_axi_rvalid => m_axi_rvalid, \out\ => rst_full_ff_i, ram_full_fb_i_reg => \gntv_or_sync_fifo.gl0.wr_n_3\ ); \gntv_or_sync_fifo.mem\: entity work.\bd_auto_cc_0_memory__parameterized2\ port map ( E(0) => p_18_out, I127(38 downto 0) => I127(38 downto 0), \gc0.count_d1_reg[3]\(3 downto 0) => p_0_out(3 downto 0), \gic0.gc0.count_d2_reg[3]\(3 downto 0) => p_12_out(3 downto 0), \gpregsm1.curr_fwft_state_reg[1]\(0) => ram_rd_en_i, m_aclk => m_aclk, \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0) => \gntv_or_sync_fifo.gl0.rd_n_4\, s_aclk => s_aclk, \s_axi_rid[3]\(38 downto 0) => \s_axi_rid[3]\(38 downto 0) ); rstblk: entity work.bd_auto_cc_0_reset_blk_ramfifo_51 port map ( \gc0.count_reg[1]\(2 downto 0) => rd_rst_i(2 downto 0), \grstd1.grst_full.grst_f.rst_d3_reg_0\ => rst_full_ff_i, m_aclk => m_aclk, \ngwrdrst.grst.g7serrst.rst_wr_reg1_reg_0\ => \ngwrdrst.grst.g7serrst.rst_wr_reg1_reg\, \out\(1 downto 0) => wr_rst_i(1 downto 0), ram_full_fb_i_reg => wr_rst_busy_rdch, s_aclk => s_aclk, s_aresetn => s_aresetn ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity bd_auto_cc_0_fifo_generator_top is port ( s_axi_arready : out STD_LOGIC; m_axi_arvalid : out STD_LOGIC; \m_axi_arid[3]\ : out STD_LOGIC_VECTOR ( 64 downto 0 ); m_aclk : in STD_LOGIC; s_aclk : in STD_LOGIC; inverted_reset : in STD_LOGIC; m_axi_arready : in STD_LOGIC; s_axi_arvalid : in STD_LOGIC; I123 : in STD_LOGIC_VECTOR ( 64 downto 0 ) ); end bd_auto_cc_0_fifo_generator_top; architecture STRUCTURE of bd_auto_cc_0_fifo_generator_top is begin \grf.rf\: entity work.bd_auto_cc_0_fifo_generator_ramfifo_69 port map ( I123(64 downto 0) => I123(64 downto 0), inverted_reset => inverted_reset, m_aclk => m_aclk, \m_axi_arid[3]\(64 downto 0) => \m_axi_arid[3]\(64 downto 0), m_axi_arready => m_axi_arready, m_axi_arvalid => m_axi_arvalid, s_aclk => s_aclk, s_axi_arready => s_axi_arready, s_axi_arvalid => s_axi_arvalid ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity bd_auto_cc_0_fifo_generator_top_0 is port ( s_axi_awready : out STD_LOGIC; m_axi_awvalid : out STD_LOGIC; Q : out STD_LOGIC_VECTOR ( 64 downto 0 ); m_aclk : in STD_LOGIC; s_aclk : in STD_LOGIC; inverted_reset : in STD_LOGIC; m_axi_awready : in STD_LOGIC; s_axi_awvalid : in STD_LOGIC; DI : in STD_LOGIC_VECTOR ( 64 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of bd_auto_cc_0_fifo_generator_top_0 : entity is "fifo_generator_top"; end bd_auto_cc_0_fifo_generator_top_0; architecture STRUCTURE of bd_auto_cc_0_fifo_generator_top_0 is begin \grf.rf\: entity work.bd_auto_cc_0_fifo_generator_ramfifo port map ( DI(64 downto 0) => DI(64 downto 0), Q(64 downto 0) => Q(64 downto 0), inverted_reset => inverted_reset, m_aclk => m_aclk, m_axi_awready => m_axi_awready, m_axi_awvalid => m_axi_awvalid, s_aclk => s_aclk, s_axi_awready => s_axi_awready, s_axi_awvalid => s_axi_awvalid ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \bd_auto_cc_0_fifo_generator_top__parameterized0\ is port ( s_axi_wready : out STD_LOGIC; m_axi_wvalid : out STD_LOGIC; \m_axi_wdata[31]\ : out STD_LOGIC_VECTOR ( 36 downto 0 ); m_aclk : in STD_LOGIC; s_aclk : in STD_LOGIC; inverted_reset : in STD_LOGIC; m_axi_wready : in STD_LOGIC; s_axi_wvalid : in STD_LOGIC; I115 : in STD_LOGIC_VECTOR ( 36 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \bd_auto_cc_0_fifo_generator_top__parameterized0\ : entity is "fifo_generator_top"; end \bd_auto_cc_0_fifo_generator_top__parameterized0\; architecture STRUCTURE of \bd_auto_cc_0_fifo_generator_top__parameterized0\ is begin \grf.rf\: entity work.\bd_auto_cc_0_fifo_generator_ramfifo__parameterized0\ port map ( I115(36 downto 0) => I115(36 downto 0), inverted_reset => inverted_reset, m_aclk => m_aclk, \m_axi_wdata[31]\(36 downto 0) => \m_axi_wdata[31]\(36 downto 0), m_axi_wready => m_axi_wready, m_axi_wvalid => m_axi_wvalid, s_aclk => s_aclk, s_axi_wready => s_axi_wready, s_axi_wvalid => s_axi_wvalid ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \bd_auto_cc_0_fifo_generator_top__parameterized1\ is port ( s_axi_bvalid : out STD_LOGIC; m_axi_bready : out STD_LOGIC; \s_axi_bid[3]\ : out STD_LOGIC_VECTOR ( 5 downto 0 ); s_aclk : in STD_LOGIC; m_aclk : in STD_LOGIC; inverted_reset : in STD_LOGIC; m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_bid : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_bvalid : in STD_LOGIC; s_axi_bready : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \bd_auto_cc_0_fifo_generator_top__parameterized1\ : entity is "fifo_generator_top"; end \bd_auto_cc_0_fifo_generator_top__parameterized1\; architecture STRUCTURE of \bd_auto_cc_0_fifo_generator_top__parameterized1\ is begin \grf.rf\: entity work.\bd_auto_cc_0_fifo_generator_ramfifo__parameterized1\ port map ( inverted_reset => inverted_reset, m_aclk => m_aclk, m_axi_bid(3 downto 0) => m_axi_bid(3 downto 0), m_axi_bready => m_axi_bready, m_axi_bresp(1 downto 0) => m_axi_bresp(1 downto 0), m_axi_bvalid => m_axi_bvalid, s_aclk => s_aclk, \s_axi_bid[3]\(5 downto 0) => \s_axi_bid[3]\(5 downto 0), s_axi_bready => s_axi_bready, s_axi_bvalid => s_axi_bvalid ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \bd_auto_cc_0_fifo_generator_top__parameterized2\ is port ( inverted_reset : out STD_LOGIC; s_axi_rvalid : out STD_LOGIC; m_axi_rready : out STD_LOGIC; \s_axi_rid[3]\ : out STD_LOGIC_VECTOR ( 38 downto 0 ); s_aclk : in STD_LOGIC; m_aclk : in STD_LOGIC; m_axi_rvalid : in STD_LOGIC; s_axi_rready : in STD_LOGIC; s_aresetn : in STD_LOGIC; I127 : in STD_LOGIC_VECTOR ( 38 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \bd_auto_cc_0_fifo_generator_top__parameterized2\ : entity is "fifo_generator_top"; end \bd_auto_cc_0_fifo_generator_top__parameterized2\; architecture STRUCTURE of \bd_auto_cc_0_fifo_generator_top__parameterized2\ is begin \grf.rf\: entity work.\bd_auto_cc_0_fifo_generator_ramfifo__parameterized2\ port map ( I127(38 downto 0) => I127(38 downto 0), m_aclk => m_aclk, m_axi_rready => m_axi_rready, m_axi_rvalid => m_axi_rvalid, \ngwrdrst.grst.g7serrst.rst_wr_reg1_reg\ => inverted_reset, s_aclk => s_aclk, s_aresetn => s_aresetn, \s_axi_rid[3]\(38 downto 0) => \s_axi_rid[3]\(38 downto 0), s_axi_rready => s_axi_rready, s_axi_rvalid => s_axi_rvalid ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity bd_auto_cc_0_fifo_generator_v13_1_3_synth is port ( Q : out STD_LOGIC_VECTOR ( 64 downto 0 ); \m_axi_wdata[31]\ : out STD_LOGIC_VECTOR ( 36 downto 0 ); \s_axi_bid[3]\ : out STD_LOGIC_VECTOR ( 5 downto 0 ); \m_axi_arid[3]\ : out STD_LOGIC_VECTOR ( 64 downto 0 ); \s_axi_rid[3]\ : out STD_LOGIC_VECTOR ( 38 downto 0 ); s_axi_awready : out STD_LOGIC; s_axi_wready : out STD_LOGIC; s_axi_bvalid : out STD_LOGIC; m_axi_awvalid : out STD_LOGIC; m_axi_wvalid : out STD_LOGIC; m_axi_bready : out STD_LOGIC; s_axi_arready : out STD_LOGIC; s_axi_rvalid : out STD_LOGIC; m_axi_arvalid : out STD_LOGIC; m_axi_rready : out STD_LOGIC; m_aclk : in STD_LOGIC; s_aclk : in STD_LOGIC; I115 : in STD_LOGIC_VECTOR ( 36 downto 0 ); m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_bid : in STD_LOGIC_VECTOR ( 3 downto 0 ); I123 : in STD_LOGIC_VECTOR ( 64 downto 0 ); I127 : in STD_LOGIC_VECTOR ( 38 downto 0 ); DI : in STD_LOGIC_VECTOR ( 64 downto 0 ); m_axi_awready : in STD_LOGIC; m_axi_wready : in STD_LOGIC; m_axi_bvalid : in STD_LOGIC; m_axi_arready : in STD_LOGIC; m_axi_rvalid : in STD_LOGIC; s_axi_awvalid : in STD_LOGIC; s_axi_wvalid : in STD_LOGIC; s_axi_bready : in STD_LOGIC; s_axi_arvalid : in STD_LOGIC; s_axi_rready : in STD_LOGIC; s_aresetn : in STD_LOGIC ); end bd_auto_cc_0_fifo_generator_v13_1_3_synth; architecture STRUCTURE of bd_auto_cc_0_fifo_generator_v13_1_3_synth is signal inverted_reset : STD_LOGIC; begin \gaxi_full_lite.gread_ch.grach2.axi_rach\: entity work.bd_auto_cc_0_fifo_generator_top port map ( I123(64 downto 0) => I123(64 downto 0), inverted_reset => inverted_reset, m_aclk => m_aclk, \m_axi_arid[3]\(64 downto 0) => \m_axi_arid[3]\(64 downto 0), m_axi_arready => m_axi_arready, m_axi_arvalid => m_axi_arvalid, s_aclk => s_aclk, s_axi_arready => s_axi_arready, s_axi_arvalid => s_axi_arvalid ); \gaxi_full_lite.gread_ch.grdch2.axi_rdch\: entity work.\bd_auto_cc_0_fifo_generator_top__parameterized2\ port map ( I127(38 downto 0) => I127(38 downto 0), inverted_reset => inverted_reset, m_aclk => m_aclk, m_axi_rready => m_axi_rready, m_axi_rvalid => m_axi_rvalid, s_aclk => s_aclk, s_aresetn => s_aresetn, \s_axi_rid[3]\(38 downto 0) => \s_axi_rid[3]\(38 downto 0), s_axi_rready => s_axi_rready, s_axi_rvalid => s_axi_rvalid ); \gaxi_full_lite.gwrite_ch.gwach2.axi_wach\: entity work.bd_auto_cc_0_fifo_generator_top_0 port map ( DI(64 downto 0) => DI(64 downto 0), Q(64 downto 0) => Q(64 downto 0), inverted_reset => inverted_reset, m_aclk => m_aclk, m_axi_awready => m_axi_awready, m_axi_awvalid => m_axi_awvalid, s_aclk => s_aclk, s_axi_awready => s_axi_awready, s_axi_awvalid => s_axi_awvalid ); \gaxi_full_lite.gwrite_ch.gwdch2.axi_wdch\: entity work.\bd_auto_cc_0_fifo_generator_top__parameterized0\ port map ( I115(36 downto 0) => I115(36 downto 0), inverted_reset => inverted_reset, m_aclk => m_aclk, \m_axi_wdata[31]\(36 downto 0) => \m_axi_wdata[31]\(36 downto 0), m_axi_wready => m_axi_wready, m_axi_wvalid => m_axi_wvalid, s_aclk => s_aclk, s_axi_wready => s_axi_wready, s_axi_wvalid => s_axi_wvalid ); \gaxi_full_lite.gwrite_ch.gwrch2.axi_wrch\: entity work.\bd_auto_cc_0_fifo_generator_top__parameterized1\ port map ( inverted_reset => inverted_reset, m_aclk => m_aclk, m_axi_bid(3 downto 0) => m_axi_bid(3 downto 0), m_axi_bready => m_axi_bready, m_axi_bresp(1 downto 0) => m_axi_bresp(1 downto 0), m_axi_bvalid => m_axi_bvalid, s_aclk => s_aclk, \s_axi_bid[3]\(5 downto 0) => \s_axi_bid[3]\(5 downto 0), s_axi_bready => s_axi_bready, s_axi_bvalid => s_axi_bvalid ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity bd_auto_cc_0_fifo_generator_v13_1_3 is port ( backup : in STD_LOGIC; backup_marker : in STD_LOGIC; clk : in STD_LOGIC; rst : in STD_LOGIC; srst : in STD_LOGIC; wr_clk : in STD_LOGIC; wr_rst : in STD_LOGIC; rd_clk : in STD_LOGIC; rd_rst : in STD_LOGIC; din : in STD_LOGIC_VECTOR ( 17 downto 0 ); wr_en : in STD_LOGIC; rd_en : in STD_LOGIC; prog_empty_thresh : in STD_LOGIC_VECTOR ( 9 downto 0 ); prog_empty_thresh_assert : in STD_LOGIC_VECTOR ( 9 downto 0 ); prog_empty_thresh_negate : in STD_LOGIC_VECTOR ( 9 downto 0 ); prog_full_thresh : in STD_LOGIC_VECTOR ( 9 downto 0 ); prog_full_thresh_assert : in STD_LOGIC_VECTOR ( 9 downto 0 ); prog_full_thresh_negate : in STD_LOGIC_VECTOR ( 9 downto 0 ); int_clk : in STD_LOGIC; injectdbiterr : in STD_LOGIC; injectsbiterr : in STD_LOGIC; sleep : in STD_LOGIC; dout : out STD_LOGIC_VECTOR ( 17 downto 0 ); full : out STD_LOGIC; almost_full : out STD_LOGIC; wr_ack : out STD_LOGIC; overflow : out STD_LOGIC; empty : out STD_LOGIC; almost_empty : out STD_LOGIC; valid : out STD_LOGIC; underflow : out STD_LOGIC; data_count : out STD_LOGIC_VECTOR ( 9 downto 0 ); rd_data_count : out STD_LOGIC_VECTOR ( 9 downto 0 ); wr_data_count : out STD_LOGIC_VECTOR ( 9 downto 0 ); prog_full : out STD_LOGIC; prog_empty : out STD_LOGIC; sbiterr : out STD_LOGIC; dbiterr : out STD_LOGIC; wr_rst_busy : out STD_LOGIC; rd_rst_busy : out STD_LOGIC; m_aclk : in STD_LOGIC; s_aclk : in STD_LOGIC; s_aresetn : in STD_LOGIC; m_aclk_en : in STD_LOGIC; s_aclk_en : in STD_LOGIC; s_axi_awid : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awlock : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awregion : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awuser : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_awvalid : in STD_LOGIC; s_axi_awready : out STD_LOGIC; s_axi_wid : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_wlast : in STD_LOGIC; s_axi_wuser : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_wvalid : in STD_LOGIC; s_axi_wready : out STD_LOGIC; s_axi_bid : out STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_buser : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_bvalid : out STD_LOGIC; s_axi_bready : in STD_LOGIC; m_axi_awid : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_awlen : out STD_LOGIC_VECTOR ( 7 downto 0 ); m_axi_awsize : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_awburst : out STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_awlock : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_awcache : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_awqos : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awregion : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awuser : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_awvalid : out STD_LOGIC; m_axi_awready : in STD_LOGIC; m_axi_wid : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_wlast : out STD_LOGIC; m_axi_wuser : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_wvalid : out STD_LOGIC; m_axi_wready : in STD_LOGIC; m_axi_bid : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_buser : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_bvalid : in STD_LOGIC; m_axi_bready : out STD_LOGIC; s_axi_arid : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arlock : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arregion : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_aruser : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_arvalid : in STD_LOGIC; s_axi_arready : out STD_LOGIC; s_axi_rid : out STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_rlast : out STD_LOGIC; s_axi_ruser : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_rvalid : out STD_LOGIC; s_axi_rready : in STD_LOGIC; m_axi_arid : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_arlen : out STD_LOGIC_VECTOR ( 7 downto 0 ); m_axi_arsize : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_arburst : out STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_arlock : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_arcache : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_arqos : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_arregion : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_aruser : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_arvalid : out STD_LOGIC; m_axi_arready : in STD_LOGIC; m_axi_rid : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_rlast : in STD_LOGIC; m_axi_ruser : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_rvalid : in STD_LOGIC; m_axi_rready : out STD_LOGIC; s_axis_tvalid : in STD_LOGIC; s_axis_tready : out STD_LOGIC; s_axis_tdata : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axis_tstrb : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axis_tkeep : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axis_tlast : in STD_LOGIC; s_axis_tid : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axis_tdest : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axis_tuser : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_axis_tvalid : out STD_LOGIC; m_axis_tready : in STD_LOGIC; m_axis_tdata : out STD_LOGIC_VECTOR ( 7 downto 0 ); m_axis_tstrb : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axis_tkeep : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axis_tlast : out STD_LOGIC; m_axis_tid : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axis_tdest : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axis_tuser : out STD_LOGIC_VECTOR ( 3 downto 0 ); axi_aw_injectsbiterr : in STD_LOGIC; axi_aw_injectdbiterr : in STD_LOGIC; axi_aw_prog_full_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 ); axi_aw_prog_empty_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 ); axi_aw_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 ); axi_aw_wr_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 ); axi_aw_rd_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 ); axi_aw_sbiterr : out STD_LOGIC; axi_aw_dbiterr : out STD_LOGIC; axi_aw_overflow : out STD_LOGIC; axi_aw_underflow : out STD_LOGIC; axi_aw_prog_full : out STD_LOGIC; axi_aw_prog_empty : out STD_LOGIC; axi_w_injectsbiterr : in STD_LOGIC; axi_w_injectdbiterr : in STD_LOGIC; axi_w_prog_full_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 ); axi_w_prog_empty_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 ); axi_w_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 ); axi_w_wr_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 ); axi_w_rd_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 ); axi_w_sbiterr : out STD_LOGIC; axi_w_dbiterr : out STD_LOGIC; axi_w_overflow : out STD_LOGIC; axi_w_underflow : out STD_LOGIC; axi_w_prog_full : out STD_LOGIC; axi_w_prog_empty : out STD_LOGIC; axi_b_injectsbiterr : in STD_LOGIC; axi_b_injectdbiterr : in STD_LOGIC; axi_b_prog_full_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 ); axi_b_prog_empty_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 ); axi_b_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 ); axi_b_wr_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 ); axi_b_rd_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 ); axi_b_sbiterr : out STD_LOGIC; axi_b_dbiterr : out STD_LOGIC; axi_b_overflow : out STD_LOGIC; axi_b_underflow : out STD_LOGIC; axi_b_prog_full : out STD_LOGIC; axi_b_prog_empty : out STD_LOGIC; axi_ar_injectsbiterr : in STD_LOGIC; axi_ar_injectdbiterr : in STD_LOGIC; axi_ar_prog_full_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 ); axi_ar_prog_empty_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 ); axi_ar_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 ); axi_ar_wr_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 ); axi_ar_rd_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 ); axi_ar_sbiterr : out STD_LOGIC; axi_ar_dbiterr : out STD_LOGIC; axi_ar_overflow : out STD_LOGIC; axi_ar_underflow : out STD_LOGIC; axi_ar_prog_full : out STD_LOGIC; axi_ar_prog_empty : out STD_LOGIC; axi_r_injectsbiterr : in STD_LOGIC; axi_r_injectdbiterr : in STD_LOGIC; axi_r_prog_full_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 ); axi_r_prog_empty_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 ); axi_r_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 ); axi_r_wr_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 ); axi_r_rd_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 ); axi_r_sbiterr : out STD_LOGIC; axi_r_dbiterr : out STD_LOGIC; axi_r_overflow : out STD_LOGIC; axi_r_underflow : out STD_LOGIC; axi_r_prog_full : out STD_LOGIC; axi_r_prog_empty : out STD_LOGIC; axis_injectsbiterr : in STD_LOGIC; axis_injectdbiterr : in STD_LOGIC; axis_prog_full_thresh : in STD_LOGIC_VECTOR ( 9 downto 0 ); axis_prog_empty_thresh : in STD_LOGIC_VECTOR ( 9 downto 0 ); axis_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 ); axis_wr_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 ); axis_rd_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 ); axis_sbiterr : out STD_LOGIC; axis_dbiterr : out STD_LOGIC; axis_overflow : out STD_LOGIC; axis_underflow : out STD_LOGIC; axis_prog_full : out STD_LOGIC; axis_prog_empty : out STD_LOGIC ); attribute C_ADD_NGC_CONSTRAINT : integer; attribute C_ADD_NGC_CONSTRAINT of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_APPLICATION_TYPE_AXIS : integer; attribute C_APPLICATION_TYPE_AXIS of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_APPLICATION_TYPE_RACH : integer; attribute C_APPLICATION_TYPE_RACH of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_APPLICATION_TYPE_RDCH : integer; attribute C_APPLICATION_TYPE_RDCH of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_APPLICATION_TYPE_WACH : integer; attribute C_APPLICATION_TYPE_WACH of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_APPLICATION_TYPE_WDCH : integer; attribute C_APPLICATION_TYPE_WDCH of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_APPLICATION_TYPE_WRCH : integer; attribute C_APPLICATION_TYPE_WRCH of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_AXIS_TDATA_WIDTH : integer; attribute C_AXIS_TDATA_WIDTH of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 8; attribute C_AXIS_TDEST_WIDTH : integer; attribute C_AXIS_TDEST_WIDTH of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 1; attribute C_AXIS_TID_WIDTH : integer; attribute C_AXIS_TID_WIDTH of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 1; attribute C_AXIS_TKEEP_WIDTH : integer; attribute C_AXIS_TKEEP_WIDTH of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 1; attribute C_AXIS_TSTRB_WIDTH : integer; attribute C_AXIS_TSTRB_WIDTH of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 1; attribute C_AXIS_TUSER_WIDTH : integer; attribute C_AXIS_TUSER_WIDTH of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 4; attribute C_AXIS_TYPE : integer; attribute C_AXIS_TYPE of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_AXI_ADDR_WIDTH : integer; attribute C_AXI_ADDR_WIDTH of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 32; attribute C_AXI_ARUSER_WIDTH : integer; attribute C_AXI_ARUSER_WIDTH of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 1; attribute C_AXI_AWUSER_WIDTH : integer; attribute C_AXI_AWUSER_WIDTH of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 1; attribute C_AXI_BUSER_WIDTH : integer; attribute C_AXI_BUSER_WIDTH of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 1; attribute C_AXI_DATA_WIDTH : integer; attribute C_AXI_DATA_WIDTH of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 32; attribute C_AXI_ID_WIDTH : integer; attribute C_AXI_ID_WIDTH of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 4; attribute C_AXI_LEN_WIDTH : integer; attribute C_AXI_LEN_WIDTH of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 8; attribute C_AXI_LOCK_WIDTH : integer; attribute C_AXI_LOCK_WIDTH of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 1; attribute C_AXI_RUSER_WIDTH : integer; attribute C_AXI_RUSER_WIDTH of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 1; attribute C_AXI_TYPE : integer; attribute C_AXI_TYPE of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 1; attribute C_AXI_WUSER_WIDTH : integer; attribute C_AXI_WUSER_WIDTH of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 1; attribute C_COMMON_CLOCK : integer; attribute C_COMMON_CLOCK of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_COUNT_TYPE : integer; attribute C_COUNT_TYPE of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_DATA_COUNT_WIDTH : integer; attribute C_DATA_COUNT_WIDTH of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 10; attribute C_DEFAULT_VALUE : string; attribute C_DEFAULT_VALUE of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is "BlankString"; attribute C_DIN_WIDTH : integer; attribute C_DIN_WIDTH of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 18; attribute C_DIN_WIDTH_AXIS : integer; attribute C_DIN_WIDTH_AXIS of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 1; attribute C_DIN_WIDTH_RACH : integer; attribute C_DIN_WIDTH_RACH of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 65; attribute C_DIN_WIDTH_RDCH : integer; attribute C_DIN_WIDTH_RDCH of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 39; attribute C_DIN_WIDTH_WACH : integer; attribute C_DIN_WIDTH_WACH of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 65; attribute C_DIN_WIDTH_WDCH : integer; attribute C_DIN_WIDTH_WDCH of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 37; attribute C_DIN_WIDTH_WRCH : integer; attribute C_DIN_WIDTH_WRCH of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 6; attribute C_DOUT_RST_VAL : string; attribute C_DOUT_RST_VAL of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is "0"; attribute C_DOUT_WIDTH : integer; attribute C_DOUT_WIDTH of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 18; attribute C_ENABLE_RLOCS : integer; attribute C_ENABLE_RLOCS of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_ENABLE_RST_SYNC : integer; attribute C_ENABLE_RST_SYNC of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 1; attribute C_EN_SAFETY_CKT : integer; attribute C_EN_SAFETY_CKT of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_ERROR_INJECTION_TYPE : integer; attribute C_ERROR_INJECTION_TYPE of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_ERROR_INJECTION_TYPE_AXIS : integer; attribute C_ERROR_INJECTION_TYPE_AXIS of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_ERROR_INJECTION_TYPE_RACH : integer; attribute C_ERROR_INJECTION_TYPE_RACH of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_ERROR_INJECTION_TYPE_RDCH : integer; attribute C_ERROR_INJECTION_TYPE_RDCH of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_ERROR_INJECTION_TYPE_WACH : integer; attribute C_ERROR_INJECTION_TYPE_WACH of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_ERROR_INJECTION_TYPE_WDCH : integer; attribute C_ERROR_INJECTION_TYPE_WDCH of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_ERROR_INJECTION_TYPE_WRCH : integer; attribute C_ERROR_INJECTION_TYPE_WRCH of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_FAMILY : string; attribute C_FAMILY of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is "artix7"; attribute C_FULL_FLAGS_RST_VAL : integer; attribute C_FULL_FLAGS_RST_VAL of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 1; attribute C_HAS_ALMOST_EMPTY : integer; attribute C_HAS_ALMOST_EMPTY of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_HAS_ALMOST_FULL : integer; attribute C_HAS_ALMOST_FULL of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_HAS_AXIS_TDATA : integer; attribute C_HAS_AXIS_TDATA of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 1; attribute C_HAS_AXIS_TDEST : integer; attribute C_HAS_AXIS_TDEST of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_HAS_AXIS_TID : integer; attribute C_HAS_AXIS_TID of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_HAS_AXIS_TKEEP : integer; attribute C_HAS_AXIS_TKEEP of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_HAS_AXIS_TLAST : integer; attribute C_HAS_AXIS_TLAST of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_HAS_AXIS_TREADY : integer; attribute C_HAS_AXIS_TREADY of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 1; attribute C_HAS_AXIS_TSTRB : integer; attribute C_HAS_AXIS_TSTRB of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_HAS_AXIS_TUSER : integer; attribute C_HAS_AXIS_TUSER of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 1; attribute C_HAS_AXI_ARUSER : integer; attribute C_HAS_AXI_ARUSER of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_HAS_AXI_AWUSER : integer; attribute C_HAS_AXI_AWUSER of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_HAS_AXI_BUSER : integer; attribute C_HAS_AXI_BUSER of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_HAS_AXI_ID : integer; attribute C_HAS_AXI_ID of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 1; attribute C_HAS_AXI_RD_CHANNEL : integer; attribute C_HAS_AXI_RD_CHANNEL of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 1; attribute C_HAS_AXI_RUSER : integer; attribute C_HAS_AXI_RUSER of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_HAS_AXI_WR_CHANNEL : integer; attribute C_HAS_AXI_WR_CHANNEL of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 1; attribute C_HAS_AXI_WUSER : integer; attribute C_HAS_AXI_WUSER of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_HAS_BACKUP : integer; attribute C_HAS_BACKUP of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_HAS_DATA_COUNT : integer; attribute C_HAS_DATA_COUNT of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_HAS_DATA_COUNTS_AXIS : integer; attribute C_HAS_DATA_COUNTS_AXIS of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_HAS_DATA_COUNTS_RACH : integer; attribute C_HAS_DATA_COUNTS_RACH of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_HAS_DATA_COUNTS_RDCH : integer; attribute C_HAS_DATA_COUNTS_RDCH of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_HAS_DATA_COUNTS_WACH : integer; attribute C_HAS_DATA_COUNTS_WACH of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_HAS_DATA_COUNTS_WDCH : integer; attribute C_HAS_DATA_COUNTS_WDCH of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_HAS_DATA_COUNTS_WRCH : integer; attribute C_HAS_DATA_COUNTS_WRCH of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_HAS_INT_CLK : integer; attribute C_HAS_INT_CLK of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_HAS_MASTER_CE : integer; attribute C_HAS_MASTER_CE of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_HAS_MEMINIT_FILE : integer; attribute C_HAS_MEMINIT_FILE of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_HAS_OVERFLOW : integer; attribute C_HAS_OVERFLOW of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_HAS_PROG_FLAGS_AXIS : integer; attribute C_HAS_PROG_FLAGS_AXIS of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_HAS_PROG_FLAGS_RACH : integer; attribute C_HAS_PROG_FLAGS_RACH of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_HAS_PROG_FLAGS_RDCH : integer; attribute C_HAS_PROG_FLAGS_RDCH of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_HAS_PROG_FLAGS_WACH : integer; attribute C_HAS_PROG_FLAGS_WACH of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_HAS_PROG_FLAGS_WDCH : integer; attribute C_HAS_PROG_FLAGS_WDCH of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_HAS_PROG_FLAGS_WRCH : integer; attribute C_HAS_PROG_FLAGS_WRCH of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_HAS_RD_DATA_COUNT : integer; attribute C_HAS_RD_DATA_COUNT of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_HAS_RD_RST : integer; attribute C_HAS_RD_RST of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_HAS_RST : integer; attribute C_HAS_RST of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 1; attribute C_HAS_SLAVE_CE : integer; attribute C_HAS_SLAVE_CE of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_HAS_SRST : integer; attribute C_HAS_SRST of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_HAS_UNDERFLOW : integer; attribute C_HAS_UNDERFLOW of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_HAS_VALID : integer; attribute C_HAS_VALID of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_HAS_WR_ACK : integer; attribute C_HAS_WR_ACK of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_HAS_WR_DATA_COUNT : integer; attribute C_HAS_WR_DATA_COUNT of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_HAS_WR_RST : integer; attribute C_HAS_WR_RST of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_IMPLEMENTATION_TYPE : integer; attribute C_IMPLEMENTATION_TYPE of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_IMPLEMENTATION_TYPE_AXIS : integer; attribute C_IMPLEMENTATION_TYPE_AXIS of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 11; attribute C_IMPLEMENTATION_TYPE_RACH : integer; attribute C_IMPLEMENTATION_TYPE_RACH of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 12; attribute C_IMPLEMENTATION_TYPE_RDCH : integer; attribute C_IMPLEMENTATION_TYPE_RDCH of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 12; attribute C_IMPLEMENTATION_TYPE_WACH : integer; attribute C_IMPLEMENTATION_TYPE_WACH of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 12; attribute C_IMPLEMENTATION_TYPE_WDCH : integer; attribute C_IMPLEMENTATION_TYPE_WDCH of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 12; attribute C_IMPLEMENTATION_TYPE_WRCH : integer; attribute C_IMPLEMENTATION_TYPE_WRCH of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 12; attribute C_INIT_WR_PNTR_VAL : integer; attribute C_INIT_WR_PNTR_VAL of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_INTERFACE_TYPE : integer; attribute C_INTERFACE_TYPE of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 2; attribute C_MEMORY_TYPE : integer; attribute C_MEMORY_TYPE of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 1; attribute C_MIF_FILE_NAME : string; attribute C_MIF_FILE_NAME of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is "BlankString"; attribute C_MSGON_VAL : integer; attribute C_MSGON_VAL of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 1; attribute C_OPTIMIZATION_MODE : integer; attribute C_OPTIMIZATION_MODE of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_OVERFLOW_LOW : integer; attribute C_OVERFLOW_LOW of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_POWER_SAVING_MODE : integer; attribute C_POWER_SAVING_MODE of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_PRELOAD_LATENCY : integer; attribute C_PRELOAD_LATENCY of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 1; attribute C_PRELOAD_REGS : integer; attribute C_PRELOAD_REGS of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_PRIM_FIFO_TYPE : string; attribute C_PRIM_FIFO_TYPE of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is "4kx4"; attribute C_PRIM_FIFO_TYPE_AXIS : string; attribute C_PRIM_FIFO_TYPE_AXIS of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is "512x36"; attribute C_PRIM_FIFO_TYPE_RACH : string; attribute C_PRIM_FIFO_TYPE_RACH of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is "512x36"; attribute C_PRIM_FIFO_TYPE_RDCH : string; attribute C_PRIM_FIFO_TYPE_RDCH of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is "512x36"; attribute C_PRIM_FIFO_TYPE_WACH : string; attribute C_PRIM_FIFO_TYPE_WACH of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is "512x36"; attribute C_PRIM_FIFO_TYPE_WDCH : string; attribute C_PRIM_FIFO_TYPE_WDCH of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is "512x36"; attribute C_PRIM_FIFO_TYPE_WRCH : string; attribute C_PRIM_FIFO_TYPE_WRCH of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is "512x36"; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 2; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 1021; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 13; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 13; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 13; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 13; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 13; attribute C_PROG_EMPTY_THRESH_NEGATE_VAL : integer; attribute C_PROG_EMPTY_THRESH_NEGATE_VAL of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 3; attribute C_PROG_EMPTY_TYPE : integer; attribute C_PROG_EMPTY_TYPE of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_PROG_EMPTY_TYPE_AXIS : integer; attribute C_PROG_EMPTY_TYPE_AXIS of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_PROG_EMPTY_TYPE_RACH : integer; attribute C_PROG_EMPTY_TYPE_RACH of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_PROG_EMPTY_TYPE_RDCH : integer; attribute C_PROG_EMPTY_TYPE_RDCH of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_PROG_EMPTY_TYPE_WACH : integer; attribute C_PROG_EMPTY_TYPE_WACH of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_PROG_EMPTY_TYPE_WDCH : integer; attribute C_PROG_EMPTY_TYPE_WDCH of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_PROG_EMPTY_TYPE_WRCH : integer; attribute C_PROG_EMPTY_TYPE_WRCH of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_PROG_FULL_THRESH_ASSERT_VAL : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 1022; attribute C_PROG_FULL_THRESH_ASSERT_VAL_AXIS : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL_AXIS of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 1023; attribute C_PROG_FULL_THRESH_ASSERT_VAL_RACH : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL_RACH of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 15; attribute C_PROG_FULL_THRESH_ASSERT_VAL_RDCH : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL_RDCH of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 15; attribute C_PROG_FULL_THRESH_ASSERT_VAL_WACH : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL_WACH of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 15; attribute C_PROG_FULL_THRESH_ASSERT_VAL_WDCH : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL_WDCH of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 15; attribute C_PROG_FULL_THRESH_ASSERT_VAL_WRCH : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL_WRCH of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 15; attribute C_PROG_FULL_THRESH_NEGATE_VAL : integer; attribute C_PROG_FULL_THRESH_NEGATE_VAL of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 1021; attribute C_PROG_FULL_TYPE : integer; attribute C_PROG_FULL_TYPE of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_PROG_FULL_TYPE_AXIS : integer; attribute C_PROG_FULL_TYPE_AXIS of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_PROG_FULL_TYPE_RACH : integer; attribute C_PROG_FULL_TYPE_RACH of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_PROG_FULL_TYPE_RDCH : integer; attribute C_PROG_FULL_TYPE_RDCH of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_PROG_FULL_TYPE_WACH : integer; attribute C_PROG_FULL_TYPE_WACH of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_PROG_FULL_TYPE_WDCH : integer; attribute C_PROG_FULL_TYPE_WDCH of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_PROG_FULL_TYPE_WRCH : integer; attribute C_PROG_FULL_TYPE_WRCH of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_RACH_TYPE : integer; attribute C_RACH_TYPE of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_RDCH_TYPE : integer; attribute C_RDCH_TYPE of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_RD_DATA_COUNT_WIDTH : integer; attribute C_RD_DATA_COUNT_WIDTH of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 10; attribute C_RD_DEPTH : integer; attribute C_RD_DEPTH of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 1024; attribute C_RD_FREQ : integer; attribute C_RD_FREQ of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 1; attribute C_RD_PNTR_WIDTH : integer; attribute C_RD_PNTR_WIDTH of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 10; attribute C_REG_SLICE_MODE_AXIS : integer; attribute C_REG_SLICE_MODE_AXIS of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_REG_SLICE_MODE_RACH : integer; attribute C_REG_SLICE_MODE_RACH of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_REG_SLICE_MODE_RDCH : integer; attribute C_REG_SLICE_MODE_RDCH of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_REG_SLICE_MODE_WACH : integer; attribute C_REG_SLICE_MODE_WACH of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_REG_SLICE_MODE_WDCH : integer; attribute C_REG_SLICE_MODE_WDCH of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_REG_SLICE_MODE_WRCH : integer; attribute C_REG_SLICE_MODE_WRCH of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_SELECT_XPM : integer; attribute C_SELECT_XPM of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_SYNCHRONIZER_STAGE : integer; attribute C_SYNCHRONIZER_STAGE of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 3; attribute C_UNDERFLOW_LOW : integer; attribute C_UNDERFLOW_LOW of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_USE_COMMON_OVERFLOW : integer; attribute C_USE_COMMON_OVERFLOW of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_USE_COMMON_UNDERFLOW : integer; attribute C_USE_COMMON_UNDERFLOW of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_USE_DEFAULT_SETTINGS : integer; attribute C_USE_DEFAULT_SETTINGS of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_USE_DOUT_RST : integer; attribute C_USE_DOUT_RST of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 1; attribute C_USE_ECC : integer; attribute C_USE_ECC of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_USE_ECC_AXIS : integer; attribute C_USE_ECC_AXIS of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_USE_ECC_RACH : integer; attribute C_USE_ECC_RACH of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_USE_ECC_RDCH : integer; attribute C_USE_ECC_RDCH of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_USE_ECC_WACH : integer; attribute C_USE_ECC_WACH of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_USE_ECC_WDCH : integer; attribute C_USE_ECC_WDCH of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_USE_ECC_WRCH : integer; attribute C_USE_ECC_WRCH of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_USE_EMBEDDED_REG : integer; attribute C_USE_EMBEDDED_REG of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_USE_FIFO16_FLAGS : integer; attribute C_USE_FIFO16_FLAGS of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_USE_FWFT_DATA_COUNT : integer; attribute C_USE_FWFT_DATA_COUNT of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_USE_PIPELINE_REG : integer; attribute C_USE_PIPELINE_REG of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_VALID_LOW : integer; attribute C_VALID_LOW of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_WACH_TYPE : integer; attribute C_WACH_TYPE of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_WDCH_TYPE : integer; attribute C_WDCH_TYPE of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_WRCH_TYPE : integer; attribute C_WRCH_TYPE of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_WR_ACK_LOW : integer; attribute C_WR_ACK_LOW of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_WR_DATA_COUNT_WIDTH : integer; attribute C_WR_DATA_COUNT_WIDTH of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 10; attribute C_WR_DEPTH : integer; attribute C_WR_DEPTH of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 1024; attribute C_WR_DEPTH_AXIS : integer; attribute C_WR_DEPTH_AXIS of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 1024; attribute C_WR_DEPTH_RACH : integer; attribute C_WR_DEPTH_RACH of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 16; attribute C_WR_DEPTH_RDCH : integer; attribute C_WR_DEPTH_RDCH of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 16; attribute C_WR_DEPTH_WACH : integer; attribute C_WR_DEPTH_WACH of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 16; attribute C_WR_DEPTH_WDCH : integer; attribute C_WR_DEPTH_WDCH of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 16; attribute C_WR_DEPTH_WRCH : integer; attribute C_WR_DEPTH_WRCH of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 16; attribute C_WR_FREQ : integer; attribute C_WR_FREQ of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 1; attribute C_WR_PNTR_WIDTH : integer; attribute C_WR_PNTR_WIDTH of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 10; attribute C_WR_PNTR_WIDTH_AXIS : integer; attribute C_WR_PNTR_WIDTH_AXIS of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 10; attribute C_WR_PNTR_WIDTH_RACH : integer; attribute C_WR_PNTR_WIDTH_RACH of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 4; attribute C_WR_PNTR_WIDTH_RDCH : integer; attribute C_WR_PNTR_WIDTH_RDCH of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 4; attribute C_WR_PNTR_WIDTH_WACH : integer; attribute C_WR_PNTR_WIDTH_WACH of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 4; attribute C_WR_PNTR_WIDTH_WDCH : integer; attribute C_WR_PNTR_WIDTH_WDCH of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 4; attribute C_WR_PNTR_WIDTH_WRCH : integer; attribute C_WR_PNTR_WIDTH_WRCH of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 4; attribute C_WR_RESPONSE_LATENCY : integer; attribute C_WR_RESPONSE_LATENCY of bd_auto_cc_0_fifo_generator_v13_1_3 : entity is 1; end bd_auto_cc_0_fifo_generator_v13_1_3; architecture STRUCTURE of bd_auto_cc_0_fifo_generator_v13_1_3 is signal \<const0>\ : STD_LOGIC; begin almost_empty <= \<const0>\; almost_full <= \<const0>\; axi_ar_data_count(4) <= \<const0>\; axi_ar_data_count(3) <= \<const0>\; axi_ar_data_count(2) <= \<const0>\; axi_ar_data_count(1) <= \<const0>\; axi_ar_data_count(0) <= \<const0>\; axi_ar_dbiterr <= \<const0>\; axi_ar_overflow <= \<const0>\; axi_ar_prog_empty <= \<const0>\; axi_ar_prog_full <= \<const0>\; axi_ar_rd_data_count(4) <= \<const0>\; axi_ar_rd_data_count(3) <= \<const0>\; axi_ar_rd_data_count(2) <= \<const0>\; axi_ar_rd_data_count(1) <= \<const0>\; axi_ar_rd_data_count(0) <= \<const0>\; axi_ar_sbiterr <= \<const0>\; axi_ar_underflow <= \<const0>\; axi_ar_wr_data_count(4) <= \<const0>\; axi_ar_wr_data_count(3) <= \<const0>\; axi_ar_wr_data_count(2) <= \<const0>\; axi_ar_wr_data_count(1) <= \<const0>\; axi_ar_wr_data_count(0) <= \<const0>\; axi_aw_data_count(4) <= \<const0>\; axi_aw_data_count(3) <= \<const0>\; axi_aw_data_count(2) <= \<const0>\; axi_aw_data_count(1) <= \<const0>\; axi_aw_data_count(0) <= \<const0>\; axi_aw_dbiterr <= \<const0>\; axi_aw_overflow <= \<const0>\; axi_aw_prog_empty <= \<const0>\; axi_aw_prog_full <= \<const0>\; axi_aw_rd_data_count(4) <= \<const0>\; axi_aw_rd_data_count(3) <= \<const0>\; axi_aw_rd_data_count(2) <= \<const0>\; axi_aw_rd_data_count(1) <= \<const0>\; axi_aw_rd_data_count(0) <= \<const0>\; axi_aw_sbiterr <= \<const0>\; axi_aw_underflow <= \<const0>\; axi_aw_wr_data_count(4) <= \<const0>\; axi_aw_wr_data_count(3) <= \<const0>\; axi_aw_wr_data_count(2) <= \<const0>\; axi_aw_wr_data_count(1) <= \<const0>\; axi_aw_wr_data_count(0) <= \<const0>\; axi_b_data_count(4) <= \<const0>\; axi_b_data_count(3) <= \<const0>\; axi_b_data_count(2) <= \<const0>\; axi_b_data_count(1) <= \<const0>\; axi_b_data_count(0) <= \<const0>\; axi_b_dbiterr <= \<const0>\; axi_b_overflow <= \<const0>\; axi_b_prog_empty <= \<const0>\; axi_b_prog_full <= \<const0>\; axi_b_rd_data_count(4) <= \<const0>\; axi_b_rd_data_count(3) <= \<const0>\; axi_b_rd_data_count(2) <= \<const0>\; axi_b_rd_data_count(1) <= \<const0>\; axi_b_rd_data_count(0) <= \<const0>\; axi_b_sbiterr <= \<const0>\; axi_b_underflow <= \<const0>\; axi_b_wr_data_count(4) <= \<const0>\; axi_b_wr_data_count(3) <= \<const0>\; axi_b_wr_data_count(2) <= \<const0>\; axi_b_wr_data_count(1) <= \<const0>\; axi_b_wr_data_count(0) <= \<const0>\; axi_r_data_count(4) <= \<const0>\; axi_r_data_count(3) <= \<const0>\; axi_r_data_count(2) <= \<const0>\; axi_r_data_count(1) <= \<const0>\; axi_r_data_count(0) <= \<const0>\; axi_r_dbiterr <= \<const0>\; axi_r_overflow <= \<const0>\; axi_r_prog_empty <= \<const0>\; axi_r_prog_full <= \<const0>\; axi_r_rd_data_count(4) <= \<const0>\; axi_r_rd_data_count(3) <= \<const0>\; axi_r_rd_data_count(2) <= \<const0>\; axi_r_rd_data_count(1) <= \<const0>\; axi_r_rd_data_count(0) <= \<const0>\; axi_r_sbiterr <= \<const0>\; axi_r_underflow <= \<const0>\; axi_r_wr_data_count(4) <= \<const0>\; axi_r_wr_data_count(3) <= \<const0>\; axi_r_wr_data_count(2) <= \<const0>\; axi_r_wr_data_count(1) <= \<const0>\; axi_r_wr_data_count(0) <= \<const0>\; axi_w_data_count(4) <= \<const0>\; axi_w_data_count(3) <= \<const0>\; axi_w_data_count(2) <= \<const0>\; axi_w_data_count(1) <= \<const0>\; axi_w_data_count(0) <= \<const0>\; axi_w_dbiterr <= \<const0>\; axi_w_overflow <= \<const0>\; axi_w_prog_empty <= \<const0>\; axi_w_prog_full <= \<const0>\; axi_w_rd_data_count(4) <= \<const0>\; axi_w_rd_data_count(3) <= \<const0>\; axi_w_rd_data_count(2) <= \<const0>\; axi_w_rd_data_count(1) <= \<const0>\; axi_w_rd_data_count(0) <= \<const0>\; axi_w_sbiterr <= \<const0>\; axi_w_underflow <= \<const0>\; axi_w_wr_data_count(4) <= \<const0>\; axi_w_wr_data_count(3) <= \<const0>\; axi_w_wr_data_count(2) <= \<const0>\; axi_w_wr_data_count(1) <= \<const0>\; axi_w_wr_data_count(0) <= \<const0>\; axis_data_count(10) <= \<const0>\; axis_data_count(9) <= \<const0>\; axis_data_count(8) <= \<const0>\; axis_data_count(7) <= \<const0>\; axis_data_count(6) <= \<const0>\; axis_data_count(5) <= \<const0>\; axis_data_count(4) <= \<const0>\; axis_data_count(3) <= \<const0>\; axis_data_count(2) <= \<const0>\; axis_data_count(1) <= \<const0>\; axis_data_count(0) <= \<const0>\; axis_dbiterr <= \<const0>\; axis_overflow <= \<const0>\; axis_prog_empty <= \<const0>\; axis_prog_full <= \<const0>\; axis_rd_data_count(10) <= \<const0>\; axis_rd_data_count(9) <= \<const0>\; axis_rd_data_count(8) <= \<const0>\; axis_rd_data_count(7) <= \<const0>\; axis_rd_data_count(6) <= \<const0>\; axis_rd_data_count(5) <= \<const0>\; axis_rd_data_count(4) <= \<const0>\; axis_rd_data_count(3) <= \<const0>\; axis_rd_data_count(2) <= \<const0>\; axis_rd_data_count(1) <= \<const0>\; axis_rd_data_count(0) <= \<const0>\; axis_sbiterr <= \<const0>\; axis_underflow <= \<const0>\; axis_wr_data_count(10) <= \<const0>\; axis_wr_data_count(9) <= \<const0>\; axis_wr_data_count(8) <= \<const0>\; axis_wr_data_count(7) <= \<const0>\; axis_wr_data_count(6) <= \<const0>\; axis_wr_data_count(5) <= \<const0>\; axis_wr_data_count(4) <= \<const0>\; axis_wr_data_count(3) <= \<const0>\; axis_wr_data_count(2) <= \<const0>\; axis_wr_data_count(1) <= \<const0>\; axis_wr_data_count(0) <= \<const0>\; data_count(9) <= \<const0>\; data_count(8) <= \<const0>\; data_count(7) <= \<const0>\; data_count(6) <= \<const0>\; data_count(5) <= \<const0>\; data_count(4) <= \<const0>\; data_count(3) <= \<const0>\; data_count(2) <= \<const0>\; data_count(1) <= \<const0>\; data_count(0) <= \<const0>\; dbiterr <= \<const0>\; dout(17) <= \<const0>\; dout(16) <= \<const0>\; dout(15) <= \<const0>\; dout(14) <= \<const0>\; dout(13) <= \<const0>\; dout(12) <= \<const0>\; dout(11) <= \<const0>\; dout(10) <= \<const0>\; dout(9) <= \<const0>\; dout(8) <= \<const0>\; dout(7) <= \<const0>\; dout(6) <= \<const0>\; dout(5) <= \<const0>\; dout(4) <= \<const0>\; dout(3) <= \<const0>\; dout(2) <= \<const0>\; dout(1) <= \<const0>\; dout(0) <= \<const0>\; empty <= \<const0>\; full <= \<const0>\; m_axi_aruser(0) <= \<const0>\; m_axi_awuser(0) <= \<const0>\; m_axi_wid(3) <= \<const0>\; m_axi_wid(2) <= \<const0>\; m_axi_wid(1) <= \<const0>\; m_axi_wid(0) <= \<const0>\; m_axi_wuser(0) <= \<const0>\; m_axis_tdata(7) <= \<const0>\; m_axis_tdata(6) <= \<const0>\; m_axis_tdata(5) <= \<const0>\; m_axis_tdata(4) <= \<const0>\; m_axis_tdata(3) <= \<const0>\; m_axis_tdata(2) <= \<const0>\; m_axis_tdata(1) <= \<const0>\; m_axis_tdata(0) <= \<const0>\; m_axis_tdest(0) <= \<const0>\; m_axis_tid(0) <= \<const0>\; m_axis_tkeep(0) <= \<const0>\; m_axis_tlast <= \<const0>\; m_axis_tstrb(0) <= \<const0>\; m_axis_tuser(3) <= \<const0>\; m_axis_tuser(2) <= \<const0>\; m_axis_tuser(1) <= \<const0>\; m_axis_tuser(0) <= \<const0>\; m_axis_tvalid <= \<const0>\; overflow <= \<const0>\; prog_empty <= \<const0>\; prog_full <= \<const0>\; rd_data_count(9) <= \<const0>\; rd_data_count(8) <= \<const0>\; rd_data_count(7) <= \<const0>\; rd_data_count(6) <= \<const0>\; rd_data_count(5) <= \<const0>\; rd_data_count(4) <= \<const0>\; rd_data_count(3) <= \<const0>\; rd_data_count(2) <= \<const0>\; rd_data_count(1) <= \<const0>\; rd_data_count(0) <= \<const0>\; rd_rst_busy <= \<const0>\; s_axi_buser(0) <= \<const0>\; s_axi_ruser(0) <= \<const0>\; s_axis_tready <= \<const0>\; sbiterr <= \<const0>\; underflow <= \<const0>\; valid <= \<const0>\; wr_ack <= \<const0>\; wr_data_count(9) <= \<const0>\; wr_data_count(8) <= \<const0>\; wr_data_count(7) <= \<const0>\; wr_data_count(6) <= \<const0>\; wr_data_count(5) <= \<const0>\; wr_data_count(4) <= \<const0>\; wr_data_count(3) <= \<const0>\; wr_data_count(2) <= \<const0>\; wr_data_count(1) <= \<const0>\; wr_data_count(0) <= \<const0>\; wr_rst_busy <= \<const0>\; GND: unisim.vcomponents.GND port map ( G => \<const0>\ ); inst_fifo_gen: entity work.bd_auto_cc_0_fifo_generator_v13_1_3_synth port map ( DI(64 downto 61) => s_axi_awid(3 downto 0), DI(60 downto 29) => s_axi_awaddr(31 downto 0), DI(28 downto 21) => s_axi_awlen(7 downto 0), DI(20 downto 18) => s_axi_awsize(2 downto 0), DI(17 downto 16) => s_axi_awburst(1 downto 0), DI(15) => s_axi_awlock(0), DI(14 downto 11) => s_axi_awcache(3 downto 0), DI(10 downto 8) => s_axi_awprot(2 downto 0), DI(7 downto 4) => s_axi_awqos(3 downto 0), DI(3 downto 0) => s_axi_awregion(3 downto 0), I115(36 downto 5) => s_axi_wdata(31 downto 0), I115(4 downto 1) => s_axi_wstrb(3 downto 0), I115(0) => s_axi_wlast, I123(64 downto 61) => s_axi_arid(3 downto 0), I123(60 downto 29) => s_axi_araddr(31 downto 0), I123(28 downto 21) => s_axi_arlen(7 downto 0), I123(20 downto 18) => s_axi_arsize(2 downto 0), I123(17 downto 16) => s_axi_arburst(1 downto 0), I123(15) => s_axi_arlock(0), I123(14 downto 11) => s_axi_arcache(3 downto 0), I123(10 downto 8) => s_axi_arprot(2 downto 0), I123(7 downto 4) => s_axi_arqos(3 downto 0), I123(3 downto 0) => s_axi_arregion(3 downto 0), I127(38 downto 35) => m_axi_rid(3 downto 0), I127(34 downto 3) => m_axi_rdata(31 downto 0), I127(2 downto 1) => m_axi_rresp(1 downto 0), I127(0) => m_axi_rlast, Q(64 downto 61) => m_axi_awid(3 downto 0), Q(60 downto 29) => m_axi_awaddr(31 downto 0), Q(28 downto 21) => m_axi_awlen(7 downto 0), Q(20 downto 18) => m_axi_awsize(2 downto 0), Q(17 downto 16) => m_axi_awburst(1 downto 0), Q(15) => m_axi_awlock(0), Q(14 downto 11) => m_axi_awcache(3 downto 0), Q(10 downto 8) => m_axi_awprot(2 downto 0), Q(7 downto 4) => m_axi_awqos(3 downto 0), Q(3 downto 0) => m_axi_awregion(3 downto 0), m_aclk => m_aclk, \m_axi_arid[3]\(64 downto 61) => m_axi_arid(3 downto 0), \m_axi_arid[3]\(60 downto 29) => m_axi_araddr(31 downto 0), \m_axi_arid[3]\(28 downto 21) => m_axi_arlen(7 downto 0), \m_axi_arid[3]\(20 downto 18) => m_axi_arsize(2 downto 0), \m_axi_arid[3]\(17 downto 16) => m_axi_arburst(1 downto 0), \m_axi_arid[3]\(15) => m_axi_arlock(0), \m_axi_arid[3]\(14 downto 11) => m_axi_arcache(3 downto 0), \m_axi_arid[3]\(10 downto 8) => m_axi_arprot(2 downto 0), \m_axi_arid[3]\(7 downto 4) => m_axi_arqos(3 downto 0), \m_axi_arid[3]\(3 downto 0) => m_axi_arregion(3 downto 0), m_axi_arready => m_axi_arready, m_axi_arvalid => m_axi_arvalid, m_axi_awready => m_axi_awready, m_axi_awvalid => m_axi_awvalid, m_axi_bid(3 downto 0) => m_axi_bid(3 downto 0), m_axi_bready => m_axi_bready, m_axi_bresp(1 downto 0) => m_axi_bresp(1 downto 0), m_axi_bvalid => m_axi_bvalid, m_axi_rready => m_axi_rready, m_axi_rvalid => m_axi_rvalid, \m_axi_wdata[31]\(36 downto 5) => m_axi_wdata(31 downto 0), \m_axi_wdata[31]\(4 downto 1) => m_axi_wstrb(3 downto 0), \m_axi_wdata[31]\(0) => m_axi_wlast, m_axi_wready => m_axi_wready, m_axi_wvalid => m_axi_wvalid, s_aclk => s_aclk, s_aresetn => s_aresetn, s_axi_arready => s_axi_arready, s_axi_arvalid => s_axi_arvalid, s_axi_awready => s_axi_awready, s_axi_awvalid => s_axi_awvalid, \s_axi_bid[3]\(5 downto 2) => s_axi_bid(3 downto 0), \s_axi_bid[3]\(1 downto 0) => s_axi_bresp(1 downto 0), s_axi_bready => s_axi_bready, s_axi_bvalid => s_axi_bvalid, \s_axi_rid[3]\(38 downto 35) => s_axi_rid(3 downto 0), \s_axi_rid[3]\(34 downto 3) => s_axi_rdata(31 downto 0), \s_axi_rid[3]\(2 downto 1) => s_axi_rresp(1 downto 0), \s_axi_rid[3]\(0) => s_axi_rlast, s_axi_rready => s_axi_rready, s_axi_rvalid => s_axi_rvalid, s_axi_wready => s_axi_wready, s_axi_wvalid => s_axi_wvalid ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity bd_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter is port ( s_axi_aclk : in STD_LOGIC; s_axi_aresetn : in STD_LOGIC; s_axi_awid : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awlock : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awregion : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awuser : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_awvalid : in STD_LOGIC; s_axi_awready : out STD_LOGIC; s_axi_wid : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_wlast : in STD_LOGIC; s_axi_wuser : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_wvalid : in STD_LOGIC; s_axi_wready : out STD_LOGIC; s_axi_bid : out STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_buser : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_bvalid : out STD_LOGIC; s_axi_bready : in STD_LOGIC; s_axi_arid : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arlock : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arregion : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_aruser : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_arvalid : in STD_LOGIC; s_axi_arready : out STD_LOGIC; s_axi_rid : out STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_rlast : out STD_LOGIC; s_axi_ruser : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_rvalid : out STD_LOGIC; s_axi_rready : in STD_LOGIC; m_axi_aclk : in STD_LOGIC; m_axi_aresetn : in STD_LOGIC; m_axi_awid : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_awlen : out STD_LOGIC_VECTOR ( 7 downto 0 ); m_axi_awsize : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_awburst : out STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_awlock : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_awcache : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_awregion : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awqos : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awuser : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_awvalid : out STD_LOGIC; m_axi_awready : in STD_LOGIC; m_axi_wid : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_wlast : out STD_LOGIC; m_axi_wuser : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_wvalid : out STD_LOGIC; m_axi_wready : in STD_LOGIC; m_axi_bid : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_buser : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_bvalid : in STD_LOGIC; m_axi_bready : out STD_LOGIC; m_axi_arid : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_arlen : out STD_LOGIC_VECTOR ( 7 downto 0 ); m_axi_arsize : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_arburst : out STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_arlock : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_arcache : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_arregion : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_arqos : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_aruser : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_arvalid : out STD_LOGIC; m_axi_arready : in STD_LOGIC; m_axi_rid : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_rlast : in STD_LOGIC; m_axi_ruser : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_rvalid : in STD_LOGIC; m_axi_rready : out STD_LOGIC ); attribute C_ARADDR_RIGHT : integer; attribute C_ARADDR_RIGHT of bd_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 29; attribute C_ARADDR_WIDTH : integer; attribute C_ARADDR_WIDTH of bd_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 32; attribute C_ARBURST_RIGHT : integer; attribute C_ARBURST_RIGHT of bd_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 16; attribute C_ARBURST_WIDTH : integer; attribute C_ARBURST_WIDTH of bd_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 2; attribute C_ARCACHE_RIGHT : integer; attribute C_ARCACHE_RIGHT of bd_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 11; attribute C_ARCACHE_WIDTH : integer; attribute C_ARCACHE_WIDTH of bd_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 4; attribute C_ARID_RIGHT : integer; attribute C_ARID_RIGHT of bd_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 61; attribute C_ARID_WIDTH : integer; attribute C_ARID_WIDTH of bd_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 4; attribute C_ARLEN_RIGHT : integer; attribute C_ARLEN_RIGHT of bd_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 21; attribute C_ARLEN_WIDTH : integer; attribute C_ARLEN_WIDTH of bd_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 8; attribute C_ARLOCK_RIGHT : integer; attribute C_ARLOCK_RIGHT of bd_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 15; attribute C_ARLOCK_WIDTH : integer; attribute C_ARLOCK_WIDTH of bd_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 1; attribute C_ARPROT_RIGHT : integer; attribute C_ARPROT_RIGHT of bd_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 8; attribute C_ARPROT_WIDTH : integer; attribute C_ARPROT_WIDTH of bd_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 3; attribute C_ARQOS_RIGHT : integer; attribute C_ARQOS_RIGHT of bd_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 0; attribute C_ARQOS_WIDTH : integer; attribute C_ARQOS_WIDTH of bd_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 4; attribute C_ARREGION_RIGHT : integer; attribute C_ARREGION_RIGHT of bd_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 4; attribute C_ARREGION_WIDTH : integer; attribute C_ARREGION_WIDTH of bd_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 4; attribute C_ARSIZE_RIGHT : integer; attribute C_ARSIZE_RIGHT of bd_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 18; attribute C_ARSIZE_WIDTH : integer; attribute C_ARSIZE_WIDTH of bd_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 3; attribute C_ARUSER_RIGHT : integer; attribute C_ARUSER_RIGHT of bd_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 0; attribute C_ARUSER_WIDTH : integer; attribute C_ARUSER_WIDTH of bd_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 0; attribute C_AR_WIDTH : integer; attribute C_AR_WIDTH of bd_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 65; attribute C_AWADDR_RIGHT : integer; attribute C_AWADDR_RIGHT of bd_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 29; attribute C_AWADDR_WIDTH : integer; attribute C_AWADDR_WIDTH of bd_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 32; attribute C_AWBURST_RIGHT : integer; attribute C_AWBURST_RIGHT of bd_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 16; attribute C_AWBURST_WIDTH : integer; attribute C_AWBURST_WIDTH of bd_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 2; attribute C_AWCACHE_RIGHT : integer; attribute C_AWCACHE_RIGHT of bd_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 11; attribute C_AWCACHE_WIDTH : integer; attribute C_AWCACHE_WIDTH of bd_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 4; attribute C_AWID_RIGHT : integer; attribute C_AWID_RIGHT of bd_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 61; attribute C_AWID_WIDTH : integer; attribute C_AWID_WIDTH of bd_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 4; attribute C_AWLEN_RIGHT : integer; attribute C_AWLEN_RIGHT of bd_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 21; attribute C_AWLEN_WIDTH : integer; attribute C_AWLEN_WIDTH of bd_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 8; attribute C_AWLOCK_RIGHT : integer; attribute C_AWLOCK_RIGHT of bd_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 15; attribute C_AWLOCK_WIDTH : integer; attribute C_AWLOCK_WIDTH of bd_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 1; attribute C_AWPROT_RIGHT : integer; attribute C_AWPROT_RIGHT of bd_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 8; attribute C_AWPROT_WIDTH : integer; attribute C_AWPROT_WIDTH of bd_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 3; attribute C_AWQOS_RIGHT : integer; attribute C_AWQOS_RIGHT of bd_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 0; attribute C_AWQOS_WIDTH : integer; attribute C_AWQOS_WIDTH of bd_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 4; attribute C_AWREGION_RIGHT : integer; attribute C_AWREGION_RIGHT of bd_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 4; attribute C_AWREGION_WIDTH : integer; attribute C_AWREGION_WIDTH of bd_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 4; attribute C_AWSIZE_RIGHT : integer; attribute C_AWSIZE_RIGHT of bd_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 18; attribute C_AWSIZE_WIDTH : integer; attribute C_AWSIZE_WIDTH of bd_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 3; attribute C_AWUSER_RIGHT : integer; attribute C_AWUSER_RIGHT of bd_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 0; attribute C_AWUSER_WIDTH : integer; attribute C_AWUSER_WIDTH of bd_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 0; attribute C_AW_WIDTH : integer; attribute C_AW_WIDTH of bd_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 65; attribute C_AXI_ADDR_WIDTH : integer; attribute C_AXI_ADDR_WIDTH of bd_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 32; attribute C_AXI_ARUSER_WIDTH : integer; attribute C_AXI_ARUSER_WIDTH of bd_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 1; attribute C_AXI_AWUSER_WIDTH : integer; attribute C_AXI_AWUSER_WIDTH of bd_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 1; attribute C_AXI_BUSER_WIDTH : integer; attribute C_AXI_BUSER_WIDTH of bd_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 1; attribute C_AXI_DATA_WIDTH : integer; attribute C_AXI_DATA_WIDTH of bd_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 32; attribute C_AXI_ID_WIDTH : integer; attribute C_AXI_ID_WIDTH of bd_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 4; attribute C_AXI_IS_ACLK_ASYNC : integer; attribute C_AXI_IS_ACLK_ASYNC of bd_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 1; attribute C_AXI_PROTOCOL : integer; attribute C_AXI_PROTOCOL of bd_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 0; attribute C_AXI_RUSER_WIDTH : integer; attribute C_AXI_RUSER_WIDTH of bd_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 1; attribute C_AXI_SUPPORTS_READ : integer; attribute C_AXI_SUPPORTS_READ of bd_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 1; attribute C_AXI_SUPPORTS_USER_SIGNALS : integer; attribute C_AXI_SUPPORTS_USER_SIGNALS of bd_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 0; attribute C_AXI_SUPPORTS_WRITE : integer; attribute C_AXI_SUPPORTS_WRITE of bd_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 1; attribute C_AXI_WUSER_WIDTH : integer; attribute C_AXI_WUSER_WIDTH of bd_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 1; attribute C_BID_RIGHT : integer; attribute C_BID_RIGHT of bd_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 2; attribute C_BID_WIDTH : integer; attribute C_BID_WIDTH of bd_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 4; attribute C_BRESP_RIGHT : integer; attribute C_BRESP_RIGHT of bd_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 0; attribute C_BRESP_WIDTH : integer; attribute C_BRESP_WIDTH of bd_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 2; attribute C_BUSER_RIGHT : integer; attribute C_BUSER_RIGHT of bd_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 0; attribute C_BUSER_WIDTH : integer; attribute C_BUSER_WIDTH of bd_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 0; attribute C_B_WIDTH : integer; attribute C_B_WIDTH of bd_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 6; attribute C_FAMILY : string; attribute C_FAMILY of bd_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is "artix7"; attribute C_FIFO_AR_WIDTH : integer; attribute C_FIFO_AR_WIDTH of bd_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 65; attribute C_FIFO_AW_WIDTH : integer; attribute C_FIFO_AW_WIDTH of bd_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 65; attribute C_FIFO_B_WIDTH : integer; attribute C_FIFO_B_WIDTH of bd_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 6; attribute C_FIFO_R_WIDTH : integer; attribute C_FIFO_R_WIDTH of bd_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 39; attribute C_FIFO_W_WIDTH : integer; attribute C_FIFO_W_WIDTH of bd_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 37; attribute C_M_AXI_ACLK_RATIO : integer; attribute C_M_AXI_ACLK_RATIO of bd_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 2; attribute C_RDATA_RIGHT : integer; attribute C_RDATA_RIGHT of bd_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 3; attribute C_RDATA_WIDTH : integer; attribute C_RDATA_WIDTH of bd_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 32; attribute C_RID_RIGHT : integer; attribute C_RID_RIGHT of bd_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 35; attribute C_RID_WIDTH : integer; attribute C_RID_WIDTH of bd_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 4; attribute C_RLAST_RIGHT : integer; attribute C_RLAST_RIGHT of bd_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 0; attribute C_RLAST_WIDTH : integer; attribute C_RLAST_WIDTH of bd_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 1; attribute C_RRESP_RIGHT : integer; attribute C_RRESP_RIGHT of bd_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 1; attribute C_RRESP_WIDTH : integer; attribute C_RRESP_WIDTH of bd_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 2; attribute C_RUSER_RIGHT : integer; attribute C_RUSER_RIGHT of bd_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 0; attribute C_RUSER_WIDTH : integer; attribute C_RUSER_WIDTH of bd_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 0; attribute C_R_WIDTH : integer; attribute C_R_WIDTH of bd_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 39; attribute C_SYNCHRONIZER_STAGE : integer; attribute C_SYNCHRONIZER_STAGE of bd_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 3; attribute C_S_AXI_ACLK_RATIO : integer; attribute C_S_AXI_ACLK_RATIO of bd_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 1; attribute C_WDATA_RIGHT : integer; attribute C_WDATA_RIGHT of bd_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 5; attribute C_WDATA_WIDTH : integer; attribute C_WDATA_WIDTH of bd_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 32; attribute C_WID_RIGHT : integer; attribute C_WID_RIGHT of bd_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 37; attribute C_WID_WIDTH : integer; attribute C_WID_WIDTH of bd_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 0; attribute C_WLAST_RIGHT : integer; attribute C_WLAST_RIGHT of bd_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 0; attribute C_WLAST_WIDTH : integer; attribute C_WLAST_WIDTH of bd_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 1; attribute C_WSTRB_RIGHT : integer; attribute C_WSTRB_RIGHT of bd_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 1; attribute C_WSTRB_WIDTH : integer; attribute C_WSTRB_WIDTH of bd_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 4; attribute C_WUSER_RIGHT : integer; attribute C_WUSER_RIGHT of bd_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 0; attribute C_WUSER_WIDTH : integer; attribute C_WUSER_WIDTH of bd_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 0; attribute C_W_WIDTH : integer; attribute C_W_WIDTH of bd_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 37; attribute DowngradeIPIdentifiedWarnings : string; attribute DowngradeIPIdentifiedWarnings of bd_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is "yes"; attribute P_ACLK_RATIO : integer; attribute P_ACLK_RATIO of bd_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 2; attribute P_AXI3 : integer; attribute P_AXI3 of bd_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 1; attribute P_AXI4 : integer; attribute P_AXI4 of bd_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 0; attribute P_AXILITE : integer; attribute P_AXILITE of bd_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 2; attribute P_FULLY_REG : integer; attribute P_FULLY_REG of bd_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 1; attribute P_LIGHT_WT : integer; attribute P_LIGHT_WT of bd_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 0; attribute P_LUTRAM_ASYNC : integer; attribute P_LUTRAM_ASYNC of bd_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 12; attribute P_ROUNDING_OFFSET : integer; attribute P_ROUNDING_OFFSET of bd_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 0; attribute P_SI_LT_MI : string; attribute P_SI_LT_MI of bd_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is "1'b1"; end bd_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter; architecture STRUCTURE of bd_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter is signal \<const0>\ : STD_LOGIC; signal async_conv_reset_n : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_almost_empty_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_almost_full_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_ar_dbiterr_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_ar_overflow_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_ar_prog_empty_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_ar_prog_full_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_ar_sbiterr_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_ar_underflow_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_aw_dbiterr_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_aw_overflow_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_aw_prog_empty_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_aw_prog_full_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_aw_sbiterr_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_aw_underflow_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_b_dbiterr_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_b_overflow_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_b_prog_empty_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_b_prog_full_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_b_sbiterr_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_b_underflow_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_r_dbiterr_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_r_overflow_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_r_prog_empty_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_r_prog_full_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_r_sbiterr_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_r_underflow_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_w_dbiterr_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_w_overflow_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_w_prog_empty_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_w_prog_full_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_w_sbiterr_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_w_underflow_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axis_dbiterr_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axis_overflow_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axis_prog_empty_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axis_prog_full_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axis_sbiterr_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axis_underflow_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_dbiterr_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_empty_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_full_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_m_axis_tlast_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_m_axis_tvalid_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_overflow_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_prog_empty_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_prog_full_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_rd_rst_busy_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_s_axis_tready_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_sbiterr_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_underflow_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_valid_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_wr_ack_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_wr_rst_busy_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_ar_data_count_UNCONNECTED\ : STD_LOGIC_VECTOR ( 4 downto 0 ); signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_ar_rd_data_count_UNCONNECTED\ : STD_LOGIC_VECTOR ( 4 downto 0 ); signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_ar_wr_data_count_UNCONNECTED\ : STD_LOGIC_VECTOR ( 4 downto 0 ); signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_aw_data_count_UNCONNECTED\ : STD_LOGIC_VECTOR ( 4 downto 0 ); signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_aw_rd_data_count_UNCONNECTED\ : STD_LOGIC_VECTOR ( 4 downto 0 ); signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_aw_wr_data_count_UNCONNECTED\ : STD_LOGIC_VECTOR ( 4 downto 0 ); signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_b_data_count_UNCONNECTED\ : STD_LOGIC_VECTOR ( 4 downto 0 ); signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_b_rd_data_count_UNCONNECTED\ : STD_LOGIC_VECTOR ( 4 downto 0 ); signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_b_wr_data_count_UNCONNECTED\ : STD_LOGIC_VECTOR ( 4 downto 0 ); signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_r_data_count_UNCONNECTED\ : STD_LOGIC_VECTOR ( 4 downto 0 ); signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_r_rd_data_count_UNCONNECTED\ : STD_LOGIC_VECTOR ( 4 downto 0 ); signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_r_wr_data_count_UNCONNECTED\ : STD_LOGIC_VECTOR ( 4 downto 0 ); signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_w_data_count_UNCONNECTED\ : STD_LOGIC_VECTOR ( 4 downto 0 ); signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_w_rd_data_count_UNCONNECTED\ : STD_LOGIC_VECTOR ( 4 downto 0 ); signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_w_wr_data_count_UNCONNECTED\ : STD_LOGIC_VECTOR ( 4 downto 0 ); signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axis_data_count_UNCONNECTED\ : STD_LOGIC_VECTOR ( 10 downto 0 ); signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axis_rd_data_count_UNCONNECTED\ : STD_LOGIC_VECTOR ( 10 downto 0 ); signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axis_wr_data_count_UNCONNECTED\ : STD_LOGIC_VECTOR ( 10 downto 0 ); signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_data_count_UNCONNECTED\ : STD_LOGIC_VECTOR ( 9 downto 0 ); signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_dout_UNCONNECTED\ : STD_LOGIC_VECTOR ( 17 downto 0 ); signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_m_axi_aruser_UNCONNECTED\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_m_axi_awuser_UNCONNECTED\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_m_axi_wid_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_m_axi_wuser_UNCONNECTED\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_m_axis_tdata_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_m_axis_tdest_UNCONNECTED\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_m_axis_tid_UNCONNECTED\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_m_axis_tkeep_UNCONNECTED\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_m_axis_tstrb_UNCONNECTED\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_m_axis_tuser_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_rd_data_count_UNCONNECTED\ : STD_LOGIC_VECTOR ( 9 downto 0 ); signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_s_axi_buser_UNCONNECTED\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_s_axi_ruser_UNCONNECTED\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_wr_data_count_UNCONNECTED\ : STD_LOGIC_VECTOR ( 9 downto 0 ); attribute C_ADD_NGC_CONSTRAINT : integer; attribute C_ADD_NGC_CONSTRAINT of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_APPLICATION_TYPE_AXIS : integer; attribute C_APPLICATION_TYPE_AXIS of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_APPLICATION_TYPE_RACH : integer; attribute C_APPLICATION_TYPE_RACH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_APPLICATION_TYPE_RDCH : integer; attribute C_APPLICATION_TYPE_RDCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_APPLICATION_TYPE_WACH : integer; attribute C_APPLICATION_TYPE_WACH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_APPLICATION_TYPE_WDCH : integer; attribute C_APPLICATION_TYPE_WDCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_APPLICATION_TYPE_WRCH : integer; attribute C_APPLICATION_TYPE_WRCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_AXIS_TDATA_WIDTH : integer; attribute C_AXIS_TDATA_WIDTH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 8; attribute C_AXIS_TDEST_WIDTH : integer; attribute C_AXIS_TDEST_WIDTH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 1; attribute C_AXIS_TID_WIDTH : integer; attribute C_AXIS_TID_WIDTH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 1; attribute C_AXIS_TKEEP_WIDTH : integer; attribute C_AXIS_TKEEP_WIDTH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 1; attribute C_AXIS_TSTRB_WIDTH : integer; attribute C_AXIS_TSTRB_WIDTH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 1; attribute C_AXIS_TUSER_WIDTH : integer; attribute C_AXIS_TUSER_WIDTH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 4; attribute C_AXIS_TYPE : integer; attribute C_AXIS_TYPE of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_AXI_ADDR_WIDTH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 32; attribute C_AXI_ARUSER_WIDTH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 1; attribute C_AXI_AWUSER_WIDTH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 1; attribute C_AXI_BUSER_WIDTH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 1; attribute C_AXI_DATA_WIDTH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 32; attribute C_AXI_ID_WIDTH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 4; attribute C_AXI_LEN_WIDTH : integer; attribute C_AXI_LEN_WIDTH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 8; attribute C_AXI_LOCK_WIDTH : integer; attribute C_AXI_LOCK_WIDTH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 1; attribute C_AXI_RUSER_WIDTH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 1; attribute C_AXI_TYPE : integer; attribute C_AXI_TYPE of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 1; attribute C_AXI_WUSER_WIDTH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 1; attribute C_COMMON_CLOCK : integer; attribute C_COMMON_CLOCK of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_COUNT_TYPE : integer; attribute C_COUNT_TYPE of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_DATA_COUNT_WIDTH : integer; attribute C_DATA_COUNT_WIDTH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 10; attribute C_DEFAULT_VALUE : string; attribute C_DEFAULT_VALUE of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is "BlankString"; attribute C_DIN_WIDTH : integer; attribute C_DIN_WIDTH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 18; attribute C_DIN_WIDTH_AXIS : integer; attribute C_DIN_WIDTH_AXIS of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 1; attribute C_DIN_WIDTH_RACH : integer; attribute C_DIN_WIDTH_RACH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 65; attribute C_DIN_WIDTH_RDCH : integer; attribute C_DIN_WIDTH_RDCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 39; attribute C_DIN_WIDTH_WACH : integer; attribute C_DIN_WIDTH_WACH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 65; attribute C_DIN_WIDTH_WDCH : integer; attribute C_DIN_WIDTH_WDCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 37; attribute C_DIN_WIDTH_WRCH : integer; attribute C_DIN_WIDTH_WRCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 6; attribute C_DOUT_RST_VAL : string; attribute C_DOUT_RST_VAL of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is "0"; attribute C_DOUT_WIDTH : integer; attribute C_DOUT_WIDTH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 18; attribute C_ENABLE_RLOCS : integer; attribute C_ENABLE_RLOCS of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_ENABLE_RST_SYNC : integer; attribute C_ENABLE_RST_SYNC of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 1; attribute C_EN_SAFETY_CKT : integer; attribute C_EN_SAFETY_CKT of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_ERROR_INJECTION_TYPE : integer; attribute C_ERROR_INJECTION_TYPE of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_ERROR_INJECTION_TYPE_AXIS : integer; attribute C_ERROR_INJECTION_TYPE_AXIS of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_ERROR_INJECTION_TYPE_RACH : integer; attribute C_ERROR_INJECTION_TYPE_RACH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_ERROR_INJECTION_TYPE_RDCH : integer; attribute C_ERROR_INJECTION_TYPE_RDCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_ERROR_INJECTION_TYPE_WACH : integer; attribute C_ERROR_INJECTION_TYPE_WACH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_ERROR_INJECTION_TYPE_WDCH : integer; attribute C_ERROR_INJECTION_TYPE_WDCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_ERROR_INJECTION_TYPE_WRCH : integer; attribute C_ERROR_INJECTION_TYPE_WRCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_FAMILY of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is "artix7"; attribute C_FULL_FLAGS_RST_VAL : integer; attribute C_FULL_FLAGS_RST_VAL of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 1; attribute C_HAS_ALMOST_EMPTY : integer; attribute C_HAS_ALMOST_EMPTY of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_HAS_ALMOST_FULL : integer; attribute C_HAS_ALMOST_FULL of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_HAS_AXIS_TDATA : integer; attribute C_HAS_AXIS_TDATA of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 1; attribute C_HAS_AXIS_TDEST : integer; attribute C_HAS_AXIS_TDEST of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_HAS_AXIS_TID : integer; attribute C_HAS_AXIS_TID of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_HAS_AXIS_TKEEP : integer; attribute C_HAS_AXIS_TKEEP of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_HAS_AXIS_TLAST : integer; attribute C_HAS_AXIS_TLAST of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_HAS_AXIS_TREADY : integer; attribute C_HAS_AXIS_TREADY of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 1; attribute C_HAS_AXIS_TSTRB : integer; attribute C_HAS_AXIS_TSTRB of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_HAS_AXIS_TUSER : integer; attribute C_HAS_AXIS_TUSER of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 1; attribute C_HAS_AXI_ARUSER : integer; attribute C_HAS_AXI_ARUSER of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_HAS_AXI_AWUSER : integer; attribute C_HAS_AXI_AWUSER of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_HAS_AXI_BUSER : integer; attribute C_HAS_AXI_BUSER of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_HAS_AXI_ID : integer; attribute C_HAS_AXI_ID of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 1; attribute C_HAS_AXI_RD_CHANNEL : integer; attribute C_HAS_AXI_RD_CHANNEL of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 1; attribute C_HAS_AXI_RUSER : integer; attribute C_HAS_AXI_RUSER of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_HAS_AXI_WR_CHANNEL : integer; attribute C_HAS_AXI_WR_CHANNEL of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 1; attribute C_HAS_AXI_WUSER : integer; attribute C_HAS_AXI_WUSER of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_HAS_BACKUP : integer; attribute C_HAS_BACKUP of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_HAS_DATA_COUNT : integer; attribute C_HAS_DATA_COUNT of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_HAS_DATA_COUNTS_AXIS : integer; attribute C_HAS_DATA_COUNTS_AXIS of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_HAS_DATA_COUNTS_RACH : integer; attribute C_HAS_DATA_COUNTS_RACH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_HAS_DATA_COUNTS_RDCH : integer; attribute C_HAS_DATA_COUNTS_RDCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_HAS_DATA_COUNTS_WACH : integer; attribute C_HAS_DATA_COUNTS_WACH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_HAS_DATA_COUNTS_WDCH : integer; attribute C_HAS_DATA_COUNTS_WDCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_HAS_DATA_COUNTS_WRCH : integer; attribute C_HAS_DATA_COUNTS_WRCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_HAS_INT_CLK : integer; attribute C_HAS_INT_CLK of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_HAS_MASTER_CE : integer; attribute C_HAS_MASTER_CE of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_HAS_MEMINIT_FILE : integer; attribute C_HAS_MEMINIT_FILE of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_HAS_OVERFLOW : integer; attribute C_HAS_OVERFLOW of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_HAS_PROG_FLAGS_AXIS : integer; attribute C_HAS_PROG_FLAGS_AXIS of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_HAS_PROG_FLAGS_RACH : integer; attribute C_HAS_PROG_FLAGS_RACH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_HAS_PROG_FLAGS_RDCH : integer; attribute C_HAS_PROG_FLAGS_RDCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_HAS_PROG_FLAGS_WACH : integer; attribute C_HAS_PROG_FLAGS_WACH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_HAS_PROG_FLAGS_WDCH : integer; attribute C_HAS_PROG_FLAGS_WDCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_HAS_PROG_FLAGS_WRCH : integer; attribute C_HAS_PROG_FLAGS_WRCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_HAS_RD_DATA_COUNT : integer; attribute C_HAS_RD_DATA_COUNT of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_HAS_RD_RST : integer; attribute C_HAS_RD_RST of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_HAS_RST : integer; attribute C_HAS_RST of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 1; attribute C_HAS_SLAVE_CE : integer; attribute C_HAS_SLAVE_CE of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_HAS_SRST : integer; attribute C_HAS_SRST of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_HAS_UNDERFLOW : integer; attribute C_HAS_UNDERFLOW of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_HAS_VALID : integer; attribute C_HAS_VALID of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_HAS_WR_ACK : integer; attribute C_HAS_WR_ACK of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_HAS_WR_DATA_COUNT : integer; attribute C_HAS_WR_DATA_COUNT of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_HAS_WR_RST : integer; attribute C_HAS_WR_RST of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_IMPLEMENTATION_TYPE : integer; attribute C_IMPLEMENTATION_TYPE of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_IMPLEMENTATION_TYPE_AXIS : integer; attribute C_IMPLEMENTATION_TYPE_AXIS of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 11; attribute C_IMPLEMENTATION_TYPE_RACH : integer; attribute C_IMPLEMENTATION_TYPE_RACH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 12; attribute C_IMPLEMENTATION_TYPE_RDCH : integer; attribute C_IMPLEMENTATION_TYPE_RDCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 12; attribute C_IMPLEMENTATION_TYPE_WACH : integer; attribute C_IMPLEMENTATION_TYPE_WACH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 12; attribute C_IMPLEMENTATION_TYPE_WDCH : integer; attribute C_IMPLEMENTATION_TYPE_WDCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 12; attribute C_IMPLEMENTATION_TYPE_WRCH : integer; attribute C_IMPLEMENTATION_TYPE_WRCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 12; attribute C_INIT_WR_PNTR_VAL : integer; attribute C_INIT_WR_PNTR_VAL of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_INTERFACE_TYPE : integer; attribute C_INTERFACE_TYPE of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 2; attribute C_MEMORY_TYPE : integer; attribute C_MEMORY_TYPE of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 1; attribute C_MIF_FILE_NAME : string; attribute C_MIF_FILE_NAME of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is "BlankString"; attribute C_MSGON_VAL : integer; attribute C_MSGON_VAL of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 1; attribute C_OPTIMIZATION_MODE : integer; attribute C_OPTIMIZATION_MODE of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_OVERFLOW_LOW : integer; attribute C_OVERFLOW_LOW of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_POWER_SAVING_MODE : integer; attribute C_POWER_SAVING_MODE of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_PRELOAD_LATENCY : integer; attribute C_PRELOAD_LATENCY of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 1; attribute C_PRELOAD_REGS : integer; attribute C_PRELOAD_REGS of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_PRIM_FIFO_TYPE : string; attribute C_PRIM_FIFO_TYPE of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is "4kx4"; attribute C_PRIM_FIFO_TYPE_AXIS : string; attribute C_PRIM_FIFO_TYPE_AXIS of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is "512x36"; attribute C_PRIM_FIFO_TYPE_RACH : string; attribute C_PRIM_FIFO_TYPE_RACH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is "512x36"; attribute C_PRIM_FIFO_TYPE_RDCH : string; attribute C_PRIM_FIFO_TYPE_RDCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is "512x36"; attribute C_PRIM_FIFO_TYPE_WACH : string; attribute C_PRIM_FIFO_TYPE_WACH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is "512x36"; attribute C_PRIM_FIFO_TYPE_WDCH : string; attribute C_PRIM_FIFO_TYPE_WDCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is "512x36"; attribute C_PRIM_FIFO_TYPE_WRCH : string; attribute C_PRIM_FIFO_TYPE_WRCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is "512x36"; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 2; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 1021; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 13; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 13; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 13; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 13; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 13; attribute C_PROG_EMPTY_THRESH_NEGATE_VAL : integer; attribute C_PROG_EMPTY_THRESH_NEGATE_VAL of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 3; attribute C_PROG_EMPTY_TYPE : integer; attribute C_PROG_EMPTY_TYPE of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_PROG_EMPTY_TYPE_AXIS : integer; attribute C_PROG_EMPTY_TYPE_AXIS of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_PROG_EMPTY_TYPE_RACH : integer; attribute C_PROG_EMPTY_TYPE_RACH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_PROG_EMPTY_TYPE_RDCH : integer; attribute C_PROG_EMPTY_TYPE_RDCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_PROG_EMPTY_TYPE_WACH : integer; attribute C_PROG_EMPTY_TYPE_WACH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_PROG_EMPTY_TYPE_WDCH : integer; attribute C_PROG_EMPTY_TYPE_WDCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_PROG_EMPTY_TYPE_WRCH : integer; attribute C_PROG_EMPTY_TYPE_WRCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_PROG_FULL_THRESH_ASSERT_VAL : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 1022; attribute C_PROG_FULL_THRESH_ASSERT_VAL_AXIS : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL_AXIS of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 1023; attribute C_PROG_FULL_THRESH_ASSERT_VAL_RACH : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL_RACH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 15; attribute C_PROG_FULL_THRESH_ASSERT_VAL_RDCH : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL_RDCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 15; attribute C_PROG_FULL_THRESH_ASSERT_VAL_WACH : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL_WACH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 15; attribute C_PROG_FULL_THRESH_ASSERT_VAL_WDCH : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL_WDCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 15; attribute C_PROG_FULL_THRESH_ASSERT_VAL_WRCH : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL_WRCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 15; attribute C_PROG_FULL_THRESH_NEGATE_VAL : integer; attribute C_PROG_FULL_THRESH_NEGATE_VAL of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 1021; attribute C_PROG_FULL_TYPE : integer; attribute C_PROG_FULL_TYPE of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_PROG_FULL_TYPE_AXIS : integer; attribute C_PROG_FULL_TYPE_AXIS of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_PROG_FULL_TYPE_RACH : integer; attribute C_PROG_FULL_TYPE_RACH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_PROG_FULL_TYPE_RDCH : integer; attribute C_PROG_FULL_TYPE_RDCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_PROG_FULL_TYPE_WACH : integer; attribute C_PROG_FULL_TYPE_WACH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_PROG_FULL_TYPE_WDCH : integer; attribute C_PROG_FULL_TYPE_WDCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_PROG_FULL_TYPE_WRCH : integer; attribute C_PROG_FULL_TYPE_WRCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_RACH_TYPE : integer; attribute C_RACH_TYPE of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_RDCH_TYPE : integer; attribute C_RDCH_TYPE of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_RD_DATA_COUNT_WIDTH : integer; attribute C_RD_DATA_COUNT_WIDTH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 10; attribute C_RD_DEPTH : integer; attribute C_RD_DEPTH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 1024; attribute C_RD_FREQ : integer; attribute C_RD_FREQ of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 1; attribute C_RD_PNTR_WIDTH : integer; attribute C_RD_PNTR_WIDTH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 10; attribute C_REG_SLICE_MODE_AXIS : integer; attribute C_REG_SLICE_MODE_AXIS of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_REG_SLICE_MODE_RACH : integer; attribute C_REG_SLICE_MODE_RACH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_REG_SLICE_MODE_RDCH : integer; attribute C_REG_SLICE_MODE_RDCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_REG_SLICE_MODE_WACH : integer; attribute C_REG_SLICE_MODE_WACH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_REG_SLICE_MODE_WDCH : integer; attribute C_REG_SLICE_MODE_WDCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_REG_SLICE_MODE_WRCH : integer; attribute C_REG_SLICE_MODE_WRCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_SELECT_XPM : integer; attribute C_SELECT_XPM of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_SYNCHRONIZER_STAGE of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 3; attribute C_UNDERFLOW_LOW : integer; attribute C_UNDERFLOW_LOW of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_USE_COMMON_OVERFLOW : integer; attribute C_USE_COMMON_OVERFLOW of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_USE_COMMON_UNDERFLOW : integer; attribute C_USE_COMMON_UNDERFLOW of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_USE_DEFAULT_SETTINGS : integer; attribute C_USE_DEFAULT_SETTINGS of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_USE_DOUT_RST : integer; attribute C_USE_DOUT_RST of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 1; attribute C_USE_ECC : integer; attribute C_USE_ECC of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_USE_ECC_AXIS : integer; attribute C_USE_ECC_AXIS of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_USE_ECC_RACH : integer; attribute C_USE_ECC_RACH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_USE_ECC_RDCH : integer; attribute C_USE_ECC_RDCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_USE_ECC_WACH : integer; attribute C_USE_ECC_WACH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_USE_ECC_WDCH : integer; attribute C_USE_ECC_WDCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_USE_ECC_WRCH : integer; attribute C_USE_ECC_WRCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_USE_EMBEDDED_REG : integer; attribute C_USE_EMBEDDED_REG of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_USE_FIFO16_FLAGS : integer; attribute C_USE_FIFO16_FLAGS of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_USE_FWFT_DATA_COUNT : integer; attribute C_USE_FWFT_DATA_COUNT of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_USE_PIPELINE_REG : integer; attribute C_USE_PIPELINE_REG of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_VALID_LOW : integer; attribute C_VALID_LOW of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_WACH_TYPE : integer; attribute C_WACH_TYPE of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_WDCH_TYPE : integer; attribute C_WDCH_TYPE of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_WRCH_TYPE : integer; attribute C_WRCH_TYPE of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_WR_ACK_LOW : integer; attribute C_WR_ACK_LOW of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_WR_DATA_COUNT_WIDTH : integer; attribute C_WR_DATA_COUNT_WIDTH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 10; attribute C_WR_DEPTH : integer; attribute C_WR_DEPTH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 1024; attribute C_WR_DEPTH_AXIS : integer; attribute C_WR_DEPTH_AXIS of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 1024; attribute C_WR_DEPTH_RACH : integer; attribute C_WR_DEPTH_RACH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 16; attribute C_WR_DEPTH_RDCH : integer; attribute C_WR_DEPTH_RDCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 16; attribute C_WR_DEPTH_WACH : integer; attribute C_WR_DEPTH_WACH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 16; attribute C_WR_DEPTH_WDCH : integer; attribute C_WR_DEPTH_WDCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 16; attribute C_WR_DEPTH_WRCH : integer; attribute C_WR_DEPTH_WRCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 16; attribute C_WR_FREQ : integer; attribute C_WR_FREQ of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 1; attribute C_WR_PNTR_WIDTH : integer; attribute C_WR_PNTR_WIDTH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 10; attribute C_WR_PNTR_WIDTH_AXIS : integer; attribute C_WR_PNTR_WIDTH_AXIS of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 10; attribute C_WR_PNTR_WIDTH_RACH : integer; attribute C_WR_PNTR_WIDTH_RACH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 4; attribute C_WR_PNTR_WIDTH_RDCH : integer; attribute C_WR_PNTR_WIDTH_RDCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 4; attribute C_WR_PNTR_WIDTH_WACH : integer; attribute C_WR_PNTR_WIDTH_WACH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 4; attribute C_WR_PNTR_WIDTH_WDCH : integer; attribute C_WR_PNTR_WIDTH_WDCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 4; attribute C_WR_PNTR_WIDTH_WRCH : integer; attribute C_WR_PNTR_WIDTH_WRCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 4; attribute C_WR_RESPONSE_LATENCY : integer; attribute C_WR_RESPONSE_LATENCY of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 1; begin m_axi_aruser(0) <= \<const0>\; m_axi_awuser(0) <= \<const0>\; m_axi_wid(3) <= \<const0>\; m_axi_wid(2) <= \<const0>\; m_axi_wid(1) <= \<const0>\; m_axi_wid(0) <= \<const0>\; m_axi_wuser(0) <= \<const0>\; s_axi_buser(0) <= \<const0>\; s_axi_ruser(0) <= \<const0>\; GND: unisim.vcomponents.GND port map ( G => \<const0>\ ); \gen_clock_conv.gen_async_conv.asyncfifo_axi\: entity work.bd_auto_cc_0_fifo_generator_v13_1_3 port map ( almost_empty => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_almost_empty_UNCONNECTED\, almost_full => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_almost_full_UNCONNECTED\, axi_ar_data_count(4 downto 0) => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_ar_data_count_UNCONNECTED\(4 downto 0), axi_ar_dbiterr => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_ar_dbiterr_UNCONNECTED\, axi_ar_injectdbiterr => '0', axi_ar_injectsbiterr => '0', axi_ar_overflow => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_ar_overflow_UNCONNECTED\, axi_ar_prog_empty => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_ar_prog_empty_UNCONNECTED\, axi_ar_prog_empty_thresh(3 downto 0) => B"0000", axi_ar_prog_full => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_ar_prog_full_UNCONNECTED\, axi_ar_prog_full_thresh(3 downto 0) => B"0000", axi_ar_rd_data_count(4 downto 0) => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_ar_rd_data_count_UNCONNECTED\(4 downto 0), axi_ar_sbiterr => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_ar_sbiterr_UNCONNECTED\, axi_ar_underflow => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_ar_underflow_UNCONNECTED\, axi_ar_wr_data_count(4 downto 0) => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_ar_wr_data_count_UNCONNECTED\(4 downto 0), axi_aw_data_count(4 downto 0) => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_aw_data_count_UNCONNECTED\(4 downto 0), axi_aw_dbiterr => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_aw_dbiterr_UNCONNECTED\, axi_aw_injectdbiterr => '0', axi_aw_injectsbiterr => '0', axi_aw_overflow => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_aw_overflow_UNCONNECTED\, axi_aw_prog_empty => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_aw_prog_empty_UNCONNECTED\, axi_aw_prog_empty_thresh(3 downto 0) => B"0000", axi_aw_prog_full => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_aw_prog_full_UNCONNECTED\, axi_aw_prog_full_thresh(3 downto 0) => B"0000", axi_aw_rd_data_count(4 downto 0) => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_aw_rd_data_count_UNCONNECTED\(4 downto 0), axi_aw_sbiterr => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_aw_sbiterr_UNCONNECTED\, axi_aw_underflow => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_aw_underflow_UNCONNECTED\, axi_aw_wr_data_count(4 downto 0) => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_aw_wr_data_count_UNCONNECTED\(4 downto 0), axi_b_data_count(4 downto 0) => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_b_data_count_UNCONNECTED\(4 downto 0), axi_b_dbiterr => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_b_dbiterr_UNCONNECTED\, axi_b_injectdbiterr => '0', axi_b_injectsbiterr => '0', axi_b_overflow => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_b_overflow_UNCONNECTED\, axi_b_prog_empty => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_b_prog_empty_UNCONNECTED\, axi_b_prog_empty_thresh(3 downto 0) => B"0000", axi_b_prog_full => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_b_prog_full_UNCONNECTED\, axi_b_prog_full_thresh(3 downto 0) => B"0000", axi_b_rd_data_count(4 downto 0) => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_b_rd_data_count_UNCONNECTED\(4 downto 0), axi_b_sbiterr => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_b_sbiterr_UNCONNECTED\, axi_b_underflow => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_b_underflow_UNCONNECTED\, axi_b_wr_data_count(4 downto 0) => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_b_wr_data_count_UNCONNECTED\(4 downto 0), axi_r_data_count(4 downto 0) => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_r_data_count_UNCONNECTED\(4 downto 0), axi_r_dbiterr => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_r_dbiterr_UNCONNECTED\, axi_r_injectdbiterr => '0', axi_r_injectsbiterr => '0', axi_r_overflow => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_r_overflow_UNCONNECTED\, axi_r_prog_empty => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_r_prog_empty_UNCONNECTED\, axi_r_prog_empty_thresh(3 downto 0) => B"0000", axi_r_prog_full => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_r_prog_full_UNCONNECTED\, axi_r_prog_full_thresh(3 downto 0) => B"0000", axi_r_rd_data_count(4 downto 0) => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_r_rd_data_count_UNCONNECTED\(4 downto 0), axi_r_sbiterr => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_r_sbiterr_UNCONNECTED\, axi_r_underflow => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_r_underflow_UNCONNECTED\, axi_r_wr_data_count(4 downto 0) => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_r_wr_data_count_UNCONNECTED\(4 downto 0), axi_w_data_count(4 downto 0) => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_w_data_count_UNCONNECTED\(4 downto 0), axi_w_dbiterr => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_w_dbiterr_UNCONNECTED\, axi_w_injectdbiterr => '0', axi_w_injectsbiterr => '0', axi_w_overflow => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_w_overflow_UNCONNECTED\, axi_w_prog_empty => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_w_prog_empty_UNCONNECTED\, axi_w_prog_empty_thresh(3 downto 0) => B"0000", axi_w_prog_full => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_w_prog_full_UNCONNECTED\, axi_w_prog_full_thresh(3 downto 0) => B"0000", axi_w_rd_data_count(4 downto 0) => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_w_rd_data_count_UNCONNECTED\(4 downto 0), axi_w_sbiterr => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_w_sbiterr_UNCONNECTED\, axi_w_underflow => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_w_underflow_UNCONNECTED\, axi_w_wr_data_count(4 downto 0) => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_w_wr_data_count_UNCONNECTED\(4 downto 0), axis_data_count(10 downto 0) => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axis_data_count_UNCONNECTED\(10 downto 0), axis_dbiterr => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axis_dbiterr_UNCONNECTED\, axis_injectdbiterr => '0', axis_injectsbiterr => '0', axis_overflow => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axis_overflow_UNCONNECTED\, axis_prog_empty => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axis_prog_empty_UNCONNECTED\, axis_prog_empty_thresh(9 downto 0) => B"0000000000", axis_prog_full => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axis_prog_full_UNCONNECTED\, axis_prog_full_thresh(9 downto 0) => B"0000000000", axis_rd_data_count(10 downto 0) => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axis_rd_data_count_UNCONNECTED\(10 downto 0), axis_sbiterr => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axis_sbiterr_UNCONNECTED\, axis_underflow => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axis_underflow_UNCONNECTED\, axis_wr_data_count(10 downto 0) => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axis_wr_data_count_UNCONNECTED\(10 downto 0), backup => '0', backup_marker => '0', clk => '0', data_count(9 downto 0) => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_data_count_UNCONNECTED\(9 downto 0), dbiterr => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_dbiterr_UNCONNECTED\, din(17 downto 0) => B"000000000000000000", dout(17 downto 0) => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_dout_UNCONNECTED\(17 downto 0), empty => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_empty_UNCONNECTED\, full => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_full_UNCONNECTED\, injectdbiterr => '0', injectsbiterr => '0', int_clk => '0', m_aclk => m_axi_aclk, m_aclk_en => '1', m_axi_araddr(31 downto 0) => m_axi_araddr(31 downto 0), m_axi_arburst(1 downto 0) => m_axi_arburst(1 downto 0), m_axi_arcache(3 downto 0) => m_axi_arcache(3 downto 0), m_axi_arid(3 downto 0) => m_axi_arid(3 downto 0), m_axi_arlen(7 downto 0) => m_axi_arlen(7 downto 0), m_axi_arlock(0) => m_axi_arlock(0), m_axi_arprot(2 downto 0) => m_axi_arprot(2 downto 0), m_axi_arqos(3 downto 0) => m_axi_arqos(3 downto 0), m_axi_arready => m_axi_arready, m_axi_arregion(3 downto 0) => m_axi_arregion(3 downto 0), m_axi_arsize(2 downto 0) => m_axi_arsize(2 downto 0), m_axi_aruser(0) => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_m_axi_aruser_UNCONNECTED\(0), m_axi_arvalid => m_axi_arvalid, m_axi_awaddr(31 downto 0) => m_axi_awaddr(31 downto 0), m_axi_awburst(1 downto 0) => m_axi_awburst(1 downto 0), m_axi_awcache(3 downto 0) => m_axi_awcache(3 downto 0), m_axi_awid(3 downto 0) => m_axi_awid(3 downto 0), m_axi_awlen(7 downto 0) => m_axi_awlen(7 downto 0), m_axi_awlock(0) => m_axi_awlock(0), m_axi_awprot(2 downto 0) => m_axi_awprot(2 downto 0), m_axi_awqos(3 downto 0) => m_axi_awqos(3 downto 0), m_axi_awready => m_axi_awready, m_axi_awregion(3 downto 0) => m_axi_awregion(3 downto 0), m_axi_awsize(2 downto 0) => m_axi_awsize(2 downto 0), m_axi_awuser(0) => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_m_axi_awuser_UNCONNECTED\(0), m_axi_awvalid => m_axi_awvalid, m_axi_bid(3 downto 0) => m_axi_bid(3 downto 0), m_axi_bready => m_axi_bready, m_axi_bresp(1 downto 0) => m_axi_bresp(1 downto 0), m_axi_buser(0) => '0', m_axi_bvalid => m_axi_bvalid, m_axi_rdata(31 downto 0) => m_axi_rdata(31 downto 0), m_axi_rid(3 downto 0) => m_axi_rid(3 downto 0), m_axi_rlast => m_axi_rlast, m_axi_rready => m_axi_rready, m_axi_rresp(1 downto 0) => m_axi_rresp(1 downto 0), m_axi_ruser(0) => '0', m_axi_rvalid => m_axi_rvalid, m_axi_wdata(31 downto 0) => m_axi_wdata(31 downto 0), m_axi_wid(3 downto 0) => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_m_axi_wid_UNCONNECTED\(3 downto 0), m_axi_wlast => m_axi_wlast, m_axi_wready => m_axi_wready, m_axi_wstrb(3 downto 0) => m_axi_wstrb(3 downto 0), m_axi_wuser(0) => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_m_axi_wuser_UNCONNECTED\(0), m_axi_wvalid => m_axi_wvalid, m_axis_tdata(7 downto 0) => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_m_axis_tdata_UNCONNECTED\(7 downto 0), m_axis_tdest(0) => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_m_axis_tdest_UNCONNECTED\(0), m_axis_tid(0) => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_m_axis_tid_UNCONNECTED\(0), m_axis_tkeep(0) => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_m_axis_tkeep_UNCONNECTED\(0), m_axis_tlast => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_m_axis_tlast_UNCONNECTED\, m_axis_tready => '0', m_axis_tstrb(0) => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_m_axis_tstrb_UNCONNECTED\(0), m_axis_tuser(3 downto 0) => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_m_axis_tuser_UNCONNECTED\(3 downto 0), m_axis_tvalid => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_m_axis_tvalid_UNCONNECTED\, overflow => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_overflow_UNCONNECTED\, prog_empty => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_prog_empty_UNCONNECTED\, prog_empty_thresh(9 downto 0) => B"0000000000", prog_empty_thresh_assert(9 downto 0) => B"0000000000", prog_empty_thresh_negate(9 downto 0) => B"0000000000", prog_full => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_prog_full_UNCONNECTED\, prog_full_thresh(9 downto 0) => B"0000000000", prog_full_thresh_assert(9 downto 0) => B"0000000000", prog_full_thresh_negate(9 downto 0) => B"0000000000", rd_clk => '0', rd_data_count(9 downto 0) => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_rd_data_count_UNCONNECTED\(9 downto 0), rd_en => '0', rd_rst => '0', rd_rst_busy => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_rd_rst_busy_UNCONNECTED\, rst => '0', s_aclk => s_axi_aclk, s_aclk_en => '1', s_aresetn => async_conv_reset_n, s_axi_araddr(31 downto 0) => s_axi_araddr(31 downto 0), s_axi_arburst(1 downto 0) => s_axi_arburst(1 downto 0), s_axi_arcache(3 downto 0) => s_axi_arcache(3 downto 0), s_axi_arid(3 downto 0) => s_axi_arid(3 downto 0), s_axi_arlen(7 downto 0) => s_axi_arlen(7 downto 0), s_axi_arlock(0) => s_axi_arlock(0), s_axi_arprot(2 downto 0) => s_axi_arprot(2 downto 0), s_axi_arqos(3 downto 0) => s_axi_arqos(3 downto 0), s_axi_arready => s_axi_arready, s_axi_arregion(3 downto 0) => s_axi_arregion(3 downto 0), s_axi_arsize(2 downto 0) => s_axi_arsize(2 downto 0), s_axi_aruser(0) => '0', s_axi_arvalid => s_axi_arvalid, s_axi_awaddr(31 downto 0) => s_axi_awaddr(31 downto 0), s_axi_awburst(1 downto 0) => s_axi_awburst(1 downto 0), s_axi_awcache(3 downto 0) => s_axi_awcache(3 downto 0), s_axi_awid(3 downto 0) => s_axi_awid(3 downto 0), s_axi_awlen(7 downto 0) => s_axi_awlen(7 downto 0), s_axi_awlock(0) => s_axi_awlock(0), s_axi_awprot(2 downto 0) => s_axi_awprot(2 downto 0), s_axi_awqos(3 downto 0) => s_axi_awqos(3 downto 0), s_axi_awready => s_axi_awready, s_axi_awregion(3 downto 0) => s_axi_awregion(3 downto 0), s_axi_awsize(2 downto 0) => s_axi_awsize(2 downto 0), s_axi_awuser(0) => '0', s_axi_awvalid => s_axi_awvalid, s_axi_bid(3 downto 0) => s_axi_bid(3 downto 0), s_axi_bready => s_axi_bready, s_axi_bresp(1 downto 0) => s_axi_bresp(1 downto 0), s_axi_buser(0) => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_s_axi_buser_UNCONNECTED\(0), s_axi_bvalid => s_axi_bvalid, s_axi_rdata(31 downto 0) => s_axi_rdata(31 downto 0), s_axi_rid(3 downto 0) => s_axi_rid(3 downto 0), s_axi_rlast => s_axi_rlast, s_axi_rready => s_axi_rready, s_axi_rresp(1 downto 0) => s_axi_rresp(1 downto 0), s_axi_ruser(0) => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_s_axi_ruser_UNCONNECTED\(0), s_axi_rvalid => s_axi_rvalid, s_axi_wdata(31 downto 0) => s_axi_wdata(31 downto 0), s_axi_wid(3 downto 0) => B"0000", s_axi_wlast => s_axi_wlast, s_axi_wready => s_axi_wready, s_axi_wstrb(3 downto 0) => s_axi_wstrb(3 downto 0), s_axi_wuser(0) => '0', s_axi_wvalid => s_axi_wvalid, s_axis_tdata(7 downto 0) => B"00000000", s_axis_tdest(0) => '0', s_axis_tid(0) => '0', s_axis_tkeep(0) => '0', s_axis_tlast => '0', s_axis_tready => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_s_axis_tready_UNCONNECTED\, s_axis_tstrb(0) => '0', s_axis_tuser(3 downto 0) => B"0000", s_axis_tvalid => '0', sbiterr => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_sbiterr_UNCONNECTED\, sleep => '0', srst => '0', underflow => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_underflow_UNCONNECTED\, valid => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_valid_UNCONNECTED\, wr_ack => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_wr_ack_UNCONNECTED\, wr_clk => '0', wr_data_count(9 downto 0) => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_wr_data_count_UNCONNECTED\(9 downto 0), wr_en => '0', wr_rst => '0', wr_rst_busy => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_wr_rst_busy_UNCONNECTED\ ); \gen_clock_conv.gen_async_conv.asyncfifo_axi_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => s_axi_aresetn, I1 => m_axi_aresetn, O => async_conv_reset_n ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity bd_auto_cc_0 is port ( s_axi_aclk : in STD_LOGIC; s_axi_aresetn : in STD_LOGIC; s_axi_awid : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awlock : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awregion : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awvalid : in STD_LOGIC; s_axi_awready : out STD_LOGIC; s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_wlast : in STD_LOGIC; s_axi_wvalid : in STD_LOGIC; s_axi_wready : out STD_LOGIC; s_axi_bid : out STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_bvalid : out STD_LOGIC; s_axi_bready : in STD_LOGIC; s_axi_arid : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arlock : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arregion : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arvalid : in STD_LOGIC; s_axi_arready : out STD_LOGIC; s_axi_rid : out STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_rlast : out STD_LOGIC; s_axi_rvalid : out STD_LOGIC; s_axi_rready : in STD_LOGIC; m_axi_aclk : in STD_LOGIC; m_axi_aresetn : in STD_LOGIC; m_axi_awid : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_awlen : out STD_LOGIC_VECTOR ( 7 downto 0 ); m_axi_awsize : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_awburst : out STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_awlock : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_awcache : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_awregion : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awqos : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awvalid : out STD_LOGIC; m_axi_awready : in STD_LOGIC; m_axi_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_wlast : out STD_LOGIC; m_axi_wvalid : out STD_LOGIC; m_axi_wready : in STD_LOGIC; m_axi_bid : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_bvalid : in STD_LOGIC; m_axi_bready : out STD_LOGIC; m_axi_arid : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_arlen : out STD_LOGIC_VECTOR ( 7 downto 0 ); m_axi_arsize : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_arburst : out STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_arlock : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_arcache : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_arregion : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_arqos : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_arvalid : out STD_LOGIC; m_axi_arready : in STD_LOGIC; m_axi_rid : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_rlast : in STD_LOGIC; m_axi_rvalid : in STD_LOGIC; m_axi_rready : out STD_LOGIC ); attribute NotValidForBitStream : boolean; attribute NotValidForBitStream of bd_auto_cc_0 : entity is true; attribute CHECK_LICENSE_TYPE : string; attribute CHECK_LICENSE_TYPE of bd_auto_cc_0 : entity is "bd_auto_cc_0,axi_clock_converter_v2_1_10_axi_clock_converter,{}"; attribute DowngradeIPIdentifiedWarnings : string; attribute DowngradeIPIdentifiedWarnings of bd_auto_cc_0 : entity is "yes"; attribute X_CORE_INFO : string; attribute X_CORE_INFO of bd_auto_cc_0 : entity is "axi_clock_converter_v2_1_10_axi_clock_converter,Vivado 2016.4"; end bd_auto_cc_0; architecture STRUCTURE of bd_auto_cc_0 is signal NLW_inst_m_axi_aruser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_m_axi_awuser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_m_axi_wid_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_inst_m_axi_wuser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_s_axi_buser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_s_axi_ruser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); attribute C_ARADDR_RIGHT : integer; attribute C_ARADDR_RIGHT of inst : label is 29; attribute C_ARADDR_WIDTH : integer; attribute C_ARADDR_WIDTH of inst : label is 32; attribute C_ARBURST_RIGHT : integer; attribute C_ARBURST_RIGHT of inst : label is 16; attribute C_ARBURST_WIDTH : integer; attribute C_ARBURST_WIDTH of inst : label is 2; attribute C_ARCACHE_RIGHT : integer; attribute C_ARCACHE_RIGHT of inst : label is 11; attribute C_ARCACHE_WIDTH : integer; attribute C_ARCACHE_WIDTH of inst : label is 4; attribute C_ARID_RIGHT : integer; attribute C_ARID_RIGHT of inst : label is 61; attribute C_ARID_WIDTH : integer; attribute C_ARID_WIDTH of inst : label is 4; attribute C_ARLEN_RIGHT : integer; attribute C_ARLEN_RIGHT of inst : label is 21; attribute C_ARLEN_WIDTH : integer; attribute C_ARLEN_WIDTH of inst : label is 8; attribute C_ARLOCK_RIGHT : integer; attribute C_ARLOCK_RIGHT of inst : label is 15; attribute C_ARLOCK_WIDTH : integer; attribute C_ARLOCK_WIDTH of inst : label is 1; attribute C_ARPROT_RIGHT : integer; attribute C_ARPROT_RIGHT of inst : label is 8; attribute C_ARPROT_WIDTH : integer; attribute C_ARPROT_WIDTH of inst : label is 3; attribute C_ARQOS_RIGHT : integer; attribute C_ARQOS_RIGHT of inst : label is 0; attribute C_ARQOS_WIDTH : integer; attribute C_ARQOS_WIDTH of inst : label is 4; attribute C_ARREGION_RIGHT : integer; attribute C_ARREGION_RIGHT of inst : label is 4; attribute C_ARREGION_WIDTH : integer; attribute C_ARREGION_WIDTH of inst : label is 4; attribute C_ARSIZE_RIGHT : integer; attribute C_ARSIZE_RIGHT of inst : label is 18; attribute C_ARSIZE_WIDTH : integer; attribute C_ARSIZE_WIDTH of inst : label is 3; attribute C_ARUSER_RIGHT : integer; attribute C_ARUSER_RIGHT of inst : label is 0; attribute C_ARUSER_WIDTH : integer; attribute C_ARUSER_WIDTH of inst : label is 0; attribute C_AR_WIDTH : integer; attribute C_AR_WIDTH of inst : label is 65; attribute C_AWADDR_RIGHT : integer; attribute C_AWADDR_RIGHT of inst : label is 29; attribute C_AWADDR_WIDTH : integer; attribute C_AWADDR_WIDTH of inst : label is 32; attribute C_AWBURST_RIGHT : integer; attribute C_AWBURST_RIGHT of inst : label is 16; attribute C_AWBURST_WIDTH : integer; attribute C_AWBURST_WIDTH of inst : label is 2; attribute C_AWCACHE_RIGHT : integer; attribute C_AWCACHE_RIGHT of inst : label is 11; attribute C_AWCACHE_WIDTH : integer; attribute C_AWCACHE_WIDTH of inst : label is 4; attribute C_AWID_RIGHT : integer; attribute C_AWID_RIGHT of inst : label is 61; attribute C_AWID_WIDTH : integer; attribute C_AWID_WIDTH of inst : label is 4; attribute C_AWLEN_RIGHT : integer; attribute C_AWLEN_RIGHT of inst : label is 21; attribute C_AWLEN_WIDTH : integer; attribute C_AWLEN_WIDTH of inst : label is 8; attribute C_AWLOCK_RIGHT : integer; attribute C_AWLOCK_RIGHT of inst : label is 15; attribute C_AWLOCK_WIDTH : integer; attribute C_AWLOCK_WIDTH of inst : label is 1; attribute C_AWPROT_RIGHT : integer; attribute C_AWPROT_RIGHT of inst : label is 8; attribute C_AWPROT_WIDTH : integer; attribute C_AWPROT_WIDTH of inst : label is 3; attribute C_AWQOS_RIGHT : integer; attribute C_AWQOS_RIGHT of inst : label is 0; attribute C_AWQOS_WIDTH : integer; attribute C_AWQOS_WIDTH of inst : label is 4; attribute C_AWREGION_RIGHT : integer; attribute C_AWREGION_RIGHT of inst : label is 4; attribute C_AWREGION_WIDTH : integer; attribute C_AWREGION_WIDTH of inst : label is 4; attribute C_AWSIZE_RIGHT : integer; attribute C_AWSIZE_RIGHT of inst : label is 18; attribute C_AWSIZE_WIDTH : integer; attribute C_AWSIZE_WIDTH of inst : label is 3; attribute C_AWUSER_RIGHT : integer; attribute C_AWUSER_RIGHT of inst : label is 0; attribute C_AWUSER_WIDTH : integer; attribute C_AWUSER_WIDTH of inst : label is 0; attribute C_AW_WIDTH : integer; attribute C_AW_WIDTH of inst : label is 65; attribute C_AXI_ADDR_WIDTH : integer; attribute C_AXI_ADDR_WIDTH of inst : label is 32; attribute C_AXI_ARUSER_WIDTH : integer; attribute C_AXI_ARUSER_WIDTH of inst : label is 1; attribute C_AXI_AWUSER_WIDTH : integer; attribute C_AXI_AWUSER_WIDTH of inst : label is 1; attribute C_AXI_BUSER_WIDTH : integer; attribute C_AXI_BUSER_WIDTH of inst : label is 1; attribute C_AXI_DATA_WIDTH : integer; attribute C_AXI_DATA_WIDTH of inst : label is 32; attribute C_AXI_ID_WIDTH : integer; attribute C_AXI_ID_WIDTH of inst : label is 4; attribute C_AXI_IS_ACLK_ASYNC : integer; attribute C_AXI_IS_ACLK_ASYNC of inst : label is 1; attribute C_AXI_PROTOCOL : integer; attribute C_AXI_PROTOCOL of inst : label is 0; attribute C_AXI_RUSER_WIDTH : integer; attribute C_AXI_RUSER_WIDTH of inst : label is 1; attribute C_AXI_SUPPORTS_READ : integer; attribute C_AXI_SUPPORTS_READ of inst : label is 1; attribute C_AXI_SUPPORTS_USER_SIGNALS : integer; attribute C_AXI_SUPPORTS_USER_SIGNALS of inst : label is 0; attribute C_AXI_SUPPORTS_WRITE : integer; attribute C_AXI_SUPPORTS_WRITE of inst : label is 1; attribute C_AXI_WUSER_WIDTH : integer; attribute C_AXI_WUSER_WIDTH of inst : label is 1; attribute C_BID_RIGHT : integer; attribute C_BID_RIGHT of inst : label is 2; attribute C_BID_WIDTH : integer; attribute C_BID_WIDTH of inst : label is 4; attribute C_BRESP_RIGHT : integer; attribute C_BRESP_RIGHT of inst : label is 0; attribute C_BRESP_WIDTH : integer; attribute C_BRESP_WIDTH of inst : label is 2; attribute C_BUSER_RIGHT : integer; attribute C_BUSER_RIGHT of inst : label is 0; attribute C_BUSER_WIDTH : integer; attribute C_BUSER_WIDTH of inst : label is 0; attribute C_B_WIDTH : integer; attribute C_B_WIDTH of inst : label is 6; attribute C_FAMILY : string; attribute C_FAMILY of inst : label is "artix7"; attribute C_FIFO_AR_WIDTH : integer; attribute C_FIFO_AR_WIDTH of inst : label is 65; attribute C_FIFO_AW_WIDTH : integer; attribute C_FIFO_AW_WIDTH of inst : label is 65; attribute C_FIFO_B_WIDTH : integer; attribute C_FIFO_B_WIDTH of inst : label is 6; attribute C_FIFO_R_WIDTH : integer; attribute C_FIFO_R_WIDTH of inst : label is 39; attribute C_FIFO_W_WIDTH : integer; attribute C_FIFO_W_WIDTH of inst : label is 37; attribute C_M_AXI_ACLK_RATIO : integer; attribute C_M_AXI_ACLK_RATIO of inst : label is 2; attribute C_RDATA_RIGHT : integer; attribute C_RDATA_RIGHT of inst : label is 3; attribute C_RDATA_WIDTH : integer; attribute C_RDATA_WIDTH of inst : label is 32; attribute C_RID_RIGHT : integer; attribute C_RID_RIGHT of inst : label is 35; attribute C_RID_WIDTH : integer; attribute C_RID_WIDTH of inst : label is 4; attribute C_RLAST_RIGHT : integer; attribute C_RLAST_RIGHT of inst : label is 0; attribute C_RLAST_WIDTH : integer; attribute C_RLAST_WIDTH of inst : label is 1; attribute C_RRESP_RIGHT : integer; attribute C_RRESP_RIGHT of inst : label is 1; attribute C_RRESP_WIDTH : integer; attribute C_RRESP_WIDTH of inst : label is 2; attribute C_RUSER_RIGHT : integer; attribute C_RUSER_RIGHT of inst : label is 0; attribute C_RUSER_WIDTH : integer; attribute C_RUSER_WIDTH of inst : label is 0; attribute C_R_WIDTH : integer; attribute C_R_WIDTH of inst : label is 39; attribute C_SYNCHRONIZER_STAGE : integer; attribute C_SYNCHRONIZER_STAGE of inst : label is 3; attribute C_S_AXI_ACLK_RATIO : integer; attribute C_S_AXI_ACLK_RATIO of inst : label is 1; attribute C_WDATA_RIGHT : integer; attribute C_WDATA_RIGHT of inst : label is 5; attribute C_WDATA_WIDTH : integer; attribute C_WDATA_WIDTH of inst : label is 32; attribute C_WID_RIGHT : integer; attribute C_WID_RIGHT of inst : label is 37; attribute C_WID_WIDTH : integer; attribute C_WID_WIDTH of inst : label is 0; attribute C_WLAST_RIGHT : integer; attribute C_WLAST_RIGHT of inst : label is 0; attribute C_WLAST_WIDTH : integer; attribute C_WLAST_WIDTH of inst : label is 1; attribute C_WSTRB_RIGHT : integer; attribute C_WSTRB_RIGHT of inst : label is 1; attribute C_WSTRB_WIDTH : integer; attribute C_WSTRB_WIDTH of inst : label is 4; attribute C_WUSER_RIGHT : integer; attribute C_WUSER_RIGHT of inst : label is 0; attribute C_WUSER_WIDTH : integer; attribute C_WUSER_WIDTH of inst : label is 0; attribute C_W_WIDTH : integer; attribute C_W_WIDTH of inst : label is 37; attribute P_ACLK_RATIO : integer; attribute P_ACLK_RATIO of inst : label is 2; attribute P_AXI3 : integer; attribute P_AXI3 of inst : label is 1; attribute P_AXI4 : integer; attribute P_AXI4 of inst : label is 0; attribute P_AXILITE : integer; attribute P_AXILITE of inst : label is 2; attribute P_FULLY_REG : integer; attribute P_FULLY_REG of inst : label is 1; attribute P_LIGHT_WT : integer; attribute P_LIGHT_WT of inst : label is 0; attribute P_LUTRAM_ASYNC : integer; attribute P_LUTRAM_ASYNC of inst : label is 12; attribute P_ROUNDING_OFFSET : integer; attribute P_ROUNDING_OFFSET of inst : label is 0; attribute P_SI_LT_MI : string; attribute P_SI_LT_MI of inst : label is "1'b1"; attribute downgradeipidentifiedwarnings of inst : label is "yes"; begin inst: entity work.bd_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter port map ( m_axi_aclk => m_axi_aclk, m_axi_araddr(31 downto 0) => m_axi_araddr(31 downto 0), m_axi_arburst(1 downto 0) => m_axi_arburst(1 downto 0), m_axi_arcache(3 downto 0) => m_axi_arcache(3 downto 0), m_axi_aresetn => m_axi_aresetn, m_axi_arid(3 downto 0) => m_axi_arid(3 downto 0), m_axi_arlen(7 downto 0) => m_axi_arlen(7 downto 0), m_axi_arlock(0) => m_axi_arlock(0), m_axi_arprot(2 downto 0) => m_axi_arprot(2 downto 0), m_axi_arqos(3 downto 0) => m_axi_arqos(3 downto 0), m_axi_arready => m_axi_arready, m_axi_arregion(3 downto 0) => m_axi_arregion(3 downto 0), m_axi_arsize(2 downto 0) => m_axi_arsize(2 downto 0), m_axi_aruser(0) => NLW_inst_m_axi_aruser_UNCONNECTED(0), m_axi_arvalid => m_axi_arvalid, m_axi_awaddr(31 downto 0) => m_axi_awaddr(31 downto 0), m_axi_awburst(1 downto 0) => m_axi_awburst(1 downto 0), m_axi_awcache(3 downto 0) => m_axi_awcache(3 downto 0), m_axi_awid(3 downto 0) => m_axi_awid(3 downto 0), m_axi_awlen(7 downto 0) => m_axi_awlen(7 downto 0), m_axi_awlock(0) => m_axi_awlock(0), m_axi_awprot(2 downto 0) => m_axi_awprot(2 downto 0), m_axi_awqos(3 downto 0) => m_axi_awqos(3 downto 0), m_axi_awready => m_axi_awready, m_axi_awregion(3 downto 0) => m_axi_awregion(3 downto 0), m_axi_awsize(2 downto 0) => m_axi_awsize(2 downto 0), m_axi_awuser(0) => NLW_inst_m_axi_awuser_UNCONNECTED(0), m_axi_awvalid => m_axi_awvalid, m_axi_bid(3 downto 0) => m_axi_bid(3 downto 0), m_axi_bready => m_axi_bready, m_axi_bresp(1 downto 0) => m_axi_bresp(1 downto 0), m_axi_buser(0) => '0', m_axi_bvalid => m_axi_bvalid, m_axi_rdata(31 downto 0) => m_axi_rdata(31 downto 0), m_axi_rid(3 downto 0) => m_axi_rid(3 downto 0), m_axi_rlast => m_axi_rlast, m_axi_rready => m_axi_rready, m_axi_rresp(1 downto 0) => m_axi_rresp(1 downto 0), m_axi_ruser(0) => '0', m_axi_rvalid => m_axi_rvalid, m_axi_wdata(31 downto 0) => m_axi_wdata(31 downto 0), m_axi_wid(3 downto 0) => NLW_inst_m_axi_wid_UNCONNECTED(3 downto 0), m_axi_wlast => m_axi_wlast, m_axi_wready => m_axi_wready, m_axi_wstrb(3 downto 0) => m_axi_wstrb(3 downto 0), m_axi_wuser(0) => NLW_inst_m_axi_wuser_UNCONNECTED(0), m_axi_wvalid => m_axi_wvalid, s_axi_aclk => s_axi_aclk, s_axi_araddr(31 downto 0) => s_axi_araddr(31 downto 0), s_axi_arburst(1 downto 0) => s_axi_arburst(1 downto 0), s_axi_arcache(3 downto 0) => s_axi_arcache(3 downto 0), s_axi_aresetn => s_axi_aresetn, s_axi_arid(3 downto 0) => s_axi_arid(3 downto 0), s_axi_arlen(7 downto 0) => s_axi_arlen(7 downto 0), s_axi_arlock(0) => s_axi_arlock(0), s_axi_arprot(2 downto 0) => s_axi_arprot(2 downto 0), s_axi_arqos(3 downto 0) => s_axi_arqos(3 downto 0), s_axi_arready => s_axi_arready, s_axi_arregion(3 downto 0) => s_axi_arregion(3 downto 0), s_axi_arsize(2 downto 0) => s_axi_arsize(2 downto 0), s_axi_aruser(0) => '0', s_axi_arvalid => s_axi_arvalid, s_axi_awaddr(31 downto 0) => s_axi_awaddr(31 downto 0), s_axi_awburst(1 downto 0) => s_axi_awburst(1 downto 0), s_axi_awcache(3 downto 0) => s_axi_awcache(3 downto 0), s_axi_awid(3 downto 0) => s_axi_awid(3 downto 0), s_axi_awlen(7 downto 0) => s_axi_awlen(7 downto 0), s_axi_awlock(0) => s_axi_awlock(0), s_axi_awprot(2 downto 0) => s_axi_awprot(2 downto 0), s_axi_awqos(3 downto 0) => s_axi_awqos(3 downto 0), s_axi_awready => s_axi_awready, s_axi_awregion(3 downto 0) => s_axi_awregion(3 downto 0), s_axi_awsize(2 downto 0) => s_axi_awsize(2 downto 0), s_axi_awuser(0) => '0', s_axi_awvalid => s_axi_awvalid, s_axi_bid(3 downto 0) => s_axi_bid(3 downto 0), s_axi_bready => s_axi_bready, s_axi_bresp(1 downto 0) => s_axi_bresp(1 downto 0), s_axi_buser(0) => NLW_inst_s_axi_buser_UNCONNECTED(0), s_axi_bvalid => s_axi_bvalid, s_axi_rdata(31 downto 0) => s_axi_rdata(31 downto 0), s_axi_rid(3 downto 0) => s_axi_rid(3 downto 0), s_axi_rlast => s_axi_rlast, s_axi_rready => s_axi_rready, s_axi_rresp(1 downto 0) => s_axi_rresp(1 downto 0), s_axi_ruser(0) => NLW_inst_s_axi_ruser_UNCONNECTED(0), s_axi_rvalid => s_axi_rvalid, s_axi_wdata(31 downto 0) => s_axi_wdata(31 downto 0), s_axi_wid(3 downto 0) => B"0000", s_axi_wlast => s_axi_wlast, s_axi_wready => s_axi_wready, s_axi_wstrb(3 downto 0) => s_axi_wstrb(3 downto 0), s_axi_wuser(0) => '0', s_axi_wvalid => s_axi_wvalid ); end STRUCTURE;
mit
arthurTemporim/SD_SS
rel/final/projetoVivado/projetoVivado.srcs/sim_1/new/projeto2.vhd
1
914
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 11/16/2016 11:02:41 AM -- Design Name: -- Module Name: projeto2 - Behavioral -- Project Name: -- Target Devices: -- Tool Versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx leaf cells in this code. --library UNISIM; --use UNISIM.VComponents.all; entity projeto2 is -- Port ( ); end projeto2; architecture Behavioral of projeto2 is begin end Behavioral;
mit
maijohnson/comp3601_blue_15s2
AudioController/I2CMasterTop.vhdl
1
2813
LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_unsigned.all; use IEEE.NUMERIC_STD.ALL; ENTITY I2CMasterTop IS GENERIC( DEVICE_ADDR : STD_LOGIC_VECTOR(6 DOWNTO 0) := "1101000" ); PORT( clk : IN STD_LOGIC; rst : IN STD_LOGIC; start : IN STD_LOGIC; rd_wr : IN STD_LOGIC; reg_addr : IN STD_LOGIC_VECTOR(7 DOWNTO 0); wr_data : IN STD_LOGIC_VECTOR(7 DOWNTO 0); rd_data : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); busy : BUFFER STD_LOGIC; scl : INOUT STD_LOGIC; sda : INOUT STD_LOGIC ); END I2CMasterTop; ARCHITECTURE logic OF I2CMasterTop IS TYPE machine IS(idle, wr_reg_busy, rd_wr_busy, done); SIGNAL state : machine; SIGNAL i2c_busy_prev : STD_LOGIC; SIGNAL i2c_en : STD_LOGIC; SIGNAL i2c_rw : STD_LOGIC; SIGNAL i2c_wr_data : STD_LOGIC_VECTOR(7 DOWNTO 0); SIGNAL i2c_busy : STD_LOGIC; SIGNAL i2c_rd_data : STD_LOGIC_VECTOR(7 DOWNTO 0); SIGNAL i2c_error : STD_LOGIC; SIGNAL rd_wr_i : STD_LOGIC; SIGNAL wr_data_i : STD_LOGIC_VECTOR(7 DOWNTO 0); BEGIN I2C_Master : entity work.i2c_master port map( clk => clk, reset_n => not rst, ena => i2c_en, addr => DEVICE_ADDR, rw => i2c_rw, data_wr => i2c_wr_data, busy => i2c_busy, data_rd => i2c_rd_data, ack_error => i2c_error, sda => sda, scl => scl ); --For writing: S,AD+W,RA,WR_DATA,...,P --For reading: S,AD+W,RA,`S,AD+R,RD_DATA,....,P PROCESS(clk, rst) BEGIN IF(rst = '1') THEN i2c_busy_prev <= '0'; i2c_en <= '0'; i2c_rw <= '1'; i2c_wr_data <= "00000000"; rd_data <= "00000000"; wr_data_i <= "00000000"; busy <= '1'; state <= idle; ELSIF(clk'EVENT AND clk = '1') THEN i2c_busy_prev <= i2c_busy; CASE state IS WHEN idle => IF( i2c_busy = '1' ) THEN busy <= '1'; ELSIF(start = '1') THEN busy <= '1'; rd_wr_i <= rd_wr; i2c_wr_data <= reg_addr; i2c_rw <= '0'; i2c_en <= '1'; state <= wr_reg_busy; ELSE busy <= '0'; END IF; WHEN wr_reg_busy => --Once busy, can setup I2C for reading or writing IF(i2c_busy_prev = '0' AND i2c_busy = '1') THEN i2c_rw <= rd_wr_i; i2c_wr_data <= wr_data_i; state <= rd_wr_busy; END IF; WHEN rd_wr_busy => --Once busy, can deassert enable IF(i2c_busy_prev = '0' AND i2c_busy = '1') THEN i2c_en <= '0'; state <= done; END IF; WHEN done => --Once no longer busy, get read data regardless IF(i2c_busy = '0') THEN rd_data <= i2c_rd_data; busy <= '0'; state <= idle; END IF; END CASE; END IF; END PROCESS; END logic;
mit
andrewandrepowell/kernel-on-chip
hdl/projects/Nexys4/bd/hdl/bd_wrapper.vhd
1
9396
--Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. ---------------------------------------------------------------------------------- --Tool Version: Vivado v.2016.4 (win64) Build 1756540 Mon Jan 23 19:11:23 MST 2017 --Date : Wed May 03 18:19:08 2017 --Host : LAPTOP-IQ9G3D1I running 64-bit major release (build 9200) --Command : generate_target bd_wrapper.bd --Design : bd_wrapper --Purpose : IP block netlist ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity bd_wrapper is port ( DDR2_addr : out STD_LOGIC_VECTOR ( 12 downto 0 ); DDR2_ba : out STD_LOGIC_VECTOR ( 2 downto 0 ); DDR2_cas_n : out STD_LOGIC; DDR2_ck_n : out STD_LOGIC_VECTOR ( 0 to 0 ); DDR2_ck_p : out STD_LOGIC_VECTOR ( 0 to 0 ); DDR2_cke : out STD_LOGIC_VECTOR ( 0 to 0 ); DDR2_cs_n : out STD_LOGIC_VECTOR ( 0 to 0 ); DDR2_dm : out STD_LOGIC_VECTOR ( 1 downto 0 ); DDR2_dq : inout STD_LOGIC_VECTOR ( 15 downto 0 ); DDR2_dqs_n : inout STD_LOGIC_VECTOR ( 1 downto 0 ); DDR2_dqs_p : inout STD_LOGIC_VECTOR ( 1 downto 0 ); DDR2_odt : out STD_LOGIC_VECTOR ( 0 to 0 ); DDR2_ras_n : out STD_LOGIC; DDR2_we_n : out STD_LOGIC; S00_AXI_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); S00_AXI_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); S00_AXI_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); S00_AXI_arid : in STD_LOGIC_VECTOR ( 3 downto 0 ); S00_AXI_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); S00_AXI_arlock : in STD_LOGIC_VECTOR ( 0 to 0 ); S00_AXI_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); S00_AXI_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); S00_AXI_arready : out STD_LOGIC; S00_AXI_arregion : in STD_LOGIC_VECTOR ( 3 downto 0 ); S00_AXI_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); S00_AXI_arvalid : in STD_LOGIC; S00_AXI_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); S00_AXI_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); S00_AXI_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); S00_AXI_awid : in STD_LOGIC_VECTOR ( 3 downto 0 ); S00_AXI_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); S00_AXI_awlock : in STD_LOGIC_VECTOR ( 0 to 0 ); S00_AXI_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); S00_AXI_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); S00_AXI_awready : out STD_LOGIC; S00_AXI_awregion : in STD_LOGIC_VECTOR ( 3 downto 0 ); S00_AXI_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); S00_AXI_awvalid : in STD_LOGIC; S00_AXI_bid : out STD_LOGIC_VECTOR ( 3 downto 0 ); S00_AXI_bready : in STD_LOGIC; S00_AXI_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); S00_AXI_bvalid : out STD_LOGIC; S00_AXI_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); S00_AXI_rid : out STD_LOGIC_VECTOR ( 3 downto 0 ); S00_AXI_rlast : out STD_LOGIC; S00_AXI_rready : in STD_LOGIC; S00_AXI_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); S00_AXI_rvalid : out STD_LOGIC; S00_AXI_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); S00_AXI_wlast : in STD_LOGIC; S00_AXI_wready : out STD_LOGIC; S00_AXI_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); S00_AXI_wvalid : in STD_LOGIC; aclk : out STD_LOGIC; interconnect_aresetn : out STD_LOGIC_VECTOR ( 0 to 0 ); peripheral_aresetn : out STD_LOGIC_VECTOR ( 0 to 0 ); sys_clk_i : in STD_LOGIC; sys_rst : in STD_LOGIC ); end bd_wrapper; architecture STRUCTURE of bd_wrapper is component bd is port ( DDR2_dq : inout STD_LOGIC_VECTOR ( 15 downto 0 ); DDR2_dqs_p : inout STD_LOGIC_VECTOR ( 1 downto 0 ); DDR2_dqs_n : inout STD_LOGIC_VECTOR ( 1 downto 0 ); DDR2_addr : out STD_LOGIC_VECTOR ( 12 downto 0 ); DDR2_ba : out STD_LOGIC_VECTOR ( 2 downto 0 ); DDR2_ras_n : out STD_LOGIC; DDR2_cas_n : out STD_LOGIC; DDR2_we_n : out STD_LOGIC; DDR2_ck_p : out STD_LOGIC_VECTOR ( 0 to 0 ); DDR2_ck_n : out STD_LOGIC_VECTOR ( 0 to 0 ); DDR2_cke : out STD_LOGIC_VECTOR ( 0 to 0 ); DDR2_cs_n : out STD_LOGIC_VECTOR ( 0 to 0 ); DDR2_dm : out STD_LOGIC_VECTOR ( 1 downto 0 ); DDR2_odt : out STD_LOGIC_VECTOR ( 0 to 0 ); aclk : out STD_LOGIC; sys_rst : in STD_LOGIC; sys_clk_i : in STD_LOGIC; peripheral_aresetn : out STD_LOGIC_VECTOR ( 0 to 0 ); interconnect_aresetn : out STD_LOGIC_VECTOR ( 0 to 0 ); S00_AXI_awid : in STD_LOGIC_VECTOR ( 3 downto 0 ); S00_AXI_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); S00_AXI_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); S00_AXI_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); S00_AXI_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); S00_AXI_awlock : in STD_LOGIC_VECTOR ( 0 to 0 ); S00_AXI_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); S00_AXI_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); S00_AXI_awregion : in STD_LOGIC_VECTOR ( 3 downto 0 ); S00_AXI_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); S00_AXI_awvalid : in STD_LOGIC; S00_AXI_awready : out STD_LOGIC; S00_AXI_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); S00_AXI_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); S00_AXI_wlast : in STD_LOGIC; S00_AXI_wvalid : in STD_LOGIC; S00_AXI_wready : out STD_LOGIC; S00_AXI_bid : out STD_LOGIC_VECTOR ( 3 downto 0 ); S00_AXI_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); S00_AXI_bvalid : out STD_LOGIC; S00_AXI_bready : in STD_LOGIC; S00_AXI_arid : in STD_LOGIC_VECTOR ( 3 downto 0 ); S00_AXI_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); S00_AXI_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); S00_AXI_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); S00_AXI_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); S00_AXI_arlock : in STD_LOGIC_VECTOR ( 0 to 0 ); S00_AXI_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); S00_AXI_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); S00_AXI_arregion : in STD_LOGIC_VECTOR ( 3 downto 0 ); S00_AXI_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); S00_AXI_arvalid : in STD_LOGIC; S00_AXI_arready : out STD_LOGIC; S00_AXI_rid : out STD_LOGIC_VECTOR ( 3 downto 0 ); S00_AXI_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); S00_AXI_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); S00_AXI_rlast : out STD_LOGIC; S00_AXI_rvalid : out STD_LOGIC; S00_AXI_rready : in STD_LOGIC ); end component bd; begin bd_i: component bd port map ( DDR2_addr(12 downto 0) => DDR2_addr(12 downto 0), DDR2_ba(2 downto 0) => DDR2_ba(2 downto 0), DDR2_cas_n => DDR2_cas_n, DDR2_ck_n(0) => DDR2_ck_n(0), DDR2_ck_p(0) => DDR2_ck_p(0), DDR2_cke(0) => DDR2_cke(0), DDR2_cs_n(0) => DDR2_cs_n(0), DDR2_dm(1 downto 0) => DDR2_dm(1 downto 0), DDR2_dq(15 downto 0) => DDR2_dq(15 downto 0), DDR2_dqs_n(1 downto 0) => DDR2_dqs_n(1 downto 0), DDR2_dqs_p(1 downto 0) => DDR2_dqs_p(1 downto 0), DDR2_odt(0) => DDR2_odt(0), DDR2_ras_n => DDR2_ras_n, DDR2_we_n => DDR2_we_n, S00_AXI_araddr(31 downto 0) => S00_AXI_araddr(31 downto 0), S00_AXI_arburst(1 downto 0) => S00_AXI_arburst(1 downto 0), S00_AXI_arcache(3 downto 0) => S00_AXI_arcache(3 downto 0), S00_AXI_arid(3 downto 0) => S00_AXI_arid(3 downto 0), S00_AXI_arlen(7 downto 0) => S00_AXI_arlen(7 downto 0), S00_AXI_arlock(0) => S00_AXI_arlock(0), S00_AXI_arprot(2 downto 0) => S00_AXI_arprot(2 downto 0), S00_AXI_arqos(3 downto 0) => S00_AXI_arqos(3 downto 0), S00_AXI_arready => S00_AXI_arready, S00_AXI_arregion(3 downto 0) => S00_AXI_arregion(3 downto 0), S00_AXI_arsize(2 downto 0) => S00_AXI_arsize(2 downto 0), S00_AXI_arvalid => S00_AXI_arvalid, S00_AXI_awaddr(31 downto 0) => S00_AXI_awaddr(31 downto 0), S00_AXI_awburst(1 downto 0) => S00_AXI_awburst(1 downto 0), S00_AXI_awcache(3 downto 0) => S00_AXI_awcache(3 downto 0), S00_AXI_awid(3 downto 0) => S00_AXI_awid(3 downto 0), S00_AXI_awlen(7 downto 0) => S00_AXI_awlen(7 downto 0), S00_AXI_awlock(0) => S00_AXI_awlock(0), S00_AXI_awprot(2 downto 0) => S00_AXI_awprot(2 downto 0), S00_AXI_awqos(3 downto 0) => S00_AXI_awqos(3 downto 0), S00_AXI_awready => S00_AXI_awready, S00_AXI_awregion(3 downto 0) => S00_AXI_awregion(3 downto 0), S00_AXI_awsize(2 downto 0) => S00_AXI_awsize(2 downto 0), S00_AXI_awvalid => S00_AXI_awvalid, S00_AXI_bid(3 downto 0) => S00_AXI_bid(3 downto 0), S00_AXI_bready => S00_AXI_bready, S00_AXI_bresp(1 downto 0) => S00_AXI_bresp(1 downto 0), S00_AXI_bvalid => S00_AXI_bvalid, S00_AXI_rdata(31 downto 0) => S00_AXI_rdata(31 downto 0), S00_AXI_rid(3 downto 0) => S00_AXI_rid(3 downto 0), S00_AXI_rlast => S00_AXI_rlast, S00_AXI_rready => S00_AXI_rready, S00_AXI_rresp(1 downto 0) => S00_AXI_rresp(1 downto 0), S00_AXI_rvalid => S00_AXI_rvalid, S00_AXI_wdata(31 downto 0) => S00_AXI_wdata(31 downto 0), S00_AXI_wlast => S00_AXI_wlast, S00_AXI_wready => S00_AXI_wready, S00_AXI_wstrb(3 downto 0) => S00_AXI_wstrb(3 downto 0), S00_AXI_wvalid => S00_AXI_wvalid, aclk => aclk, interconnect_aresetn(0) => interconnect_aresetn(0), peripheral_aresetn(0) => peripheral_aresetn(0), sys_clk_i => sys_clk_i, sys_rst => sys_rst ); end STRUCTURE;
mit
antlr/grammars-v4
vhdl/examples/misc.vhd
5
32998
-------------------------------------------------------------------------- -- -- Copyright (c) 1990, 1991, 1992 by Synopsys, Inc. All rights reserved. -- -- This source file may be used and distributed without restriction -- provided that this copyright statement is not removed from the file -- and that any derivative work contains this copyright notice. -- -- Package name: std_logic_misc -- -- Purpose: This package defines supplemental types, subtypes, -- constants, and functions for the Std_logic_1164 Package. -- -- Author: GWH -- -------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.all; --library SYNOPSYS; --use SYNOPSYS.attributes.all; package std_logic_misc is -- output-strength types type STRENGTH is (strn_X01, strn_X0H, strn_XL1, strn_X0Z, strn_XZ1, strn_WLH, strn_WLZ, strn_WZH, strn_W0H, strn_WL1); --synopsys synthesis_off type MINOMAX is array (1 to 3) of TIME; --------------------------------------------------------------------- -- -- functions for mapping the STD_(U)LOGIC according to STRENGTH -- --------------------------------------------------------------------- function strength_map(input: STD_ULOGIC; strn: STRENGTH) return STD_LOGIC; function strength_map_z(input:STD_ULOGIC; strn:STRENGTH) return STD_LOGIC; --------------------------------------------------------------------- -- -- conversion functions for STD_ULOGIC_VECTOR and STD_LOGIC_VECTOR -- --------------------------------------------------------------------- --synopsys synthesis_on function Drive (V: STD_ULOGIC_VECTOR) return STD_LOGIC_VECTOR; function Drive (V: STD_LOGIC_VECTOR) return STD_ULOGIC_VECTOR; --synopsys synthesis_off --attribute CLOSELY_RELATED_TCF of Drive: function is TRUE; --------------------------------------------------------------------- -- -- conversion functions for sensing various types -- (the second argument allows the user to specify the value to -- be returned when the network is undriven) -- --------------------------------------------------------------------- function Sense (V: STD_ULOGIC; vZ, vU, vDC: STD_ULOGIC) return STD_LOGIC; function Sense (V: STD_ULOGIC_VECTOR; vZ, vU, vDC: STD_ULOGIC) return STD_LOGIC_VECTOR; function Sense (V: STD_ULOGIC_VECTOR; vZ, vU, vDC: STD_ULOGIC) return STD_ULOGIC_VECTOR; function Sense (V: STD_LOGIC_VECTOR; vZ, vU, vDC: STD_ULOGIC) return STD_LOGIC_VECTOR; function Sense (V: STD_LOGIC_VECTOR; vZ, vU, vDC: STD_ULOGIC) return STD_ULOGIC_VECTOR; --synopsys synthesis_on --------------------------------------------------------------------- -- -- Function: STD_LOGIC_VECTORtoBIT_VECTOR STD_ULOGIC_VECTORtoBIT_VECTOR -- -- Purpose: Conversion fun. from STD_(U)LOGIC_VECTOR to BIT_VECTOR -- -- Mapping: 0, L --> 0 -- 1, H --> 1 -- X, W --> vX if Xflag is TRUE -- X, W --> 0 if Xflag is FALSE -- Z --> vZ if Zflag is TRUE -- Z --> 0 if Zflag is FALSE -- U --> vU if Uflag is TRUE -- U --> 0 if Uflag is FALSE -- - --> vDC if DCflag is TRUE -- - --> 0 if DCflag is FALSE -- --------------------------------------------------------------------- function STD_LOGIC_VECTORtoBIT_VECTOR (V: STD_LOGIC_VECTOR --synopsys synthesis_off ; vX, vZ, vU, vDC: BIT := '0'; Xflag, Zflag, Uflag, DCflag: BOOLEAN := FALSE --synopsys synthesis_on ) return BIT_VECTOR; function STD_ULOGIC_VECTORtoBIT_VECTOR (V: STD_ULOGIC_VECTOR --synopsys synthesis_off ; vX, vZ, vU, vDC: BIT := '0'; Xflag, Zflag, Uflag, DCflag: BOOLEAN := FALSE --synopsys synthesis_on ) return BIT_VECTOR; --------------------------------------------------------------------- -- -- Function: STD_ULOGICtoBIT -- -- Purpose: Conversion function from STD_(U)LOGIC to BIT -- -- Mapping: 0, L --> 0 -- 1, H --> 1 -- X, W --> vX if Xflag is TRUE -- X, W --> 0 if Xflag is FALSE -- Z --> vZ if Zflag is TRUE -- Z --> 0 if Zflag is FALSE -- U --> vU if Uflag is TRUE -- U --> 0 if Uflag is FALSE -- - --> vDC if DCflag is TRUE -- - --> 0 if DCflag is FALSE -- --------------------------------------------------------------------- function STD_ULOGICtoBIT (V: STD_ULOGIC --synopsys synthesis_off ; vX, vZ, vU, vDC: BIT := '0'; Xflag, Zflag, Uflag, DCflag: BOOLEAN := FALSE --synopsys synthesis_on ) return BIT; -------------------------------------------------------------------- function AND_REDUCE(ARG: STD_LOGIC_VECTOR) return UX01; function NAND_REDUCE(ARG: STD_LOGIC_VECTOR) return UX01; function OR_REDUCE(ARG: STD_LOGIC_VECTOR) return UX01; function NOR_REDUCE(ARG: STD_LOGIC_VECTOR) return UX01; function XOR_REDUCE(ARG: STD_LOGIC_VECTOR) return UX01; function XNOR_REDUCE(ARG: STD_LOGIC_VECTOR) return UX01; function AND_REDUCE(ARG: STD_ULOGIC_VECTOR) return UX01; function NAND_REDUCE(ARG: STD_ULOGIC_VECTOR) return UX01; function OR_REDUCE(ARG: STD_ULOGIC_VECTOR) return UX01; function NOR_REDUCE(ARG: STD_ULOGIC_VECTOR) return UX01; function XOR_REDUCE(ARG: STD_ULOGIC_VECTOR) return UX01; function XNOR_REDUCE(ARG: STD_ULOGIC_VECTOR) return UX01; --synopsys synthesis_off function fun_BUF3S(Input, Enable: UX01; Strn: STRENGTH) return STD_LOGIC; function fun_BUF3SL(Input, Enable: UX01; Strn: STRENGTH) return STD_LOGIC; function fun_MUX2x1(Input0, Input1, Sel: UX01) return UX01; function fun_MAJ23(Input0, Input1, Input2: UX01) return UX01; function fun_WiredX(Input0, Input1: std_ulogic) return STD_LOGIC; --synopsys synthesis_on end; package body std_logic_misc is --synopsys synthesis_off type STRN_STD_ULOGIC_TABLE is array (STD_ULOGIC,STRENGTH) of STD_ULOGIC; -------------------------------------------------------------------- -- -- Truth tables for output strength --> STD_ULOGIC lookup -- -------------------------------------------------------------------- -- truth table for output strength --> STD_ULOGIC lookup constant tbl_STRN_STD_ULOGIC: STRN_STD_ULOGIC_TABLE := -- ------------------------------------------------------------------ -- | X01 X0H XL1 X0Z XZ1 WLH WLZ WZH W0H WL1 | strn/ output| -- ------------------------------------------------------------------ (('U', 'U', 'U', 'U', 'U', 'U', 'U', 'U', 'U', 'U'), -- | U | ('X', 'X', 'X', 'X', 'X', 'W', 'W', 'W', 'W', 'W'), -- | X | ('0', '0', 'L', '0', 'Z', 'L', 'L', 'Z', '0', 'L'), -- | 0 | ('1', 'H', '1', 'Z', '1', 'H', 'Z', 'H', 'H', '1'), -- | 1 | ('X', 'X', 'X', 'X', 'X', 'W', 'W', 'W', 'W', 'W'), -- | Z | ('X', 'X', 'X', 'X', 'X', 'W', 'W', 'W', 'W', 'W'), -- | W | ('0', '0', 'L', '0', 'Z', 'L', 'L', 'Z', '0', 'L'), -- | L | ('1', 'H', '1', 'Z', '1', 'H', 'Z', 'H', 'H', '1'), -- | H | ('X', 'X', 'X', 'X', 'X', 'W', 'W', 'W', 'W', 'W')); -- | - | -------------------------------------------------------------------- -- -- Truth tables for strength --> STD_ULOGIC mapping ('Z' pass through) -- -------------------------------------------------------------------- -- truth table for output strength --> STD_ULOGIC lookup constant tbl_STRN_STD_ULOGIC_Z: STRN_STD_ULOGIC_TABLE := -- ------------------------------------------------------------------ -- | X01 X0H XL1 X0Z XZ1 WLH WLZ WZH W0H WL1 | strn/ output| -- ------------------------------------------------------------------ (('U', 'U', 'U', 'U', 'U', 'U', 'U', 'U', 'U', 'U'), -- | U | ('X', 'X', 'X', 'X', 'X', 'W', 'W', 'W', 'W', 'W'), -- | X | ('0', '0', 'L', '0', 'Z', 'L', 'L', 'Z', '0', 'L'), -- | 0 | ('1', 'H', '1', 'Z', '1', 'H', 'Z', 'H', 'H', '1'), -- | 1 | ('Z', 'Z', 'Z', 'Z', 'Z', 'Z', 'Z', 'Z', 'Z', 'Z'), -- | Z | ('X', 'X', 'X', 'X', 'X', 'W', 'W', 'W', 'W', 'W'), -- | W | ('0', '0', 'L', '0', 'Z', 'L', 'L', 'Z', '0', 'L'), -- | L | ('1', 'H', '1', 'Z', '1', 'H', 'Z', 'H', 'H', '1'), -- | H | ('X', 'X', 'X', 'X', 'X', 'W', 'W', 'W', 'W', 'W')); -- | - | --------------------------------------------------------------------- -- -- functions for mapping the STD_(U)LOGIC according to STRENGTH -- --------------------------------------------------------------------- function strength_map(input: STD_ULOGIC; strn: STRENGTH) return STD_LOGIC is -- pragma subpgm_id 387 begin return tbl_STRN_STD_ULOGIC(input, strn); end strength_map; function strength_map_z(input:STD_ULOGIC; strn:STRENGTH) return STD_LOGIC is -- pragma subpgm_id 388 begin return tbl_STRN_STD_ULOGIC_Z(input, strn); end strength_map_z; --------------------------------------------------------------------- -- -- conversion functions for STD_LOGIC_VECTOR and STD_ULOGIC_VECTOR -- --------------------------------------------------------------------- --synopsys synthesis_on function Drive (V: STD_LOGIC_VECTOR) return STD_ULOGIC_VECTOR is -- pragma built_in SYN_FEED_THRU -- pragma subpgm_id 389 --synopsys synthesis_off alias Value: STD_LOGIC_VECTOR (V'length-1 downto 0) is V; --synopsys synthesis_on begin --synopsys synthesis_off return STD_ULOGIC_VECTOR(Value); --synopsys synthesis_on end Drive; function Drive (V: STD_ULOGIC_VECTOR) return STD_LOGIC_VECTOR is -- pragma built_in SYN_FEED_THRU -- pragma subpgm_id 390 --synopsys synthesis_off alias Value: STD_ULOGIC_VECTOR (V'length-1 downto 0) is V; --synopsys synthesis_on begin --synopsys synthesis_off return STD_LOGIC_VECTOR(Value); --synopsys synthesis_on end Drive; --synopsys synthesis_off --------------------------------------------------------------------- -- -- conversion functions for sensing various types -- -- (the second argument allows the user to specify the value to -- be returned when the network is undriven) -- --------------------------------------------------------------------- function Sense (V: STD_ULOGIC; vZ, vU, vDC: STD_ULOGIC) return STD_LOGIC is -- pragma subpgm_id 391 begin if V = 'Z' then return vZ; elsif V = 'U' then return vU; elsif V = '-' then return vDC; else return V; end if; end Sense; function Sense (V: STD_ULOGIC_VECTOR; vZ, vU, vDC: STD_ULOGIC) return STD_LOGIC_VECTOR is -- pragma subpgm_id 392 alias Value: STD_ULOGIC_VECTOR (V'length-1 downto 0) is V; variable Result: STD_LOGIC_VECTOR (V'length-1 downto 0); begin for i in Value'range loop if ( Value(i) = 'Z' ) then Result(i) := vZ; elsif Value(i) = 'U' then Result(i) := vU; elsif Value(i) = '-' then Result(i) := vDC; else Result(i) := Value(i); end if; end loop; return Result; end Sense; function Sense (V: STD_ULOGIC_VECTOR; vZ, vU, vDC: STD_ULOGIC) return STD_ULOGIC_VECTOR is -- pragma subpgm_id 393 alias Value: STD_ULOGIC_VECTOR (V'length-1 downto 0) is V; variable Result: STD_ULOGIC_VECTOR (V'length-1 downto 0); begin for i in Value'range loop if ( Value(i) = 'Z' ) then Result(i) := vZ; elsif Value(i) = 'U' then Result(i) := vU; elsif Value(i) = '-' then Result(i) := vDC; else Result(i) := Value(i); end if; end loop; return Result; end Sense; function Sense (V: STD_LOGIC_VECTOR; vZ, vU, vDC: STD_ULOGIC) return STD_LOGIC_VECTOR is -- pragma subpgm_id 394 alias Value: STD_LOGIC_VECTOR (V'length-1 downto 0) is V; variable Result: STD_LOGIC_VECTOR (V'length-1 downto 0); begin for i in Value'range loop if ( Value(i) = 'Z' ) then Result(i) := vZ; elsif Value(i) = 'U' then Result(i) := vU; elsif Value(i) = '-' then Result(i) := vDC; else Result(i) := Value(i); end if; end loop; return Result; end Sense; function Sense (V: STD_LOGIC_VECTOR; vZ, vU, vDC: STD_ULOGIC) return STD_ULOGIC_VECTOR is -- pragma subpgm_id 395 alias Value: STD_LOGIC_VECTOR (V'length-1 downto 0) is V; variable Result: STD_ULOGIC_VECTOR (V'length-1 downto 0); begin for i in Value'range loop if ( Value(i) = 'Z' ) then Result(i) := vZ; elsif Value(i) = 'U' then Result(i) := vU; elsif Value(i) = '-' then Result(i) := vDC; else Result(i) := Value(i); end if; end loop; return Result; end Sense; --------------------------------------------------------------------- -- -- Function: STD_LOGIC_VECTORtoBIT_VECTOR -- -- Purpose: Conversion fun. from STD_LOGIC_VECTOR to BIT_VECTOR -- -- Mapping: 0, L --> 0 -- 1, H --> 1 -- X, W --> vX if Xflag is TRUE -- X, W --> 0 if Xflag is FALSE -- Z --> vZ if Zflag is TRUE -- Z --> 0 if Zflag is FALSE -- U --> vU if Uflag is TRUE -- U --> 0 if Uflag is FALSE -- - --> vDC if DCflag is TRUE -- - --> 0 if DCflag is FALSE -- --------------------------------------------------------------------- --synopsys synthesis_on function STD_LOGIC_VECTORtoBIT_VECTOR (V: STD_LOGIC_VECTOR --synopsys synthesis_off ; vX, vZ, vU, vDC: BIT := '0'; Xflag, Zflag, Uflag, DCflag: BOOLEAN := FALSE --synopsys synthesis_on ) return BIT_VECTOR is -- pragma built_in SYN_FEED_THRU -- pragma subpgm_id 396 --synopsys synthesis_off alias Value: STD_LOGIC_VECTOR (V'length-1 downto 0) is V; variable Result: BIT_VECTOR (V'length-1 downto 0); --synopsys synthesis_on begin --synopsys synthesis_off for i in Value'range loop case Value(i) is when '0' | 'L' => Result(i) := '0'; when '1' | 'H' => Result(i) := '1'; when 'X' => if ( Xflag ) then Result(i) := vX; else Result(i) := '0'; assert FALSE report "STD_LOGIC_VECTORtoBIT_VECTOR: X --> 0" severity WARNING; end if; when 'W' => if ( Xflag ) then Result(i) := vX; else Result(i) := '0'; assert FALSE report "STD_LOGIC_VECTORtoBIT_VECTOR: W --> 0" severity WARNING; end if; when 'Z' => if ( Zflag ) then Result(i) := vZ; else Result(i) := '0'; assert FALSE report "STD_LOGIC_VECTORtoBIT_VECTOR: Z --> 0" severity WARNING; end if; when 'U' => if ( Uflag ) then Result(i) := vU; else Result(i) := '0'; assert FALSE report "STD_LOGIC_VECTORtoBIT_VECTOR: U --> 0" severity WARNING; end if; when '-' => if ( DCflag ) then Result(i) := vDC; else Result(i) := '0'; assert FALSE report "STD_LOGIC_VECTORtoBIT_VECTOR: - --> 0" severity WARNING; end if; end case; end loop; return Result; --synopsys synthesis_on end STD_LOGIC_VECTORtoBIT_VECTOR; --------------------------------------------------------------------- -- -- Function: STD_ULOGIC_VECTORtoBIT_VECTOR -- -- Purpose: Conversion fun. from STD_ULOGIC_VECTOR to BIT_VECTOR -- -- Mapping: 0, L --> 0 -- 1, H --> 1 -- X, W --> vX if Xflag is TRUE -- X, W --> 0 if Xflag is FALSE -- Z --> vZ if Zflag is TRUE -- Z --> 0 if Zflag is FALSE -- U --> vU if Uflag is TRUE -- U --> 0 if Uflag is FALSE -- - --> vDC if DCflag is TRUE -- - --> 0 if DCflag is FALSE -- --------------------------------------------------------------------- function STD_ULOGIC_VECTORtoBIT_VECTOR (V: STD_ULOGIC_VECTOR --synopsys synthesis_off ; vX, vZ, vU, vDC: BIT := '0'; Xflag, Zflag, Uflag, DCflag: BOOLEAN := FALSE --synopsys synthesis_on ) return BIT_VECTOR is -- pragma built_in SYN_FEED_THRU -- pragma subpgm_id 397 --synopsys synthesis_off alias Value: STD_ULOGIC_VECTOR (V'length-1 downto 0) is V; variable Result: BIT_VECTOR (V'length-1 downto 0); --synopsys synthesis_on begin --synopsys synthesis_off for i in Value'range loop case Value(i) is when '0' | 'L' => Result(i) := '0'; when '1' | 'H' => Result(i) := '1'; when 'X' => if ( Xflag ) then Result(i) := vX; else Result(i) := '0'; assert FALSE report "STD_ULOGIC_VECTORtoBIT_VECTOR: X --> 0" severity WARNING; end if; when 'W' => if ( Xflag ) then Result(i) := vX; else Result(i) := '0'; assert FALSE report "STD_ULOGIC_VECTORtoBIT_VECTOR: W --> 0" severity WARNING; end if; when 'Z' => if ( Zflag ) then Result(i) := vZ; else Result(i) := '0'; assert FALSE report "STD_ULOGIC_VECTORtoBIT_VECTOR: Z --> 0" severity WARNING; end if; when 'U' => if ( Uflag ) then Result(i) := vU; else Result(i) := '0'; assert FALSE report "STD_ULOGIC_VECTORtoBIT_VECTOR: U --> 0" severity WARNING; end if; when '-' => if ( DCflag ) then Result(i) := vDC; else Result(i) := '0'; assert FALSE report "STD_ULOGIC_VECTORtoBIT_VECTOR: - --> 0" severity WARNING; end if; end case; end loop; return Result; --synopsys synthesis_on end STD_ULOGIC_VECTORtoBIT_VECTOR; --------------------------------------------------------------------- -- -- Function: STD_ULOGICtoBIT -- -- Purpose: Conversion function from STD_ULOGIC to BIT -- -- Mapping: 0, L --> 0 -- 1, H --> 1 -- X, W --> vX if Xflag is TRUE -- X, W --> 0 if Xflag is FALSE -- Z --> vZ if Zflag is TRUE -- Z --> 0 if Zflag is FALSE -- U --> vU if Uflag is TRUE -- U --> 0 if Uflag is FALSE -- - --> vDC if DCflag is TRUE -- - --> 0 if DCflag is FALSE -- --------------------------------------------------------------------- function STD_ULOGICtoBIT (V: STD_ULOGIC --synopsys synthesis_off ; vX, vZ, vU, vDC: BIT := '0'; Xflag, Zflag, Uflag, DCflag: BOOLEAN := FALSE --synopsys synthesis_on ) return BIT is -- pragma built_in SYN_FEED_THRU -- pragma subpgm_id 398 variable Result: BIT; begin --synopsys synthesis_off case V is when '0' | 'L' => Result := '0'; when '1' | 'H' => Result := '1'; when 'X' => if ( Xflag ) then Result := vX; else Result := '0'; assert FALSE report "STD_ULOGICtoBIT: X --> 0" severity WARNING; end if; when 'W' => if ( Xflag ) then Result := vX; else Result := '0'; assert FALSE report "STD_ULOGICtoBIT: W --> 0" severity WARNING; end if; when 'Z' => if ( Zflag ) then Result := vZ; else Result := '0'; assert FALSE report "STD_ULOGICtoBIT: Z --> 0" severity WARNING; end if; when 'U' => if ( Uflag ) then Result := vU; else Result := '0'; assert FALSE report "STD_ULOGICtoBIT: U --> 0" severity WARNING; end if; when '-' => if ( DCflag ) then Result := vDC; else Result := '0'; assert FALSE report "STD_ULOGICtoBIT: - --> 0" severity WARNING; end if; end case; return Result; --synopsys synthesis_on end STD_ULOGICtoBIT; -------------------------------------------------------------------------- function AND_REDUCE(ARG: STD_LOGIC_VECTOR) return UX01 is -- pragma subpgm_id 399 variable result: STD_LOGIC; begin result := '1'; for i in ARG'range loop result := result and ARG(i); end loop; return result; end; function NAND_REDUCE(ARG: STD_LOGIC_VECTOR) return UX01 is -- pragma subpgm_id 400 begin return not AND_REDUCE(ARG); end; function OR_REDUCE(ARG: STD_LOGIC_VECTOR) return UX01 is -- pragma subpgm_id 401 variable result: STD_LOGIC; begin result := '0'; for i in ARG'range loop result := result or ARG(i); end loop; return result; end; function NOR_REDUCE(ARG: STD_LOGIC_VECTOR) return UX01 is -- pragma subpgm_id 402 begin return not OR_REDUCE(ARG); end; function XOR_REDUCE(ARG: STD_LOGIC_VECTOR) return UX01 is -- pragma subpgm_id 403 variable result: STD_LOGIC; begin result := '0'; for i in ARG'range loop result := result xor ARG(i); end loop; return result; end; function XNOR_REDUCE(ARG: STD_LOGIC_VECTOR) return UX01 is -- pragma subpgm_id 404 begin return not XOR_REDUCE(ARG); end; function AND_REDUCE(ARG: STD_ULOGIC_VECTOR) return UX01 is -- pragma subpgm_id 405 variable result: STD_LOGIC; begin result := '1'; for i in ARG'range loop result := result and ARG(i); end loop; return result; end; function NAND_REDUCE(ARG: STD_ULOGIC_VECTOR) return UX01 is -- pragma subpgm_id 406 begin return not AND_REDUCE(ARG); end; function OR_REDUCE(ARG: STD_ULOGIC_VECTOR) return UX01 is -- pragma subpgm_id 407 variable result: STD_LOGIC; begin result := '0'; for i in ARG'range loop result := result or ARG(i); end loop; return result; end; function NOR_REDUCE(ARG: STD_ULOGIC_VECTOR) return UX01 is -- pragma subpgm_id 408 begin return not OR_REDUCE(ARG); end; function XOR_REDUCE(ARG: STD_ULOGIC_VECTOR) return UX01 is -- pragma subpgm_id 409 variable result: STD_LOGIC; begin result := '0'; for i in ARG'range loop result := result xor ARG(i); end loop; return result; end; function XNOR_REDUCE(ARG: STD_ULOGIC_VECTOR) return UX01 is -- pragma subpgm_id 410 begin return not XOR_REDUCE(ARG); end; --synopsys synthesis_off function fun_BUF3S(Input, Enable: UX01; Strn: STRENGTH) return STD_LOGIC is -- pragma subpgm_id 411 type TRISTATE_TABLE is array(STRENGTH, UX01, UX01) of STD_LOGIC; -- truth table for tristate "buf" function (Enable active Low) constant tbl_BUF3S: TRISTATE_TABLE := -- ---------------------------------------------------- -- | Input U X 0 1 | Enable Strength | -- ---------------------------------|-----------------| ((('U', 'U', 'U', 'U'), --| U X01 | ('U', 'X', 'X', 'X'), --| X X01 | ('Z', 'Z', 'Z', 'Z'), --| 0 X01 | ('U', 'X', '0', '1')), --| 1 X01 | (('U', 'U', 'U', 'U'), --| U X0H | ('U', 'X', 'X', 'X'), --| X X0H | ('Z', 'Z', 'Z', 'Z'), --| 0 X0H | ('U', 'X', '0', 'H')), --| 1 X0H | (('U', 'U', 'U', 'U'), --| U XL1 | ('U', 'X', 'X', 'X'), --| X XL1 | ('Z', 'Z', 'Z', 'Z'), --| 0 XL1 | ('U', 'X', 'L', '1')), --| 1 XL1 | (('U', 'U', 'U', 'Z'), --| U X0Z | ('U', 'X', 'X', 'Z'), --| X X0Z | ('Z', 'Z', 'Z', 'Z'), --| 0 X0Z | ('U', 'X', '0', 'Z')), --| 1 X0Z | (('U', 'U', 'U', 'U'), --| U XZ1 | ('U', 'X', 'X', 'X'), --| X XZ1 | ('Z', 'Z', 'Z', 'Z'), --| 0 XZ1 | ('U', 'X', 'Z', '1')), --| 1 XZ1 | (('U', 'U', 'U', 'U'), --| U WLH | ('U', 'W', 'W', 'W'), --| X WLH | ('Z', 'Z', 'Z', 'Z'), --| 0 WLH | ('U', 'W', 'L', 'H')), --| 1 WLH | (('U', 'U', 'U', 'U'), --| U WLZ | ('U', 'W', 'W', 'Z'), --| X WLZ | ('Z', 'Z', 'Z', 'Z'), --| 0 WLZ | ('U', 'W', 'L', 'Z')), --| 1 WLZ | (('U', 'U', 'U', 'U'), --| U WZH | ('U', 'W', 'W', 'W'), --| X WZH | ('Z', 'Z', 'Z', 'Z'), --| 0 WZH | ('U', 'W', 'Z', 'H')), --| 1 WZH | (('U', 'U', 'U', 'U'), --| U W0H | ('U', 'W', 'W', 'W'), --| X W0H | ('Z', 'Z', 'Z', 'Z'), --| 0 W0H | ('U', 'W', '0', 'H')), --| 1 W0H | (('U', 'U', 'U', 'U'), --| U WL1 | ('U', 'W', 'W', 'W'), --| X WL1 | ('Z', 'Z', 'Z', 'Z'), --| 0 WL1 | ('U', 'W', 'L', '1')));--| 1 WL1 | begin return tbl_BUF3S(Strn, Enable, Input); end fun_BUF3S; function fun_BUF3SL(Input, Enable: UX01; Strn: STRENGTH) return STD_LOGIC is -- pragma subpgm_id 412 type TRISTATE_TABLE is array(STRENGTH, UX01, UX01) of STD_LOGIC; -- truth table for tristate "buf" function (Enable active Low) constant tbl_BUF3SL: TRISTATE_TABLE := -- ---------------------------------------------------- -- | Input U X 0 1 | Enable Strength | -- ---------------------------------|-----------------| ((('U', 'U', 'U', 'U'), --| U X01 | ('U', 'X', 'X', 'X'), --| X X01 | ('U', 'X', '0', '1'), --| 0 X01 | ('Z', 'Z', 'Z', 'Z')), --| 1 X01 | (('U', 'U', 'U', 'U'), --| U X0H | ('U', 'X', 'X', 'X'), --| X X0H | ('U', 'X', '0', 'H'), --| 0 X0H | ('Z', 'Z', 'Z', 'Z')), --| 1 X0H | (('U', 'U', 'U', 'U'), --| U XL1 | ('U', 'X', 'X', 'X'), --| X XL1 | ('U', 'X', 'L', '1'), --| 0 XL1 | ('Z', 'Z', 'Z', 'Z')), --| 1 XL1 | (('U', 'U', 'U', 'Z'), --| U X0Z | ('U', 'X', 'X', 'Z'), --| X X0Z | ('U', 'X', '0', 'Z'), --| 0 X0Z | ('Z', 'Z', 'Z', 'Z')), --| 1 X0Z | (('U', 'U', 'U', 'U'), --| U XZ1 | ('U', 'X', 'X', 'X'), --| X XZ1 | ('U', 'X', 'Z', '1'), --| 0 XZ1 | ('Z', 'Z', 'Z', 'Z')), --| 1 XZ1 | (('U', 'U', 'U', 'U'), --| U WLH | ('U', 'W', 'W', 'W'), --| X WLH | ('U', 'W', 'L', 'H'), --| 0 WLH | ('Z', 'Z', 'Z', 'Z')), --| 1 WLH | (('U', 'U', 'U', 'U'), --| U WLZ | ('U', 'W', 'W', 'Z'), --| X WLZ | ('U', 'W', 'L', 'Z'), --| 0 WLZ | ('Z', 'Z', 'Z', 'Z')), --| 1 WLZ | (('U', 'U', 'U', 'U'), --| U WZH | ('U', 'W', 'W', 'W'), --| X WZH | ('U', 'W', 'Z', 'H'), --| 0 WZH | ('Z', 'Z', 'Z', 'Z')), --| 1 WZH | (('U', 'U', 'U', 'U'), --| U W0H | ('U', 'W', 'W', 'W'), --| X W0H | ('U', 'W', '0', 'H'), --| 0 W0H | ('Z', 'Z', 'Z', 'Z')), --| 1 W0H | (('U', 'U', 'U', 'U'), --| U WL1 | ('U', 'W', 'W', 'W'), --| X WL1 | ('U', 'W', 'L', '1'), --| 0 WL1 | ('Z', 'Z', 'Z', 'Z')));--| 1 WL1 | begin return tbl_BUF3SL(Strn, Enable, Input); end fun_BUF3SL; function fun_MUX2x1(Input0, Input1, Sel: UX01) return UX01 is -- pragma subpgm_id 413 type MUX_TABLE is array (UX01, UX01, UX01) of UX01; -- truth table for "MUX2x1" function constant tbl_MUX2x1: MUX_TABLE := -------------------------------------------- --| In0 'U' 'X' '0' '1' | Sel In1 | -------------------------------------------- ((('U', 'U', 'U', 'U'), --| 'U' 'U' | ('U', 'U', 'U', 'U'), --| 'X' 'U' | ('U', 'X', '0', '1'), --| '0' 'U' | ('U', 'U', 'U', 'U')), --| '1' 'U' | (('U', 'X', 'U', 'U'), --| 'U' 'X' | ('U', 'X', 'X', 'X'), --| 'X' 'X' | ('U', 'X', '0', '1'), --| '0' 'X' | ('X', 'X', 'X', 'X')), --| '1' 'X' | (('U', 'U', '0', 'U'), --| 'U' '0' | ('U', 'X', '0', 'X'), --| 'X' '0' | ('U', 'X', '0', '1'), --| '0' '0' | ('0', '0', '0', '0')), --| '1' '0' | (('U', 'U', 'U', '1'), --| 'U' '1' | ('U', 'X', 'X', '1'), --| 'X' '1' | ('U', 'X', '0', '1'), --| '0' '1' | ('1', '1', '1', '1')));--| '1' '1' | begin return tbl_MUX2x1(Input1, Sel, Input0); end fun_MUX2x1; function fun_MAJ23(Input0, Input1, Input2: UX01) return UX01 is -- pragma subpgm_id 414 type MAJ23_TABLE is array (UX01, UX01, UX01) of UX01; ---------------------------------------------------------------------------- -- The "tbl_MAJ23" truth table return 1 if the majority of three -- inputs is 1, a 0 if the majority is 0, a X if unknown, and a U if -- uninitialized. ---------------------------------------------------------------------------- constant tbl_MAJ23: MAJ23_TABLE := -------------------------------------------- --| In0 'U' 'X' '0' '1' | In1 In2 | -------------------------------------------- ((('U', 'U', 'U', 'U'), --| 'U' 'U' | ('U', 'U', 'U', 'U'), --| 'X' 'U' | ('U', 'U', '0', 'U'), --| '0' 'U' | ('U', 'U', 'U', '1')), --| '1' 'U' | (('U', 'U', 'U', 'U'), --| 'U' 'X' | ('U', 'X', 'X', 'X'), --| 'X' 'X' | ('U', 'X', '0', 'X'), --| '0' 'X' | ('U', 'X', 'X', '1')), --| '1' 'X' | (('U', 'U', '0', 'U'), --| 'U' '0' | ('U', 'X', '0', 'X'), --| 'X' '0' | ('0', '0', '0', '0'), --| '0' '0' | ('U', 'X', '0', '1')), --| '1' '0' | (('U', 'U', 'U', '1'), --| 'U' '1' | ('U', 'X', 'X', '1'), --| 'X' '1' | ('U', 'X', '0', '1'), --| '0' '1' | ('1', '1', '1', '1')));--| '1' '1' | begin return tbl_MAJ23(Input0, Input1, Input2); end fun_MAJ23; function fun_WiredX(Input0, Input1: STD_ULOGIC) return STD_LOGIC is -- pragma subpgm_id 415 TYPE stdlogic_table IS ARRAY(STD_ULOGIC, STD_ULOGIC) OF STD_LOGIC; -- truth table for "WiredX" function ------------------------------------------------------------------- -- resolution function ------------------------------------------------------------------- CONSTANT resolution_table : stdlogic_table := ( -- --------------------------------------------------------- -- | U X 0 1 Z W L H - | | -- --------------------------------------------------------- ( 'U', 'U', 'U', 'U', 'U', 'U', 'U', 'U', 'U' ), -- | U | ( 'U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', 'X' ), -- | X | ( 'U', 'X', '0', 'X', '0', '0', '0', '0', 'X' ), -- | 0 | ( 'U', 'X', 'X', '1', '1', '1', '1', '1', 'X' ), -- | 1 | ( 'U', 'X', '0', '1', 'Z', 'W', 'L', 'H', 'X' ), -- | Z | ( 'U', 'X', '0', '1', 'W', 'W', 'W', 'W', 'X' ), -- | W | ( 'U', 'X', '0', '1', 'L', 'W', 'L', 'W', 'X' ), -- | L | ( 'U', 'X', '0', '1', 'H', 'W', 'W', 'H', 'X' ), -- | H | ( 'U', 'X', 'X', 'X', 'X', 'X', 'X', 'X', 'X' ));-- | - | begin return resolution_table(Input0, Input1); end fun_WiredX; --synopsys synthesis_on end;
mit
andrewandrepowell/kernel-on-chip
hdl/projects/Nexys4/bd/ip/bd_clk_wiz_0_0/bd_clk_wiz_0_0_sim_netlist.vhdl
1
7962
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2016.4 (win64) Build 1756540 Mon Jan 23 19:11:23 MST 2017 -- Date : Wed May 03 18:20:15 2017 -- Host : LAPTOP-IQ9G3D1I running 64-bit major release (build 9200) -- Command : write_vhdl -force -mode funcsim -- C:/Users/andrewandre/Documents/GitHub/kernel-on-chip/hdl/projects/Nexys4/bd/ip/bd_clk_wiz_0_0/bd_clk_wiz_0_0_sim_netlist.vhdl -- Design : bd_clk_wiz_0_0 -- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or -- synthesized. This netlist cannot be used for SDF annotated simulation. -- Device : xc7a100tcsg324-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity bd_clk_wiz_0_0_bd_clk_wiz_0_0_clk_wiz is port ( clk_ref_i : out STD_LOGIC; aclk : out STD_LOGIC; sys_clk_i : out STD_LOGIC; resetn : in STD_LOGIC; clk_in1 : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of bd_clk_wiz_0_0_bd_clk_wiz_0_0_clk_wiz : entity is "bd_clk_wiz_0_0_clk_wiz"; end bd_clk_wiz_0_0_bd_clk_wiz_0_0_clk_wiz; architecture STRUCTURE of bd_clk_wiz_0_0_bd_clk_wiz_0_0_clk_wiz is signal aclk_bd_clk_wiz_0_0 : STD_LOGIC; signal clk_in1_bd_clk_wiz_0_0 : STD_LOGIC; signal clk_ref_i_bd_clk_wiz_0_0 : STD_LOGIC; signal clkfbout_bd_clk_wiz_0_0 : STD_LOGIC; signal clkfbout_buf_bd_clk_wiz_0_0 : STD_LOGIC; signal reset_high : STD_LOGIC; signal sys_clk_i_bd_clk_wiz_0_0 : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKFBOUTB_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKFBSTOPPED_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKINSTOPPED_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT0B_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT1B_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT2B_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT3_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT3B_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT4_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT5_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_CLKOUT6_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_DRDY_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_LOCKED_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_PSDONE_UNCONNECTED : STD_LOGIC; signal NLW_mmcm_adv_inst_DO_UNCONNECTED : STD_LOGIC_VECTOR ( 15 downto 0 ); attribute BOX_TYPE : string; attribute BOX_TYPE of clkf_buf : label is "PRIMITIVE"; attribute BOX_TYPE of clkin1_ibufg : label is "PRIMITIVE"; attribute CAPACITANCE : string; attribute CAPACITANCE of clkin1_ibufg : label is "DONT_CARE"; attribute IBUF_DELAY_VALUE : string; attribute IBUF_DELAY_VALUE of clkin1_ibufg : label is "0"; attribute IFD_DELAY_VALUE : string; attribute IFD_DELAY_VALUE of clkin1_ibufg : label is "AUTO"; attribute BOX_TYPE of clkout1_buf : label is "PRIMITIVE"; attribute BOX_TYPE of clkout2_buf : label is "PRIMITIVE"; attribute BOX_TYPE of clkout3_buf : label is "PRIMITIVE"; attribute BOX_TYPE of mmcm_adv_inst : label is "PRIMITIVE"; begin clkf_buf: unisim.vcomponents.BUFG port map ( I => clkfbout_bd_clk_wiz_0_0, O => clkfbout_buf_bd_clk_wiz_0_0 ); clkin1_ibufg: unisim.vcomponents.IBUF generic map( IOSTANDARD => "DEFAULT" ) port map ( I => clk_in1, O => clk_in1_bd_clk_wiz_0_0 ); clkout1_buf: unisim.vcomponents.BUFG port map ( I => clk_ref_i_bd_clk_wiz_0_0, O => clk_ref_i ); clkout2_buf: unisim.vcomponents.BUFG port map ( I => aclk_bd_clk_wiz_0_0, O => aclk ); clkout3_buf: unisim.vcomponents.BUFG port map ( I => sys_clk_i_bd_clk_wiz_0_0, O => sys_clk_i ); mmcm_adv_inst: unisim.vcomponents.MMCME2_ADV generic map( BANDWIDTH => "OPTIMIZED", CLKFBOUT_MULT_F => 10.000000, CLKFBOUT_PHASE => 0.000000, CLKFBOUT_USE_FINE_PS => false, CLKIN1_PERIOD => 10.000000, CLKIN2_PERIOD => 0.000000, CLKOUT0_DIVIDE_F => 5.000000, CLKOUT0_DUTY_CYCLE => 0.500000, CLKOUT0_PHASE => 0.000000, CLKOUT0_USE_FINE_PS => false, CLKOUT1_DIVIDE => 20, CLKOUT1_DUTY_CYCLE => 0.500000, CLKOUT1_PHASE => 0.000000, CLKOUT1_USE_FINE_PS => false, CLKOUT2_DIVIDE => 10, CLKOUT2_DUTY_CYCLE => 0.500000, CLKOUT2_PHASE => 0.000000, CLKOUT2_USE_FINE_PS => false, CLKOUT3_DIVIDE => 1, CLKOUT3_DUTY_CYCLE => 0.500000, CLKOUT3_PHASE => 0.000000, CLKOUT3_USE_FINE_PS => false, CLKOUT4_CASCADE => false, CLKOUT4_DIVIDE => 1, CLKOUT4_DUTY_CYCLE => 0.500000, CLKOUT4_PHASE => 0.000000, CLKOUT4_USE_FINE_PS => false, CLKOUT5_DIVIDE => 1, CLKOUT5_DUTY_CYCLE => 0.500000, CLKOUT5_PHASE => 0.000000, CLKOUT5_USE_FINE_PS => false, CLKOUT6_DIVIDE => 1, CLKOUT6_DUTY_CYCLE => 0.500000, CLKOUT6_PHASE => 0.000000, CLKOUT6_USE_FINE_PS => false, COMPENSATION => "ZHOLD", DIVCLK_DIVIDE => 1, IS_CLKINSEL_INVERTED => '0', IS_PSEN_INVERTED => '0', IS_PSINCDEC_INVERTED => '0', IS_PWRDWN_INVERTED => '0', IS_RST_INVERTED => '0', REF_JITTER1 => 0.010000, REF_JITTER2 => 0.010000, SS_EN => "FALSE", SS_MODE => "CENTER_HIGH", SS_MOD_PERIOD => 10000, STARTUP_WAIT => false ) port map ( CLKFBIN => clkfbout_buf_bd_clk_wiz_0_0, CLKFBOUT => clkfbout_bd_clk_wiz_0_0, CLKFBOUTB => NLW_mmcm_adv_inst_CLKFBOUTB_UNCONNECTED, CLKFBSTOPPED => NLW_mmcm_adv_inst_CLKFBSTOPPED_UNCONNECTED, CLKIN1 => clk_in1_bd_clk_wiz_0_0, CLKIN2 => '0', CLKINSEL => '1', CLKINSTOPPED => NLW_mmcm_adv_inst_CLKINSTOPPED_UNCONNECTED, CLKOUT0 => clk_ref_i_bd_clk_wiz_0_0, CLKOUT0B => NLW_mmcm_adv_inst_CLKOUT0B_UNCONNECTED, CLKOUT1 => aclk_bd_clk_wiz_0_0, CLKOUT1B => NLW_mmcm_adv_inst_CLKOUT1B_UNCONNECTED, CLKOUT2 => sys_clk_i_bd_clk_wiz_0_0, CLKOUT2B => NLW_mmcm_adv_inst_CLKOUT2B_UNCONNECTED, CLKOUT3 => NLW_mmcm_adv_inst_CLKOUT3_UNCONNECTED, CLKOUT3B => NLW_mmcm_adv_inst_CLKOUT3B_UNCONNECTED, CLKOUT4 => NLW_mmcm_adv_inst_CLKOUT4_UNCONNECTED, CLKOUT5 => NLW_mmcm_adv_inst_CLKOUT5_UNCONNECTED, CLKOUT6 => NLW_mmcm_adv_inst_CLKOUT6_UNCONNECTED, DADDR(6 downto 0) => B"0000000", DCLK => '0', DEN => '0', DI(15 downto 0) => B"0000000000000000", DO(15 downto 0) => NLW_mmcm_adv_inst_DO_UNCONNECTED(15 downto 0), DRDY => NLW_mmcm_adv_inst_DRDY_UNCONNECTED, DWE => '0', LOCKED => NLW_mmcm_adv_inst_LOCKED_UNCONNECTED, PSCLK => '0', PSDONE => NLW_mmcm_adv_inst_PSDONE_UNCONNECTED, PSEN => '0', PSINCDEC => '0', PWRDWN => '0', RST => reset_high ); mmcm_adv_inst_i_1: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => resetn, O => reset_high ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity bd_clk_wiz_0_0 is port ( clk_ref_i : out STD_LOGIC; aclk : out STD_LOGIC; sys_clk_i : out STD_LOGIC; resetn : in STD_LOGIC; clk_in1 : in STD_LOGIC ); attribute NotValidForBitStream : boolean; attribute NotValidForBitStream of bd_clk_wiz_0_0 : entity is true; end bd_clk_wiz_0_0; architecture STRUCTURE of bd_clk_wiz_0_0 is begin inst: entity work.bd_clk_wiz_0_0_bd_clk_wiz_0_0_clk_wiz port map ( aclk => aclk, clk_in1 => clk_in1, clk_ref_i => clk_ref_i, resetn => resetn, sys_clk_i => sys_clk_i ); end STRUCTURE;
mit
andrewandrepowell/kernel-on-chip
hdl/koc/koc_lock.vhd
1
7568
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.plasoc_gpio_pack.all; entity koc_lock is generic ( axi_address_width : integer := 16; --! Defines the AXI4-Lite Address Width. axi_data_width : integer := 32; --! Defines the AXI4-Lite Data Width. axi_control_offset : integer := 0; --! Defines the offset for the Control register. control_default : integer := 1 ); port ( aclk : in std_logic; --! Clock. Tested with 50 MHz. aresetn : in std_logic; -- Slave AXI4-Lite Write interface. axi_awaddr : in std_logic_vector(axi_address_width-1 downto 0); --! AXI4-Lite Address Write signal. axi_awprot : in std_logic_vector(2 downto 0); --! AXI4-Lite Address Write signal. axi_awvalid : in std_logic; --! AXI4-Lite Address Write signal. axi_awready : out std_logic; --! AXI4-Lite Address Write signal. axi_wvalid : in std_logic; --! AXI4-Lite Write Data signal. axi_wready : out std_logic; --! AXI4-Lite Write Data signal. axi_wdata : in std_logic_vector(axi_data_width-1 downto 0); --! AXI4-Lite Write Data signal. axi_wstrb : in std_logic_vector(axi_data_width/8-1 downto 0); --! AXI4-Lite Write Data signal. axi_bvalid : out std_logic; --! AXI4-Lite Write Response signal. axi_bready : in std_logic; --! AXI4-Lite Write Response signal. axi_bresp : out std_logic_vector(1 downto 0); --! AXI4-Lite Write Response signal. -- Slave AXI4-Lite Read interface. axi_araddr : in std_logic_vector(axi_address_width-1 downto 0); --! AXI4-Lite Address Read signal. axi_arprot : in std_logic_vector(2 downto 0); --! AXI4-Lite Address Read signal. axi_arvalid : in std_logic; --! AXI4-Lite Address Read signal. axi_arready : out std_logic; --! AXI4-Lite Address Read signal. axi_rdata : out std_logic_vector(axi_data_width-1 downto 0) := (others=>'0'); --! AXI4-Lite Read Data signal. axi_rvalid : out std_logic; --! AXI4-Lite Read Data signal. axi_rready : in std_logic; --! AXI4-Lite Read Data signal. axi_rresp : out std_logic_vector(1 downto 0) ); end koc_lock; architecture Behavioral of koc_lock is component koc_lock_axi4_write_cntrl is generic ( axi_address_width : integer := 16; axi_data_width : integer := 32; reg_control_offset : std_logic_vector := X"0000"; reg_control_default : std_logic_vector := X"00000001" ); port ( aclk : in std_logic; aresetn : in std_logic; axi_awaddr : in std_logic_vector(axi_address_width-1 downto 0); axi_awprot : in std_logic_vector(2 downto 0); axi_awvalid : in std_logic; axi_awready : out std_logic; axi_wvalid : in std_logic; axi_wready : out std_logic; axi_wdata : in std_logic_vector(axi_data_width-1 downto 0); axi_wstrb : in std_logic_vector(axi_data_width/8-1 downto 0); axi_bvalid : out std_logic; axi_bready : in std_logic; axi_bresp : out std_logic_vector(1 downto 0); reg_control : out std_logic_vector(axi_data_width-1 downto 0) ); end component; component koc_lock_axi4_read_cntrl is generic ( axi_address_width : integer := 16; axi_data_width : integer := 32; reg_control_offset : std_logic_vector := X"0000" ); port ( aclk : in std_logic; aresetn : in std_logic; axi_araddr : in std_logic_vector(axi_address_width-1 downto 0); --! AXI4-Lite Address Read signal. axi_arprot : in std_logic_vector(2 downto 0); --! AXI4-Lite Address Read signal. axi_arvalid : in std_logic; --! AXI4-Lite Address Read signal. axi_arready : out std_logic; --! AXI4-Lite Address Read signal. axi_rdata : out std_logic_vector(axi_data_width-1 downto 0) := (others=>'0'); --! AXI4-Lite Read Data signal. axi_rvalid : out std_logic; --! AXI4-Lite Read Data signal. axi_rready : in std_logic; --! AXI4-Lite Read Data signal. axi_rresp : out std_logic_vector(1 downto 0); --! AXI4-Lite Read Data signal. reg_control : in std_logic_vector(axi_data_width-1 downto 0) ); end component; constant axi_control_offset_slv : std_logic_vector := std_logic_vector(to_unsigned(axi_control_offset,axi_address_width)); constant control_default_slv : std_logic_vector := std_logic_vector(to_unsigned(control_default,axi_data_width)); signal reg_control : std_logic_vector(axi_data_width-1 downto 0); begin koc_lock_axi4_write_cntrl_inst : koc_lock_axi4_write_cntrl generic map ( axi_address_width => axi_address_width, axi_data_width => axi_data_width, reg_control_offset => axi_control_offset_slv, reg_control_default => control_default_slv) port map ( aclk => aclk, aresetn => aresetn, axi_awaddr => axi_awaddr, axi_awprot => axi_awprot, axi_awvalid => axi_awvalid, axi_awready => axi_awready, axi_wvalid => axi_wvalid, axi_wready => axi_wready, axi_wdata => axi_wdata, axi_wstrb => axi_wstrb, axi_bvalid => axi_bvalid, axi_bready => axi_bready, axi_bresp => axi_bresp, reg_control => reg_control); koc_lock_axi4_read_cntrl_inst : koc_lock_axi4_read_cntrl generic map ( axi_address_width => axi_address_width, axi_data_width => axi_data_width, reg_control_offset => axi_control_offset_slv) port map ( aclk => aclk, aresetn => aresetn, axi_araddr => axi_araddr, axi_arprot => axi_arprot, axi_arvalid => axi_arvalid, axi_arready => axi_arready, axi_rdata => axi_rdata, axi_rvalid => axi_rvalid, axi_rready => axi_rready, axi_rresp => axi_rresp, reg_control => reg_control); end Behavioral;
mit
arthurTemporim/SD_SS
rel/5/projetos/projeto1/projeto1.vhd
1
503
library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity projeto1 is port ( e : in std_logic_vector (1 downto 0) := "00"; c : in std_logic := '0'; s : out std_logic ); end projeto1; architecture Behavioral of projeto1 is signal multiplex : std_logic; begin process (multiplex, e, c) begin if(e = "00") then multiplex <= '0'; elsif (e = "01") then multiplex <= '1'; elsif (e = "10") then multiplex <= '0'; else multiplex <= c; end if; end process; s <= multiplex; end Behavioral;
mit
chcbaram/Altera_DE0_nano_Exam
prj_niosii_sdram/niosii/synthesis/niosii.vhd
2
63410
-- niosii.vhd -- Generated using ACDS version 15.1 185 library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity niosii is port ( clk_clk : in std_logic := '0'; -- clk.clk pio_0_external_connection_export : out std_logic_vector(7 downto 0); -- pio_0_external_connection.export reset_reset_n : in std_logic := '0' -- reset.reset_n ); end entity niosii; architecture rtl of niosii is component niosii_altpll_0 is port ( clk : in std_logic := 'X'; -- clk reset : in std_logic := 'X'; -- reset read : in std_logic := 'X'; -- read write : in std_logic := 'X'; -- write address : in std_logic_vector(1 downto 0) := (others => 'X'); -- address readdata : out std_logic_vector(31 downto 0); -- readdata writedata : in std_logic_vector(31 downto 0) := (others => 'X'); -- writedata c0 : out std_logic; -- clk c1 : out std_logic; -- clk areset : in std_logic := 'X'; -- export locked : out std_logic; -- export phasedone : out std_logic -- export ); end component niosii_altpll_0; component niosii_jtag_uart_0 is port ( clk : in std_logic := 'X'; -- clk rst_n : in std_logic := 'X'; -- reset_n av_chipselect : in std_logic := 'X'; -- chipselect av_address : in std_logic := 'X'; -- address av_read_n : in std_logic := 'X'; -- read_n av_readdata : out std_logic_vector(31 downto 0); -- readdata av_write_n : in std_logic := 'X'; -- write_n av_writedata : in std_logic_vector(31 downto 0) := (others => 'X'); -- writedata av_waitrequest : out std_logic; -- waitrequest av_irq : out std_logic -- irq ); end component niosii_jtag_uart_0; component niosii_nios2_gen2_0 is port ( clk : in std_logic := 'X'; -- clk reset_n : in std_logic := 'X'; -- reset_n reset_req : in std_logic := 'X'; -- reset_req d_address : out std_logic_vector(17 downto 0); -- address d_byteenable : out std_logic_vector(3 downto 0); -- byteenable d_read : out std_logic; -- read d_readdata : in std_logic_vector(31 downto 0) := (others => 'X'); -- readdata d_waitrequest : in std_logic := 'X'; -- waitrequest d_write : out std_logic; -- write d_writedata : out std_logic_vector(31 downto 0); -- writedata d_readdatavalid : in std_logic := 'X'; -- readdatavalid debug_mem_slave_debugaccess_to_roms : out std_logic; -- debugaccess i_address : out std_logic_vector(17 downto 0); -- address i_read : out std_logic; -- read i_readdata : in std_logic_vector(31 downto 0) := (others => 'X'); -- readdata i_waitrequest : in std_logic := 'X'; -- waitrequest i_readdatavalid : in std_logic := 'X'; -- readdatavalid irq : in std_logic_vector(31 downto 0) := (others => 'X'); -- irq debug_reset_request : out std_logic; -- reset debug_mem_slave_address : in std_logic_vector(8 downto 0) := (others => 'X'); -- address debug_mem_slave_byteenable : in std_logic_vector(3 downto 0) := (others => 'X'); -- byteenable debug_mem_slave_debugaccess : in std_logic := 'X'; -- debugaccess debug_mem_slave_read : in std_logic := 'X'; -- read debug_mem_slave_readdata : out std_logic_vector(31 downto 0); -- readdata debug_mem_slave_waitrequest : out std_logic; -- waitrequest debug_mem_slave_write : in std_logic := 'X'; -- write debug_mem_slave_writedata : in std_logic_vector(31 downto 0) := (others => 'X'); -- writedata dummy_ci_port : out std_logic -- readra ); end component niosii_nios2_gen2_0; component niosii_onchip_memory2_0 is port ( clk : in std_logic := 'X'; -- clk address : in std_logic_vector(13 downto 0) := (others => 'X'); -- address clken : in std_logic := 'X'; -- clken chipselect : in std_logic := 'X'; -- chipselect write : in std_logic := 'X'; -- write readdata : out std_logic_vector(31 downto 0); -- readdata writedata : in std_logic_vector(31 downto 0) := (others => 'X'); -- writedata byteenable : in std_logic_vector(3 downto 0) := (others => 'X'); -- byteenable reset : in std_logic := 'X'; -- reset reset_req : in std_logic := 'X' -- reset_req ); end component niosii_onchip_memory2_0; component niosii_pio_0 is port ( clk : in std_logic := 'X'; -- clk reset_n : in std_logic := 'X'; -- reset_n address : in std_logic_vector(1 downto 0) := (others => 'X'); -- address write_n : in std_logic := 'X'; -- write_n writedata : in std_logic_vector(31 downto 0) := (others => 'X'); -- writedata chipselect : in std_logic := 'X'; -- chipselect readdata : out std_logic_vector(31 downto 0); -- readdata out_port : out std_logic_vector(7 downto 0) -- export ); end component niosii_pio_0; component niosii_mm_interconnect_0 is port ( altpll_0_c0_clk : in std_logic := 'X'; -- clk altpll_0_c1_clk : in std_logic := 'X'; -- clk clk_0_clk_clk : in std_logic := 'X'; -- clk altpll_0_inclk_interface_reset_reset_bridge_in_reset_reset : in std_logic := 'X'; -- reset nios2_gen2_0_reset_reset_bridge_in_reset_reset : in std_logic := 'X'; -- reset pio_0_reset_reset_bridge_in_reset_reset : in std_logic := 'X'; -- reset nios2_gen2_0_data_master_address : in std_logic_vector(17 downto 0) := (others => 'X'); -- address nios2_gen2_0_data_master_waitrequest : out std_logic; -- waitrequest nios2_gen2_0_data_master_byteenable : in std_logic_vector(3 downto 0) := (others => 'X'); -- byteenable nios2_gen2_0_data_master_read : in std_logic := 'X'; -- read nios2_gen2_0_data_master_readdata : out std_logic_vector(31 downto 0); -- readdata nios2_gen2_0_data_master_readdatavalid : out std_logic; -- readdatavalid nios2_gen2_0_data_master_write : in std_logic := 'X'; -- write nios2_gen2_0_data_master_writedata : in std_logic_vector(31 downto 0) := (others => 'X'); -- writedata nios2_gen2_0_data_master_debugaccess : in std_logic := 'X'; -- debugaccess nios2_gen2_0_instruction_master_address : in std_logic_vector(17 downto 0) := (others => 'X'); -- address nios2_gen2_0_instruction_master_waitrequest : out std_logic; -- waitrequest nios2_gen2_0_instruction_master_read : in std_logic := 'X'; -- read nios2_gen2_0_instruction_master_readdata : out std_logic_vector(31 downto 0); -- readdata nios2_gen2_0_instruction_master_readdatavalid : out std_logic; -- readdatavalid altpll_0_pll_slave_address : out std_logic_vector(1 downto 0); -- address altpll_0_pll_slave_write : out std_logic; -- write altpll_0_pll_slave_read : out std_logic; -- read altpll_0_pll_slave_readdata : in std_logic_vector(31 downto 0) := (others => 'X'); -- readdata altpll_0_pll_slave_writedata : out std_logic_vector(31 downto 0); -- writedata jtag_uart_0_avalon_jtag_slave_address : out std_logic_vector(0 downto 0); -- address jtag_uart_0_avalon_jtag_slave_write : out std_logic; -- write jtag_uart_0_avalon_jtag_slave_read : out std_logic; -- read jtag_uart_0_avalon_jtag_slave_readdata : in std_logic_vector(31 downto 0) := (others => 'X'); -- readdata jtag_uart_0_avalon_jtag_slave_writedata : out std_logic_vector(31 downto 0); -- writedata jtag_uart_0_avalon_jtag_slave_waitrequest : in std_logic := 'X'; -- waitrequest jtag_uart_0_avalon_jtag_slave_chipselect : out std_logic; -- chipselect nios2_gen2_0_debug_mem_slave_address : out std_logic_vector(8 downto 0); -- address nios2_gen2_0_debug_mem_slave_write : out std_logic; -- write nios2_gen2_0_debug_mem_slave_read : out std_logic; -- read nios2_gen2_0_debug_mem_slave_readdata : in std_logic_vector(31 downto 0) := (others => 'X'); -- readdata nios2_gen2_0_debug_mem_slave_writedata : out std_logic_vector(31 downto 0); -- writedata nios2_gen2_0_debug_mem_slave_byteenable : out std_logic_vector(3 downto 0); -- byteenable nios2_gen2_0_debug_mem_slave_waitrequest : in std_logic := 'X'; -- waitrequest nios2_gen2_0_debug_mem_slave_debugaccess : out std_logic; -- debugaccess onchip_memory2_0_s1_address : out std_logic_vector(13 downto 0); -- address onchip_memory2_0_s1_write : out std_logic; -- write onchip_memory2_0_s1_readdata : in std_logic_vector(31 downto 0) := (others => 'X'); -- readdata onchip_memory2_0_s1_writedata : out std_logic_vector(31 downto 0); -- writedata onchip_memory2_0_s1_byteenable : out std_logic_vector(3 downto 0); -- byteenable onchip_memory2_0_s1_chipselect : out std_logic; -- chipselect onchip_memory2_0_s1_clken : out std_logic; -- clken pio_0_s1_address : out std_logic_vector(1 downto 0); -- address pio_0_s1_write : out std_logic; -- write pio_0_s1_readdata : in std_logic_vector(31 downto 0) := (others => 'X'); -- readdata pio_0_s1_writedata : out std_logic_vector(31 downto 0); -- writedata pio_0_s1_chipselect : out std_logic -- chipselect ); end component niosii_mm_interconnect_0; component niosii_irq_mapper is port ( clk : in std_logic := 'X'; -- clk reset : in std_logic := 'X'; -- reset receiver0_irq : in std_logic := 'X'; -- irq sender_irq : out std_logic_vector(31 downto 0) -- irq ); end component niosii_irq_mapper; component altera_irq_clock_crosser is generic ( IRQ_WIDTH : integer := 1 ); port ( receiver_clk : in std_logic := 'X'; -- clk sender_clk : in std_logic := 'X'; -- clk receiver_reset : in std_logic := 'X'; -- reset sender_reset : in std_logic := 'X'; -- reset receiver_irq : in std_logic_vector(0 downto 0) := (others => 'X'); -- irq sender_irq : out std_logic_vector(0 downto 0) -- irq ); end component altera_irq_clock_crosser; component niosii_rst_controller is generic ( NUM_RESET_INPUTS : integer := 6; OUTPUT_RESET_SYNC_EDGES : string := "deassert"; SYNC_DEPTH : integer := 2; RESET_REQUEST_PRESENT : integer := 0; RESET_REQ_WAIT_TIME : integer := 1; MIN_RST_ASSERTION_TIME : integer := 3; RESET_REQ_EARLY_DSRT_TIME : integer := 1; USE_RESET_REQUEST_IN0 : integer := 0; USE_RESET_REQUEST_IN1 : integer := 0; USE_RESET_REQUEST_IN2 : integer := 0; USE_RESET_REQUEST_IN3 : integer := 0; USE_RESET_REQUEST_IN4 : integer := 0; USE_RESET_REQUEST_IN5 : integer := 0; USE_RESET_REQUEST_IN6 : integer := 0; USE_RESET_REQUEST_IN7 : integer := 0; USE_RESET_REQUEST_IN8 : integer := 0; USE_RESET_REQUEST_IN9 : integer := 0; USE_RESET_REQUEST_IN10 : integer := 0; USE_RESET_REQUEST_IN11 : integer := 0; USE_RESET_REQUEST_IN12 : integer := 0; USE_RESET_REQUEST_IN13 : integer := 0; USE_RESET_REQUEST_IN14 : integer := 0; USE_RESET_REQUEST_IN15 : integer := 0; ADAPT_RESET_REQUEST : integer := 0 ); port ( reset_in0 : in std_logic := 'X'; -- reset clk : in std_logic := 'X'; -- clk reset_out : out std_logic; -- reset reset_req : out std_logic; -- reset_req reset_req_in0 : in std_logic := 'X'; -- reset_req reset_in1 : in std_logic := 'X'; -- reset reset_req_in1 : in std_logic := 'X'; -- reset_req reset_in2 : in std_logic := 'X'; -- reset reset_req_in2 : in std_logic := 'X'; -- reset_req reset_in3 : in std_logic := 'X'; -- reset reset_req_in3 : in std_logic := 'X'; -- reset_req reset_in4 : in std_logic := 'X'; -- reset reset_req_in4 : in std_logic := 'X'; -- reset_req reset_in5 : in std_logic := 'X'; -- reset reset_req_in5 : in std_logic := 'X'; -- reset_req reset_in6 : in std_logic := 'X'; -- reset reset_req_in6 : in std_logic := 'X'; -- reset_req reset_in7 : in std_logic := 'X'; -- reset reset_req_in7 : in std_logic := 'X'; -- reset_req reset_in8 : in std_logic := 'X'; -- reset reset_req_in8 : in std_logic := 'X'; -- reset_req reset_in9 : in std_logic := 'X'; -- reset reset_req_in9 : in std_logic := 'X'; -- reset_req reset_in10 : in std_logic := 'X'; -- reset reset_req_in10 : in std_logic := 'X'; -- reset_req reset_in11 : in std_logic := 'X'; -- reset reset_req_in11 : in std_logic := 'X'; -- reset_req reset_in12 : in std_logic := 'X'; -- reset reset_req_in12 : in std_logic := 'X'; -- reset_req reset_in13 : in std_logic := 'X'; -- reset reset_req_in13 : in std_logic := 'X'; -- reset_req reset_in14 : in std_logic := 'X'; -- reset reset_req_in14 : in std_logic := 'X'; -- reset_req reset_in15 : in std_logic := 'X'; -- reset reset_req_in15 : in std_logic := 'X' -- reset_req ); end component niosii_rst_controller; component niosii_rst_controller_001 is generic ( NUM_RESET_INPUTS : integer := 6; OUTPUT_RESET_SYNC_EDGES : string := "deassert"; SYNC_DEPTH : integer := 2; RESET_REQUEST_PRESENT : integer := 0; RESET_REQ_WAIT_TIME : integer := 1; MIN_RST_ASSERTION_TIME : integer := 3; RESET_REQ_EARLY_DSRT_TIME : integer := 1; USE_RESET_REQUEST_IN0 : integer := 0; USE_RESET_REQUEST_IN1 : integer := 0; USE_RESET_REQUEST_IN2 : integer := 0; USE_RESET_REQUEST_IN3 : integer := 0; USE_RESET_REQUEST_IN4 : integer := 0; USE_RESET_REQUEST_IN5 : integer := 0; USE_RESET_REQUEST_IN6 : integer := 0; USE_RESET_REQUEST_IN7 : integer := 0; USE_RESET_REQUEST_IN8 : integer := 0; USE_RESET_REQUEST_IN9 : integer := 0; USE_RESET_REQUEST_IN10 : integer := 0; USE_RESET_REQUEST_IN11 : integer := 0; USE_RESET_REQUEST_IN12 : integer := 0; USE_RESET_REQUEST_IN13 : integer := 0; USE_RESET_REQUEST_IN14 : integer := 0; USE_RESET_REQUEST_IN15 : integer := 0; ADAPT_RESET_REQUEST : integer := 0 ); port ( reset_in0 : in std_logic := 'X'; -- reset clk : in std_logic := 'X'; -- clk reset_out : out std_logic; -- reset reset_req : out std_logic; -- reset_req reset_req_in0 : in std_logic := 'X'; -- reset_req reset_in1 : in std_logic := 'X'; -- reset reset_req_in1 : in std_logic := 'X'; -- reset_req reset_in2 : in std_logic := 'X'; -- reset reset_req_in2 : in std_logic := 'X'; -- reset_req reset_in3 : in std_logic := 'X'; -- reset reset_req_in3 : in std_logic := 'X'; -- reset_req reset_in4 : in std_logic := 'X'; -- reset reset_req_in4 : in std_logic := 'X'; -- reset_req reset_in5 : in std_logic := 'X'; -- reset reset_req_in5 : in std_logic := 'X'; -- reset_req reset_in6 : in std_logic := 'X'; -- reset reset_req_in6 : in std_logic := 'X'; -- reset_req reset_in7 : in std_logic := 'X'; -- reset reset_req_in7 : in std_logic := 'X'; -- reset_req reset_in8 : in std_logic := 'X'; -- reset reset_req_in8 : in std_logic := 'X'; -- reset_req reset_in9 : in std_logic := 'X'; -- reset reset_req_in9 : in std_logic := 'X'; -- reset_req reset_in10 : in std_logic := 'X'; -- reset reset_req_in10 : in std_logic := 'X'; -- reset_req reset_in11 : in std_logic := 'X'; -- reset reset_req_in11 : in std_logic := 'X'; -- reset_req reset_in12 : in std_logic := 'X'; -- reset reset_req_in12 : in std_logic := 'X'; -- reset_req reset_in13 : in std_logic := 'X'; -- reset reset_req_in13 : in std_logic := 'X'; -- reset_req reset_in14 : in std_logic := 'X'; -- reset reset_req_in14 : in std_logic := 'X'; -- reset_req reset_in15 : in std_logic := 'X'; -- reset reset_req_in15 : in std_logic := 'X' -- reset_req ); end component niosii_rst_controller_001; signal altpll_0_c0_clk : std_logic; -- altpll_0:c0 -> [irq_mapper:clk, irq_synchronizer:sender_clk, mm_interconnect_0:altpll_0_c0_clk, nios2_gen2_0:clk, onchip_memory2_0:clk, rst_controller_001:clk] signal altpll_0_c1_clk : std_logic; -- altpll_0:c1 -> [mm_interconnect_0:altpll_0_c1_clk, pio_0:clk, rst_controller_002:clk] signal nios2_gen2_0_data_master_readdata : std_logic_vector(31 downto 0); -- mm_interconnect_0:nios2_gen2_0_data_master_readdata -> nios2_gen2_0:d_readdata signal nios2_gen2_0_data_master_waitrequest : std_logic; -- mm_interconnect_0:nios2_gen2_0_data_master_waitrequest -> nios2_gen2_0:d_waitrequest signal nios2_gen2_0_data_master_debugaccess : std_logic; -- nios2_gen2_0:debug_mem_slave_debugaccess_to_roms -> mm_interconnect_0:nios2_gen2_0_data_master_debugaccess signal nios2_gen2_0_data_master_address : std_logic_vector(17 downto 0); -- nios2_gen2_0:d_address -> mm_interconnect_0:nios2_gen2_0_data_master_address signal nios2_gen2_0_data_master_byteenable : std_logic_vector(3 downto 0); -- nios2_gen2_0:d_byteenable -> mm_interconnect_0:nios2_gen2_0_data_master_byteenable signal nios2_gen2_0_data_master_read : std_logic; -- nios2_gen2_0:d_read -> mm_interconnect_0:nios2_gen2_0_data_master_read signal nios2_gen2_0_data_master_readdatavalid : std_logic; -- mm_interconnect_0:nios2_gen2_0_data_master_readdatavalid -> nios2_gen2_0:d_readdatavalid signal nios2_gen2_0_data_master_write : std_logic; -- nios2_gen2_0:d_write -> mm_interconnect_0:nios2_gen2_0_data_master_write signal nios2_gen2_0_data_master_writedata : std_logic_vector(31 downto 0); -- nios2_gen2_0:d_writedata -> mm_interconnect_0:nios2_gen2_0_data_master_writedata signal nios2_gen2_0_instruction_master_readdata : std_logic_vector(31 downto 0); -- mm_interconnect_0:nios2_gen2_0_instruction_master_readdata -> nios2_gen2_0:i_readdata signal nios2_gen2_0_instruction_master_waitrequest : std_logic; -- mm_interconnect_0:nios2_gen2_0_instruction_master_waitrequest -> nios2_gen2_0:i_waitrequest signal nios2_gen2_0_instruction_master_address : std_logic_vector(17 downto 0); -- nios2_gen2_0:i_address -> mm_interconnect_0:nios2_gen2_0_instruction_master_address signal nios2_gen2_0_instruction_master_read : std_logic; -- nios2_gen2_0:i_read -> mm_interconnect_0:nios2_gen2_0_instruction_master_read signal nios2_gen2_0_instruction_master_readdatavalid : std_logic; -- mm_interconnect_0:nios2_gen2_0_instruction_master_readdatavalid -> nios2_gen2_0:i_readdatavalid signal mm_interconnect_0_jtag_uart_0_avalon_jtag_slave_chipselect : std_logic; -- mm_interconnect_0:jtag_uart_0_avalon_jtag_slave_chipselect -> jtag_uart_0:av_chipselect signal mm_interconnect_0_jtag_uart_0_avalon_jtag_slave_readdata : std_logic_vector(31 downto 0); -- jtag_uart_0:av_readdata -> mm_interconnect_0:jtag_uart_0_avalon_jtag_slave_readdata signal mm_interconnect_0_jtag_uart_0_avalon_jtag_slave_waitrequest : std_logic; -- jtag_uart_0:av_waitrequest -> mm_interconnect_0:jtag_uart_0_avalon_jtag_slave_waitrequest signal mm_interconnect_0_jtag_uart_0_avalon_jtag_slave_address : std_logic_vector(0 downto 0); -- mm_interconnect_0:jtag_uart_0_avalon_jtag_slave_address -> jtag_uart_0:av_address signal mm_interconnect_0_jtag_uart_0_avalon_jtag_slave_read : std_logic; -- mm_interconnect_0:jtag_uart_0_avalon_jtag_slave_read -> mm_interconnect_0_jtag_uart_0_avalon_jtag_slave_read:in signal mm_interconnect_0_jtag_uart_0_avalon_jtag_slave_write : std_logic; -- mm_interconnect_0:jtag_uart_0_avalon_jtag_slave_write -> mm_interconnect_0_jtag_uart_0_avalon_jtag_slave_write:in signal mm_interconnect_0_jtag_uart_0_avalon_jtag_slave_writedata : std_logic_vector(31 downto 0); -- mm_interconnect_0:jtag_uart_0_avalon_jtag_slave_writedata -> jtag_uart_0:av_writedata signal mm_interconnect_0_nios2_gen2_0_debug_mem_slave_readdata : std_logic_vector(31 downto 0); -- nios2_gen2_0:debug_mem_slave_readdata -> mm_interconnect_0:nios2_gen2_0_debug_mem_slave_readdata signal mm_interconnect_0_nios2_gen2_0_debug_mem_slave_waitrequest : std_logic; -- nios2_gen2_0:debug_mem_slave_waitrequest -> mm_interconnect_0:nios2_gen2_0_debug_mem_slave_waitrequest signal mm_interconnect_0_nios2_gen2_0_debug_mem_slave_debugaccess : std_logic; -- mm_interconnect_0:nios2_gen2_0_debug_mem_slave_debugaccess -> nios2_gen2_0:debug_mem_slave_debugaccess signal mm_interconnect_0_nios2_gen2_0_debug_mem_slave_address : std_logic_vector(8 downto 0); -- mm_interconnect_0:nios2_gen2_0_debug_mem_slave_address -> nios2_gen2_0:debug_mem_slave_address signal mm_interconnect_0_nios2_gen2_0_debug_mem_slave_read : std_logic; -- mm_interconnect_0:nios2_gen2_0_debug_mem_slave_read -> nios2_gen2_0:debug_mem_slave_read signal mm_interconnect_0_nios2_gen2_0_debug_mem_slave_byteenable : std_logic_vector(3 downto 0); -- mm_interconnect_0:nios2_gen2_0_debug_mem_slave_byteenable -> nios2_gen2_0:debug_mem_slave_byteenable signal mm_interconnect_0_nios2_gen2_0_debug_mem_slave_write : std_logic; -- mm_interconnect_0:nios2_gen2_0_debug_mem_slave_write -> nios2_gen2_0:debug_mem_slave_write signal mm_interconnect_0_nios2_gen2_0_debug_mem_slave_writedata : std_logic_vector(31 downto 0); -- mm_interconnect_0:nios2_gen2_0_debug_mem_slave_writedata -> nios2_gen2_0:debug_mem_slave_writedata signal mm_interconnect_0_altpll_0_pll_slave_readdata : std_logic_vector(31 downto 0); -- altpll_0:readdata -> mm_interconnect_0:altpll_0_pll_slave_readdata signal mm_interconnect_0_altpll_0_pll_slave_address : std_logic_vector(1 downto 0); -- mm_interconnect_0:altpll_0_pll_slave_address -> altpll_0:address signal mm_interconnect_0_altpll_0_pll_slave_read : std_logic; -- mm_interconnect_0:altpll_0_pll_slave_read -> altpll_0:read signal mm_interconnect_0_altpll_0_pll_slave_write : std_logic; -- mm_interconnect_0:altpll_0_pll_slave_write -> altpll_0:write signal mm_interconnect_0_altpll_0_pll_slave_writedata : std_logic_vector(31 downto 0); -- mm_interconnect_0:altpll_0_pll_slave_writedata -> altpll_0:writedata signal mm_interconnect_0_onchip_memory2_0_s1_chipselect : std_logic; -- mm_interconnect_0:onchip_memory2_0_s1_chipselect -> onchip_memory2_0:chipselect signal mm_interconnect_0_onchip_memory2_0_s1_readdata : std_logic_vector(31 downto 0); -- onchip_memory2_0:readdata -> mm_interconnect_0:onchip_memory2_0_s1_readdata signal mm_interconnect_0_onchip_memory2_0_s1_address : std_logic_vector(13 downto 0); -- mm_interconnect_0:onchip_memory2_0_s1_address -> onchip_memory2_0:address signal mm_interconnect_0_onchip_memory2_0_s1_byteenable : std_logic_vector(3 downto 0); -- mm_interconnect_0:onchip_memory2_0_s1_byteenable -> onchip_memory2_0:byteenable signal mm_interconnect_0_onchip_memory2_0_s1_write : std_logic; -- mm_interconnect_0:onchip_memory2_0_s1_write -> onchip_memory2_0:write signal mm_interconnect_0_onchip_memory2_0_s1_writedata : std_logic_vector(31 downto 0); -- mm_interconnect_0:onchip_memory2_0_s1_writedata -> onchip_memory2_0:writedata signal mm_interconnect_0_onchip_memory2_0_s1_clken : std_logic; -- mm_interconnect_0:onchip_memory2_0_s1_clken -> onchip_memory2_0:clken signal mm_interconnect_0_pio_0_s1_chipselect : std_logic; -- mm_interconnect_0:pio_0_s1_chipselect -> pio_0:chipselect signal mm_interconnect_0_pio_0_s1_readdata : std_logic_vector(31 downto 0); -- pio_0:readdata -> mm_interconnect_0:pio_0_s1_readdata signal mm_interconnect_0_pio_0_s1_address : std_logic_vector(1 downto 0); -- mm_interconnect_0:pio_0_s1_address -> pio_0:address signal mm_interconnect_0_pio_0_s1_write : std_logic; -- mm_interconnect_0:pio_0_s1_write -> mm_interconnect_0_pio_0_s1_write:in signal mm_interconnect_0_pio_0_s1_writedata : std_logic_vector(31 downto 0); -- mm_interconnect_0:pio_0_s1_writedata -> pio_0:writedata signal nios2_gen2_0_irq_irq : std_logic_vector(31 downto 0); -- irq_mapper:sender_irq -> nios2_gen2_0:irq signal irq_mapper_receiver0_irq : std_logic; -- irq_synchronizer:sender_irq -> irq_mapper:receiver0_irq signal irq_synchronizer_receiver_irq : std_logic_vector(0 downto 0); -- jtag_uart_0:av_irq -> irq_synchronizer:receiver_irq signal rst_controller_reset_out_reset : std_logic; -- rst_controller:reset_out -> [altpll_0:reset, irq_synchronizer:receiver_reset, mm_interconnect_0:altpll_0_inclk_interface_reset_reset_bridge_in_reset_reset, rst_controller_reset_out_reset:in] signal rst_controller_001_reset_out_reset : std_logic; -- rst_controller_001:reset_out -> [irq_mapper:reset, irq_synchronizer:sender_reset, mm_interconnect_0:nios2_gen2_0_reset_reset_bridge_in_reset_reset, onchip_memory2_0:reset, rst_controller_001_reset_out_reset:in, rst_translator:in_reset] signal rst_controller_001_reset_out_reset_req : std_logic; -- rst_controller_001:reset_req -> [nios2_gen2_0:reset_req, onchip_memory2_0:reset_req, rst_translator:reset_req_in] signal rst_controller_002_reset_out_reset : std_logic; -- rst_controller_002:reset_out -> [mm_interconnect_0:pio_0_reset_reset_bridge_in_reset_reset, rst_controller_002_reset_out_reset:in] signal reset_reset_n_ports_inv : std_logic; -- reset_reset_n:inv -> [rst_controller:reset_in0, rst_controller_001:reset_in0, rst_controller_002:reset_in0] signal mm_interconnect_0_jtag_uart_0_avalon_jtag_slave_read_ports_inv : std_logic; -- mm_interconnect_0_jtag_uart_0_avalon_jtag_slave_read:inv -> jtag_uart_0:av_read_n signal mm_interconnect_0_jtag_uart_0_avalon_jtag_slave_write_ports_inv : std_logic; -- mm_interconnect_0_jtag_uart_0_avalon_jtag_slave_write:inv -> jtag_uart_0:av_write_n signal mm_interconnect_0_pio_0_s1_write_ports_inv : std_logic; -- mm_interconnect_0_pio_0_s1_write:inv -> pio_0:write_n signal rst_controller_reset_out_reset_ports_inv : std_logic; -- rst_controller_reset_out_reset:inv -> jtag_uart_0:rst_n signal rst_controller_001_reset_out_reset_ports_inv : std_logic; -- rst_controller_001_reset_out_reset:inv -> nios2_gen2_0:reset_n signal rst_controller_002_reset_out_reset_ports_inv : std_logic; -- rst_controller_002_reset_out_reset:inv -> pio_0:reset_n begin altpll_0 : component niosii_altpll_0 port map ( clk => clk_clk, -- inclk_interface.clk reset => rst_controller_reset_out_reset, -- inclk_interface_reset.reset read => mm_interconnect_0_altpll_0_pll_slave_read, -- pll_slave.read write => mm_interconnect_0_altpll_0_pll_slave_write, -- .write address => mm_interconnect_0_altpll_0_pll_slave_address, -- .address readdata => mm_interconnect_0_altpll_0_pll_slave_readdata, -- .readdata writedata => mm_interconnect_0_altpll_0_pll_slave_writedata, -- .writedata c0 => altpll_0_c0_clk, -- c0.clk c1 => altpll_0_c1_clk, -- c1.clk areset => open, -- areset_conduit.export locked => open, -- locked_conduit.export phasedone => open -- phasedone_conduit.export ); jtag_uart_0 : component niosii_jtag_uart_0 port map ( clk => clk_clk, -- clk.clk rst_n => rst_controller_reset_out_reset_ports_inv, -- reset.reset_n av_chipselect => mm_interconnect_0_jtag_uart_0_avalon_jtag_slave_chipselect, -- avalon_jtag_slave.chipselect av_address => mm_interconnect_0_jtag_uart_0_avalon_jtag_slave_address(0), -- .address av_read_n => mm_interconnect_0_jtag_uart_0_avalon_jtag_slave_read_ports_inv, -- .read_n av_readdata => mm_interconnect_0_jtag_uart_0_avalon_jtag_slave_readdata, -- .readdata av_write_n => mm_interconnect_0_jtag_uart_0_avalon_jtag_slave_write_ports_inv, -- .write_n av_writedata => mm_interconnect_0_jtag_uart_0_avalon_jtag_slave_writedata, -- .writedata av_waitrequest => mm_interconnect_0_jtag_uart_0_avalon_jtag_slave_waitrequest, -- .waitrequest av_irq => irq_synchronizer_receiver_irq(0) -- irq.irq ); nios2_gen2_0 : component niosii_nios2_gen2_0 port map ( clk => altpll_0_c0_clk, -- clk.clk reset_n => rst_controller_001_reset_out_reset_ports_inv, -- reset.reset_n reset_req => rst_controller_001_reset_out_reset_req, -- .reset_req d_address => nios2_gen2_0_data_master_address, -- data_master.address d_byteenable => nios2_gen2_0_data_master_byteenable, -- .byteenable d_read => nios2_gen2_0_data_master_read, -- .read d_readdata => nios2_gen2_0_data_master_readdata, -- .readdata d_waitrequest => nios2_gen2_0_data_master_waitrequest, -- .waitrequest d_write => nios2_gen2_0_data_master_write, -- .write d_writedata => nios2_gen2_0_data_master_writedata, -- .writedata d_readdatavalid => nios2_gen2_0_data_master_readdatavalid, -- .readdatavalid debug_mem_slave_debugaccess_to_roms => nios2_gen2_0_data_master_debugaccess, -- .debugaccess i_address => nios2_gen2_0_instruction_master_address, -- instruction_master.address i_read => nios2_gen2_0_instruction_master_read, -- .read i_readdata => nios2_gen2_0_instruction_master_readdata, -- .readdata i_waitrequest => nios2_gen2_0_instruction_master_waitrequest, -- .waitrequest i_readdatavalid => nios2_gen2_0_instruction_master_readdatavalid, -- .readdatavalid irq => nios2_gen2_0_irq_irq, -- irq.irq debug_reset_request => open, -- debug_reset_request.reset debug_mem_slave_address => mm_interconnect_0_nios2_gen2_0_debug_mem_slave_address, -- debug_mem_slave.address debug_mem_slave_byteenable => mm_interconnect_0_nios2_gen2_0_debug_mem_slave_byteenable, -- .byteenable debug_mem_slave_debugaccess => mm_interconnect_0_nios2_gen2_0_debug_mem_slave_debugaccess, -- .debugaccess debug_mem_slave_read => mm_interconnect_0_nios2_gen2_0_debug_mem_slave_read, -- .read debug_mem_slave_readdata => mm_interconnect_0_nios2_gen2_0_debug_mem_slave_readdata, -- .readdata debug_mem_slave_waitrequest => mm_interconnect_0_nios2_gen2_0_debug_mem_slave_waitrequest, -- .waitrequest debug_mem_slave_write => mm_interconnect_0_nios2_gen2_0_debug_mem_slave_write, -- .write debug_mem_slave_writedata => mm_interconnect_0_nios2_gen2_0_debug_mem_slave_writedata, -- .writedata dummy_ci_port => open -- custom_instruction_master.readra ); onchip_memory2_0 : component niosii_onchip_memory2_0 port map ( clk => altpll_0_c0_clk, -- clk1.clk address => mm_interconnect_0_onchip_memory2_0_s1_address, -- s1.address clken => mm_interconnect_0_onchip_memory2_0_s1_clken, -- .clken chipselect => mm_interconnect_0_onchip_memory2_0_s1_chipselect, -- .chipselect write => mm_interconnect_0_onchip_memory2_0_s1_write, -- .write readdata => mm_interconnect_0_onchip_memory2_0_s1_readdata, -- .readdata writedata => mm_interconnect_0_onchip_memory2_0_s1_writedata, -- .writedata byteenable => mm_interconnect_0_onchip_memory2_0_s1_byteenable, -- .byteenable reset => rst_controller_001_reset_out_reset, -- reset1.reset reset_req => rst_controller_001_reset_out_reset_req -- .reset_req ); pio_0 : component niosii_pio_0 port map ( clk => altpll_0_c1_clk, -- clk.clk reset_n => rst_controller_002_reset_out_reset_ports_inv, -- reset.reset_n address => mm_interconnect_0_pio_0_s1_address, -- s1.address write_n => mm_interconnect_0_pio_0_s1_write_ports_inv, -- .write_n writedata => mm_interconnect_0_pio_0_s1_writedata, -- .writedata chipselect => mm_interconnect_0_pio_0_s1_chipselect, -- .chipselect readdata => mm_interconnect_0_pio_0_s1_readdata, -- .readdata out_port => pio_0_external_connection_export -- external_connection.export ); mm_interconnect_0 : component niosii_mm_interconnect_0 port map ( altpll_0_c0_clk => altpll_0_c0_clk, -- altpll_0_c0.clk altpll_0_c1_clk => altpll_0_c1_clk, -- altpll_0_c1.clk clk_0_clk_clk => clk_clk, -- clk_0_clk.clk altpll_0_inclk_interface_reset_reset_bridge_in_reset_reset => rst_controller_reset_out_reset, -- altpll_0_inclk_interface_reset_reset_bridge_in_reset.reset nios2_gen2_0_reset_reset_bridge_in_reset_reset => rst_controller_001_reset_out_reset, -- nios2_gen2_0_reset_reset_bridge_in_reset.reset pio_0_reset_reset_bridge_in_reset_reset => rst_controller_002_reset_out_reset, -- pio_0_reset_reset_bridge_in_reset.reset nios2_gen2_0_data_master_address => nios2_gen2_0_data_master_address, -- nios2_gen2_0_data_master.address nios2_gen2_0_data_master_waitrequest => nios2_gen2_0_data_master_waitrequest, -- .waitrequest nios2_gen2_0_data_master_byteenable => nios2_gen2_0_data_master_byteenable, -- .byteenable nios2_gen2_0_data_master_read => nios2_gen2_0_data_master_read, -- .read nios2_gen2_0_data_master_readdata => nios2_gen2_0_data_master_readdata, -- .readdata nios2_gen2_0_data_master_readdatavalid => nios2_gen2_0_data_master_readdatavalid, -- .readdatavalid nios2_gen2_0_data_master_write => nios2_gen2_0_data_master_write, -- .write nios2_gen2_0_data_master_writedata => nios2_gen2_0_data_master_writedata, -- .writedata nios2_gen2_0_data_master_debugaccess => nios2_gen2_0_data_master_debugaccess, -- .debugaccess nios2_gen2_0_instruction_master_address => nios2_gen2_0_instruction_master_address, -- nios2_gen2_0_instruction_master.address nios2_gen2_0_instruction_master_waitrequest => nios2_gen2_0_instruction_master_waitrequest, -- .waitrequest nios2_gen2_0_instruction_master_read => nios2_gen2_0_instruction_master_read, -- .read nios2_gen2_0_instruction_master_readdata => nios2_gen2_0_instruction_master_readdata, -- .readdata nios2_gen2_0_instruction_master_readdatavalid => nios2_gen2_0_instruction_master_readdatavalid, -- .readdatavalid altpll_0_pll_slave_address => mm_interconnect_0_altpll_0_pll_slave_address, -- altpll_0_pll_slave.address altpll_0_pll_slave_write => mm_interconnect_0_altpll_0_pll_slave_write, -- .write altpll_0_pll_slave_read => mm_interconnect_0_altpll_0_pll_slave_read, -- .read altpll_0_pll_slave_readdata => mm_interconnect_0_altpll_0_pll_slave_readdata, -- .readdata altpll_0_pll_slave_writedata => mm_interconnect_0_altpll_0_pll_slave_writedata, -- .writedata jtag_uart_0_avalon_jtag_slave_address => mm_interconnect_0_jtag_uart_0_avalon_jtag_slave_address, -- jtag_uart_0_avalon_jtag_slave.address jtag_uart_0_avalon_jtag_slave_write => mm_interconnect_0_jtag_uart_0_avalon_jtag_slave_write, -- .write jtag_uart_0_avalon_jtag_slave_read => mm_interconnect_0_jtag_uart_0_avalon_jtag_slave_read, -- .read jtag_uart_0_avalon_jtag_slave_readdata => mm_interconnect_0_jtag_uart_0_avalon_jtag_slave_readdata, -- .readdata jtag_uart_0_avalon_jtag_slave_writedata => mm_interconnect_0_jtag_uart_0_avalon_jtag_slave_writedata, -- .writedata jtag_uart_0_avalon_jtag_slave_waitrequest => mm_interconnect_0_jtag_uart_0_avalon_jtag_slave_waitrequest, -- .waitrequest jtag_uart_0_avalon_jtag_slave_chipselect => mm_interconnect_0_jtag_uart_0_avalon_jtag_slave_chipselect, -- .chipselect nios2_gen2_0_debug_mem_slave_address => mm_interconnect_0_nios2_gen2_0_debug_mem_slave_address, -- nios2_gen2_0_debug_mem_slave.address nios2_gen2_0_debug_mem_slave_write => mm_interconnect_0_nios2_gen2_0_debug_mem_slave_write, -- .write nios2_gen2_0_debug_mem_slave_read => mm_interconnect_0_nios2_gen2_0_debug_mem_slave_read, -- .read nios2_gen2_0_debug_mem_slave_readdata => mm_interconnect_0_nios2_gen2_0_debug_mem_slave_readdata, -- .readdata nios2_gen2_0_debug_mem_slave_writedata => mm_interconnect_0_nios2_gen2_0_debug_mem_slave_writedata, -- .writedata nios2_gen2_0_debug_mem_slave_byteenable => mm_interconnect_0_nios2_gen2_0_debug_mem_slave_byteenable, -- .byteenable nios2_gen2_0_debug_mem_slave_waitrequest => mm_interconnect_0_nios2_gen2_0_debug_mem_slave_waitrequest, -- .waitrequest nios2_gen2_0_debug_mem_slave_debugaccess => mm_interconnect_0_nios2_gen2_0_debug_mem_slave_debugaccess, -- .debugaccess onchip_memory2_0_s1_address => mm_interconnect_0_onchip_memory2_0_s1_address, -- onchip_memory2_0_s1.address onchip_memory2_0_s1_write => mm_interconnect_0_onchip_memory2_0_s1_write, -- .write onchip_memory2_0_s1_readdata => mm_interconnect_0_onchip_memory2_0_s1_readdata, -- .readdata onchip_memory2_0_s1_writedata => mm_interconnect_0_onchip_memory2_0_s1_writedata, -- .writedata onchip_memory2_0_s1_byteenable => mm_interconnect_0_onchip_memory2_0_s1_byteenable, -- .byteenable onchip_memory2_0_s1_chipselect => mm_interconnect_0_onchip_memory2_0_s1_chipselect, -- .chipselect onchip_memory2_0_s1_clken => mm_interconnect_0_onchip_memory2_0_s1_clken, -- .clken pio_0_s1_address => mm_interconnect_0_pio_0_s1_address, -- pio_0_s1.address pio_0_s1_write => mm_interconnect_0_pio_0_s1_write, -- .write pio_0_s1_readdata => mm_interconnect_0_pio_0_s1_readdata, -- .readdata pio_0_s1_writedata => mm_interconnect_0_pio_0_s1_writedata, -- .writedata pio_0_s1_chipselect => mm_interconnect_0_pio_0_s1_chipselect -- .chipselect ); irq_mapper : component niosii_irq_mapper port map ( clk => altpll_0_c0_clk, -- clk.clk reset => rst_controller_001_reset_out_reset, -- clk_reset.reset receiver0_irq => irq_mapper_receiver0_irq, -- receiver0.irq sender_irq => nios2_gen2_0_irq_irq -- sender.irq ); irq_synchronizer : component altera_irq_clock_crosser generic map ( IRQ_WIDTH => 1 ) port map ( receiver_clk => clk_clk, -- receiver_clk.clk sender_clk => altpll_0_c0_clk, -- sender_clk.clk receiver_reset => rst_controller_reset_out_reset, -- receiver_clk_reset.reset sender_reset => rst_controller_001_reset_out_reset, -- sender_clk_reset.reset receiver_irq => irq_synchronizer_receiver_irq, -- receiver.irq sender_irq(0) => irq_mapper_receiver0_irq -- sender.irq ); rst_controller : component niosii_rst_controller generic map ( NUM_RESET_INPUTS => 1, OUTPUT_RESET_SYNC_EDGES => "deassert", SYNC_DEPTH => 2, RESET_REQUEST_PRESENT => 0, RESET_REQ_WAIT_TIME => 1, MIN_RST_ASSERTION_TIME => 3, RESET_REQ_EARLY_DSRT_TIME => 1, USE_RESET_REQUEST_IN0 => 0, USE_RESET_REQUEST_IN1 => 0, USE_RESET_REQUEST_IN2 => 0, USE_RESET_REQUEST_IN3 => 0, USE_RESET_REQUEST_IN4 => 0, USE_RESET_REQUEST_IN5 => 0, USE_RESET_REQUEST_IN6 => 0, USE_RESET_REQUEST_IN7 => 0, USE_RESET_REQUEST_IN8 => 0, USE_RESET_REQUEST_IN9 => 0, USE_RESET_REQUEST_IN10 => 0, USE_RESET_REQUEST_IN11 => 0, USE_RESET_REQUEST_IN12 => 0, USE_RESET_REQUEST_IN13 => 0, USE_RESET_REQUEST_IN14 => 0, USE_RESET_REQUEST_IN15 => 0, ADAPT_RESET_REQUEST => 0 ) port map ( reset_in0 => reset_reset_n_ports_inv, -- reset_in0.reset clk => clk_clk, -- clk.clk reset_out => rst_controller_reset_out_reset, -- reset_out.reset reset_req => open, -- (terminated) reset_req_in0 => '0', -- (terminated) reset_in1 => '0', -- (terminated) reset_req_in1 => '0', -- (terminated) reset_in2 => '0', -- (terminated) reset_req_in2 => '0', -- (terminated) reset_in3 => '0', -- (terminated) reset_req_in3 => '0', -- (terminated) reset_in4 => '0', -- (terminated) reset_req_in4 => '0', -- (terminated) reset_in5 => '0', -- (terminated) reset_req_in5 => '0', -- (terminated) reset_in6 => '0', -- (terminated) reset_req_in6 => '0', -- (terminated) reset_in7 => '0', -- (terminated) reset_req_in7 => '0', -- (terminated) reset_in8 => '0', -- (terminated) reset_req_in8 => '0', -- (terminated) reset_in9 => '0', -- (terminated) reset_req_in9 => '0', -- (terminated) reset_in10 => '0', -- (terminated) reset_req_in10 => '0', -- (terminated) reset_in11 => '0', -- (terminated) reset_req_in11 => '0', -- (terminated) reset_in12 => '0', -- (terminated) reset_req_in12 => '0', -- (terminated) reset_in13 => '0', -- (terminated) reset_req_in13 => '0', -- (terminated) reset_in14 => '0', -- (terminated) reset_req_in14 => '0', -- (terminated) reset_in15 => '0', -- (terminated) reset_req_in15 => '0' -- (terminated) ); rst_controller_001 : component niosii_rst_controller_001 generic map ( NUM_RESET_INPUTS => 1, OUTPUT_RESET_SYNC_EDGES => "deassert", SYNC_DEPTH => 2, RESET_REQUEST_PRESENT => 1, RESET_REQ_WAIT_TIME => 1, MIN_RST_ASSERTION_TIME => 3, RESET_REQ_EARLY_DSRT_TIME => 1, USE_RESET_REQUEST_IN0 => 0, USE_RESET_REQUEST_IN1 => 0, USE_RESET_REQUEST_IN2 => 0, USE_RESET_REQUEST_IN3 => 0, USE_RESET_REQUEST_IN4 => 0, USE_RESET_REQUEST_IN5 => 0, USE_RESET_REQUEST_IN6 => 0, USE_RESET_REQUEST_IN7 => 0, USE_RESET_REQUEST_IN8 => 0, USE_RESET_REQUEST_IN9 => 0, USE_RESET_REQUEST_IN10 => 0, USE_RESET_REQUEST_IN11 => 0, USE_RESET_REQUEST_IN12 => 0, USE_RESET_REQUEST_IN13 => 0, USE_RESET_REQUEST_IN14 => 0, USE_RESET_REQUEST_IN15 => 0, ADAPT_RESET_REQUEST => 0 ) port map ( reset_in0 => reset_reset_n_ports_inv, -- reset_in0.reset clk => altpll_0_c0_clk, -- clk.clk reset_out => rst_controller_001_reset_out_reset, -- reset_out.reset reset_req => rst_controller_001_reset_out_reset_req, -- .reset_req reset_req_in0 => '0', -- (terminated) reset_in1 => '0', -- (terminated) reset_req_in1 => '0', -- (terminated) reset_in2 => '0', -- (terminated) reset_req_in2 => '0', -- (terminated) reset_in3 => '0', -- (terminated) reset_req_in3 => '0', -- (terminated) reset_in4 => '0', -- (terminated) reset_req_in4 => '0', -- (terminated) reset_in5 => '0', -- (terminated) reset_req_in5 => '0', -- (terminated) reset_in6 => '0', -- (terminated) reset_req_in6 => '0', -- (terminated) reset_in7 => '0', -- (terminated) reset_req_in7 => '0', -- (terminated) reset_in8 => '0', -- (terminated) reset_req_in8 => '0', -- (terminated) reset_in9 => '0', -- (terminated) reset_req_in9 => '0', -- (terminated) reset_in10 => '0', -- (terminated) reset_req_in10 => '0', -- (terminated) reset_in11 => '0', -- (terminated) reset_req_in11 => '0', -- (terminated) reset_in12 => '0', -- (terminated) reset_req_in12 => '0', -- (terminated) reset_in13 => '0', -- (terminated) reset_req_in13 => '0', -- (terminated) reset_in14 => '0', -- (terminated) reset_req_in14 => '0', -- (terminated) reset_in15 => '0', -- (terminated) reset_req_in15 => '0' -- (terminated) ); rst_controller_002 : component niosii_rst_controller generic map ( NUM_RESET_INPUTS => 1, OUTPUT_RESET_SYNC_EDGES => "deassert", SYNC_DEPTH => 2, RESET_REQUEST_PRESENT => 0, RESET_REQ_WAIT_TIME => 1, MIN_RST_ASSERTION_TIME => 3, RESET_REQ_EARLY_DSRT_TIME => 1, USE_RESET_REQUEST_IN0 => 0, USE_RESET_REQUEST_IN1 => 0, USE_RESET_REQUEST_IN2 => 0, USE_RESET_REQUEST_IN3 => 0, USE_RESET_REQUEST_IN4 => 0, USE_RESET_REQUEST_IN5 => 0, USE_RESET_REQUEST_IN6 => 0, USE_RESET_REQUEST_IN7 => 0, USE_RESET_REQUEST_IN8 => 0, USE_RESET_REQUEST_IN9 => 0, USE_RESET_REQUEST_IN10 => 0, USE_RESET_REQUEST_IN11 => 0, USE_RESET_REQUEST_IN12 => 0, USE_RESET_REQUEST_IN13 => 0, USE_RESET_REQUEST_IN14 => 0, USE_RESET_REQUEST_IN15 => 0, ADAPT_RESET_REQUEST => 0 ) port map ( reset_in0 => reset_reset_n_ports_inv, -- reset_in0.reset clk => altpll_0_c1_clk, -- clk.clk reset_out => rst_controller_002_reset_out_reset, -- reset_out.reset reset_req => open, -- (terminated) reset_req_in0 => '0', -- (terminated) reset_in1 => '0', -- (terminated) reset_req_in1 => '0', -- (terminated) reset_in2 => '0', -- (terminated) reset_req_in2 => '0', -- (terminated) reset_in3 => '0', -- (terminated) reset_req_in3 => '0', -- (terminated) reset_in4 => '0', -- (terminated) reset_req_in4 => '0', -- (terminated) reset_in5 => '0', -- (terminated) reset_req_in5 => '0', -- (terminated) reset_in6 => '0', -- (terminated) reset_req_in6 => '0', -- (terminated) reset_in7 => '0', -- (terminated) reset_req_in7 => '0', -- (terminated) reset_in8 => '0', -- (terminated) reset_req_in8 => '0', -- (terminated) reset_in9 => '0', -- (terminated) reset_req_in9 => '0', -- (terminated) reset_in10 => '0', -- (terminated) reset_req_in10 => '0', -- (terminated) reset_in11 => '0', -- (terminated) reset_req_in11 => '0', -- (terminated) reset_in12 => '0', -- (terminated) reset_req_in12 => '0', -- (terminated) reset_in13 => '0', -- (terminated) reset_req_in13 => '0', -- (terminated) reset_in14 => '0', -- (terminated) reset_req_in14 => '0', -- (terminated) reset_in15 => '0', -- (terminated) reset_req_in15 => '0' -- (terminated) ); reset_reset_n_ports_inv <= not reset_reset_n; mm_interconnect_0_jtag_uart_0_avalon_jtag_slave_read_ports_inv <= not mm_interconnect_0_jtag_uart_0_avalon_jtag_slave_read; mm_interconnect_0_jtag_uart_0_avalon_jtag_slave_write_ports_inv <= not mm_interconnect_0_jtag_uart_0_avalon_jtag_slave_write; mm_interconnect_0_pio_0_s1_write_ports_inv <= not mm_interconnect_0_pio_0_s1_write; rst_controller_reset_out_reset_ports_inv <= not rst_controller_reset_out_reset; rst_controller_001_reset_out_reset_ports_inv <= not rst_controller_001_reset_out_reset; rst_controller_002_reset_out_reset_ports_inv <= not rst_controller_002_reset_out_reset; end architecture rtl; -- of niosii
mit
FrankBuss/YaGraphCon
spartan3e/src/test.vhd
1
3485
-- Copyright (c) 2009 Frank Buss ([email protected]) -- See license.txt for license library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.all; entity test is port( clk_50mhz: in std_logic; rs232_dce_txd: out std_logic; rs232_dce_rxd: in std_logic; led: out unsigned(7 downto 0); VGA_BLUE: out std_logic; VGA_GREEN: out std_logic; VGA_HSYNC: out std_logic; VGA_RED: out std_logic; VGA_VSYNC: out std_logic ); end entity test; architecture rtl of test is constant ADDRESS_WIDTH: natural := 17; constant BIT_DEPTH: natural := 1; constant SYSTEM_SPEED: natural := 50e6; constant BAUDRATE: natural := 115200; signal rs232DataReceived: std_logic := '0'; signal rs232DataIn: unsigned(7 downto 0) := (others => '0'); signal rs232SendTrigger: std_logic := '0'; signal rs232DataOut: unsigned(7 downto 0); signal ledLatch: unsigned(7 downto 0) := (others => '0'); signal counter: natural range 0 to (system_speed / 2) := 0; signal spiChipSelect: std_logic; signal spiData: std_logic; signal spiClock: std_logic; signal busy: std_logic; signal busyVector: unsigned(1 downto 0); signal vsync: std_logic; signal pixel: unsigned(BIT_DEPTH-1 downto 0); signal vgaHsync: std_logic; signal vgaVsync: std_logic; begin YaGraphCon_instance: entity YaGraphCon generic map(ADDRESS_WIDTH, BIT_DEPTH) port map( clock => clk_50mhz, spiChipSelect => spiChipSelect, spiData => spiData, spiClock => spiClock, busy => busy, vsync => vsync, pixel => pixel, vgaHsync => vgaHsync, vgaVsync => vgaVsync ); sender: entity rs232_sender generic map(SYSTEM_SPEED, BAUDRATE) port map( clock => clk_50mhz, data => rs232DataOut, tx => rs232_dce_txd, sendTrigger => rs232SendTrigger, dataSent => ledLatch(4) ); receiver: entity rs232_receiver generic map(SYSTEM_SPEED, BAUDRATE) port map( clock => clk_50mhz, reset => '0', data => rs232DataIn, rx => rs232_dce_rxd, dataReceived => rs232DataReceived ); process(clk_50mhz) begin if rising_edge(clk_50mhz) then rs232SendTrigger <= '0'; if rs232DataReceived = '1' then case rs232DataIn is -- "t" for testing: invert LED when x"74" => ledLatch(3) <= not ledLatch(3); -- other commands for the SPI signals when x"00" => spiChipSelect <= '1'; when x"01" => spiChipSelect <= '0'; when x"02" => spiClock <= '1'; when x"03" => spiClock <= '0'; when x"04" => spiData <= '1'; when x"05" => spiData <= '0'; -- other bytes: echo, for RS232 TX/RX test when others => rs232DataOut <= rs232DataIn; rs232SendTrigger <= '1'; end case; end if; -- signal falling busy signal with "o" for "ok" busyVector <= busyVector(0) & busy; if busyVector = "10" then rs232DataOut <= x"6f"; rs232SendTrigger <= '1'; end if; -- 1 Hz LED blinker if counter = 0 then ledLatch(0) <= not ledLatch(0); counter <= SYSTEM_SPEED / 2; else counter <= counter - 1; end if; -- routing some internal signals to the LEDs ledLatch(1) <= busy; ledLatch(2) <= vsync; ledLatch(3) <= rs232_dce_rxd; ledLatch(5) <= pixel(0); ledLatch(6) <= vgaHsync; ledLatch(7) <= vgaVsync; end if; end process; led <= ledLatch; VGA_RED <= pixel(0); VGA_GREEN <= pixel(0); VGA_BLUE <= pixel(0); VGA_HSYNC <= vgaHsync; VGA_VSYNC <= vgaVsync; end architecture rtl;
mit
chcbaram/Altera_DE0_nano_Exam
prj_niosii_test/niosii_top.vhd
1
6035
---------------------------------------------------------------------------------- -- Design Name : led_top -- Create Date : 2015/12/31 -- Module Name : -- Project Name : -- Target Devices: -- Tool Versions : -- Description : -- Revision : -- Additional Comments: -- ---------------------------------------------------------------------------------- --The MIT License (MIT) -- --Copyright (c) 2015 -- --Permission is hereby granted, free of charge, to any person obtaining a copy --of this software and associated documentation files (the "Software"), to deal --in the Software without restriction, including without limitation the rights --to use, copy, modify, merge, publish, distribute, sublicense, and/or sell --copies of the Software, and to permit persons to whom the Software is --furnished to do so, subject to the following conditions: -- --The above copyright notice and this permission notice shall be included in all --copies or substantial portions of the Software. -- --THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR --IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, --FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE --AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER --LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, --OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE --SOFTWARE. ---------------------------------------------------------------------------------- -- Library Define -- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; use ieee.numeric_std.all; entity niosii_top is Port ( p_clk_50Mhz : in std_logic; p_button : in std_logic_vector( 1 downto 0 ); p_led_out : out std_logic_vector( 7 downto 0 ); sdram_addr : out std_logic_vector(12 downto 0); -- addr sdram_ba : out std_logic_vector(1 downto 0); -- ba sdram_cas_n : out std_logic; -- cas_n sdram_cke : out std_logic; -- cke sdram_cs_n : out std_logic; -- cs_n sdram_dq : inout std_logic_vector(15 downto 0) := (others => 'X'); -- dq sdram_dqm : out std_logic_vector(1 downto 0); -- dqm sdram_ras_n : out std_logic; -- ras_n sdram_we_n : out std_logic; -- we_n sdram_clk_clk : out std_logic -- clk ); end niosii_top; architecture Behavioral of niosii_top is component niosii is port ( clk_clk : in std_logic := 'X'; -- clk pio_0_external_connection_export : out std_logic_vector(7 downto 0); -- export reset_reset_n : in std_logic := 'X'; -- reset_n sdram_addr : out std_logic_vector(12 downto 0); -- addr sdram_ba : out std_logic_vector(1 downto 0); -- ba sdram_cas_n : out std_logic; -- cas_n sdram_cke : out std_logic; -- cke sdram_cs_n : out std_logic; -- cs_n sdram_dq : inout std_logic_vector(15 downto 0) := (others => 'X'); -- dq sdram_dqm : out std_logic_vector(1 downto 0); -- dqm sdram_ras_n : out std_logic; -- ras_n sdram_we_n : out std_logic; -- we_n sdram_clk_clk : out std_logic -- clk ); end component niosii; signal s_reset_n : std_logic; begin s_reset_n <= p_button(0); u0 : component niosii port map ( clk_clk => p_clk_50Mhz, pio_0_external_connection_export => p_led_out, reset_reset_n => s_reset_n, sdram_addr => sdram_addr, -- sdram.addr sdram_ba => sdram_ba, -- .ba sdram_cas_n => sdram_cas_n, -- .cas_n sdram_cke => sdram_cke, -- .cke sdram_cs_n => sdram_cs_n, -- .cs_n sdram_dq => sdram_dq, -- .dq sdram_dqm => sdram_dqm, -- .dqm sdram_ras_n => sdram_ras_n, -- .ras_n sdram_we_n => sdram_we_n, -- .we_n sdram_clk_clk => sdram_clk_clk -- sdram_clk.clk ); end Behavioral;
mit
chcbaram/Altera_DE0_nano_Exam
prj_niosii_sdram/niosii_top.vhd
3
2662
---------------------------------------------------------------------------------- -- Design Name : led_top -- Create Date : 2015/12/31 -- Module Name : -- Project Name : -- Target Devices: -- Tool Versions : -- Description : -- Revision : -- Additional Comments: -- ---------------------------------------------------------------------------------- --The MIT License (MIT) -- --Copyright (c) 2015 -- --Permission is hereby granted, free of charge, to any person obtaining a copy --of this software and associated documentation files (the "Software"), to deal --in the Software without restriction, including without limitation the rights --to use, copy, modify, merge, publish, distribute, sublicense, and/or sell --copies of the Software, and to permit persons to whom the Software is --furnished to do so, subject to the following conditions: -- --The above copyright notice and this permission notice shall be included in all --copies or substantial portions of the Software. -- --THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR --IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, --FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE --AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER --LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, --OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE --SOFTWARE. ---------------------------------------------------------------------------------- -- Library Define -- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; use ieee.numeric_std.all; entity niosii_top is Port ( p_clk_50Mhz : in std_logic; p_button : in std_logic_vector( 1 downto 0 ); p_led_out : out std_logic_vector( 7 downto 0 ) ); end niosii_top; architecture Behavioral of niosii_top is component niosii is port ( clk_clk : in std_logic := 'X'; -- clk pio_0_external_connection_export : out std_logic_vector(7 downto 0); -- export reset_reset_n : in std_logic := 'X' -- reset_n ); end component niosii; signal s_reset_n : std_logic; begin s_reset_n <= p_button(0); u0 : component niosii port map ( clk_clk => p_clk_50Mhz, pio_0_external_connection_export => p_led_out, reset_reset_n => s_reset_n ); end Behavioral;
mit
chcbaram/Altera_DE0_nano_Exam
prj_niosii_abot/niosii/synthesis/niosii.vhd
1
106835
-- niosii.vhd -- Generated using ACDS version 15.1 185 library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity niosii is port ( clk_clk : in std_logic := '0'; -- clk.clk epcs_flash_dclk : out std_logic; -- epcs_flash.dclk epcs_flash_sce : out std_logic; -- .sce epcs_flash_sdo : out std_logic; -- .sdo epcs_flash_data0 : in std_logic := '0'; -- .data0 ip_pwm_dir : out std_logic_vector(1 downto 0); -- ip_pwm.dir ip_pwm_out : out std_logic_vector(1 downto 0); -- .out pio_0_external_connection_export : out std_logic_vector(7 downto 0); -- pio_0_external_connection.export reset_reset_n : in std_logic := '0'; -- reset.reset_n uart_0_rxd : in std_logic := '0'; -- uart_0.rxd uart_0_txd : out std_logic -- .txd ); end entity niosii; architecture rtl of niosii is component niosii_altpll_0 is port ( clk : in std_logic := 'X'; -- clk reset : in std_logic := 'X'; -- reset read : in std_logic := 'X'; -- read write : in std_logic := 'X'; -- write address : in std_logic_vector(1 downto 0) := (others => 'X'); -- address readdata : out std_logic_vector(31 downto 0); -- readdata writedata : in std_logic_vector(31 downto 0) := (others => 'X'); -- writedata c0 : out std_logic; -- clk c1 : out std_logic; -- clk c2 : out std_logic; -- clk c3 : out std_logic; -- clk areset : in std_logic := 'X'; -- export locked : out std_logic; -- export phasedone : out std_logic -- export ); end component niosii_altpll_0; component niosii_epcs_flash_controller_0 is port ( clk : in std_logic := 'X'; -- clk reset_n : in std_logic := 'X'; -- reset_n reset_req : in std_logic := 'X'; -- reset_req address : in std_logic_vector(8 downto 0) := (others => 'X'); -- address chipselect : in std_logic := 'X'; -- chipselect dataavailable : out std_logic; -- dataavailable endofpacket : out std_logic; -- endofpacket read_n : in std_logic := 'X'; -- read_n readdata : out std_logic_vector(31 downto 0); -- readdata readyfordata : out std_logic; -- readyfordata write_n : in std_logic := 'X'; -- write_n writedata : in std_logic_vector(31 downto 0) := (others => 'X'); -- writedata irq : out std_logic; -- irq dclk : out std_logic; -- export sce : out std_logic; -- export sdo : out std_logic; -- export data0 : in std_logic := 'X' -- export ); end component niosii_epcs_flash_controller_0; component ip_pwm_top is port ( avs_s0_address : in std_logic_vector(7 downto 0) := (others => 'X'); -- address avs_s0_read : in std_logic := 'X'; -- read avs_s0_readdata : out std_logic_vector(31 downto 0); -- readdata avs_s0_write : in std_logic := 'X'; -- write avs_s0_writedata : in std_logic_vector(31 downto 0) := (others => 'X'); -- writedata avs_s0_waitrequest : out std_logic; -- waitrequest clock_clk : in std_logic := 'X'; -- clk reset_reset : in std_logic := 'X'; -- reset pwm_dir : out std_logic_vector(1 downto 0); -- dir pwm_out : out std_logic_vector(1 downto 0) -- out ); end component ip_pwm_top; component niosii_jtag_uart_0 is port ( clk : in std_logic := 'X'; -- clk rst_n : in std_logic := 'X'; -- reset_n av_chipselect : in std_logic := 'X'; -- chipselect av_address : in std_logic := 'X'; -- address av_read_n : in std_logic := 'X'; -- read_n av_readdata : out std_logic_vector(31 downto 0); -- readdata av_write_n : in std_logic := 'X'; -- write_n av_writedata : in std_logic_vector(31 downto 0) := (others => 'X'); -- writedata av_waitrequest : out std_logic; -- waitrequest av_irq : out std_logic -- irq ); end component niosii_jtag_uart_0; component niosii_nios2_gen2_0 is port ( clk : in std_logic := 'X'; -- clk reset_n : in std_logic := 'X'; -- reset_n reset_req : in std_logic := 'X'; -- reset_req d_address : out std_logic_vector(22 downto 0); -- address d_byteenable : out std_logic_vector(3 downto 0); -- byteenable d_read : out std_logic; -- read d_readdata : in std_logic_vector(31 downto 0) := (others => 'X'); -- readdata d_waitrequest : in std_logic := 'X'; -- waitrequest d_write : out std_logic; -- write d_writedata : out std_logic_vector(31 downto 0); -- writedata debug_mem_slave_debugaccess_to_roms : out std_logic; -- debugaccess i_address : out std_logic_vector(22 downto 0); -- address i_read : out std_logic; -- read i_readdata : in std_logic_vector(31 downto 0) := (others => 'X'); -- readdata i_waitrequest : in std_logic := 'X'; -- waitrequest irq : in std_logic_vector(31 downto 0) := (others => 'X'); -- irq debug_reset_request : out std_logic; -- reset debug_mem_slave_address : in std_logic_vector(8 downto 0) := (others => 'X'); -- address debug_mem_slave_byteenable : in std_logic_vector(3 downto 0) := (others => 'X'); -- byteenable debug_mem_slave_debugaccess : in std_logic := 'X'; -- debugaccess debug_mem_slave_read : in std_logic := 'X'; -- read debug_mem_slave_readdata : out std_logic_vector(31 downto 0); -- readdata debug_mem_slave_waitrequest : out std_logic; -- waitrequest debug_mem_slave_write : in std_logic := 'X'; -- write debug_mem_slave_writedata : in std_logic_vector(31 downto 0) := (others => 'X'); -- writedata dummy_ci_port : out std_logic -- readra ); end component niosii_nios2_gen2_0; component niosii_onchip_memory2_0 is port ( clk : in std_logic := 'X'; -- clk address : in std_logic_vector(13 downto 0) := (others => 'X'); -- address clken : in std_logic := 'X'; -- clken chipselect : in std_logic := 'X'; -- chipselect write : in std_logic := 'X'; -- write readdata : out std_logic_vector(31 downto 0); -- readdata writedata : in std_logic_vector(31 downto 0) := (others => 'X'); -- writedata byteenable : in std_logic_vector(3 downto 0) := (others => 'X'); -- byteenable reset : in std_logic := 'X'; -- reset reset_req : in std_logic := 'X' -- reset_req ); end component niosii_onchip_memory2_0; component niosii_pio_0 is port ( clk : in std_logic := 'X'; -- clk reset_n : in std_logic := 'X'; -- reset_n address : in std_logic_vector(1 downto 0) := (others => 'X'); -- address write_n : in std_logic := 'X'; -- write_n writedata : in std_logic_vector(31 downto 0) := (others => 'X'); -- writedata chipselect : in std_logic := 'X'; -- chipselect readdata : out std_logic_vector(31 downto 0); -- readdata out_port : out std_logic_vector(7 downto 0) -- export ); end component niosii_pio_0; component niosii_timer_ms is port ( clk : in std_logic := 'X'; -- clk reset_n : in std_logic := 'X'; -- reset_n address : in std_logic_vector(2 downto 0) := (others => 'X'); -- address writedata : in std_logic_vector(15 downto 0) := (others => 'X'); -- writedata readdata : out std_logic_vector(15 downto 0); -- readdata chipselect : in std_logic := 'X'; -- chipselect write_n : in std_logic := 'X'; -- write_n irq : out std_logic -- irq ); end component niosii_timer_ms; component niosii_timer_us is port ( clk : in std_logic := 'X'; -- clk reset_n : in std_logic := 'X'; -- reset_n address : in std_logic_vector(2 downto 0) := (others => 'X'); -- address writedata : in std_logic_vector(15 downto 0) := (others => 'X'); -- writedata readdata : out std_logic_vector(15 downto 0); -- readdata chipselect : in std_logic := 'X'; -- chipselect write_n : in std_logic := 'X'; -- write_n irq : out std_logic -- irq ); end component niosii_timer_us; component niosii_uart_0 is port ( clk : in std_logic := 'X'; -- clk reset_n : in std_logic := 'X'; -- reset_n address : in std_logic_vector(2 downto 0) := (others => 'X'); -- address begintransfer : in std_logic := 'X'; -- begintransfer chipselect : in std_logic := 'X'; -- chipselect read_n : in std_logic := 'X'; -- read_n write_n : in std_logic := 'X'; -- write_n writedata : in std_logic_vector(15 downto 0) := (others => 'X'); -- writedata readdata : out std_logic_vector(15 downto 0); -- readdata dataavailable : out std_logic; -- dataavailable readyfordata : out std_logic; -- readyfordata rxd : in std_logic := 'X'; -- export txd : out std_logic; -- export irq : out std_logic -- irq ); end component niosii_uart_0; component niosii_mm_interconnect_0 is port ( altpll_0_c0_clk : in std_logic := 'X'; -- clk altpll_0_c1_clk : in std_logic := 'X'; -- clk altpll_0_c2_clk : in std_logic := 'X'; -- clk altpll_0_c3_clk : in std_logic := 'X'; -- clk clk_0_clk_clk : in std_logic := 'X'; -- clk altpll_0_inclk_interface_reset_reset_bridge_in_reset_reset : in std_logic := 'X'; -- reset epcs_flash_controller_0_reset_reset_bridge_in_reset_reset : in std_logic := 'X'; -- reset ip_pwm_0_reset_reset_bridge_in_reset_reset : in std_logic := 'X'; -- reset nios2_gen2_0_reset_reset_bridge_in_reset_reset : in std_logic := 'X'; -- reset timer_us_reset_reset_bridge_in_reset_reset : in std_logic := 'X'; -- reset nios2_gen2_0_data_master_address : in std_logic_vector(22 downto 0) := (others => 'X'); -- address nios2_gen2_0_data_master_waitrequest : out std_logic; -- waitrequest nios2_gen2_0_data_master_byteenable : in std_logic_vector(3 downto 0) := (others => 'X'); -- byteenable nios2_gen2_0_data_master_read : in std_logic := 'X'; -- read nios2_gen2_0_data_master_readdata : out std_logic_vector(31 downto 0); -- readdata nios2_gen2_0_data_master_write : in std_logic := 'X'; -- write nios2_gen2_0_data_master_writedata : in std_logic_vector(31 downto 0) := (others => 'X'); -- writedata nios2_gen2_0_data_master_debugaccess : in std_logic := 'X'; -- debugaccess nios2_gen2_0_instruction_master_address : in std_logic_vector(22 downto 0) := (others => 'X'); -- address nios2_gen2_0_instruction_master_waitrequest : out std_logic; -- waitrequest nios2_gen2_0_instruction_master_read : in std_logic := 'X'; -- read nios2_gen2_0_instruction_master_readdata : out std_logic_vector(31 downto 0); -- readdata altpll_0_pll_slave_address : out std_logic_vector(1 downto 0); -- address altpll_0_pll_slave_write : out std_logic; -- write altpll_0_pll_slave_read : out std_logic; -- read altpll_0_pll_slave_readdata : in std_logic_vector(31 downto 0) := (others => 'X'); -- readdata altpll_0_pll_slave_writedata : out std_logic_vector(31 downto 0); -- writedata epcs_flash_controller_0_epcs_control_port_address : out std_logic_vector(8 downto 0); -- address epcs_flash_controller_0_epcs_control_port_write : out std_logic; -- write epcs_flash_controller_0_epcs_control_port_read : out std_logic; -- read epcs_flash_controller_0_epcs_control_port_readdata : in std_logic_vector(31 downto 0) := (others => 'X'); -- readdata epcs_flash_controller_0_epcs_control_port_writedata : out std_logic_vector(31 downto 0); -- writedata epcs_flash_controller_0_epcs_control_port_chipselect : out std_logic; -- chipselect ip_pwm_0_avs_s0_address : out std_logic_vector(7 downto 0); -- address ip_pwm_0_avs_s0_write : out std_logic; -- write ip_pwm_0_avs_s0_read : out std_logic; -- read ip_pwm_0_avs_s0_readdata : in std_logic_vector(31 downto 0) := (others => 'X'); -- readdata ip_pwm_0_avs_s0_writedata : out std_logic_vector(31 downto 0); -- writedata ip_pwm_0_avs_s0_waitrequest : in std_logic := 'X'; -- waitrequest jtag_uart_0_avalon_jtag_slave_address : out std_logic_vector(0 downto 0); -- address jtag_uart_0_avalon_jtag_slave_write : out std_logic; -- write jtag_uart_0_avalon_jtag_slave_read : out std_logic; -- read jtag_uart_0_avalon_jtag_slave_readdata : in std_logic_vector(31 downto 0) := (others => 'X'); -- readdata jtag_uart_0_avalon_jtag_slave_writedata : out std_logic_vector(31 downto 0); -- writedata jtag_uart_0_avalon_jtag_slave_waitrequest : in std_logic := 'X'; -- waitrequest jtag_uart_0_avalon_jtag_slave_chipselect : out std_logic; -- chipselect nios2_gen2_0_debug_mem_slave_address : out std_logic_vector(8 downto 0); -- address nios2_gen2_0_debug_mem_slave_write : out std_logic; -- write nios2_gen2_0_debug_mem_slave_read : out std_logic; -- read nios2_gen2_0_debug_mem_slave_readdata : in std_logic_vector(31 downto 0) := (others => 'X'); -- readdata nios2_gen2_0_debug_mem_slave_writedata : out std_logic_vector(31 downto 0); -- writedata nios2_gen2_0_debug_mem_slave_byteenable : out std_logic_vector(3 downto 0); -- byteenable nios2_gen2_0_debug_mem_slave_waitrequest : in std_logic := 'X'; -- waitrequest nios2_gen2_0_debug_mem_slave_debugaccess : out std_logic; -- debugaccess onchip_memory2_0_s1_address : out std_logic_vector(13 downto 0); -- address onchip_memory2_0_s1_write : out std_logic; -- write onchip_memory2_0_s1_readdata : in std_logic_vector(31 downto 0) := (others => 'X'); -- readdata onchip_memory2_0_s1_writedata : out std_logic_vector(31 downto 0); -- writedata onchip_memory2_0_s1_byteenable : out std_logic_vector(3 downto 0); -- byteenable onchip_memory2_0_s1_chipselect : out std_logic; -- chipselect onchip_memory2_0_s1_clken : out std_logic; -- clken pio_0_s1_address : out std_logic_vector(1 downto 0); -- address pio_0_s1_write : out std_logic; -- write pio_0_s1_readdata : in std_logic_vector(31 downto 0) := (others => 'X'); -- readdata pio_0_s1_writedata : out std_logic_vector(31 downto 0); -- writedata pio_0_s1_chipselect : out std_logic; -- chipselect timer_ms_s1_address : out std_logic_vector(2 downto 0); -- address timer_ms_s1_write : out std_logic; -- write timer_ms_s1_readdata : in std_logic_vector(15 downto 0) := (others => 'X'); -- readdata timer_ms_s1_writedata : out std_logic_vector(15 downto 0); -- writedata timer_ms_s1_chipselect : out std_logic; -- chipselect timer_us_s1_address : out std_logic_vector(2 downto 0); -- address timer_us_s1_write : out std_logic; -- write timer_us_s1_readdata : in std_logic_vector(15 downto 0) := (others => 'X'); -- readdata timer_us_s1_writedata : out std_logic_vector(15 downto 0); -- writedata timer_us_s1_chipselect : out std_logic; -- chipselect uart_0_s1_address : out std_logic_vector(2 downto 0); -- address uart_0_s1_write : out std_logic; -- write uart_0_s1_read : out std_logic; -- read uart_0_s1_readdata : in std_logic_vector(15 downto 0) := (others => 'X'); -- readdata uart_0_s1_writedata : out std_logic_vector(15 downto 0); -- writedata uart_0_s1_begintransfer : out std_logic; -- begintransfer uart_0_s1_chipselect : out std_logic -- chipselect ); end component niosii_mm_interconnect_0; component niosii_irq_mapper is port ( clk : in std_logic := 'X'; -- clk reset : in std_logic := 'X'; -- reset receiver0_irq : in std_logic := 'X'; -- irq receiver1_irq : in std_logic := 'X'; -- irq receiver2_irq : in std_logic := 'X'; -- irq receiver3_irq : in std_logic := 'X'; -- irq receiver4_irq : in std_logic := 'X'; -- irq sender_irq : out std_logic_vector(31 downto 0) -- irq ); end component niosii_irq_mapper; component altera_irq_clock_crosser is generic ( IRQ_WIDTH : integer := 1 ); port ( receiver_clk : in std_logic := 'X'; -- clk sender_clk : in std_logic := 'X'; -- clk receiver_reset : in std_logic := 'X'; -- reset sender_reset : in std_logic := 'X'; -- reset receiver_irq : in std_logic_vector(0 downto 0) := (others => 'X'); -- irq sender_irq : out std_logic_vector(0 downto 0) -- irq ); end component altera_irq_clock_crosser; component niosii_rst_controller is generic ( NUM_RESET_INPUTS : integer := 6; OUTPUT_RESET_SYNC_EDGES : string := "deassert"; SYNC_DEPTH : integer := 2; RESET_REQUEST_PRESENT : integer := 0; RESET_REQ_WAIT_TIME : integer := 1; MIN_RST_ASSERTION_TIME : integer := 3; RESET_REQ_EARLY_DSRT_TIME : integer := 1; USE_RESET_REQUEST_IN0 : integer := 0; USE_RESET_REQUEST_IN1 : integer := 0; USE_RESET_REQUEST_IN2 : integer := 0; USE_RESET_REQUEST_IN3 : integer := 0; USE_RESET_REQUEST_IN4 : integer := 0; USE_RESET_REQUEST_IN5 : integer := 0; USE_RESET_REQUEST_IN6 : integer := 0; USE_RESET_REQUEST_IN7 : integer := 0; USE_RESET_REQUEST_IN8 : integer := 0; USE_RESET_REQUEST_IN9 : integer := 0; USE_RESET_REQUEST_IN10 : integer := 0; USE_RESET_REQUEST_IN11 : integer := 0; USE_RESET_REQUEST_IN12 : integer := 0; USE_RESET_REQUEST_IN13 : integer := 0; USE_RESET_REQUEST_IN14 : integer := 0; USE_RESET_REQUEST_IN15 : integer := 0; ADAPT_RESET_REQUEST : integer := 0 ); port ( reset_in0 : in std_logic := 'X'; -- reset clk : in std_logic := 'X'; -- clk reset_out : out std_logic; -- reset reset_req : out std_logic; -- reset_req reset_req_in0 : in std_logic := 'X'; -- reset_req reset_in1 : in std_logic := 'X'; -- reset reset_req_in1 : in std_logic := 'X'; -- reset_req reset_in2 : in std_logic := 'X'; -- reset reset_req_in2 : in std_logic := 'X'; -- reset_req reset_in3 : in std_logic := 'X'; -- reset reset_req_in3 : in std_logic := 'X'; -- reset_req reset_in4 : in std_logic := 'X'; -- reset reset_req_in4 : in std_logic := 'X'; -- reset_req reset_in5 : in std_logic := 'X'; -- reset reset_req_in5 : in std_logic := 'X'; -- reset_req reset_in6 : in std_logic := 'X'; -- reset reset_req_in6 : in std_logic := 'X'; -- reset_req reset_in7 : in std_logic := 'X'; -- reset reset_req_in7 : in std_logic := 'X'; -- reset_req reset_in8 : in std_logic := 'X'; -- reset reset_req_in8 : in std_logic := 'X'; -- reset_req reset_in9 : in std_logic := 'X'; -- reset reset_req_in9 : in std_logic := 'X'; -- reset_req reset_in10 : in std_logic := 'X'; -- reset reset_req_in10 : in std_logic := 'X'; -- reset_req reset_in11 : in std_logic := 'X'; -- reset reset_req_in11 : in std_logic := 'X'; -- reset_req reset_in12 : in std_logic := 'X'; -- reset reset_req_in12 : in std_logic := 'X'; -- reset_req reset_in13 : in std_logic := 'X'; -- reset reset_req_in13 : in std_logic := 'X'; -- reset_req reset_in14 : in std_logic := 'X'; -- reset reset_req_in14 : in std_logic := 'X'; -- reset_req reset_in15 : in std_logic := 'X'; -- reset reset_req_in15 : in std_logic := 'X' -- reset_req ); end component niosii_rst_controller; component niosii_rst_controller_001 is generic ( NUM_RESET_INPUTS : integer := 6; OUTPUT_RESET_SYNC_EDGES : string := "deassert"; SYNC_DEPTH : integer := 2; RESET_REQUEST_PRESENT : integer := 0; RESET_REQ_WAIT_TIME : integer := 1; MIN_RST_ASSERTION_TIME : integer := 3; RESET_REQ_EARLY_DSRT_TIME : integer := 1; USE_RESET_REQUEST_IN0 : integer := 0; USE_RESET_REQUEST_IN1 : integer := 0; USE_RESET_REQUEST_IN2 : integer := 0; USE_RESET_REQUEST_IN3 : integer := 0; USE_RESET_REQUEST_IN4 : integer := 0; USE_RESET_REQUEST_IN5 : integer := 0; USE_RESET_REQUEST_IN6 : integer := 0; USE_RESET_REQUEST_IN7 : integer := 0; USE_RESET_REQUEST_IN8 : integer := 0; USE_RESET_REQUEST_IN9 : integer := 0; USE_RESET_REQUEST_IN10 : integer := 0; USE_RESET_REQUEST_IN11 : integer := 0; USE_RESET_REQUEST_IN12 : integer := 0; USE_RESET_REQUEST_IN13 : integer := 0; USE_RESET_REQUEST_IN14 : integer := 0; USE_RESET_REQUEST_IN15 : integer := 0; ADAPT_RESET_REQUEST : integer := 0 ); port ( reset_in0 : in std_logic := 'X'; -- reset clk : in std_logic := 'X'; -- clk reset_out : out std_logic; -- reset reset_req : out std_logic; -- reset_req reset_req_in0 : in std_logic := 'X'; -- reset_req reset_in1 : in std_logic := 'X'; -- reset reset_req_in1 : in std_logic := 'X'; -- reset_req reset_in2 : in std_logic := 'X'; -- reset reset_req_in2 : in std_logic := 'X'; -- reset_req reset_in3 : in std_logic := 'X'; -- reset reset_req_in3 : in std_logic := 'X'; -- reset_req reset_in4 : in std_logic := 'X'; -- reset reset_req_in4 : in std_logic := 'X'; -- reset_req reset_in5 : in std_logic := 'X'; -- reset reset_req_in5 : in std_logic := 'X'; -- reset_req reset_in6 : in std_logic := 'X'; -- reset reset_req_in6 : in std_logic := 'X'; -- reset_req reset_in7 : in std_logic := 'X'; -- reset reset_req_in7 : in std_logic := 'X'; -- reset_req reset_in8 : in std_logic := 'X'; -- reset reset_req_in8 : in std_logic := 'X'; -- reset_req reset_in9 : in std_logic := 'X'; -- reset reset_req_in9 : in std_logic := 'X'; -- reset_req reset_in10 : in std_logic := 'X'; -- reset reset_req_in10 : in std_logic := 'X'; -- reset_req reset_in11 : in std_logic := 'X'; -- reset reset_req_in11 : in std_logic := 'X'; -- reset_req reset_in12 : in std_logic := 'X'; -- reset reset_req_in12 : in std_logic := 'X'; -- reset_req reset_in13 : in std_logic := 'X'; -- reset reset_req_in13 : in std_logic := 'X'; -- reset_req reset_in14 : in std_logic := 'X'; -- reset reset_req_in14 : in std_logic := 'X'; -- reset_req reset_in15 : in std_logic := 'X'; -- reset reset_req_in15 : in std_logic := 'X' -- reset_req ); end component niosii_rst_controller_001; signal altpll_0_c0_clk : std_logic; -- altpll_0:c0 -> [irq_mapper:clk, irq_synchronizer:sender_clk, irq_synchronizer_001:sender_clk, irq_synchronizer_002:sender_clk, irq_synchronizer_003:sender_clk, jtag_uart_0:clk, mm_interconnect_0:altpll_0_c0_clk, nios2_gen2_0:clk, onchip_memory2_0:clk, rst_controller_003:clk] signal altpll_0_c1_clk : std_logic; -- altpll_0:c1 -> [ip_pwm_0:clock_clk, irq_synchronizer:receiver_clk, mm_interconnect_0:altpll_0_c1_clk, pio_0:clk, rst_controller_002:clk, uart_0:clk] signal altpll_0_c2_clk : std_logic; -- altpll_0:c2 -> [irq_synchronizer_001:receiver_clk, irq_synchronizer_002:receiver_clk, mm_interconnect_0:altpll_0_c2_clk, rst_controller_004:clk, timer_ms:clk, timer_us:clk] signal altpll_0_c3_clk : std_logic; -- altpll_0:c3 -> [epcs_flash_controller_0:clk, irq_synchronizer_003:receiver_clk, mm_interconnect_0:altpll_0_c3_clk, rst_controller_001:clk] signal nios2_gen2_0_data_master_readdata : std_logic_vector(31 downto 0); -- mm_interconnect_0:nios2_gen2_0_data_master_readdata -> nios2_gen2_0:d_readdata signal nios2_gen2_0_data_master_waitrequest : std_logic; -- mm_interconnect_0:nios2_gen2_0_data_master_waitrequest -> nios2_gen2_0:d_waitrequest signal nios2_gen2_0_data_master_debugaccess : std_logic; -- nios2_gen2_0:debug_mem_slave_debugaccess_to_roms -> mm_interconnect_0:nios2_gen2_0_data_master_debugaccess signal nios2_gen2_0_data_master_address : std_logic_vector(22 downto 0); -- nios2_gen2_0:d_address -> mm_interconnect_0:nios2_gen2_0_data_master_address signal nios2_gen2_0_data_master_byteenable : std_logic_vector(3 downto 0); -- nios2_gen2_0:d_byteenable -> mm_interconnect_0:nios2_gen2_0_data_master_byteenable signal nios2_gen2_0_data_master_read : std_logic; -- nios2_gen2_0:d_read -> mm_interconnect_0:nios2_gen2_0_data_master_read signal nios2_gen2_0_data_master_write : std_logic; -- nios2_gen2_0:d_write -> mm_interconnect_0:nios2_gen2_0_data_master_write signal nios2_gen2_0_data_master_writedata : std_logic_vector(31 downto 0); -- nios2_gen2_0:d_writedata -> mm_interconnect_0:nios2_gen2_0_data_master_writedata signal nios2_gen2_0_instruction_master_readdata : std_logic_vector(31 downto 0); -- mm_interconnect_0:nios2_gen2_0_instruction_master_readdata -> nios2_gen2_0:i_readdata signal nios2_gen2_0_instruction_master_waitrequest : std_logic; -- mm_interconnect_0:nios2_gen2_0_instruction_master_waitrequest -> nios2_gen2_0:i_waitrequest signal nios2_gen2_0_instruction_master_address : std_logic_vector(22 downto 0); -- nios2_gen2_0:i_address -> mm_interconnect_0:nios2_gen2_0_instruction_master_address signal nios2_gen2_0_instruction_master_read : std_logic; -- nios2_gen2_0:i_read -> mm_interconnect_0:nios2_gen2_0_instruction_master_read signal mm_interconnect_0_jtag_uart_0_avalon_jtag_slave_chipselect : std_logic; -- mm_interconnect_0:jtag_uart_0_avalon_jtag_slave_chipselect -> jtag_uart_0:av_chipselect signal mm_interconnect_0_jtag_uart_0_avalon_jtag_slave_readdata : std_logic_vector(31 downto 0); -- jtag_uart_0:av_readdata -> mm_interconnect_0:jtag_uart_0_avalon_jtag_slave_readdata signal mm_interconnect_0_jtag_uart_0_avalon_jtag_slave_waitrequest : std_logic; -- jtag_uart_0:av_waitrequest -> mm_interconnect_0:jtag_uart_0_avalon_jtag_slave_waitrequest signal mm_interconnect_0_jtag_uart_0_avalon_jtag_slave_address : std_logic_vector(0 downto 0); -- mm_interconnect_0:jtag_uart_0_avalon_jtag_slave_address -> jtag_uart_0:av_address signal mm_interconnect_0_jtag_uart_0_avalon_jtag_slave_read : std_logic; -- mm_interconnect_0:jtag_uart_0_avalon_jtag_slave_read -> mm_interconnect_0_jtag_uart_0_avalon_jtag_slave_read:in signal mm_interconnect_0_jtag_uart_0_avalon_jtag_slave_write : std_logic; -- mm_interconnect_0:jtag_uart_0_avalon_jtag_slave_write -> mm_interconnect_0_jtag_uart_0_avalon_jtag_slave_write:in signal mm_interconnect_0_jtag_uart_0_avalon_jtag_slave_writedata : std_logic_vector(31 downto 0); -- mm_interconnect_0:jtag_uart_0_avalon_jtag_slave_writedata -> jtag_uart_0:av_writedata signal mm_interconnect_0_ip_pwm_0_avs_s0_readdata : std_logic_vector(31 downto 0); -- ip_pwm_0:avs_s0_readdata -> mm_interconnect_0:ip_pwm_0_avs_s0_readdata signal mm_interconnect_0_ip_pwm_0_avs_s0_waitrequest : std_logic; -- ip_pwm_0:avs_s0_waitrequest -> mm_interconnect_0:ip_pwm_0_avs_s0_waitrequest signal mm_interconnect_0_ip_pwm_0_avs_s0_address : std_logic_vector(7 downto 0); -- mm_interconnect_0:ip_pwm_0_avs_s0_address -> ip_pwm_0:avs_s0_address signal mm_interconnect_0_ip_pwm_0_avs_s0_read : std_logic; -- mm_interconnect_0:ip_pwm_0_avs_s0_read -> ip_pwm_0:avs_s0_read signal mm_interconnect_0_ip_pwm_0_avs_s0_write : std_logic; -- mm_interconnect_0:ip_pwm_0_avs_s0_write -> ip_pwm_0:avs_s0_write signal mm_interconnect_0_ip_pwm_0_avs_s0_writedata : std_logic_vector(31 downto 0); -- mm_interconnect_0:ip_pwm_0_avs_s0_writedata -> ip_pwm_0:avs_s0_writedata signal mm_interconnect_0_nios2_gen2_0_debug_mem_slave_readdata : std_logic_vector(31 downto 0); -- nios2_gen2_0:debug_mem_slave_readdata -> mm_interconnect_0:nios2_gen2_0_debug_mem_slave_readdata signal mm_interconnect_0_nios2_gen2_0_debug_mem_slave_waitrequest : std_logic; -- nios2_gen2_0:debug_mem_slave_waitrequest -> mm_interconnect_0:nios2_gen2_0_debug_mem_slave_waitrequest signal mm_interconnect_0_nios2_gen2_0_debug_mem_slave_debugaccess : std_logic; -- mm_interconnect_0:nios2_gen2_0_debug_mem_slave_debugaccess -> nios2_gen2_0:debug_mem_slave_debugaccess signal mm_interconnect_0_nios2_gen2_0_debug_mem_slave_address : std_logic_vector(8 downto 0); -- mm_interconnect_0:nios2_gen2_0_debug_mem_slave_address -> nios2_gen2_0:debug_mem_slave_address signal mm_interconnect_0_nios2_gen2_0_debug_mem_slave_read : std_logic; -- mm_interconnect_0:nios2_gen2_0_debug_mem_slave_read -> nios2_gen2_0:debug_mem_slave_read signal mm_interconnect_0_nios2_gen2_0_debug_mem_slave_byteenable : std_logic_vector(3 downto 0); -- mm_interconnect_0:nios2_gen2_0_debug_mem_slave_byteenable -> nios2_gen2_0:debug_mem_slave_byteenable signal mm_interconnect_0_nios2_gen2_0_debug_mem_slave_write : std_logic; -- mm_interconnect_0:nios2_gen2_0_debug_mem_slave_write -> nios2_gen2_0:debug_mem_slave_write signal mm_interconnect_0_nios2_gen2_0_debug_mem_slave_writedata : std_logic_vector(31 downto 0); -- mm_interconnect_0:nios2_gen2_0_debug_mem_slave_writedata -> nios2_gen2_0:debug_mem_slave_writedata signal mm_interconnect_0_epcs_flash_controller_0_epcs_control_port_chipselect : std_logic; -- mm_interconnect_0:epcs_flash_controller_0_epcs_control_port_chipselect -> epcs_flash_controller_0:chipselect signal mm_interconnect_0_epcs_flash_controller_0_epcs_control_port_readdata : std_logic_vector(31 downto 0); -- epcs_flash_controller_0:readdata -> mm_interconnect_0:epcs_flash_controller_0_epcs_control_port_readdata signal mm_interconnect_0_epcs_flash_controller_0_epcs_control_port_address : std_logic_vector(8 downto 0); -- mm_interconnect_0:epcs_flash_controller_0_epcs_control_port_address -> epcs_flash_controller_0:address signal mm_interconnect_0_epcs_flash_controller_0_epcs_control_port_read : std_logic; -- mm_interconnect_0:epcs_flash_controller_0_epcs_control_port_read -> mm_interconnect_0_epcs_flash_controller_0_epcs_control_port_read:in signal mm_interconnect_0_epcs_flash_controller_0_epcs_control_port_write : std_logic; -- mm_interconnect_0:epcs_flash_controller_0_epcs_control_port_write -> mm_interconnect_0_epcs_flash_controller_0_epcs_control_port_write:in signal mm_interconnect_0_epcs_flash_controller_0_epcs_control_port_writedata : std_logic_vector(31 downto 0); -- mm_interconnect_0:epcs_flash_controller_0_epcs_control_port_writedata -> epcs_flash_controller_0:writedata signal mm_interconnect_0_altpll_0_pll_slave_readdata : std_logic_vector(31 downto 0); -- altpll_0:readdata -> mm_interconnect_0:altpll_0_pll_slave_readdata signal mm_interconnect_0_altpll_0_pll_slave_address : std_logic_vector(1 downto 0); -- mm_interconnect_0:altpll_0_pll_slave_address -> altpll_0:address signal mm_interconnect_0_altpll_0_pll_slave_read : std_logic; -- mm_interconnect_0:altpll_0_pll_slave_read -> altpll_0:read signal mm_interconnect_0_altpll_0_pll_slave_write : std_logic; -- mm_interconnect_0:altpll_0_pll_slave_write -> altpll_0:write signal mm_interconnect_0_altpll_0_pll_slave_writedata : std_logic_vector(31 downto 0); -- mm_interconnect_0:altpll_0_pll_slave_writedata -> altpll_0:writedata signal mm_interconnect_0_onchip_memory2_0_s1_chipselect : std_logic; -- mm_interconnect_0:onchip_memory2_0_s1_chipselect -> onchip_memory2_0:chipselect signal mm_interconnect_0_onchip_memory2_0_s1_readdata : std_logic_vector(31 downto 0); -- onchip_memory2_0:readdata -> mm_interconnect_0:onchip_memory2_0_s1_readdata signal mm_interconnect_0_onchip_memory2_0_s1_address : std_logic_vector(13 downto 0); -- mm_interconnect_0:onchip_memory2_0_s1_address -> onchip_memory2_0:address signal mm_interconnect_0_onchip_memory2_0_s1_byteenable : std_logic_vector(3 downto 0); -- mm_interconnect_0:onchip_memory2_0_s1_byteenable -> onchip_memory2_0:byteenable signal mm_interconnect_0_onchip_memory2_0_s1_write : std_logic; -- mm_interconnect_0:onchip_memory2_0_s1_write -> onchip_memory2_0:write signal mm_interconnect_0_onchip_memory2_0_s1_writedata : std_logic_vector(31 downto 0); -- mm_interconnect_0:onchip_memory2_0_s1_writedata -> onchip_memory2_0:writedata signal mm_interconnect_0_onchip_memory2_0_s1_clken : std_logic; -- mm_interconnect_0:onchip_memory2_0_s1_clken -> onchip_memory2_0:clken signal mm_interconnect_0_pio_0_s1_chipselect : std_logic; -- mm_interconnect_0:pio_0_s1_chipselect -> pio_0:chipselect signal mm_interconnect_0_pio_0_s1_readdata : std_logic_vector(31 downto 0); -- pio_0:readdata -> mm_interconnect_0:pio_0_s1_readdata signal mm_interconnect_0_pio_0_s1_address : std_logic_vector(1 downto 0); -- mm_interconnect_0:pio_0_s1_address -> pio_0:address signal mm_interconnect_0_pio_0_s1_write : std_logic; -- mm_interconnect_0:pio_0_s1_write -> mm_interconnect_0_pio_0_s1_write:in signal mm_interconnect_0_pio_0_s1_writedata : std_logic_vector(31 downto 0); -- mm_interconnect_0:pio_0_s1_writedata -> pio_0:writedata signal mm_interconnect_0_uart_0_s1_chipselect : std_logic; -- mm_interconnect_0:uart_0_s1_chipselect -> uart_0:chipselect signal mm_interconnect_0_uart_0_s1_readdata : std_logic_vector(15 downto 0); -- uart_0:readdata -> mm_interconnect_0:uart_0_s1_readdata signal mm_interconnect_0_uart_0_s1_address : std_logic_vector(2 downto 0); -- mm_interconnect_0:uart_0_s1_address -> uart_0:address signal mm_interconnect_0_uart_0_s1_read : std_logic; -- mm_interconnect_0:uart_0_s1_read -> mm_interconnect_0_uart_0_s1_read:in signal mm_interconnect_0_uart_0_s1_begintransfer : std_logic; -- mm_interconnect_0:uart_0_s1_begintransfer -> uart_0:begintransfer signal mm_interconnect_0_uart_0_s1_write : std_logic; -- mm_interconnect_0:uart_0_s1_write -> mm_interconnect_0_uart_0_s1_write:in signal mm_interconnect_0_uart_0_s1_writedata : std_logic_vector(15 downto 0); -- mm_interconnect_0:uart_0_s1_writedata -> uart_0:writedata signal mm_interconnect_0_timer_us_s1_chipselect : std_logic; -- mm_interconnect_0:timer_us_s1_chipselect -> timer_us:chipselect signal mm_interconnect_0_timer_us_s1_readdata : std_logic_vector(15 downto 0); -- timer_us:readdata -> mm_interconnect_0:timer_us_s1_readdata signal mm_interconnect_0_timer_us_s1_address : std_logic_vector(2 downto 0); -- mm_interconnect_0:timer_us_s1_address -> timer_us:address signal mm_interconnect_0_timer_us_s1_write : std_logic; -- mm_interconnect_0:timer_us_s1_write -> mm_interconnect_0_timer_us_s1_write:in signal mm_interconnect_0_timer_us_s1_writedata : std_logic_vector(15 downto 0); -- mm_interconnect_0:timer_us_s1_writedata -> timer_us:writedata signal mm_interconnect_0_timer_ms_s1_chipselect : std_logic; -- mm_interconnect_0:timer_ms_s1_chipselect -> timer_ms:chipselect signal mm_interconnect_0_timer_ms_s1_readdata : std_logic_vector(15 downto 0); -- timer_ms:readdata -> mm_interconnect_0:timer_ms_s1_readdata signal mm_interconnect_0_timer_ms_s1_address : std_logic_vector(2 downto 0); -- mm_interconnect_0:timer_ms_s1_address -> timer_ms:address signal mm_interconnect_0_timer_ms_s1_write : std_logic; -- mm_interconnect_0:timer_ms_s1_write -> mm_interconnect_0_timer_ms_s1_write:in signal mm_interconnect_0_timer_ms_s1_writedata : std_logic_vector(15 downto 0); -- mm_interconnect_0:timer_ms_s1_writedata -> timer_ms:writedata signal irq_mapper_receiver0_irq : std_logic; -- jtag_uart_0:av_irq -> irq_mapper:receiver0_irq signal nios2_gen2_0_irq_irq : std_logic_vector(31 downto 0); -- irq_mapper:sender_irq -> nios2_gen2_0:irq signal irq_mapper_receiver1_irq : std_logic; -- irq_synchronizer:sender_irq -> irq_mapper:receiver1_irq signal irq_synchronizer_receiver_irq : std_logic_vector(0 downto 0); -- uart_0:irq -> irq_synchronizer:receiver_irq signal irq_mapper_receiver2_irq : std_logic; -- irq_synchronizer_001:sender_irq -> irq_mapper:receiver2_irq signal irq_synchronizer_001_receiver_irq : std_logic_vector(0 downto 0); -- timer_us:irq -> irq_synchronizer_001:receiver_irq signal irq_mapper_receiver3_irq : std_logic; -- irq_synchronizer_002:sender_irq -> irq_mapper:receiver3_irq signal irq_synchronizer_002_receiver_irq : std_logic_vector(0 downto 0); -- timer_ms:irq -> irq_synchronizer_002:receiver_irq signal irq_mapper_receiver4_irq : std_logic; -- irq_synchronizer_003:sender_irq -> irq_mapper:receiver4_irq signal irq_synchronizer_003_receiver_irq : std_logic_vector(0 downto 0); -- epcs_flash_controller_0:irq -> irq_synchronizer_003:receiver_irq signal rst_controller_reset_out_reset : std_logic; -- rst_controller:reset_out -> [altpll_0:reset, mm_interconnect_0:altpll_0_inclk_interface_reset_reset_bridge_in_reset_reset] signal rst_controller_001_reset_out_reset : std_logic; -- rst_controller_001:reset_out -> [irq_synchronizer_003:receiver_reset, mm_interconnect_0:epcs_flash_controller_0_reset_reset_bridge_in_reset_reset, rst_controller_001_reset_out_reset:in] signal rst_controller_001_reset_out_reset_req : std_logic; -- rst_controller_001:reset_req -> [epcs_flash_controller_0:reset_req, rst_translator:reset_req_in] signal rst_controller_002_reset_out_reset : std_logic; -- rst_controller_002:reset_out -> [ip_pwm_0:reset_reset, irq_synchronizer:receiver_reset, mm_interconnect_0:ip_pwm_0_reset_reset_bridge_in_reset_reset, rst_controller_002_reset_out_reset:in] signal rst_controller_003_reset_out_reset : std_logic; -- rst_controller_003:reset_out -> [irq_mapper:reset, irq_synchronizer:sender_reset, irq_synchronizer_001:sender_reset, irq_synchronizer_002:sender_reset, irq_synchronizer_003:sender_reset, mm_interconnect_0:nios2_gen2_0_reset_reset_bridge_in_reset_reset, onchip_memory2_0:reset, rst_controller_003_reset_out_reset:in, rst_translator_001:in_reset] signal rst_controller_003_reset_out_reset_req : std_logic; -- rst_controller_003:reset_req -> [nios2_gen2_0:reset_req, onchip_memory2_0:reset_req, rst_translator_001:reset_req_in] signal rst_controller_004_reset_out_reset : std_logic; -- rst_controller_004:reset_out -> [irq_synchronizer_001:receiver_reset, irq_synchronizer_002:receiver_reset, mm_interconnect_0:timer_us_reset_reset_bridge_in_reset_reset, rst_controller_004_reset_out_reset:in] signal reset_reset_n_ports_inv : std_logic; -- reset_reset_n:inv -> [rst_controller:reset_in0, rst_controller_001:reset_in0, rst_controller_002:reset_in0, rst_controller_003:reset_in0, rst_controller_004:reset_in0] signal mm_interconnect_0_jtag_uart_0_avalon_jtag_slave_read_ports_inv : std_logic; -- mm_interconnect_0_jtag_uart_0_avalon_jtag_slave_read:inv -> jtag_uart_0:av_read_n signal mm_interconnect_0_jtag_uart_0_avalon_jtag_slave_write_ports_inv : std_logic; -- mm_interconnect_0_jtag_uart_0_avalon_jtag_slave_write:inv -> jtag_uart_0:av_write_n signal mm_interconnect_0_epcs_flash_controller_0_epcs_control_port_read_ports_inv : std_logic; -- mm_interconnect_0_epcs_flash_controller_0_epcs_control_port_read:inv -> epcs_flash_controller_0:read_n signal mm_interconnect_0_epcs_flash_controller_0_epcs_control_port_write_ports_inv : std_logic; -- mm_interconnect_0_epcs_flash_controller_0_epcs_control_port_write:inv -> epcs_flash_controller_0:write_n signal mm_interconnect_0_pio_0_s1_write_ports_inv : std_logic; -- mm_interconnect_0_pio_0_s1_write:inv -> pio_0:write_n signal mm_interconnect_0_uart_0_s1_read_ports_inv : std_logic; -- mm_interconnect_0_uart_0_s1_read:inv -> uart_0:read_n signal mm_interconnect_0_uart_0_s1_write_ports_inv : std_logic; -- mm_interconnect_0_uart_0_s1_write:inv -> uart_0:write_n signal mm_interconnect_0_timer_us_s1_write_ports_inv : std_logic; -- mm_interconnect_0_timer_us_s1_write:inv -> timer_us:write_n signal mm_interconnect_0_timer_ms_s1_write_ports_inv : std_logic; -- mm_interconnect_0_timer_ms_s1_write:inv -> timer_ms:write_n signal rst_controller_001_reset_out_reset_ports_inv : std_logic; -- rst_controller_001_reset_out_reset:inv -> epcs_flash_controller_0:reset_n signal rst_controller_002_reset_out_reset_ports_inv : std_logic; -- rst_controller_002_reset_out_reset:inv -> [pio_0:reset_n, uart_0:reset_n] signal rst_controller_003_reset_out_reset_ports_inv : std_logic; -- rst_controller_003_reset_out_reset:inv -> [jtag_uart_0:rst_n, nios2_gen2_0:reset_n] signal rst_controller_004_reset_out_reset_ports_inv : std_logic; -- rst_controller_004_reset_out_reset:inv -> [timer_ms:reset_n, timer_us:reset_n] begin altpll_0 : component niosii_altpll_0 port map ( clk => clk_clk, -- inclk_interface.clk reset => rst_controller_reset_out_reset, -- inclk_interface_reset.reset read => mm_interconnect_0_altpll_0_pll_slave_read, -- pll_slave.read write => mm_interconnect_0_altpll_0_pll_slave_write, -- .write address => mm_interconnect_0_altpll_0_pll_slave_address, -- .address readdata => mm_interconnect_0_altpll_0_pll_slave_readdata, -- .readdata writedata => mm_interconnect_0_altpll_0_pll_slave_writedata, -- .writedata c0 => altpll_0_c0_clk, -- c0.clk c1 => altpll_0_c1_clk, -- c1.clk c2 => altpll_0_c2_clk, -- c2.clk c3 => altpll_0_c3_clk, -- c3.clk areset => open, -- areset_conduit.export locked => open, -- locked_conduit.export phasedone => open -- phasedone_conduit.export ); epcs_flash_controller_0 : component niosii_epcs_flash_controller_0 port map ( clk => altpll_0_c3_clk, -- clk.clk reset_n => rst_controller_001_reset_out_reset_ports_inv, -- reset.reset_n reset_req => rst_controller_001_reset_out_reset_req, -- .reset_req address => mm_interconnect_0_epcs_flash_controller_0_epcs_control_port_address, -- epcs_control_port.address chipselect => mm_interconnect_0_epcs_flash_controller_0_epcs_control_port_chipselect, -- .chipselect dataavailable => open, -- .dataavailable endofpacket => open, -- .endofpacket read_n => mm_interconnect_0_epcs_flash_controller_0_epcs_control_port_read_ports_inv, -- .read_n readdata => mm_interconnect_0_epcs_flash_controller_0_epcs_control_port_readdata, -- .readdata readyfordata => open, -- .readyfordata write_n => mm_interconnect_0_epcs_flash_controller_0_epcs_control_port_write_ports_inv, -- .write_n writedata => mm_interconnect_0_epcs_flash_controller_0_epcs_control_port_writedata, -- .writedata irq => irq_synchronizer_003_receiver_irq(0), -- irq.irq dclk => epcs_flash_dclk, -- external.export sce => epcs_flash_sce, -- .export sdo => epcs_flash_sdo, -- .export data0 => epcs_flash_data0 -- .export ); ip_pwm_0 : component ip_pwm_top port map ( avs_s0_address => mm_interconnect_0_ip_pwm_0_avs_s0_address, -- avs_s0.address avs_s0_read => mm_interconnect_0_ip_pwm_0_avs_s0_read, -- .read avs_s0_readdata => mm_interconnect_0_ip_pwm_0_avs_s0_readdata, -- .readdata avs_s0_write => mm_interconnect_0_ip_pwm_0_avs_s0_write, -- .write avs_s0_writedata => mm_interconnect_0_ip_pwm_0_avs_s0_writedata, -- .writedata avs_s0_waitrequest => mm_interconnect_0_ip_pwm_0_avs_s0_waitrequest, -- .waitrequest clock_clk => altpll_0_c1_clk, -- clock.clk reset_reset => rst_controller_002_reset_out_reset, -- reset.reset pwm_dir => ip_pwm_dir, -- pwm.dir pwm_out => ip_pwm_out -- .out ); jtag_uart_0 : component niosii_jtag_uart_0 port map ( clk => altpll_0_c0_clk, -- clk.clk rst_n => rst_controller_003_reset_out_reset_ports_inv, -- reset.reset_n av_chipselect => mm_interconnect_0_jtag_uart_0_avalon_jtag_slave_chipselect, -- avalon_jtag_slave.chipselect av_address => mm_interconnect_0_jtag_uart_0_avalon_jtag_slave_address(0), -- .address av_read_n => mm_interconnect_0_jtag_uart_0_avalon_jtag_slave_read_ports_inv, -- .read_n av_readdata => mm_interconnect_0_jtag_uart_0_avalon_jtag_slave_readdata, -- .readdata av_write_n => mm_interconnect_0_jtag_uart_0_avalon_jtag_slave_write_ports_inv, -- .write_n av_writedata => mm_interconnect_0_jtag_uart_0_avalon_jtag_slave_writedata, -- .writedata av_waitrequest => mm_interconnect_0_jtag_uart_0_avalon_jtag_slave_waitrequest, -- .waitrequest av_irq => irq_mapper_receiver0_irq -- irq.irq ); nios2_gen2_0 : component niosii_nios2_gen2_0 port map ( clk => altpll_0_c0_clk, -- clk.clk reset_n => rst_controller_003_reset_out_reset_ports_inv, -- reset.reset_n reset_req => rst_controller_003_reset_out_reset_req, -- .reset_req d_address => nios2_gen2_0_data_master_address, -- data_master.address d_byteenable => nios2_gen2_0_data_master_byteenable, -- .byteenable d_read => nios2_gen2_0_data_master_read, -- .read d_readdata => nios2_gen2_0_data_master_readdata, -- .readdata d_waitrequest => nios2_gen2_0_data_master_waitrequest, -- .waitrequest d_write => nios2_gen2_0_data_master_write, -- .write d_writedata => nios2_gen2_0_data_master_writedata, -- .writedata debug_mem_slave_debugaccess_to_roms => nios2_gen2_0_data_master_debugaccess, -- .debugaccess i_address => nios2_gen2_0_instruction_master_address, -- instruction_master.address i_read => nios2_gen2_0_instruction_master_read, -- .read i_readdata => nios2_gen2_0_instruction_master_readdata, -- .readdata i_waitrequest => nios2_gen2_0_instruction_master_waitrequest, -- .waitrequest irq => nios2_gen2_0_irq_irq, -- irq.irq debug_reset_request => open, -- debug_reset_request.reset debug_mem_slave_address => mm_interconnect_0_nios2_gen2_0_debug_mem_slave_address, -- debug_mem_slave.address debug_mem_slave_byteenable => mm_interconnect_0_nios2_gen2_0_debug_mem_slave_byteenable, -- .byteenable debug_mem_slave_debugaccess => mm_interconnect_0_nios2_gen2_0_debug_mem_slave_debugaccess, -- .debugaccess debug_mem_slave_read => mm_interconnect_0_nios2_gen2_0_debug_mem_slave_read, -- .read debug_mem_slave_readdata => mm_interconnect_0_nios2_gen2_0_debug_mem_slave_readdata, -- .readdata debug_mem_slave_waitrequest => mm_interconnect_0_nios2_gen2_0_debug_mem_slave_waitrequest, -- .waitrequest debug_mem_slave_write => mm_interconnect_0_nios2_gen2_0_debug_mem_slave_write, -- .write debug_mem_slave_writedata => mm_interconnect_0_nios2_gen2_0_debug_mem_slave_writedata, -- .writedata dummy_ci_port => open -- custom_instruction_master.readra ); onchip_memory2_0 : component niosii_onchip_memory2_0 port map ( clk => altpll_0_c0_clk, -- clk1.clk address => mm_interconnect_0_onchip_memory2_0_s1_address, -- s1.address clken => mm_interconnect_0_onchip_memory2_0_s1_clken, -- .clken chipselect => mm_interconnect_0_onchip_memory2_0_s1_chipselect, -- .chipselect write => mm_interconnect_0_onchip_memory2_0_s1_write, -- .write readdata => mm_interconnect_0_onchip_memory2_0_s1_readdata, -- .readdata writedata => mm_interconnect_0_onchip_memory2_0_s1_writedata, -- .writedata byteenable => mm_interconnect_0_onchip_memory2_0_s1_byteenable, -- .byteenable reset => rst_controller_003_reset_out_reset, -- reset1.reset reset_req => rst_controller_003_reset_out_reset_req -- .reset_req ); pio_0 : component niosii_pio_0 port map ( clk => altpll_0_c1_clk, -- clk.clk reset_n => rst_controller_002_reset_out_reset_ports_inv, -- reset.reset_n address => mm_interconnect_0_pio_0_s1_address, -- s1.address write_n => mm_interconnect_0_pio_0_s1_write_ports_inv, -- .write_n writedata => mm_interconnect_0_pio_0_s1_writedata, -- .writedata chipselect => mm_interconnect_0_pio_0_s1_chipselect, -- .chipselect readdata => mm_interconnect_0_pio_0_s1_readdata, -- .readdata out_port => pio_0_external_connection_export -- external_connection.export ); timer_ms : component niosii_timer_ms port map ( clk => altpll_0_c2_clk, -- clk.clk reset_n => rst_controller_004_reset_out_reset_ports_inv, -- reset.reset_n address => mm_interconnect_0_timer_ms_s1_address, -- s1.address writedata => mm_interconnect_0_timer_ms_s1_writedata, -- .writedata readdata => mm_interconnect_0_timer_ms_s1_readdata, -- .readdata chipselect => mm_interconnect_0_timer_ms_s1_chipselect, -- .chipselect write_n => mm_interconnect_0_timer_ms_s1_write_ports_inv, -- .write_n irq => irq_synchronizer_002_receiver_irq(0) -- irq.irq ); timer_us : component niosii_timer_us port map ( clk => altpll_0_c2_clk, -- clk.clk reset_n => rst_controller_004_reset_out_reset_ports_inv, -- reset.reset_n address => mm_interconnect_0_timer_us_s1_address, -- s1.address writedata => mm_interconnect_0_timer_us_s1_writedata, -- .writedata readdata => mm_interconnect_0_timer_us_s1_readdata, -- .readdata chipselect => mm_interconnect_0_timer_us_s1_chipselect, -- .chipselect write_n => mm_interconnect_0_timer_us_s1_write_ports_inv, -- .write_n irq => irq_synchronizer_001_receiver_irq(0) -- irq.irq ); uart_0 : component niosii_uart_0 port map ( clk => altpll_0_c1_clk, -- clk.clk reset_n => rst_controller_002_reset_out_reset_ports_inv, -- reset.reset_n address => mm_interconnect_0_uart_0_s1_address, -- s1.address begintransfer => mm_interconnect_0_uart_0_s1_begintransfer, -- .begintransfer chipselect => mm_interconnect_0_uart_0_s1_chipselect, -- .chipselect read_n => mm_interconnect_0_uart_0_s1_read_ports_inv, -- .read_n write_n => mm_interconnect_0_uart_0_s1_write_ports_inv, -- .write_n writedata => mm_interconnect_0_uart_0_s1_writedata, -- .writedata readdata => mm_interconnect_0_uart_0_s1_readdata, -- .readdata dataavailable => open, -- .dataavailable readyfordata => open, -- .readyfordata rxd => uart_0_rxd, -- external_connection.export txd => uart_0_txd, -- .export irq => irq_synchronizer_receiver_irq(0) -- irq.irq ); mm_interconnect_0 : component niosii_mm_interconnect_0 port map ( altpll_0_c0_clk => altpll_0_c0_clk, -- altpll_0_c0.clk altpll_0_c1_clk => altpll_0_c1_clk, -- altpll_0_c1.clk altpll_0_c2_clk => altpll_0_c2_clk, -- altpll_0_c2.clk altpll_0_c3_clk => altpll_0_c3_clk, -- altpll_0_c3.clk clk_0_clk_clk => clk_clk, -- clk_0_clk.clk altpll_0_inclk_interface_reset_reset_bridge_in_reset_reset => rst_controller_reset_out_reset, -- altpll_0_inclk_interface_reset_reset_bridge_in_reset.reset epcs_flash_controller_0_reset_reset_bridge_in_reset_reset => rst_controller_001_reset_out_reset, -- epcs_flash_controller_0_reset_reset_bridge_in_reset.reset ip_pwm_0_reset_reset_bridge_in_reset_reset => rst_controller_002_reset_out_reset, -- ip_pwm_0_reset_reset_bridge_in_reset.reset nios2_gen2_0_reset_reset_bridge_in_reset_reset => rst_controller_003_reset_out_reset, -- nios2_gen2_0_reset_reset_bridge_in_reset.reset timer_us_reset_reset_bridge_in_reset_reset => rst_controller_004_reset_out_reset, -- timer_us_reset_reset_bridge_in_reset.reset nios2_gen2_0_data_master_address => nios2_gen2_0_data_master_address, -- nios2_gen2_0_data_master.address nios2_gen2_0_data_master_waitrequest => nios2_gen2_0_data_master_waitrequest, -- .waitrequest nios2_gen2_0_data_master_byteenable => nios2_gen2_0_data_master_byteenable, -- .byteenable nios2_gen2_0_data_master_read => nios2_gen2_0_data_master_read, -- .read nios2_gen2_0_data_master_readdata => nios2_gen2_0_data_master_readdata, -- .readdata nios2_gen2_0_data_master_write => nios2_gen2_0_data_master_write, -- .write nios2_gen2_0_data_master_writedata => nios2_gen2_0_data_master_writedata, -- .writedata nios2_gen2_0_data_master_debugaccess => nios2_gen2_0_data_master_debugaccess, -- .debugaccess nios2_gen2_0_instruction_master_address => nios2_gen2_0_instruction_master_address, -- nios2_gen2_0_instruction_master.address nios2_gen2_0_instruction_master_waitrequest => nios2_gen2_0_instruction_master_waitrequest, -- .waitrequest nios2_gen2_0_instruction_master_read => nios2_gen2_0_instruction_master_read, -- .read nios2_gen2_0_instruction_master_readdata => nios2_gen2_0_instruction_master_readdata, -- .readdata altpll_0_pll_slave_address => mm_interconnect_0_altpll_0_pll_slave_address, -- altpll_0_pll_slave.address altpll_0_pll_slave_write => mm_interconnect_0_altpll_0_pll_slave_write, -- .write altpll_0_pll_slave_read => mm_interconnect_0_altpll_0_pll_slave_read, -- .read altpll_0_pll_slave_readdata => mm_interconnect_0_altpll_0_pll_slave_readdata, -- .readdata altpll_0_pll_slave_writedata => mm_interconnect_0_altpll_0_pll_slave_writedata, -- .writedata epcs_flash_controller_0_epcs_control_port_address => mm_interconnect_0_epcs_flash_controller_0_epcs_control_port_address, -- epcs_flash_controller_0_epcs_control_port.address epcs_flash_controller_0_epcs_control_port_write => mm_interconnect_0_epcs_flash_controller_0_epcs_control_port_write, -- .write epcs_flash_controller_0_epcs_control_port_read => mm_interconnect_0_epcs_flash_controller_0_epcs_control_port_read, -- .read epcs_flash_controller_0_epcs_control_port_readdata => mm_interconnect_0_epcs_flash_controller_0_epcs_control_port_readdata, -- .readdata epcs_flash_controller_0_epcs_control_port_writedata => mm_interconnect_0_epcs_flash_controller_0_epcs_control_port_writedata, -- .writedata epcs_flash_controller_0_epcs_control_port_chipselect => mm_interconnect_0_epcs_flash_controller_0_epcs_control_port_chipselect, -- .chipselect ip_pwm_0_avs_s0_address => mm_interconnect_0_ip_pwm_0_avs_s0_address, -- ip_pwm_0_avs_s0.address ip_pwm_0_avs_s0_write => mm_interconnect_0_ip_pwm_0_avs_s0_write, -- .write ip_pwm_0_avs_s0_read => mm_interconnect_0_ip_pwm_0_avs_s0_read, -- .read ip_pwm_0_avs_s0_readdata => mm_interconnect_0_ip_pwm_0_avs_s0_readdata, -- .readdata ip_pwm_0_avs_s0_writedata => mm_interconnect_0_ip_pwm_0_avs_s0_writedata, -- .writedata ip_pwm_0_avs_s0_waitrequest => mm_interconnect_0_ip_pwm_0_avs_s0_waitrequest, -- .waitrequest jtag_uart_0_avalon_jtag_slave_address => mm_interconnect_0_jtag_uart_0_avalon_jtag_slave_address, -- jtag_uart_0_avalon_jtag_slave.address jtag_uart_0_avalon_jtag_slave_write => mm_interconnect_0_jtag_uart_0_avalon_jtag_slave_write, -- .write jtag_uart_0_avalon_jtag_slave_read => mm_interconnect_0_jtag_uart_0_avalon_jtag_slave_read, -- .read jtag_uart_0_avalon_jtag_slave_readdata => mm_interconnect_0_jtag_uart_0_avalon_jtag_slave_readdata, -- .readdata jtag_uart_0_avalon_jtag_slave_writedata => mm_interconnect_0_jtag_uart_0_avalon_jtag_slave_writedata, -- .writedata jtag_uart_0_avalon_jtag_slave_waitrequest => mm_interconnect_0_jtag_uart_0_avalon_jtag_slave_waitrequest, -- .waitrequest jtag_uart_0_avalon_jtag_slave_chipselect => mm_interconnect_0_jtag_uart_0_avalon_jtag_slave_chipselect, -- .chipselect nios2_gen2_0_debug_mem_slave_address => mm_interconnect_0_nios2_gen2_0_debug_mem_slave_address, -- nios2_gen2_0_debug_mem_slave.address nios2_gen2_0_debug_mem_slave_write => mm_interconnect_0_nios2_gen2_0_debug_mem_slave_write, -- .write nios2_gen2_0_debug_mem_slave_read => mm_interconnect_0_nios2_gen2_0_debug_mem_slave_read, -- .read nios2_gen2_0_debug_mem_slave_readdata => mm_interconnect_0_nios2_gen2_0_debug_mem_slave_readdata, -- .readdata nios2_gen2_0_debug_mem_slave_writedata => mm_interconnect_0_nios2_gen2_0_debug_mem_slave_writedata, -- .writedata nios2_gen2_0_debug_mem_slave_byteenable => mm_interconnect_0_nios2_gen2_0_debug_mem_slave_byteenable, -- .byteenable nios2_gen2_0_debug_mem_slave_waitrequest => mm_interconnect_0_nios2_gen2_0_debug_mem_slave_waitrequest, -- .waitrequest nios2_gen2_0_debug_mem_slave_debugaccess => mm_interconnect_0_nios2_gen2_0_debug_mem_slave_debugaccess, -- .debugaccess onchip_memory2_0_s1_address => mm_interconnect_0_onchip_memory2_0_s1_address, -- onchip_memory2_0_s1.address onchip_memory2_0_s1_write => mm_interconnect_0_onchip_memory2_0_s1_write, -- .write onchip_memory2_0_s1_readdata => mm_interconnect_0_onchip_memory2_0_s1_readdata, -- .readdata onchip_memory2_0_s1_writedata => mm_interconnect_0_onchip_memory2_0_s1_writedata, -- .writedata onchip_memory2_0_s1_byteenable => mm_interconnect_0_onchip_memory2_0_s1_byteenable, -- .byteenable onchip_memory2_0_s1_chipselect => mm_interconnect_0_onchip_memory2_0_s1_chipselect, -- .chipselect onchip_memory2_0_s1_clken => mm_interconnect_0_onchip_memory2_0_s1_clken, -- .clken pio_0_s1_address => mm_interconnect_0_pio_0_s1_address, -- pio_0_s1.address pio_0_s1_write => mm_interconnect_0_pio_0_s1_write, -- .write pio_0_s1_readdata => mm_interconnect_0_pio_0_s1_readdata, -- .readdata pio_0_s1_writedata => mm_interconnect_0_pio_0_s1_writedata, -- .writedata pio_0_s1_chipselect => mm_interconnect_0_pio_0_s1_chipselect, -- .chipselect timer_ms_s1_address => mm_interconnect_0_timer_ms_s1_address, -- timer_ms_s1.address timer_ms_s1_write => mm_interconnect_0_timer_ms_s1_write, -- .write timer_ms_s1_readdata => mm_interconnect_0_timer_ms_s1_readdata, -- .readdata timer_ms_s1_writedata => mm_interconnect_0_timer_ms_s1_writedata, -- .writedata timer_ms_s1_chipselect => mm_interconnect_0_timer_ms_s1_chipselect, -- .chipselect timer_us_s1_address => mm_interconnect_0_timer_us_s1_address, -- timer_us_s1.address timer_us_s1_write => mm_interconnect_0_timer_us_s1_write, -- .write timer_us_s1_readdata => mm_interconnect_0_timer_us_s1_readdata, -- .readdata timer_us_s1_writedata => mm_interconnect_0_timer_us_s1_writedata, -- .writedata timer_us_s1_chipselect => mm_interconnect_0_timer_us_s1_chipselect, -- .chipselect uart_0_s1_address => mm_interconnect_0_uart_0_s1_address, -- uart_0_s1.address uart_0_s1_write => mm_interconnect_0_uart_0_s1_write, -- .write uart_0_s1_read => mm_interconnect_0_uart_0_s1_read, -- .read uart_0_s1_readdata => mm_interconnect_0_uart_0_s1_readdata, -- .readdata uart_0_s1_writedata => mm_interconnect_0_uart_0_s1_writedata, -- .writedata uart_0_s1_begintransfer => mm_interconnect_0_uart_0_s1_begintransfer, -- .begintransfer uart_0_s1_chipselect => mm_interconnect_0_uart_0_s1_chipselect -- .chipselect ); irq_mapper : component niosii_irq_mapper port map ( clk => altpll_0_c0_clk, -- clk.clk reset => rst_controller_003_reset_out_reset, -- clk_reset.reset receiver0_irq => irq_mapper_receiver0_irq, -- receiver0.irq receiver1_irq => irq_mapper_receiver1_irq, -- receiver1.irq receiver2_irq => irq_mapper_receiver2_irq, -- receiver2.irq receiver3_irq => irq_mapper_receiver3_irq, -- receiver3.irq receiver4_irq => irq_mapper_receiver4_irq, -- receiver4.irq sender_irq => nios2_gen2_0_irq_irq -- sender.irq ); irq_synchronizer : component altera_irq_clock_crosser generic map ( IRQ_WIDTH => 1 ) port map ( receiver_clk => altpll_0_c1_clk, -- receiver_clk.clk sender_clk => altpll_0_c0_clk, -- sender_clk.clk receiver_reset => rst_controller_002_reset_out_reset, -- receiver_clk_reset.reset sender_reset => rst_controller_003_reset_out_reset, -- sender_clk_reset.reset receiver_irq => irq_synchronizer_receiver_irq, -- receiver.irq sender_irq(0) => irq_mapper_receiver1_irq -- sender.irq ); irq_synchronizer_001 : component altera_irq_clock_crosser generic map ( IRQ_WIDTH => 1 ) port map ( receiver_clk => altpll_0_c2_clk, -- receiver_clk.clk sender_clk => altpll_0_c0_clk, -- sender_clk.clk receiver_reset => rst_controller_004_reset_out_reset, -- receiver_clk_reset.reset sender_reset => rst_controller_003_reset_out_reset, -- sender_clk_reset.reset receiver_irq => irq_synchronizer_001_receiver_irq, -- receiver.irq sender_irq(0) => irq_mapper_receiver2_irq -- sender.irq ); irq_synchronizer_002 : component altera_irq_clock_crosser generic map ( IRQ_WIDTH => 1 ) port map ( receiver_clk => altpll_0_c2_clk, -- receiver_clk.clk sender_clk => altpll_0_c0_clk, -- sender_clk.clk receiver_reset => rst_controller_004_reset_out_reset, -- receiver_clk_reset.reset sender_reset => rst_controller_003_reset_out_reset, -- sender_clk_reset.reset receiver_irq => irq_synchronizer_002_receiver_irq, -- receiver.irq sender_irq(0) => irq_mapper_receiver3_irq -- sender.irq ); irq_synchronizer_003 : component altera_irq_clock_crosser generic map ( IRQ_WIDTH => 1 ) port map ( receiver_clk => altpll_0_c3_clk, -- receiver_clk.clk sender_clk => altpll_0_c0_clk, -- sender_clk.clk receiver_reset => rst_controller_001_reset_out_reset, -- receiver_clk_reset.reset sender_reset => rst_controller_003_reset_out_reset, -- sender_clk_reset.reset receiver_irq => irq_synchronizer_003_receiver_irq, -- receiver.irq sender_irq(0) => irq_mapper_receiver4_irq -- sender.irq ); rst_controller : component niosii_rst_controller generic map ( NUM_RESET_INPUTS => 1, OUTPUT_RESET_SYNC_EDGES => "deassert", SYNC_DEPTH => 2, RESET_REQUEST_PRESENT => 0, RESET_REQ_WAIT_TIME => 1, MIN_RST_ASSERTION_TIME => 3, RESET_REQ_EARLY_DSRT_TIME => 1, USE_RESET_REQUEST_IN0 => 0, USE_RESET_REQUEST_IN1 => 0, USE_RESET_REQUEST_IN2 => 0, USE_RESET_REQUEST_IN3 => 0, USE_RESET_REQUEST_IN4 => 0, USE_RESET_REQUEST_IN5 => 0, USE_RESET_REQUEST_IN6 => 0, USE_RESET_REQUEST_IN7 => 0, USE_RESET_REQUEST_IN8 => 0, USE_RESET_REQUEST_IN9 => 0, USE_RESET_REQUEST_IN10 => 0, USE_RESET_REQUEST_IN11 => 0, USE_RESET_REQUEST_IN12 => 0, USE_RESET_REQUEST_IN13 => 0, USE_RESET_REQUEST_IN14 => 0, USE_RESET_REQUEST_IN15 => 0, ADAPT_RESET_REQUEST => 0 ) port map ( reset_in0 => reset_reset_n_ports_inv, -- reset_in0.reset clk => clk_clk, -- clk.clk reset_out => rst_controller_reset_out_reset, -- reset_out.reset reset_req => open, -- (terminated) reset_req_in0 => '0', -- (terminated) reset_in1 => '0', -- (terminated) reset_req_in1 => '0', -- (terminated) reset_in2 => '0', -- (terminated) reset_req_in2 => '0', -- (terminated) reset_in3 => '0', -- (terminated) reset_req_in3 => '0', -- (terminated) reset_in4 => '0', -- (terminated) reset_req_in4 => '0', -- (terminated) reset_in5 => '0', -- (terminated) reset_req_in5 => '0', -- (terminated) reset_in6 => '0', -- (terminated) reset_req_in6 => '0', -- (terminated) reset_in7 => '0', -- (terminated) reset_req_in7 => '0', -- (terminated) reset_in8 => '0', -- (terminated) reset_req_in8 => '0', -- (terminated) reset_in9 => '0', -- (terminated) reset_req_in9 => '0', -- (terminated) reset_in10 => '0', -- (terminated) reset_req_in10 => '0', -- (terminated) reset_in11 => '0', -- (terminated) reset_req_in11 => '0', -- (terminated) reset_in12 => '0', -- (terminated) reset_req_in12 => '0', -- (terminated) reset_in13 => '0', -- (terminated) reset_req_in13 => '0', -- (terminated) reset_in14 => '0', -- (terminated) reset_req_in14 => '0', -- (terminated) reset_in15 => '0', -- (terminated) reset_req_in15 => '0' -- (terminated) ); rst_controller_001 : component niosii_rst_controller_001 generic map ( NUM_RESET_INPUTS => 1, OUTPUT_RESET_SYNC_EDGES => "deassert", SYNC_DEPTH => 2, RESET_REQUEST_PRESENT => 1, RESET_REQ_WAIT_TIME => 1, MIN_RST_ASSERTION_TIME => 3, RESET_REQ_EARLY_DSRT_TIME => 1, USE_RESET_REQUEST_IN0 => 0, USE_RESET_REQUEST_IN1 => 0, USE_RESET_REQUEST_IN2 => 0, USE_RESET_REQUEST_IN3 => 0, USE_RESET_REQUEST_IN4 => 0, USE_RESET_REQUEST_IN5 => 0, USE_RESET_REQUEST_IN6 => 0, USE_RESET_REQUEST_IN7 => 0, USE_RESET_REQUEST_IN8 => 0, USE_RESET_REQUEST_IN9 => 0, USE_RESET_REQUEST_IN10 => 0, USE_RESET_REQUEST_IN11 => 0, USE_RESET_REQUEST_IN12 => 0, USE_RESET_REQUEST_IN13 => 0, USE_RESET_REQUEST_IN14 => 0, USE_RESET_REQUEST_IN15 => 0, ADAPT_RESET_REQUEST => 0 ) port map ( reset_in0 => reset_reset_n_ports_inv, -- reset_in0.reset clk => altpll_0_c3_clk, -- clk.clk reset_out => rst_controller_001_reset_out_reset, -- reset_out.reset reset_req => rst_controller_001_reset_out_reset_req, -- .reset_req reset_req_in0 => '0', -- (terminated) reset_in1 => '0', -- (terminated) reset_req_in1 => '0', -- (terminated) reset_in2 => '0', -- (terminated) reset_req_in2 => '0', -- (terminated) reset_in3 => '0', -- (terminated) reset_req_in3 => '0', -- (terminated) reset_in4 => '0', -- (terminated) reset_req_in4 => '0', -- (terminated) reset_in5 => '0', -- (terminated) reset_req_in5 => '0', -- (terminated) reset_in6 => '0', -- (terminated) reset_req_in6 => '0', -- (terminated) reset_in7 => '0', -- (terminated) reset_req_in7 => '0', -- (terminated) reset_in8 => '0', -- (terminated) reset_req_in8 => '0', -- (terminated) reset_in9 => '0', -- (terminated) reset_req_in9 => '0', -- (terminated) reset_in10 => '0', -- (terminated) reset_req_in10 => '0', -- (terminated) reset_in11 => '0', -- (terminated) reset_req_in11 => '0', -- (terminated) reset_in12 => '0', -- (terminated) reset_req_in12 => '0', -- (terminated) reset_in13 => '0', -- (terminated) reset_req_in13 => '0', -- (terminated) reset_in14 => '0', -- (terminated) reset_req_in14 => '0', -- (terminated) reset_in15 => '0', -- (terminated) reset_req_in15 => '0' -- (terminated) ); rst_controller_002 : component niosii_rst_controller generic map ( NUM_RESET_INPUTS => 1, OUTPUT_RESET_SYNC_EDGES => "deassert", SYNC_DEPTH => 2, RESET_REQUEST_PRESENT => 0, RESET_REQ_WAIT_TIME => 1, MIN_RST_ASSERTION_TIME => 3, RESET_REQ_EARLY_DSRT_TIME => 1, USE_RESET_REQUEST_IN0 => 0, USE_RESET_REQUEST_IN1 => 0, USE_RESET_REQUEST_IN2 => 0, USE_RESET_REQUEST_IN3 => 0, USE_RESET_REQUEST_IN4 => 0, USE_RESET_REQUEST_IN5 => 0, USE_RESET_REQUEST_IN6 => 0, USE_RESET_REQUEST_IN7 => 0, USE_RESET_REQUEST_IN8 => 0, USE_RESET_REQUEST_IN9 => 0, USE_RESET_REQUEST_IN10 => 0, USE_RESET_REQUEST_IN11 => 0, USE_RESET_REQUEST_IN12 => 0, USE_RESET_REQUEST_IN13 => 0, USE_RESET_REQUEST_IN14 => 0, USE_RESET_REQUEST_IN15 => 0, ADAPT_RESET_REQUEST => 0 ) port map ( reset_in0 => reset_reset_n_ports_inv, -- reset_in0.reset clk => altpll_0_c1_clk, -- clk.clk reset_out => rst_controller_002_reset_out_reset, -- reset_out.reset reset_req => open, -- (terminated) reset_req_in0 => '0', -- (terminated) reset_in1 => '0', -- (terminated) reset_req_in1 => '0', -- (terminated) reset_in2 => '0', -- (terminated) reset_req_in2 => '0', -- (terminated) reset_in3 => '0', -- (terminated) reset_req_in3 => '0', -- (terminated) reset_in4 => '0', -- (terminated) reset_req_in4 => '0', -- (terminated) reset_in5 => '0', -- (terminated) reset_req_in5 => '0', -- (terminated) reset_in6 => '0', -- (terminated) reset_req_in6 => '0', -- (terminated) reset_in7 => '0', -- (terminated) reset_req_in7 => '0', -- (terminated) reset_in8 => '0', -- (terminated) reset_req_in8 => '0', -- (terminated) reset_in9 => '0', -- (terminated) reset_req_in9 => '0', -- (terminated) reset_in10 => '0', -- (terminated) reset_req_in10 => '0', -- (terminated) reset_in11 => '0', -- (terminated) reset_req_in11 => '0', -- (terminated) reset_in12 => '0', -- (terminated) reset_req_in12 => '0', -- (terminated) reset_in13 => '0', -- (terminated) reset_req_in13 => '0', -- (terminated) reset_in14 => '0', -- (terminated) reset_req_in14 => '0', -- (terminated) reset_in15 => '0', -- (terminated) reset_req_in15 => '0' -- (terminated) ); rst_controller_003 : component niosii_rst_controller_001 generic map ( NUM_RESET_INPUTS => 1, OUTPUT_RESET_SYNC_EDGES => "deassert", SYNC_DEPTH => 2, RESET_REQUEST_PRESENT => 1, RESET_REQ_WAIT_TIME => 1, MIN_RST_ASSERTION_TIME => 3, RESET_REQ_EARLY_DSRT_TIME => 1, USE_RESET_REQUEST_IN0 => 0, USE_RESET_REQUEST_IN1 => 0, USE_RESET_REQUEST_IN2 => 0, USE_RESET_REQUEST_IN3 => 0, USE_RESET_REQUEST_IN4 => 0, USE_RESET_REQUEST_IN5 => 0, USE_RESET_REQUEST_IN6 => 0, USE_RESET_REQUEST_IN7 => 0, USE_RESET_REQUEST_IN8 => 0, USE_RESET_REQUEST_IN9 => 0, USE_RESET_REQUEST_IN10 => 0, USE_RESET_REQUEST_IN11 => 0, USE_RESET_REQUEST_IN12 => 0, USE_RESET_REQUEST_IN13 => 0, USE_RESET_REQUEST_IN14 => 0, USE_RESET_REQUEST_IN15 => 0, ADAPT_RESET_REQUEST => 0 ) port map ( reset_in0 => reset_reset_n_ports_inv, -- reset_in0.reset clk => altpll_0_c0_clk, -- clk.clk reset_out => rst_controller_003_reset_out_reset, -- reset_out.reset reset_req => rst_controller_003_reset_out_reset_req, -- .reset_req reset_req_in0 => '0', -- (terminated) reset_in1 => '0', -- (terminated) reset_req_in1 => '0', -- (terminated) reset_in2 => '0', -- (terminated) reset_req_in2 => '0', -- (terminated) reset_in3 => '0', -- (terminated) reset_req_in3 => '0', -- (terminated) reset_in4 => '0', -- (terminated) reset_req_in4 => '0', -- (terminated) reset_in5 => '0', -- (terminated) reset_req_in5 => '0', -- (terminated) reset_in6 => '0', -- (terminated) reset_req_in6 => '0', -- (terminated) reset_in7 => '0', -- (terminated) reset_req_in7 => '0', -- (terminated) reset_in8 => '0', -- (terminated) reset_req_in8 => '0', -- (terminated) reset_in9 => '0', -- (terminated) reset_req_in9 => '0', -- (terminated) reset_in10 => '0', -- (terminated) reset_req_in10 => '0', -- (terminated) reset_in11 => '0', -- (terminated) reset_req_in11 => '0', -- (terminated) reset_in12 => '0', -- (terminated) reset_req_in12 => '0', -- (terminated) reset_in13 => '0', -- (terminated) reset_req_in13 => '0', -- (terminated) reset_in14 => '0', -- (terminated) reset_req_in14 => '0', -- (terminated) reset_in15 => '0', -- (terminated) reset_req_in15 => '0' -- (terminated) ); rst_controller_004 : component niosii_rst_controller generic map ( NUM_RESET_INPUTS => 1, OUTPUT_RESET_SYNC_EDGES => "deassert", SYNC_DEPTH => 2, RESET_REQUEST_PRESENT => 0, RESET_REQ_WAIT_TIME => 1, MIN_RST_ASSERTION_TIME => 3, RESET_REQ_EARLY_DSRT_TIME => 1, USE_RESET_REQUEST_IN0 => 0, USE_RESET_REQUEST_IN1 => 0, USE_RESET_REQUEST_IN2 => 0, USE_RESET_REQUEST_IN3 => 0, USE_RESET_REQUEST_IN4 => 0, USE_RESET_REQUEST_IN5 => 0, USE_RESET_REQUEST_IN6 => 0, USE_RESET_REQUEST_IN7 => 0, USE_RESET_REQUEST_IN8 => 0, USE_RESET_REQUEST_IN9 => 0, USE_RESET_REQUEST_IN10 => 0, USE_RESET_REQUEST_IN11 => 0, USE_RESET_REQUEST_IN12 => 0, USE_RESET_REQUEST_IN13 => 0, USE_RESET_REQUEST_IN14 => 0, USE_RESET_REQUEST_IN15 => 0, ADAPT_RESET_REQUEST => 0 ) port map ( reset_in0 => reset_reset_n_ports_inv, -- reset_in0.reset clk => altpll_0_c2_clk, -- clk.clk reset_out => rst_controller_004_reset_out_reset, -- reset_out.reset reset_req => open, -- (terminated) reset_req_in0 => '0', -- (terminated) reset_in1 => '0', -- (terminated) reset_req_in1 => '0', -- (terminated) reset_in2 => '0', -- (terminated) reset_req_in2 => '0', -- (terminated) reset_in3 => '0', -- (terminated) reset_req_in3 => '0', -- (terminated) reset_in4 => '0', -- (terminated) reset_req_in4 => '0', -- (terminated) reset_in5 => '0', -- (terminated) reset_req_in5 => '0', -- (terminated) reset_in6 => '0', -- (terminated) reset_req_in6 => '0', -- (terminated) reset_in7 => '0', -- (terminated) reset_req_in7 => '0', -- (terminated) reset_in8 => '0', -- (terminated) reset_req_in8 => '0', -- (terminated) reset_in9 => '0', -- (terminated) reset_req_in9 => '0', -- (terminated) reset_in10 => '0', -- (terminated) reset_req_in10 => '0', -- (terminated) reset_in11 => '0', -- (terminated) reset_req_in11 => '0', -- (terminated) reset_in12 => '0', -- (terminated) reset_req_in12 => '0', -- (terminated) reset_in13 => '0', -- (terminated) reset_req_in13 => '0', -- (terminated) reset_in14 => '0', -- (terminated) reset_req_in14 => '0', -- (terminated) reset_in15 => '0', -- (terminated) reset_req_in15 => '0' -- (terminated) ); reset_reset_n_ports_inv <= not reset_reset_n; mm_interconnect_0_jtag_uart_0_avalon_jtag_slave_read_ports_inv <= not mm_interconnect_0_jtag_uart_0_avalon_jtag_slave_read; mm_interconnect_0_jtag_uart_0_avalon_jtag_slave_write_ports_inv <= not mm_interconnect_0_jtag_uart_0_avalon_jtag_slave_write; mm_interconnect_0_epcs_flash_controller_0_epcs_control_port_read_ports_inv <= not mm_interconnect_0_epcs_flash_controller_0_epcs_control_port_read; mm_interconnect_0_epcs_flash_controller_0_epcs_control_port_write_ports_inv <= not mm_interconnect_0_epcs_flash_controller_0_epcs_control_port_write; mm_interconnect_0_pio_0_s1_write_ports_inv <= not mm_interconnect_0_pio_0_s1_write; mm_interconnect_0_uart_0_s1_read_ports_inv <= not mm_interconnect_0_uart_0_s1_read; mm_interconnect_0_uart_0_s1_write_ports_inv <= not mm_interconnect_0_uart_0_s1_write; mm_interconnect_0_timer_us_s1_write_ports_inv <= not mm_interconnect_0_timer_us_s1_write; mm_interconnect_0_timer_ms_s1_write_ports_inv <= not mm_interconnect_0_timer_ms_s1_write; rst_controller_001_reset_out_reset_ports_inv <= not rst_controller_001_reset_out_reset; rst_controller_002_reset_out_reset_ports_inv <= not rst_controller_002_reset_out_reset; rst_controller_003_reset_out_reset_ports_inv <= not rst_controller_003_reset_out_reset; rst_controller_004_reset_out_reset_ports_inv <= not rst_controller_004_reset_out_reset; end architecture rtl; -- of niosii
mit
FrankBuss/YaGraphCon
spartan3e/src/YaGraphConPackage.vhd
1
1904
-- Copyright (c) 2009 Frank Buss ([email protected]) -- See license.txt for license library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; package YaGraphConPackage is constant RESET_COMMAND: unsigned(7 downto 0) := x"00"; constant SET_FRAMEBUFFER_START: unsigned(7 downto 0) := x"01"; constant SET_FRAMEBUFFER_PITCH: unsigned(7 downto 0) := x"02"; constant SET_DESTINATION_START: unsigned(7 downto 0) := x"03"; constant SET_DESTINATION_PITCH: unsigned(7 downto 0) := x"04"; constant SET_SOURCE_START: unsigned(7 downto 0) := x"05"; constant SET_SOURCE_PITCH: unsigned(7 downto 0) := x"06"; constant SET_COLOR: unsigned(7 downto 0) := x"07"; constant SET_PIXEL: unsigned(7 downto 0) := x"08"; constant MOVE_TO: unsigned(7 downto 0) := x"09"; constant LINE_TO: unsigned(7 downto 0) := x"0a"; constant FILL_RECT: unsigned(7 downto 0) := x"0b"; constant BLIT_SIZE: unsigned(7 downto 0) := x"0c"; constant BLIT_COMMAND: unsigned(7 downto 0) := x"0d"; constant BLIT_TRANSPARENT: unsigned(7 downto 0) := x"0e"; constant WRITE_FRAMEBUFFER: unsigned(7 downto 0) := x"0f"; function adjustLength(value: unsigned; length: natural) return unsigned; function max(left, right: natural) return natural; function min(left, right: natural) return natural; end; package body YaGraphConPackage is function adjustLength(value: unsigned; length: natural) return unsigned is variable result: unsigned(length-1 downto 0); begin if value'length >= length then result := value(length-1 downto 0); else result := to_unsigned(0, length - value'length) & value; end if; return result; end; function max(left, right: natural) return natural is begin if left > right then return left; else return right; end if; end; function min(left, right: natural) return natural is begin if left < right then return left; else return right; end if; end; end;
mit
chcbaram/Altera_DE0_nano_Exam
prj_niosii_pwm/niosii/synthesis/submodules/ip_pwm_top.vhd
6
4139
-- new_component.vhd -- This file was auto-generated as a prototype implementation of a module -- created in component editor. It ties off all outputs to ground and -- ignores all inputs. It needs to be edited to make it do something -- useful. -- -- This file will not be automatically regenerated. You should check it in -- to your version control system if you want to keep it. library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity ip_pwm_top is port ( avs_s0_address : in std_logic_vector(7 downto 0) := (others => '0'); -- avs_s0.address avs_s0_read : in std_logic := '0'; -- .read avs_s0_readdata : out std_logic_vector(31 downto 0); -- .readdata avs_s0_write : in std_logic := '0'; -- .write avs_s0_writedata : in std_logic_vector(31 downto 0) := (others => '0'); -- .writedata avs_s0_waitrequest : out std_logic; -- .waitrequest clock_clk : in std_logic := '0'; -- clock.clk reset_reset : in std_logic := '0'; -- reset.reset pwm_out : out std_logic_vector(1 downto 0); -- pwm.pwm_signal pwm_dir : out std_logic_vector(1 downto 0) -- .dir_signal ); end entity ip_pwm_top; architecture rtl of ip_pwm_top is component ip_pwm_out is port ( reset_n : in STD_LOGIC; clk : in STD_LOGIC; pwm_hz : in STD_LOGIC_VECTOR (7 downto 0); pwm_dir : in STD_LOGIC; pwm_duty : in STD_LOGIC_VECTOR (7 downto 0); pwm_pin_duty : out STD_LOGIC; pwm_pin_dir : out STD_LOGIC ); end component ip_pwm_out; signal reg_data_out :std_logic_vector(31 downto 0); signal slv_reg0 :std_logic_vector(31 downto 0); signal slv_reg1 :std_logic_vector(31 downto 0); signal slv_reg2 :std_logic_vector(31 downto 0); signal slv_reg3 :std_logic_vector(31 downto 0); begin -- TODO: Auto-generated HDL template process (clock_clk, reset_reset) variable loc_addr :std_logic_vector(7 downto 0); begin if rising_edge(clock_clk) then if reset_reset = '1' then slv_reg0 <= (others => '0'); slv_reg1 <= (others => '0'); slv_reg2 <= (others => '0'); slv_reg3 <= (others => '0'); else loc_addr := avs_s0_address(7 downto 0); if (avs_s0_write = '1') then case loc_addr is when x"00" => slv_reg0 <= avs_s0_writedata; when x"01" => slv_reg1 <= avs_s0_writedata; when x"02" => slv_reg2 <= avs_s0_writedata; when x"03" => slv_reg3 <= avs_s0_writedata; when others => slv_reg0 <= slv_reg0; slv_reg1 <= slv_reg1; slv_reg2 <= slv_reg2; slv_reg3 <= slv_reg3; end case; end if; end if; end if; end process; process (slv_reg0, slv_reg1, slv_reg2, slv_reg3) variable loc_addr :std_logic_vector(7 downto 0); begin -- Address decoding for reading registers loc_addr := avs_s0_address; case loc_addr is when x"00" => reg_data_out <= slv_reg0; when x"01" => reg_data_out <= slv_reg1; when x"02" => reg_data_out <= slv_reg2; when x"03" => reg_data_out <= slv_reg3; when others => reg_data_out <= (others => '0'); end case; end process; avs_s0_readdata <= reg_data_out; avs_s0_waitrequest <= '0'; PWM_0 : ip_pwm_out port map ( reset_n => not reset_reset, clk => clock_clk, pwm_hz => slv_reg0( 7 downto 0 ), pwm_dir => slv_reg0( 8 ), pwm_duty => slv_reg1( 7 downto 0 ), pwm_pin_duty => pwm_out(0), pwm_pin_dir => pwm_dir(0) ); PWM_1 : ip_pwm_out port map ( reset_n => not reset_reset, clk => clock_clk, pwm_hz => slv_reg2( 7 downto 0 ), pwm_dir => slv_reg2( 8 ), pwm_duty => slv_reg3( 7 downto 0 ), pwm_pin_duty => pwm_out(1), pwm_pin_dir => pwm_dir(1) ); end architecture rtl; -- of new_component
mit
chcbaram/Altera_DE0_nano_Exam
prj_niosii_abot/niosii/synthesis/niosii_rst_controller_002.vhd
2
9084
-- niosii_rst_controller_002.vhd -- Generated using ACDS version 15.1 185 library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity niosii_rst_controller_002 is generic ( NUM_RESET_INPUTS : integer := 1; OUTPUT_RESET_SYNC_EDGES : string := "deassert"; SYNC_DEPTH : integer := 2; RESET_REQUEST_PRESENT : integer := 1; RESET_REQ_WAIT_TIME : integer := 1; MIN_RST_ASSERTION_TIME : integer := 3; RESET_REQ_EARLY_DSRT_TIME : integer := 1; USE_RESET_REQUEST_IN0 : integer := 0; USE_RESET_REQUEST_IN1 : integer := 0; USE_RESET_REQUEST_IN2 : integer := 0; USE_RESET_REQUEST_IN3 : integer := 0; USE_RESET_REQUEST_IN4 : integer := 0; USE_RESET_REQUEST_IN5 : integer := 0; USE_RESET_REQUEST_IN6 : integer := 0; USE_RESET_REQUEST_IN7 : integer := 0; USE_RESET_REQUEST_IN8 : integer := 0; USE_RESET_REQUEST_IN9 : integer := 0; USE_RESET_REQUEST_IN10 : integer := 0; USE_RESET_REQUEST_IN11 : integer := 0; USE_RESET_REQUEST_IN12 : integer := 0; USE_RESET_REQUEST_IN13 : integer := 0; USE_RESET_REQUEST_IN14 : integer := 0; USE_RESET_REQUEST_IN15 : integer := 0; ADAPT_RESET_REQUEST : integer := 0 ); port ( reset_in0 : in std_logic := '0'; -- reset_in0.reset clk : in std_logic := '0'; -- clk.clk reset_out : out std_logic; -- reset_out.reset reset_req : out std_logic; -- .reset_req reset_in1 : in std_logic := '0'; reset_in10 : in std_logic := '0'; reset_in11 : in std_logic := '0'; reset_in12 : in std_logic := '0'; reset_in13 : in std_logic := '0'; reset_in14 : in std_logic := '0'; reset_in15 : in std_logic := '0'; reset_in2 : in std_logic := '0'; reset_in3 : in std_logic := '0'; reset_in4 : in std_logic := '0'; reset_in5 : in std_logic := '0'; reset_in6 : in std_logic := '0'; reset_in7 : in std_logic := '0'; reset_in8 : in std_logic := '0'; reset_in9 : in std_logic := '0'; reset_req_in0 : in std_logic := '0'; reset_req_in1 : in std_logic := '0'; reset_req_in10 : in std_logic := '0'; reset_req_in11 : in std_logic := '0'; reset_req_in12 : in std_logic := '0'; reset_req_in13 : in std_logic := '0'; reset_req_in14 : in std_logic := '0'; reset_req_in15 : in std_logic := '0'; reset_req_in2 : in std_logic := '0'; reset_req_in3 : in std_logic := '0'; reset_req_in4 : in std_logic := '0'; reset_req_in5 : in std_logic := '0'; reset_req_in6 : in std_logic := '0'; reset_req_in7 : in std_logic := '0'; reset_req_in8 : in std_logic := '0'; reset_req_in9 : in std_logic := '0' ); end entity niosii_rst_controller_002; architecture rtl of niosii_rst_controller_002 is component altera_reset_controller is generic ( NUM_RESET_INPUTS : integer := 6; OUTPUT_RESET_SYNC_EDGES : string := "deassert"; SYNC_DEPTH : integer := 2; RESET_REQUEST_PRESENT : integer := 0; RESET_REQ_WAIT_TIME : integer := 1; MIN_RST_ASSERTION_TIME : integer := 3; RESET_REQ_EARLY_DSRT_TIME : integer := 1; USE_RESET_REQUEST_IN0 : integer := 0; USE_RESET_REQUEST_IN1 : integer := 0; USE_RESET_REQUEST_IN2 : integer := 0; USE_RESET_REQUEST_IN3 : integer := 0; USE_RESET_REQUEST_IN4 : integer := 0; USE_RESET_REQUEST_IN5 : integer := 0; USE_RESET_REQUEST_IN6 : integer := 0; USE_RESET_REQUEST_IN7 : integer := 0; USE_RESET_REQUEST_IN8 : integer := 0; USE_RESET_REQUEST_IN9 : integer := 0; USE_RESET_REQUEST_IN10 : integer := 0; USE_RESET_REQUEST_IN11 : integer := 0; USE_RESET_REQUEST_IN12 : integer := 0; USE_RESET_REQUEST_IN13 : integer := 0; USE_RESET_REQUEST_IN14 : integer := 0; USE_RESET_REQUEST_IN15 : integer := 0; ADAPT_RESET_REQUEST : integer := 0 ); port ( reset_in0 : in std_logic := 'X'; -- reset clk : in std_logic := 'X'; -- clk reset_out : out std_logic; -- reset reset_req : out std_logic; -- reset_req reset_req_in0 : in std_logic := 'X'; -- reset_req reset_in1 : in std_logic := 'X'; -- reset reset_req_in1 : in std_logic := 'X'; -- reset_req reset_in2 : in std_logic := 'X'; -- reset reset_req_in2 : in std_logic := 'X'; -- reset_req reset_in3 : in std_logic := 'X'; -- reset reset_req_in3 : in std_logic := 'X'; -- reset_req reset_in4 : in std_logic := 'X'; -- reset reset_req_in4 : in std_logic := 'X'; -- reset_req reset_in5 : in std_logic := 'X'; -- reset reset_req_in5 : in std_logic := 'X'; -- reset_req reset_in6 : in std_logic := 'X'; -- reset reset_req_in6 : in std_logic := 'X'; -- reset_req reset_in7 : in std_logic := 'X'; -- reset reset_req_in7 : in std_logic := 'X'; -- reset_req reset_in8 : in std_logic := 'X'; -- reset reset_req_in8 : in std_logic := 'X'; -- reset_req reset_in9 : in std_logic := 'X'; -- reset reset_req_in9 : in std_logic := 'X'; -- reset_req reset_in10 : in std_logic := 'X'; -- reset reset_req_in10 : in std_logic := 'X'; -- reset_req reset_in11 : in std_logic := 'X'; -- reset reset_req_in11 : in std_logic := 'X'; -- reset_req reset_in12 : in std_logic := 'X'; -- reset reset_req_in12 : in std_logic := 'X'; -- reset_req reset_in13 : in std_logic := 'X'; -- reset reset_req_in13 : in std_logic := 'X'; -- reset_req reset_in14 : in std_logic := 'X'; -- reset reset_req_in14 : in std_logic := 'X'; -- reset_req reset_in15 : in std_logic := 'X'; -- reset reset_req_in15 : in std_logic := 'X' -- reset_req ); end component altera_reset_controller; begin rst_controller_002 : component altera_reset_controller generic map ( NUM_RESET_INPUTS => NUM_RESET_INPUTS, OUTPUT_RESET_SYNC_EDGES => OUTPUT_RESET_SYNC_EDGES, SYNC_DEPTH => SYNC_DEPTH, RESET_REQUEST_PRESENT => RESET_REQUEST_PRESENT, RESET_REQ_WAIT_TIME => RESET_REQ_WAIT_TIME, MIN_RST_ASSERTION_TIME => MIN_RST_ASSERTION_TIME, RESET_REQ_EARLY_DSRT_TIME => RESET_REQ_EARLY_DSRT_TIME, USE_RESET_REQUEST_IN0 => USE_RESET_REQUEST_IN0, USE_RESET_REQUEST_IN1 => USE_RESET_REQUEST_IN1, USE_RESET_REQUEST_IN2 => USE_RESET_REQUEST_IN2, USE_RESET_REQUEST_IN3 => USE_RESET_REQUEST_IN3, USE_RESET_REQUEST_IN4 => USE_RESET_REQUEST_IN4, USE_RESET_REQUEST_IN5 => USE_RESET_REQUEST_IN5, USE_RESET_REQUEST_IN6 => USE_RESET_REQUEST_IN6, USE_RESET_REQUEST_IN7 => USE_RESET_REQUEST_IN7, USE_RESET_REQUEST_IN8 => USE_RESET_REQUEST_IN8, USE_RESET_REQUEST_IN9 => USE_RESET_REQUEST_IN9, USE_RESET_REQUEST_IN10 => USE_RESET_REQUEST_IN10, USE_RESET_REQUEST_IN11 => USE_RESET_REQUEST_IN11, USE_RESET_REQUEST_IN12 => USE_RESET_REQUEST_IN12, USE_RESET_REQUEST_IN13 => USE_RESET_REQUEST_IN13, USE_RESET_REQUEST_IN14 => USE_RESET_REQUEST_IN14, USE_RESET_REQUEST_IN15 => USE_RESET_REQUEST_IN15, ADAPT_RESET_REQUEST => ADAPT_RESET_REQUEST ) port map ( reset_in0 => reset_in0, -- reset_in0.reset clk => clk, -- clk.clk reset_out => reset_out, -- reset_out.reset reset_req => reset_req, -- .reset_req reset_req_in0 => '0', -- (terminated) reset_in1 => '0', -- (terminated) reset_req_in1 => '0', -- (terminated) reset_in2 => '0', -- (terminated) reset_req_in2 => '0', -- (terminated) reset_in3 => '0', -- (terminated) reset_req_in3 => '0', -- (terminated) reset_in4 => '0', -- (terminated) reset_req_in4 => '0', -- (terminated) reset_in5 => '0', -- (terminated) reset_req_in5 => '0', -- (terminated) reset_in6 => '0', -- (terminated) reset_req_in6 => '0', -- (terminated) reset_in7 => '0', -- (terminated) reset_req_in7 => '0', -- (terminated) reset_in8 => '0', -- (terminated) reset_req_in8 => '0', -- (terminated) reset_in9 => '0', -- (terminated) reset_req_in9 => '0', -- (terminated) reset_in10 => '0', -- (terminated) reset_req_in10 => '0', -- (terminated) reset_in11 => '0', -- (terminated) reset_req_in11 => '0', -- (terminated) reset_in12 => '0', -- (terminated) reset_req_in12 => '0', -- (terminated) reset_in13 => '0', -- (terminated) reset_req_in13 => '0', -- (terminated) reset_in14 => '0', -- (terminated) reset_req_in14 => '0', -- (terminated) reset_in15 => '0', -- (terminated) reset_req_in15 => '0' -- (terminated) ); end architecture rtl; -- of niosii_rst_controller_002
mit
andrewandrepowell/axiplasma
hdl/projects/Nexys4/bd/mig_wrap/ip/mig_wrap_auto_cc_0/mig_wrap_auto_cc_0_sim_netlist.vhdl
1
745907
-- Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. -- -------------------------------------------------------------------------------- -- Tool Version: Vivado v.2016.4 (lin64) Build 1756540 Mon Jan 23 19:11:19 MST 2017 -- Date : Sun Mar 26 22:18:09 2017 -- Host : andrewandrepowell2-desktop running 64-bit Ubuntu 16.04 LTS -- Command : write_vhdl -force -mode funcsim -rename_top mig_wrap_auto_cc_0 -prefix -- mig_wrap_auto_cc_0_ mig_wrap_auto_cc_0_sim_netlist.vhdl -- Design : mig_wrap_auto_cc_0 -- Purpose : This VHDL netlist is a functional simulation representation of the design and should not be modified or -- synthesized. This netlist cannot be used for SDF annotated simulation. -- Device : xc7a100tcsg324-1 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity mig_wrap_auto_cc_0_dmem is port ( dout_i : out STD_LOGIC_VECTOR ( 64 downto 0 ); s_aclk : in STD_LOGIC; ram_full_fb_i_reg : in STD_LOGIC_VECTOR ( 0 to 0 ); DI : in STD_LOGIC_VECTOR ( 64 downto 0 ); \gc0.count_d1_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \gic0.gc0.count_d2_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \gpregsm1.curr_fwft_state_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); m_aclk : in STD_LOGIC ); end mig_wrap_auto_cc_0_dmem; architecture STRUCTURE of mig_wrap_auto_cc_0_dmem is signal RAM_reg_0_15_0_5_n_0 : STD_LOGIC; signal RAM_reg_0_15_0_5_n_1 : STD_LOGIC; signal RAM_reg_0_15_0_5_n_2 : STD_LOGIC; signal RAM_reg_0_15_0_5_n_3 : STD_LOGIC; signal RAM_reg_0_15_0_5_n_4 : STD_LOGIC; signal RAM_reg_0_15_0_5_n_5 : STD_LOGIC; signal RAM_reg_0_15_12_17_n_0 : STD_LOGIC; signal RAM_reg_0_15_12_17_n_1 : STD_LOGIC; signal RAM_reg_0_15_12_17_n_2 : STD_LOGIC; signal RAM_reg_0_15_12_17_n_3 : STD_LOGIC; signal RAM_reg_0_15_12_17_n_4 : STD_LOGIC; signal RAM_reg_0_15_12_17_n_5 : STD_LOGIC; signal RAM_reg_0_15_18_23_n_0 : STD_LOGIC; signal RAM_reg_0_15_18_23_n_1 : STD_LOGIC; signal RAM_reg_0_15_18_23_n_2 : STD_LOGIC; signal RAM_reg_0_15_18_23_n_3 : STD_LOGIC; signal RAM_reg_0_15_18_23_n_4 : STD_LOGIC; signal RAM_reg_0_15_18_23_n_5 : STD_LOGIC; signal RAM_reg_0_15_24_29_n_0 : STD_LOGIC; signal RAM_reg_0_15_24_29_n_1 : STD_LOGIC; signal RAM_reg_0_15_24_29_n_2 : STD_LOGIC; signal RAM_reg_0_15_24_29_n_3 : STD_LOGIC; signal RAM_reg_0_15_24_29_n_4 : STD_LOGIC; signal RAM_reg_0_15_24_29_n_5 : STD_LOGIC; signal RAM_reg_0_15_30_35_n_0 : STD_LOGIC; signal RAM_reg_0_15_30_35_n_1 : STD_LOGIC; signal RAM_reg_0_15_30_35_n_2 : STD_LOGIC; signal RAM_reg_0_15_30_35_n_3 : STD_LOGIC; signal RAM_reg_0_15_30_35_n_4 : STD_LOGIC; signal RAM_reg_0_15_30_35_n_5 : STD_LOGIC; signal RAM_reg_0_15_36_41_n_0 : STD_LOGIC; signal RAM_reg_0_15_36_41_n_1 : STD_LOGIC; signal RAM_reg_0_15_36_41_n_2 : STD_LOGIC; signal RAM_reg_0_15_36_41_n_3 : STD_LOGIC; signal RAM_reg_0_15_36_41_n_4 : STD_LOGIC; signal RAM_reg_0_15_36_41_n_5 : STD_LOGIC; signal RAM_reg_0_15_42_47_n_0 : STD_LOGIC; signal RAM_reg_0_15_42_47_n_1 : STD_LOGIC; signal RAM_reg_0_15_42_47_n_2 : STD_LOGIC; signal RAM_reg_0_15_42_47_n_3 : STD_LOGIC; signal RAM_reg_0_15_42_47_n_4 : STD_LOGIC; signal RAM_reg_0_15_42_47_n_5 : STD_LOGIC; signal RAM_reg_0_15_48_53_n_0 : STD_LOGIC; signal RAM_reg_0_15_48_53_n_1 : STD_LOGIC; signal RAM_reg_0_15_48_53_n_2 : STD_LOGIC; signal RAM_reg_0_15_48_53_n_3 : STD_LOGIC; signal RAM_reg_0_15_48_53_n_4 : STD_LOGIC; signal RAM_reg_0_15_48_53_n_5 : STD_LOGIC; signal RAM_reg_0_15_54_59_n_0 : STD_LOGIC; signal RAM_reg_0_15_54_59_n_1 : STD_LOGIC; signal RAM_reg_0_15_54_59_n_2 : STD_LOGIC; signal RAM_reg_0_15_54_59_n_3 : STD_LOGIC; signal RAM_reg_0_15_54_59_n_4 : STD_LOGIC; signal RAM_reg_0_15_54_59_n_5 : STD_LOGIC; signal RAM_reg_0_15_60_64_n_0 : STD_LOGIC; signal RAM_reg_0_15_60_64_n_1 : STD_LOGIC; signal RAM_reg_0_15_60_64_n_2 : STD_LOGIC; signal RAM_reg_0_15_60_64_n_3 : STD_LOGIC; signal RAM_reg_0_15_60_64_n_5 : STD_LOGIC; signal RAM_reg_0_15_6_11_n_0 : STD_LOGIC; signal RAM_reg_0_15_6_11_n_1 : STD_LOGIC; signal RAM_reg_0_15_6_11_n_2 : STD_LOGIC; signal RAM_reg_0_15_6_11_n_3 : STD_LOGIC; signal RAM_reg_0_15_6_11_n_4 : STD_LOGIC; signal RAM_reg_0_15_6_11_n_5 : STD_LOGIC; signal NLW_RAM_reg_0_15_0_5_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_12_17_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_18_23_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_24_29_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_30_35_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_36_41_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_42_47_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_48_53_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_54_59_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_60_64_DOC_UNCONNECTED : STD_LOGIC_VECTOR ( 1 to 1 ); signal NLW_RAM_reg_0_15_60_64_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_6_11_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); attribute METHODOLOGY_DRC_VIOS : string; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_0_5 : label is ""; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_12_17 : label is ""; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_18_23 : label is ""; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_24_29 : label is ""; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_30_35 : label is ""; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_36_41 : label is ""; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_42_47 : label is ""; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_48_53 : label is ""; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_54_59 : label is ""; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_60_64 : label is ""; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_6_11 : label is ""; begin RAM_reg_0_15_0_5: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => DI(1 downto 0), DIB(1 downto 0) => DI(3 downto 2), DIC(1 downto 0) => DI(5 downto 4), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_0_5_n_0, DOA(0) => RAM_reg_0_15_0_5_n_1, DOB(1) => RAM_reg_0_15_0_5_n_2, DOB(0) => RAM_reg_0_15_0_5_n_3, DOC(1) => RAM_reg_0_15_0_5_n_4, DOC(0) => RAM_reg_0_15_0_5_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_0_5_DOD_UNCONNECTED(1 downto 0), WCLK => s_aclk, WE => ram_full_fb_i_reg(0) ); RAM_reg_0_15_12_17: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => DI(13 downto 12), DIB(1 downto 0) => DI(15 downto 14), DIC(1 downto 0) => DI(17 downto 16), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_12_17_n_0, DOA(0) => RAM_reg_0_15_12_17_n_1, DOB(1) => RAM_reg_0_15_12_17_n_2, DOB(0) => RAM_reg_0_15_12_17_n_3, DOC(1) => RAM_reg_0_15_12_17_n_4, DOC(0) => RAM_reg_0_15_12_17_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_12_17_DOD_UNCONNECTED(1 downto 0), WCLK => s_aclk, WE => ram_full_fb_i_reg(0) ); RAM_reg_0_15_18_23: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => DI(19 downto 18), DIB(1 downto 0) => DI(21 downto 20), DIC(1 downto 0) => DI(23 downto 22), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_18_23_n_0, DOA(0) => RAM_reg_0_15_18_23_n_1, DOB(1) => RAM_reg_0_15_18_23_n_2, DOB(0) => RAM_reg_0_15_18_23_n_3, DOC(1) => RAM_reg_0_15_18_23_n_4, DOC(0) => RAM_reg_0_15_18_23_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_18_23_DOD_UNCONNECTED(1 downto 0), WCLK => s_aclk, WE => ram_full_fb_i_reg(0) ); RAM_reg_0_15_24_29: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => DI(25 downto 24), DIB(1 downto 0) => DI(27 downto 26), DIC(1 downto 0) => DI(29 downto 28), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_24_29_n_0, DOA(0) => RAM_reg_0_15_24_29_n_1, DOB(1) => RAM_reg_0_15_24_29_n_2, DOB(0) => RAM_reg_0_15_24_29_n_3, DOC(1) => RAM_reg_0_15_24_29_n_4, DOC(0) => RAM_reg_0_15_24_29_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_24_29_DOD_UNCONNECTED(1 downto 0), WCLK => s_aclk, WE => ram_full_fb_i_reg(0) ); RAM_reg_0_15_30_35: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => DI(31 downto 30), DIB(1 downto 0) => DI(33 downto 32), DIC(1 downto 0) => DI(35 downto 34), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_30_35_n_0, DOA(0) => RAM_reg_0_15_30_35_n_1, DOB(1) => RAM_reg_0_15_30_35_n_2, DOB(0) => RAM_reg_0_15_30_35_n_3, DOC(1) => RAM_reg_0_15_30_35_n_4, DOC(0) => RAM_reg_0_15_30_35_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_30_35_DOD_UNCONNECTED(1 downto 0), WCLK => s_aclk, WE => ram_full_fb_i_reg(0) ); RAM_reg_0_15_36_41: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => DI(37 downto 36), DIB(1 downto 0) => DI(39 downto 38), DIC(1 downto 0) => DI(41 downto 40), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_36_41_n_0, DOA(0) => RAM_reg_0_15_36_41_n_1, DOB(1) => RAM_reg_0_15_36_41_n_2, DOB(0) => RAM_reg_0_15_36_41_n_3, DOC(1) => RAM_reg_0_15_36_41_n_4, DOC(0) => RAM_reg_0_15_36_41_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_36_41_DOD_UNCONNECTED(1 downto 0), WCLK => s_aclk, WE => ram_full_fb_i_reg(0) ); RAM_reg_0_15_42_47: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => DI(43 downto 42), DIB(1 downto 0) => DI(45 downto 44), DIC(1 downto 0) => DI(47 downto 46), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_42_47_n_0, DOA(0) => RAM_reg_0_15_42_47_n_1, DOB(1) => RAM_reg_0_15_42_47_n_2, DOB(0) => RAM_reg_0_15_42_47_n_3, DOC(1) => RAM_reg_0_15_42_47_n_4, DOC(0) => RAM_reg_0_15_42_47_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_42_47_DOD_UNCONNECTED(1 downto 0), WCLK => s_aclk, WE => ram_full_fb_i_reg(0) ); RAM_reg_0_15_48_53: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => DI(49 downto 48), DIB(1 downto 0) => DI(51 downto 50), DIC(1 downto 0) => DI(53 downto 52), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_48_53_n_0, DOA(0) => RAM_reg_0_15_48_53_n_1, DOB(1) => RAM_reg_0_15_48_53_n_2, DOB(0) => RAM_reg_0_15_48_53_n_3, DOC(1) => RAM_reg_0_15_48_53_n_4, DOC(0) => RAM_reg_0_15_48_53_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_48_53_DOD_UNCONNECTED(1 downto 0), WCLK => s_aclk, WE => ram_full_fb_i_reg(0) ); RAM_reg_0_15_54_59: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => DI(55 downto 54), DIB(1 downto 0) => DI(57 downto 56), DIC(1 downto 0) => DI(59 downto 58), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_54_59_n_0, DOA(0) => RAM_reg_0_15_54_59_n_1, DOB(1) => RAM_reg_0_15_54_59_n_2, DOB(0) => RAM_reg_0_15_54_59_n_3, DOC(1) => RAM_reg_0_15_54_59_n_4, DOC(0) => RAM_reg_0_15_54_59_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_54_59_DOD_UNCONNECTED(1 downto 0), WCLK => s_aclk, WE => ram_full_fb_i_reg(0) ); RAM_reg_0_15_60_64: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => DI(61 downto 60), DIB(1 downto 0) => DI(63 downto 62), DIC(1) => '0', DIC(0) => DI(64), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_60_64_n_0, DOA(0) => RAM_reg_0_15_60_64_n_1, DOB(1) => RAM_reg_0_15_60_64_n_2, DOB(0) => RAM_reg_0_15_60_64_n_3, DOC(1) => NLW_RAM_reg_0_15_60_64_DOC_UNCONNECTED(1), DOC(0) => RAM_reg_0_15_60_64_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_60_64_DOD_UNCONNECTED(1 downto 0), WCLK => s_aclk, WE => ram_full_fb_i_reg(0) ); RAM_reg_0_15_6_11: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => DI(7 downto 6), DIB(1 downto 0) => DI(9 downto 8), DIC(1 downto 0) => DI(11 downto 10), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_6_11_n_0, DOA(0) => RAM_reg_0_15_6_11_n_1, DOB(1) => RAM_reg_0_15_6_11_n_2, DOB(0) => RAM_reg_0_15_6_11_n_3, DOC(1) => RAM_reg_0_15_6_11_n_4, DOC(0) => RAM_reg_0_15_6_11_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_6_11_DOD_UNCONNECTED(1 downto 0), WCLK => s_aclk, WE => ram_full_fb_i_reg(0) ); \gpr1.dout_i_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_0_5_n_1, Q => dout_i(0), R => '0' ); \gpr1.dout_i_reg[10]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_6_11_n_5, Q => dout_i(10), R => '0' ); \gpr1.dout_i_reg[11]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_6_11_n_4, Q => dout_i(11), R => '0' ); \gpr1.dout_i_reg[12]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_12_17_n_1, Q => dout_i(12), R => '0' ); \gpr1.dout_i_reg[13]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_12_17_n_0, Q => dout_i(13), R => '0' ); \gpr1.dout_i_reg[14]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_12_17_n_3, Q => dout_i(14), R => '0' ); \gpr1.dout_i_reg[15]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_12_17_n_2, Q => dout_i(15), R => '0' ); \gpr1.dout_i_reg[16]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_12_17_n_5, Q => dout_i(16), R => '0' ); \gpr1.dout_i_reg[17]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_12_17_n_4, Q => dout_i(17), R => '0' ); \gpr1.dout_i_reg[18]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_18_23_n_1, Q => dout_i(18), R => '0' ); \gpr1.dout_i_reg[19]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_18_23_n_0, Q => dout_i(19), R => '0' ); \gpr1.dout_i_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_0_5_n_0, Q => dout_i(1), R => '0' ); \gpr1.dout_i_reg[20]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_18_23_n_3, Q => dout_i(20), R => '0' ); \gpr1.dout_i_reg[21]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_18_23_n_2, Q => dout_i(21), R => '0' ); \gpr1.dout_i_reg[22]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_18_23_n_5, Q => dout_i(22), R => '0' ); \gpr1.dout_i_reg[23]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_18_23_n_4, Q => dout_i(23), R => '0' ); \gpr1.dout_i_reg[24]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_24_29_n_1, Q => dout_i(24), R => '0' ); \gpr1.dout_i_reg[25]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_24_29_n_0, Q => dout_i(25), R => '0' ); \gpr1.dout_i_reg[26]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_24_29_n_3, Q => dout_i(26), R => '0' ); \gpr1.dout_i_reg[27]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_24_29_n_2, Q => dout_i(27), R => '0' ); \gpr1.dout_i_reg[28]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_24_29_n_5, Q => dout_i(28), R => '0' ); \gpr1.dout_i_reg[29]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_24_29_n_4, Q => dout_i(29), R => '0' ); \gpr1.dout_i_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_0_5_n_3, Q => dout_i(2), R => '0' ); \gpr1.dout_i_reg[30]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_30_35_n_1, Q => dout_i(30), R => '0' ); \gpr1.dout_i_reg[31]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_30_35_n_0, Q => dout_i(31), R => '0' ); \gpr1.dout_i_reg[32]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_30_35_n_3, Q => dout_i(32), R => '0' ); \gpr1.dout_i_reg[33]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_30_35_n_2, Q => dout_i(33), R => '0' ); \gpr1.dout_i_reg[34]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_30_35_n_5, Q => dout_i(34), R => '0' ); \gpr1.dout_i_reg[35]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_30_35_n_4, Q => dout_i(35), R => '0' ); \gpr1.dout_i_reg[36]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_36_41_n_1, Q => dout_i(36), R => '0' ); \gpr1.dout_i_reg[37]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_36_41_n_0, Q => dout_i(37), R => '0' ); \gpr1.dout_i_reg[38]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_36_41_n_3, Q => dout_i(38), R => '0' ); \gpr1.dout_i_reg[39]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_36_41_n_2, Q => dout_i(39), R => '0' ); \gpr1.dout_i_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_0_5_n_2, Q => dout_i(3), R => '0' ); \gpr1.dout_i_reg[40]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_36_41_n_5, Q => dout_i(40), R => '0' ); \gpr1.dout_i_reg[41]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_36_41_n_4, Q => dout_i(41), R => '0' ); \gpr1.dout_i_reg[42]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_42_47_n_1, Q => dout_i(42), R => '0' ); \gpr1.dout_i_reg[43]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_42_47_n_0, Q => dout_i(43), R => '0' ); \gpr1.dout_i_reg[44]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_42_47_n_3, Q => dout_i(44), R => '0' ); \gpr1.dout_i_reg[45]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_42_47_n_2, Q => dout_i(45), R => '0' ); \gpr1.dout_i_reg[46]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_42_47_n_5, Q => dout_i(46), R => '0' ); \gpr1.dout_i_reg[47]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_42_47_n_4, Q => dout_i(47), R => '0' ); \gpr1.dout_i_reg[48]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_48_53_n_1, Q => dout_i(48), R => '0' ); \gpr1.dout_i_reg[49]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_48_53_n_0, Q => dout_i(49), R => '0' ); \gpr1.dout_i_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_0_5_n_5, Q => dout_i(4), R => '0' ); \gpr1.dout_i_reg[50]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_48_53_n_3, Q => dout_i(50), R => '0' ); \gpr1.dout_i_reg[51]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_48_53_n_2, Q => dout_i(51), R => '0' ); \gpr1.dout_i_reg[52]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_48_53_n_5, Q => dout_i(52), R => '0' ); \gpr1.dout_i_reg[53]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_48_53_n_4, Q => dout_i(53), R => '0' ); \gpr1.dout_i_reg[54]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_54_59_n_1, Q => dout_i(54), R => '0' ); \gpr1.dout_i_reg[55]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_54_59_n_0, Q => dout_i(55), R => '0' ); \gpr1.dout_i_reg[56]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_54_59_n_3, Q => dout_i(56), R => '0' ); \gpr1.dout_i_reg[57]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_54_59_n_2, Q => dout_i(57), R => '0' ); \gpr1.dout_i_reg[58]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_54_59_n_5, Q => dout_i(58), R => '0' ); \gpr1.dout_i_reg[59]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_54_59_n_4, Q => dout_i(59), R => '0' ); \gpr1.dout_i_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_0_5_n_4, Q => dout_i(5), R => '0' ); \gpr1.dout_i_reg[60]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_60_64_n_1, Q => dout_i(60), R => '0' ); \gpr1.dout_i_reg[61]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_60_64_n_0, Q => dout_i(61), R => '0' ); \gpr1.dout_i_reg[62]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_60_64_n_3, Q => dout_i(62), R => '0' ); \gpr1.dout_i_reg[63]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_60_64_n_2, Q => dout_i(63), R => '0' ); \gpr1.dout_i_reg[64]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_60_64_n_5, Q => dout_i(64), R => '0' ); \gpr1.dout_i_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_6_11_n_1, Q => dout_i(6), R => '0' ); \gpr1.dout_i_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_6_11_n_0, Q => dout_i(7), R => '0' ); \gpr1.dout_i_reg[8]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_6_11_n_3, Q => dout_i(8), R => '0' ); \gpr1.dout_i_reg[9]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_6_11_n_2, Q => dout_i(9), R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity mig_wrap_auto_cc_0_dmem_81 is port ( Q : out STD_LOGIC_VECTOR ( 64 downto 0 ); s_aclk : in STD_LOGIC; E : in STD_LOGIC_VECTOR ( 0 to 0 ); I123 : in STD_LOGIC_VECTOR ( 64 downto 0 ); \gc0.count_d1_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \gic0.gc0.count_d2_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \gpregsm1.curr_fwft_state_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); m_aclk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of mig_wrap_auto_cc_0_dmem_81 : entity is "dmem"; end mig_wrap_auto_cc_0_dmem_81; architecture STRUCTURE of mig_wrap_auto_cc_0_dmem_81 is signal RAM_reg_0_15_0_5_n_0 : STD_LOGIC; signal RAM_reg_0_15_0_5_n_1 : STD_LOGIC; signal RAM_reg_0_15_0_5_n_2 : STD_LOGIC; signal RAM_reg_0_15_0_5_n_3 : STD_LOGIC; signal RAM_reg_0_15_0_5_n_4 : STD_LOGIC; signal RAM_reg_0_15_0_5_n_5 : STD_LOGIC; signal RAM_reg_0_15_12_17_n_0 : STD_LOGIC; signal RAM_reg_0_15_12_17_n_1 : STD_LOGIC; signal RAM_reg_0_15_12_17_n_2 : STD_LOGIC; signal RAM_reg_0_15_12_17_n_3 : STD_LOGIC; signal RAM_reg_0_15_12_17_n_4 : STD_LOGIC; signal RAM_reg_0_15_12_17_n_5 : STD_LOGIC; signal RAM_reg_0_15_18_23_n_0 : STD_LOGIC; signal RAM_reg_0_15_18_23_n_1 : STD_LOGIC; signal RAM_reg_0_15_18_23_n_2 : STD_LOGIC; signal RAM_reg_0_15_18_23_n_3 : STD_LOGIC; signal RAM_reg_0_15_18_23_n_4 : STD_LOGIC; signal RAM_reg_0_15_18_23_n_5 : STD_LOGIC; signal RAM_reg_0_15_24_29_n_0 : STD_LOGIC; signal RAM_reg_0_15_24_29_n_1 : STD_LOGIC; signal RAM_reg_0_15_24_29_n_2 : STD_LOGIC; signal RAM_reg_0_15_24_29_n_3 : STD_LOGIC; signal RAM_reg_0_15_24_29_n_4 : STD_LOGIC; signal RAM_reg_0_15_24_29_n_5 : STD_LOGIC; signal RAM_reg_0_15_30_35_n_0 : STD_LOGIC; signal RAM_reg_0_15_30_35_n_1 : STD_LOGIC; signal RAM_reg_0_15_30_35_n_2 : STD_LOGIC; signal RAM_reg_0_15_30_35_n_3 : STD_LOGIC; signal RAM_reg_0_15_30_35_n_4 : STD_LOGIC; signal RAM_reg_0_15_30_35_n_5 : STD_LOGIC; signal RAM_reg_0_15_36_41_n_0 : STD_LOGIC; signal RAM_reg_0_15_36_41_n_1 : STD_LOGIC; signal RAM_reg_0_15_36_41_n_2 : STD_LOGIC; signal RAM_reg_0_15_36_41_n_3 : STD_LOGIC; signal RAM_reg_0_15_36_41_n_4 : STD_LOGIC; signal RAM_reg_0_15_36_41_n_5 : STD_LOGIC; signal RAM_reg_0_15_42_47_n_0 : STD_LOGIC; signal RAM_reg_0_15_42_47_n_1 : STD_LOGIC; signal RAM_reg_0_15_42_47_n_2 : STD_LOGIC; signal RAM_reg_0_15_42_47_n_3 : STD_LOGIC; signal RAM_reg_0_15_42_47_n_4 : STD_LOGIC; signal RAM_reg_0_15_42_47_n_5 : STD_LOGIC; signal RAM_reg_0_15_48_53_n_0 : STD_LOGIC; signal RAM_reg_0_15_48_53_n_1 : STD_LOGIC; signal RAM_reg_0_15_48_53_n_2 : STD_LOGIC; signal RAM_reg_0_15_48_53_n_3 : STD_LOGIC; signal RAM_reg_0_15_48_53_n_4 : STD_LOGIC; signal RAM_reg_0_15_48_53_n_5 : STD_LOGIC; signal RAM_reg_0_15_54_59_n_0 : STD_LOGIC; signal RAM_reg_0_15_54_59_n_1 : STD_LOGIC; signal RAM_reg_0_15_54_59_n_2 : STD_LOGIC; signal RAM_reg_0_15_54_59_n_3 : STD_LOGIC; signal RAM_reg_0_15_54_59_n_4 : STD_LOGIC; signal RAM_reg_0_15_54_59_n_5 : STD_LOGIC; signal RAM_reg_0_15_60_64_n_0 : STD_LOGIC; signal RAM_reg_0_15_60_64_n_1 : STD_LOGIC; signal RAM_reg_0_15_60_64_n_2 : STD_LOGIC; signal RAM_reg_0_15_60_64_n_3 : STD_LOGIC; signal RAM_reg_0_15_60_64_n_5 : STD_LOGIC; signal RAM_reg_0_15_6_11_n_0 : STD_LOGIC; signal RAM_reg_0_15_6_11_n_1 : STD_LOGIC; signal RAM_reg_0_15_6_11_n_2 : STD_LOGIC; signal RAM_reg_0_15_6_11_n_3 : STD_LOGIC; signal RAM_reg_0_15_6_11_n_4 : STD_LOGIC; signal RAM_reg_0_15_6_11_n_5 : STD_LOGIC; signal NLW_RAM_reg_0_15_0_5_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_12_17_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_18_23_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_24_29_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_30_35_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_36_41_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_42_47_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_48_53_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_54_59_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_60_64_DOC_UNCONNECTED : STD_LOGIC_VECTOR ( 1 to 1 ); signal NLW_RAM_reg_0_15_60_64_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_6_11_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); attribute METHODOLOGY_DRC_VIOS : string; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_0_5 : label is ""; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_12_17 : label is ""; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_18_23 : label is ""; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_24_29 : label is ""; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_30_35 : label is ""; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_36_41 : label is ""; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_42_47 : label is ""; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_48_53 : label is ""; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_54_59 : label is ""; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_60_64 : label is ""; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_6_11 : label is ""; begin RAM_reg_0_15_0_5: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => I123(1 downto 0), DIB(1 downto 0) => I123(3 downto 2), DIC(1 downto 0) => I123(5 downto 4), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_0_5_n_0, DOA(0) => RAM_reg_0_15_0_5_n_1, DOB(1) => RAM_reg_0_15_0_5_n_2, DOB(0) => RAM_reg_0_15_0_5_n_3, DOC(1) => RAM_reg_0_15_0_5_n_4, DOC(0) => RAM_reg_0_15_0_5_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_0_5_DOD_UNCONNECTED(1 downto 0), WCLK => s_aclk, WE => E(0) ); RAM_reg_0_15_12_17: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => I123(13 downto 12), DIB(1 downto 0) => I123(15 downto 14), DIC(1 downto 0) => I123(17 downto 16), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_12_17_n_0, DOA(0) => RAM_reg_0_15_12_17_n_1, DOB(1) => RAM_reg_0_15_12_17_n_2, DOB(0) => RAM_reg_0_15_12_17_n_3, DOC(1) => RAM_reg_0_15_12_17_n_4, DOC(0) => RAM_reg_0_15_12_17_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_12_17_DOD_UNCONNECTED(1 downto 0), WCLK => s_aclk, WE => E(0) ); RAM_reg_0_15_18_23: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => I123(19 downto 18), DIB(1 downto 0) => I123(21 downto 20), DIC(1 downto 0) => I123(23 downto 22), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_18_23_n_0, DOA(0) => RAM_reg_0_15_18_23_n_1, DOB(1) => RAM_reg_0_15_18_23_n_2, DOB(0) => RAM_reg_0_15_18_23_n_3, DOC(1) => RAM_reg_0_15_18_23_n_4, DOC(0) => RAM_reg_0_15_18_23_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_18_23_DOD_UNCONNECTED(1 downto 0), WCLK => s_aclk, WE => E(0) ); RAM_reg_0_15_24_29: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => I123(25 downto 24), DIB(1 downto 0) => I123(27 downto 26), DIC(1 downto 0) => I123(29 downto 28), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_24_29_n_0, DOA(0) => RAM_reg_0_15_24_29_n_1, DOB(1) => RAM_reg_0_15_24_29_n_2, DOB(0) => RAM_reg_0_15_24_29_n_3, DOC(1) => RAM_reg_0_15_24_29_n_4, DOC(0) => RAM_reg_0_15_24_29_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_24_29_DOD_UNCONNECTED(1 downto 0), WCLK => s_aclk, WE => E(0) ); RAM_reg_0_15_30_35: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => I123(31 downto 30), DIB(1 downto 0) => I123(33 downto 32), DIC(1 downto 0) => I123(35 downto 34), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_30_35_n_0, DOA(0) => RAM_reg_0_15_30_35_n_1, DOB(1) => RAM_reg_0_15_30_35_n_2, DOB(0) => RAM_reg_0_15_30_35_n_3, DOC(1) => RAM_reg_0_15_30_35_n_4, DOC(0) => RAM_reg_0_15_30_35_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_30_35_DOD_UNCONNECTED(1 downto 0), WCLK => s_aclk, WE => E(0) ); RAM_reg_0_15_36_41: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => I123(37 downto 36), DIB(1 downto 0) => I123(39 downto 38), DIC(1 downto 0) => I123(41 downto 40), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_36_41_n_0, DOA(0) => RAM_reg_0_15_36_41_n_1, DOB(1) => RAM_reg_0_15_36_41_n_2, DOB(0) => RAM_reg_0_15_36_41_n_3, DOC(1) => RAM_reg_0_15_36_41_n_4, DOC(0) => RAM_reg_0_15_36_41_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_36_41_DOD_UNCONNECTED(1 downto 0), WCLK => s_aclk, WE => E(0) ); RAM_reg_0_15_42_47: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => I123(43 downto 42), DIB(1 downto 0) => I123(45 downto 44), DIC(1 downto 0) => I123(47 downto 46), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_42_47_n_0, DOA(0) => RAM_reg_0_15_42_47_n_1, DOB(1) => RAM_reg_0_15_42_47_n_2, DOB(0) => RAM_reg_0_15_42_47_n_3, DOC(1) => RAM_reg_0_15_42_47_n_4, DOC(0) => RAM_reg_0_15_42_47_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_42_47_DOD_UNCONNECTED(1 downto 0), WCLK => s_aclk, WE => E(0) ); RAM_reg_0_15_48_53: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => I123(49 downto 48), DIB(1 downto 0) => I123(51 downto 50), DIC(1 downto 0) => I123(53 downto 52), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_48_53_n_0, DOA(0) => RAM_reg_0_15_48_53_n_1, DOB(1) => RAM_reg_0_15_48_53_n_2, DOB(0) => RAM_reg_0_15_48_53_n_3, DOC(1) => RAM_reg_0_15_48_53_n_4, DOC(0) => RAM_reg_0_15_48_53_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_48_53_DOD_UNCONNECTED(1 downto 0), WCLK => s_aclk, WE => E(0) ); RAM_reg_0_15_54_59: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => I123(55 downto 54), DIB(1 downto 0) => I123(57 downto 56), DIC(1 downto 0) => I123(59 downto 58), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_54_59_n_0, DOA(0) => RAM_reg_0_15_54_59_n_1, DOB(1) => RAM_reg_0_15_54_59_n_2, DOB(0) => RAM_reg_0_15_54_59_n_3, DOC(1) => RAM_reg_0_15_54_59_n_4, DOC(0) => RAM_reg_0_15_54_59_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_54_59_DOD_UNCONNECTED(1 downto 0), WCLK => s_aclk, WE => E(0) ); RAM_reg_0_15_60_64: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => I123(61 downto 60), DIB(1 downto 0) => I123(63 downto 62), DIC(1) => '0', DIC(0) => I123(64), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_60_64_n_0, DOA(0) => RAM_reg_0_15_60_64_n_1, DOB(1) => RAM_reg_0_15_60_64_n_2, DOB(0) => RAM_reg_0_15_60_64_n_3, DOC(1) => NLW_RAM_reg_0_15_60_64_DOC_UNCONNECTED(1), DOC(0) => RAM_reg_0_15_60_64_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_60_64_DOD_UNCONNECTED(1 downto 0), WCLK => s_aclk, WE => E(0) ); RAM_reg_0_15_6_11: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => I123(7 downto 6), DIB(1 downto 0) => I123(9 downto 8), DIC(1 downto 0) => I123(11 downto 10), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_6_11_n_0, DOA(0) => RAM_reg_0_15_6_11_n_1, DOB(1) => RAM_reg_0_15_6_11_n_2, DOB(0) => RAM_reg_0_15_6_11_n_3, DOC(1) => RAM_reg_0_15_6_11_n_4, DOC(0) => RAM_reg_0_15_6_11_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_6_11_DOD_UNCONNECTED(1 downto 0), WCLK => s_aclk, WE => E(0) ); \gpr1.dout_i_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_0_5_n_1, Q => Q(0), R => '0' ); \gpr1.dout_i_reg[10]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_6_11_n_5, Q => Q(10), R => '0' ); \gpr1.dout_i_reg[11]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_6_11_n_4, Q => Q(11), R => '0' ); \gpr1.dout_i_reg[12]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_12_17_n_1, Q => Q(12), R => '0' ); \gpr1.dout_i_reg[13]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_12_17_n_0, Q => Q(13), R => '0' ); \gpr1.dout_i_reg[14]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_12_17_n_3, Q => Q(14), R => '0' ); \gpr1.dout_i_reg[15]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_12_17_n_2, Q => Q(15), R => '0' ); \gpr1.dout_i_reg[16]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_12_17_n_5, Q => Q(16), R => '0' ); \gpr1.dout_i_reg[17]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_12_17_n_4, Q => Q(17), R => '0' ); \gpr1.dout_i_reg[18]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_18_23_n_1, Q => Q(18), R => '0' ); \gpr1.dout_i_reg[19]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_18_23_n_0, Q => Q(19), R => '0' ); \gpr1.dout_i_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_0_5_n_0, Q => Q(1), R => '0' ); \gpr1.dout_i_reg[20]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_18_23_n_3, Q => Q(20), R => '0' ); \gpr1.dout_i_reg[21]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_18_23_n_2, Q => Q(21), R => '0' ); \gpr1.dout_i_reg[22]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_18_23_n_5, Q => Q(22), R => '0' ); \gpr1.dout_i_reg[23]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_18_23_n_4, Q => Q(23), R => '0' ); \gpr1.dout_i_reg[24]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_24_29_n_1, Q => Q(24), R => '0' ); \gpr1.dout_i_reg[25]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_24_29_n_0, Q => Q(25), R => '0' ); \gpr1.dout_i_reg[26]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_24_29_n_3, Q => Q(26), R => '0' ); \gpr1.dout_i_reg[27]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_24_29_n_2, Q => Q(27), R => '0' ); \gpr1.dout_i_reg[28]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_24_29_n_5, Q => Q(28), R => '0' ); \gpr1.dout_i_reg[29]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_24_29_n_4, Q => Q(29), R => '0' ); \gpr1.dout_i_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_0_5_n_3, Q => Q(2), R => '0' ); \gpr1.dout_i_reg[30]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_30_35_n_1, Q => Q(30), R => '0' ); \gpr1.dout_i_reg[31]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_30_35_n_0, Q => Q(31), R => '0' ); \gpr1.dout_i_reg[32]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_30_35_n_3, Q => Q(32), R => '0' ); \gpr1.dout_i_reg[33]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_30_35_n_2, Q => Q(33), R => '0' ); \gpr1.dout_i_reg[34]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_30_35_n_5, Q => Q(34), R => '0' ); \gpr1.dout_i_reg[35]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_30_35_n_4, Q => Q(35), R => '0' ); \gpr1.dout_i_reg[36]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_36_41_n_1, Q => Q(36), R => '0' ); \gpr1.dout_i_reg[37]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_36_41_n_0, Q => Q(37), R => '0' ); \gpr1.dout_i_reg[38]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_36_41_n_3, Q => Q(38), R => '0' ); \gpr1.dout_i_reg[39]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_36_41_n_2, Q => Q(39), R => '0' ); \gpr1.dout_i_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_0_5_n_2, Q => Q(3), R => '0' ); \gpr1.dout_i_reg[40]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_36_41_n_5, Q => Q(40), R => '0' ); \gpr1.dout_i_reg[41]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_36_41_n_4, Q => Q(41), R => '0' ); \gpr1.dout_i_reg[42]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_42_47_n_1, Q => Q(42), R => '0' ); \gpr1.dout_i_reg[43]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_42_47_n_0, Q => Q(43), R => '0' ); \gpr1.dout_i_reg[44]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_42_47_n_3, Q => Q(44), R => '0' ); \gpr1.dout_i_reg[45]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_42_47_n_2, Q => Q(45), R => '0' ); \gpr1.dout_i_reg[46]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_42_47_n_5, Q => Q(46), R => '0' ); \gpr1.dout_i_reg[47]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_42_47_n_4, Q => Q(47), R => '0' ); \gpr1.dout_i_reg[48]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_48_53_n_1, Q => Q(48), R => '0' ); \gpr1.dout_i_reg[49]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_48_53_n_0, Q => Q(49), R => '0' ); \gpr1.dout_i_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_0_5_n_5, Q => Q(4), R => '0' ); \gpr1.dout_i_reg[50]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_48_53_n_3, Q => Q(50), R => '0' ); \gpr1.dout_i_reg[51]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_48_53_n_2, Q => Q(51), R => '0' ); \gpr1.dout_i_reg[52]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_48_53_n_5, Q => Q(52), R => '0' ); \gpr1.dout_i_reg[53]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_48_53_n_4, Q => Q(53), R => '0' ); \gpr1.dout_i_reg[54]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_54_59_n_1, Q => Q(54), R => '0' ); \gpr1.dout_i_reg[55]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_54_59_n_0, Q => Q(55), R => '0' ); \gpr1.dout_i_reg[56]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_54_59_n_3, Q => Q(56), R => '0' ); \gpr1.dout_i_reg[57]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_54_59_n_2, Q => Q(57), R => '0' ); \gpr1.dout_i_reg[58]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_54_59_n_5, Q => Q(58), R => '0' ); \gpr1.dout_i_reg[59]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_54_59_n_4, Q => Q(59), R => '0' ); \gpr1.dout_i_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_0_5_n_4, Q => Q(5), R => '0' ); \gpr1.dout_i_reg[60]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_60_64_n_1, Q => Q(60), R => '0' ); \gpr1.dout_i_reg[61]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_60_64_n_0, Q => Q(61), R => '0' ); \gpr1.dout_i_reg[62]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_60_64_n_3, Q => Q(62), R => '0' ); \gpr1.dout_i_reg[63]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_60_64_n_2, Q => Q(63), R => '0' ); \gpr1.dout_i_reg[64]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_60_64_n_5, Q => Q(64), R => '0' ); \gpr1.dout_i_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_6_11_n_1, Q => Q(6), R => '0' ); \gpr1.dout_i_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_6_11_n_0, Q => Q(7), R => '0' ); \gpr1.dout_i_reg[8]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_6_11_n_3, Q => Q(8), R => '0' ); \gpr1.dout_i_reg[9]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_6_11_n_2, Q => Q(9), R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \mig_wrap_auto_cc_0_dmem__parameterized0\ is port ( Q : out STD_LOGIC_VECTOR ( 36 downto 0 ); s_aclk : in STD_LOGIC; E : in STD_LOGIC_VECTOR ( 0 to 0 ); I115 : in STD_LOGIC_VECTOR ( 36 downto 0 ); \gc0.count_d1_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \gic0.gc0.count_d2_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \gpregsm1.curr_fwft_state_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); m_aclk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \mig_wrap_auto_cc_0_dmem__parameterized0\ : entity is "dmem"; end \mig_wrap_auto_cc_0_dmem__parameterized0\; architecture STRUCTURE of \mig_wrap_auto_cc_0_dmem__parameterized0\ is signal RAM_reg_0_15_0_5_n_0 : STD_LOGIC; signal RAM_reg_0_15_0_5_n_1 : STD_LOGIC; signal RAM_reg_0_15_0_5_n_2 : STD_LOGIC; signal RAM_reg_0_15_0_5_n_3 : STD_LOGIC; signal RAM_reg_0_15_0_5_n_4 : STD_LOGIC; signal RAM_reg_0_15_0_5_n_5 : STD_LOGIC; signal RAM_reg_0_15_12_17_n_0 : STD_LOGIC; signal RAM_reg_0_15_12_17_n_1 : STD_LOGIC; signal RAM_reg_0_15_12_17_n_2 : STD_LOGIC; signal RAM_reg_0_15_12_17_n_3 : STD_LOGIC; signal RAM_reg_0_15_12_17_n_4 : STD_LOGIC; signal RAM_reg_0_15_12_17_n_5 : STD_LOGIC; signal RAM_reg_0_15_18_23_n_0 : STD_LOGIC; signal RAM_reg_0_15_18_23_n_1 : STD_LOGIC; signal RAM_reg_0_15_18_23_n_2 : STD_LOGIC; signal RAM_reg_0_15_18_23_n_3 : STD_LOGIC; signal RAM_reg_0_15_18_23_n_4 : STD_LOGIC; signal RAM_reg_0_15_18_23_n_5 : STD_LOGIC; signal RAM_reg_0_15_24_29_n_0 : STD_LOGIC; signal RAM_reg_0_15_24_29_n_1 : STD_LOGIC; signal RAM_reg_0_15_24_29_n_2 : STD_LOGIC; signal RAM_reg_0_15_24_29_n_3 : STD_LOGIC; signal RAM_reg_0_15_24_29_n_4 : STD_LOGIC; signal RAM_reg_0_15_24_29_n_5 : STD_LOGIC; signal RAM_reg_0_15_30_35_n_0 : STD_LOGIC; signal RAM_reg_0_15_30_35_n_1 : STD_LOGIC; signal RAM_reg_0_15_30_35_n_2 : STD_LOGIC; signal RAM_reg_0_15_30_35_n_3 : STD_LOGIC; signal RAM_reg_0_15_30_35_n_4 : STD_LOGIC; signal RAM_reg_0_15_30_35_n_5 : STD_LOGIC; signal RAM_reg_0_15_36_36_n_1 : STD_LOGIC; signal RAM_reg_0_15_6_11_n_0 : STD_LOGIC; signal RAM_reg_0_15_6_11_n_1 : STD_LOGIC; signal RAM_reg_0_15_6_11_n_2 : STD_LOGIC; signal RAM_reg_0_15_6_11_n_3 : STD_LOGIC; signal RAM_reg_0_15_6_11_n_4 : STD_LOGIC; signal RAM_reg_0_15_6_11_n_5 : STD_LOGIC; signal NLW_RAM_reg_0_15_0_5_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_12_17_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_18_23_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_24_29_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_30_35_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_36_36_DOA_UNCONNECTED : STD_LOGIC_VECTOR ( 1 to 1 ); signal NLW_RAM_reg_0_15_36_36_DOB_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_36_36_DOC_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_36_36_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_6_11_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); attribute METHODOLOGY_DRC_VIOS : string; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_0_5 : label is ""; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_12_17 : label is ""; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_18_23 : label is ""; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_24_29 : label is ""; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_30_35 : label is ""; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_36_36 : label is ""; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_6_11 : label is ""; begin RAM_reg_0_15_0_5: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => I115(1 downto 0), DIB(1 downto 0) => I115(3 downto 2), DIC(1 downto 0) => I115(5 downto 4), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_0_5_n_0, DOA(0) => RAM_reg_0_15_0_5_n_1, DOB(1) => RAM_reg_0_15_0_5_n_2, DOB(0) => RAM_reg_0_15_0_5_n_3, DOC(1) => RAM_reg_0_15_0_5_n_4, DOC(0) => RAM_reg_0_15_0_5_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_0_5_DOD_UNCONNECTED(1 downto 0), WCLK => s_aclk, WE => E(0) ); RAM_reg_0_15_12_17: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => I115(13 downto 12), DIB(1 downto 0) => I115(15 downto 14), DIC(1 downto 0) => I115(17 downto 16), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_12_17_n_0, DOA(0) => RAM_reg_0_15_12_17_n_1, DOB(1) => RAM_reg_0_15_12_17_n_2, DOB(0) => RAM_reg_0_15_12_17_n_3, DOC(1) => RAM_reg_0_15_12_17_n_4, DOC(0) => RAM_reg_0_15_12_17_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_12_17_DOD_UNCONNECTED(1 downto 0), WCLK => s_aclk, WE => E(0) ); RAM_reg_0_15_18_23: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => I115(19 downto 18), DIB(1 downto 0) => I115(21 downto 20), DIC(1 downto 0) => I115(23 downto 22), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_18_23_n_0, DOA(0) => RAM_reg_0_15_18_23_n_1, DOB(1) => RAM_reg_0_15_18_23_n_2, DOB(0) => RAM_reg_0_15_18_23_n_3, DOC(1) => RAM_reg_0_15_18_23_n_4, DOC(0) => RAM_reg_0_15_18_23_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_18_23_DOD_UNCONNECTED(1 downto 0), WCLK => s_aclk, WE => E(0) ); RAM_reg_0_15_24_29: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => I115(25 downto 24), DIB(1 downto 0) => I115(27 downto 26), DIC(1 downto 0) => I115(29 downto 28), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_24_29_n_0, DOA(0) => RAM_reg_0_15_24_29_n_1, DOB(1) => RAM_reg_0_15_24_29_n_2, DOB(0) => RAM_reg_0_15_24_29_n_3, DOC(1) => RAM_reg_0_15_24_29_n_4, DOC(0) => RAM_reg_0_15_24_29_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_24_29_DOD_UNCONNECTED(1 downto 0), WCLK => s_aclk, WE => E(0) ); RAM_reg_0_15_30_35: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => I115(31 downto 30), DIB(1 downto 0) => I115(33 downto 32), DIC(1 downto 0) => I115(35 downto 34), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_30_35_n_0, DOA(0) => RAM_reg_0_15_30_35_n_1, DOB(1) => RAM_reg_0_15_30_35_n_2, DOB(0) => RAM_reg_0_15_30_35_n_3, DOC(1) => RAM_reg_0_15_30_35_n_4, DOC(0) => RAM_reg_0_15_30_35_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_30_35_DOD_UNCONNECTED(1 downto 0), WCLK => s_aclk, WE => E(0) ); RAM_reg_0_15_36_36: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1) => '0', DIA(0) => I115(36), DIB(1 downto 0) => B"00", DIC(1 downto 0) => B"00", DID(1 downto 0) => B"00", DOA(1) => NLW_RAM_reg_0_15_36_36_DOA_UNCONNECTED(1), DOA(0) => RAM_reg_0_15_36_36_n_1, DOB(1 downto 0) => NLW_RAM_reg_0_15_36_36_DOB_UNCONNECTED(1 downto 0), DOC(1 downto 0) => NLW_RAM_reg_0_15_36_36_DOC_UNCONNECTED(1 downto 0), DOD(1 downto 0) => NLW_RAM_reg_0_15_36_36_DOD_UNCONNECTED(1 downto 0), WCLK => s_aclk, WE => E(0) ); RAM_reg_0_15_6_11: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => I115(7 downto 6), DIB(1 downto 0) => I115(9 downto 8), DIC(1 downto 0) => I115(11 downto 10), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_6_11_n_0, DOA(0) => RAM_reg_0_15_6_11_n_1, DOB(1) => RAM_reg_0_15_6_11_n_2, DOB(0) => RAM_reg_0_15_6_11_n_3, DOC(1) => RAM_reg_0_15_6_11_n_4, DOC(0) => RAM_reg_0_15_6_11_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_6_11_DOD_UNCONNECTED(1 downto 0), WCLK => s_aclk, WE => E(0) ); \gpr1.dout_i_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_0_5_n_1, Q => Q(0), R => '0' ); \gpr1.dout_i_reg[10]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_6_11_n_5, Q => Q(10), R => '0' ); \gpr1.dout_i_reg[11]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_6_11_n_4, Q => Q(11), R => '0' ); \gpr1.dout_i_reg[12]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_12_17_n_1, Q => Q(12), R => '0' ); \gpr1.dout_i_reg[13]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_12_17_n_0, Q => Q(13), R => '0' ); \gpr1.dout_i_reg[14]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_12_17_n_3, Q => Q(14), R => '0' ); \gpr1.dout_i_reg[15]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_12_17_n_2, Q => Q(15), R => '0' ); \gpr1.dout_i_reg[16]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_12_17_n_5, Q => Q(16), R => '0' ); \gpr1.dout_i_reg[17]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_12_17_n_4, Q => Q(17), R => '0' ); \gpr1.dout_i_reg[18]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_18_23_n_1, Q => Q(18), R => '0' ); \gpr1.dout_i_reg[19]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_18_23_n_0, Q => Q(19), R => '0' ); \gpr1.dout_i_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_0_5_n_0, Q => Q(1), R => '0' ); \gpr1.dout_i_reg[20]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_18_23_n_3, Q => Q(20), R => '0' ); \gpr1.dout_i_reg[21]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_18_23_n_2, Q => Q(21), R => '0' ); \gpr1.dout_i_reg[22]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_18_23_n_5, Q => Q(22), R => '0' ); \gpr1.dout_i_reg[23]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_18_23_n_4, Q => Q(23), R => '0' ); \gpr1.dout_i_reg[24]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_24_29_n_1, Q => Q(24), R => '0' ); \gpr1.dout_i_reg[25]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_24_29_n_0, Q => Q(25), R => '0' ); \gpr1.dout_i_reg[26]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_24_29_n_3, Q => Q(26), R => '0' ); \gpr1.dout_i_reg[27]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_24_29_n_2, Q => Q(27), R => '0' ); \gpr1.dout_i_reg[28]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_24_29_n_5, Q => Q(28), R => '0' ); \gpr1.dout_i_reg[29]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_24_29_n_4, Q => Q(29), R => '0' ); \gpr1.dout_i_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_0_5_n_3, Q => Q(2), R => '0' ); \gpr1.dout_i_reg[30]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_30_35_n_1, Q => Q(30), R => '0' ); \gpr1.dout_i_reg[31]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_30_35_n_0, Q => Q(31), R => '0' ); \gpr1.dout_i_reg[32]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_30_35_n_3, Q => Q(32), R => '0' ); \gpr1.dout_i_reg[33]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_30_35_n_2, Q => Q(33), R => '0' ); \gpr1.dout_i_reg[34]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_30_35_n_5, Q => Q(34), R => '0' ); \gpr1.dout_i_reg[35]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_30_35_n_4, Q => Q(35), R => '0' ); \gpr1.dout_i_reg[36]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_36_36_n_1, Q => Q(36), R => '0' ); \gpr1.dout_i_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_0_5_n_2, Q => Q(3), R => '0' ); \gpr1.dout_i_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_0_5_n_5, Q => Q(4), R => '0' ); \gpr1.dout_i_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_0_5_n_4, Q => Q(5), R => '0' ); \gpr1.dout_i_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_6_11_n_1, Q => Q(6), R => '0' ); \gpr1.dout_i_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_6_11_n_0, Q => Q(7), R => '0' ); \gpr1.dout_i_reg[8]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_6_11_n_3, Q => Q(8), R => '0' ); \gpr1.dout_i_reg[9]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_6_11_n_2, Q => Q(9), R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \mig_wrap_auto_cc_0_dmem__parameterized1\ is port ( Q : out STD_LOGIC_VECTOR ( 5 downto 0 ); m_aclk : in STD_LOGIC; E : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_bid : in STD_LOGIC_VECTOR ( 3 downto 0 ); \gc0.count_d1_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \gic0.gc0.count_d2_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \gpregsm1.curr_fwft_state_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); s_aclk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \mig_wrap_auto_cc_0_dmem__parameterized1\ : entity is "dmem"; end \mig_wrap_auto_cc_0_dmem__parameterized1\; architecture STRUCTURE of \mig_wrap_auto_cc_0_dmem__parameterized1\ is signal RAM_reg_0_15_0_5_n_0 : STD_LOGIC; signal RAM_reg_0_15_0_5_n_1 : STD_LOGIC; signal RAM_reg_0_15_0_5_n_2 : STD_LOGIC; signal RAM_reg_0_15_0_5_n_3 : STD_LOGIC; signal RAM_reg_0_15_0_5_n_4 : STD_LOGIC; signal RAM_reg_0_15_0_5_n_5 : STD_LOGIC; signal NLW_RAM_reg_0_15_0_5_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); attribute METHODOLOGY_DRC_VIOS : string; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_0_5 : label is ""; begin RAM_reg_0_15_0_5: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => m_axi_bresp(1 downto 0), DIB(1 downto 0) => m_axi_bid(1 downto 0), DIC(1 downto 0) => m_axi_bid(3 downto 2), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_0_5_n_0, DOA(0) => RAM_reg_0_15_0_5_n_1, DOB(1) => RAM_reg_0_15_0_5_n_2, DOB(0) => RAM_reg_0_15_0_5_n_3, DOC(1) => RAM_reg_0_15_0_5_n_4, DOC(0) => RAM_reg_0_15_0_5_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_0_5_DOD_UNCONNECTED(1 downto 0), WCLK => m_aclk, WE => E(0) ); \gpr1.dout_i_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_0_5_n_1, Q => Q(0), R => '0' ); \gpr1.dout_i_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_0_5_n_0, Q => Q(1), R => '0' ); \gpr1.dout_i_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_0_5_n_3, Q => Q(2), R => '0' ); \gpr1.dout_i_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_0_5_n_2, Q => Q(3), R => '0' ); \gpr1.dout_i_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_0_5_n_5, Q => Q(4), R => '0' ); \gpr1.dout_i_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_0_5_n_4, Q => Q(5), R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \mig_wrap_auto_cc_0_dmem__parameterized2\ is port ( Q : out STD_LOGIC_VECTOR ( 38 downto 0 ); m_aclk : in STD_LOGIC; E : in STD_LOGIC_VECTOR ( 0 to 0 ); I127 : in STD_LOGIC_VECTOR ( 38 downto 0 ); \gc0.count_d1_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \gic0.gc0.count_d2_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \gpregsm1.curr_fwft_state_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); s_aclk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \mig_wrap_auto_cc_0_dmem__parameterized2\ : entity is "dmem"; end \mig_wrap_auto_cc_0_dmem__parameterized2\; architecture STRUCTURE of \mig_wrap_auto_cc_0_dmem__parameterized2\ is signal RAM_reg_0_15_0_5_n_0 : STD_LOGIC; signal RAM_reg_0_15_0_5_n_1 : STD_LOGIC; signal RAM_reg_0_15_0_5_n_2 : STD_LOGIC; signal RAM_reg_0_15_0_5_n_3 : STD_LOGIC; signal RAM_reg_0_15_0_5_n_4 : STD_LOGIC; signal RAM_reg_0_15_0_5_n_5 : STD_LOGIC; signal RAM_reg_0_15_12_17_n_0 : STD_LOGIC; signal RAM_reg_0_15_12_17_n_1 : STD_LOGIC; signal RAM_reg_0_15_12_17_n_2 : STD_LOGIC; signal RAM_reg_0_15_12_17_n_3 : STD_LOGIC; signal RAM_reg_0_15_12_17_n_4 : STD_LOGIC; signal RAM_reg_0_15_12_17_n_5 : STD_LOGIC; signal RAM_reg_0_15_18_23_n_0 : STD_LOGIC; signal RAM_reg_0_15_18_23_n_1 : STD_LOGIC; signal RAM_reg_0_15_18_23_n_2 : STD_LOGIC; signal RAM_reg_0_15_18_23_n_3 : STD_LOGIC; signal RAM_reg_0_15_18_23_n_4 : STD_LOGIC; signal RAM_reg_0_15_18_23_n_5 : STD_LOGIC; signal RAM_reg_0_15_24_29_n_0 : STD_LOGIC; signal RAM_reg_0_15_24_29_n_1 : STD_LOGIC; signal RAM_reg_0_15_24_29_n_2 : STD_LOGIC; signal RAM_reg_0_15_24_29_n_3 : STD_LOGIC; signal RAM_reg_0_15_24_29_n_4 : STD_LOGIC; signal RAM_reg_0_15_24_29_n_5 : STD_LOGIC; signal RAM_reg_0_15_30_35_n_0 : STD_LOGIC; signal RAM_reg_0_15_30_35_n_1 : STD_LOGIC; signal RAM_reg_0_15_30_35_n_2 : STD_LOGIC; signal RAM_reg_0_15_30_35_n_3 : STD_LOGIC; signal RAM_reg_0_15_30_35_n_4 : STD_LOGIC; signal RAM_reg_0_15_30_35_n_5 : STD_LOGIC; signal RAM_reg_0_15_36_38_n_0 : STD_LOGIC; signal RAM_reg_0_15_36_38_n_1 : STD_LOGIC; signal RAM_reg_0_15_36_38_n_3 : STD_LOGIC; signal RAM_reg_0_15_6_11_n_0 : STD_LOGIC; signal RAM_reg_0_15_6_11_n_1 : STD_LOGIC; signal RAM_reg_0_15_6_11_n_2 : STD_LOGIC; signal RAM_reg_0_15_6_11_n_3 : STD_LOGIC; signal RAM_reg_0_15_6_11_n_4 : STD_LOGIC; signal RAM_reg_0_15_6_11_n_5 : STD_LOGIC; signal NLW_RAM_reg_0_15_0_5_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_12_17_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_18_23_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_24_29_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_30_35_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_36_38_DOB_UNCONNECTED : STD_LOGIC_VECTOR ( 1 to 1 ); signal NLW_RAM_reg_0_15_36_38_DOC_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_36_38_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); signal NLW_RAM_reg_0_15_6_11_DOD_UNCONNECTED : STD_LOGIC_VECTOR ( 1 downto 0 ); attribute METHODOLOGY_DRC_VIOS : string; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_0_5 : label is ""; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_12_17 : label is ""; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_18_23 : label is ""; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_24_29 : label is ""; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_30_35 : label is ""; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_36_38 : label is ""; attribute METHODOLOGY_DRC_VIOS of RAM_reg_0_15_6_11 : label is ""; begin RAM_reg_0_15_0_5: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => I127(1 downto 0), DIB(1 downto 0) => I127(3 downto 2), DIC(1 downto 0) => I127(5 downto 4), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_0_5_n_0, DOA(0) => RAM_reg_0_15_0_5_n_1, DOB(1) => RAM_reg_0_15_0_5_n_2, DOB(0) => RAM_reg_0_15_0_5_n_3, DOC(1) => RAM_reg_0_15_0_5_n_4, DOC(0) => RAM_reg_0_15_0_5_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_0_5_DOD_UNCONNECTED(1 downto 0), WCLK => m_aclk, WE => E(0) ); RAM_reg_0_15_12_17: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => I127(13 downto 12), DIB(1 downto 0) => I127(15 downto 14), DIC(1 downto 0) => I127(17 downto 16), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_12_17_n_0, DOA(0) => RAM_reg_0_15_12_17_n_1, DOB(1) => RAM_reg_0_15_12_17_n_2, DOB(0) => RAM_reg_0_15_12_17_n_3, DOC(1) => RAM_reg_0_15_12_17_n_4, DOC(0) => RAM_reg_0_15_12_17_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_12_17_DOD_UNCONNECTED(1 downto 0), WCLK => m_aclk, WE => E(0) ); RAM_reg_0_15_18_23: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => I127(19 downto 18), DIB(1 downto 0) => I127(21 downto 20), DIC(1 downto 0) => I127(23 downto 22), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_18_23_n_0, DOA(0) => RAM_reg_0_15_18_23_n_1, DOB(1) => RAM_reg_0_15_18_23_n_2, DOB(0) => RAM_reg_0_15_18_23_n_3, DOC(1) => RAM_reg_0_15_18_23_n_4, DOC(0) => RAM_reg_0_15_18_23_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_18_23_DOD_UNCONNECTED(1 downto 0), WCLK => m_aclk, WE => E(0) ); RAM_reg_0_15_24_29: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => I127(25 downto 24), DIB(1 downto 0) => I127(27 downto 26), DIC(1 downto 0) => I127(29 downto 28), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_24_29_n_0, DOA(0) => RAM_reg_0_15_24_29_n_1, DOB(1) => RAM_reg_0_15_24_29_n_2, DOB(0) => RAM_reg_0_15_24_29_n_3, DOC(1) => RAM_reg_0_15_24_29_n_4, DOC(0) => RAM_reg_0_15_24_29_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_24_29_DOD_UNCONNECTED(1 downto 0), WCLK => m_aclk, WE => E(0) ); RAM_reg_0_15_30_35: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => I127(31 downto 30), DIB(1 downto 0) => I127(33 downto 32), DIC(1 downto 0) => I127(35 downto 34), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_30_35_n_0, DOA(0) => RAM_reg_0_15_30_35_n_1, DOB(1) => RAM_reg_0_15_30_35_n_2, DOB(0) => RAM_reg_0_15_30_35_n_3, DOC(1) => RAM_reg_0_15_30_35_n_4, DOC(0) => RAM_reg_0_15_30_35_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_30_35_DOD_UNCONNECTED(1 downto 0), WCLK => m_aclk, WE => E(0) ); RAM_reg_0_15_36_38: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => I127(37 downto 36), DIB(1) => '0', DIB(0) => I127(38), DIC(1 downto 0) => B"00", DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_36_38_n_0, DOA(0) => RAM_reg_0_15_36_38_n_1, DOB(1) => NLW_RAM_reg_0_15_36_38_DOB_UNCONNECTED(1), DOB(0) => RAM_reg_0_15_36_38_n_3, DOC(1 downto 0) => NLW_RAM_reg_0_15_36_38_DOC_UNCONNECTED(1 downto 0), DOD(1 downto 0) => NLW_RAM_reg_0_15_36_38_DOD_UNCONNECTED(1 downto 0), WCLK => m_aclk, WE => E(0) ); RAM_reg_0_15_6_11: unisim.vcomponents.RAM32M port map ( ADDRA(4) => '0', ADDRA(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRB(4) => '0', ADDRB(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRC(4) => '0', ADDRC(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), ADDRD(4) => '0', ADDRD(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), DIA(1 downto 0) => I127(7 downto 6), DIB(1 downto 0) => I127(9 downto 8), DIC(1 downto 0) => I127(11 downto 10), DID(1 downto 0) => B"00", DOA(1) => RAM_reg_0_15_6_11_n_0, DOA(0) => RAM_reg_0_15_6_11_n_1, DOB(1) => RAM_reg_0_15_6_11_n_2, DOB(0) => RAM_reg_0_15_6_11_n_3, DOC(1) => RAM_reg_0_15_6_11_n_4, DOC(0) => RAM_reg_0_15_6_11_n_5, DOD(1 downto 0) => NLW_RAM_reg_0_15_6_11_DOD_UNCONNECTED(1 downto 0), WCLK => m_aclk, WE => E(0) ); \gpr1.dout_i_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_0_5_n_1, Q => Q(0), R => '0' ); \gpr1.dout_i_reg[10]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_6_11_n_5, Q => Q(10), R => '0' ); \gpr1.dout_i_reg[11]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_6_11_n_4, Q => Q(11), R => '0' ); \gpr1.dout_i_reg[12]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_12_17_n_1, Q => Q(12), R => '0' ); \gpr1.dout_i_reg[13]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_12_17_n_0, Q => Q(13), R => '0' ); \gpr1.dout_i_reg[14]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_12_17_n_3, Q => Q(14), R => '0' ); \gpr1.dout_i_reg[15]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_12_17_n_2, Q => Q(15), R => '0' ); \gpr1.dout_i_reg[16]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_12_17_n_5, Q => Q(16), R => '0' ); \gpr1.dout_i_reg[17]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_12_17_n_4, Q => Q(17), R => '0' ); \gpr1.dout_i_reg[18]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_18_23_n_1, Q => Q(18), R => '0' ); \gpr1.dout_i_reg[19]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_18_23_n_0, Q => Q(19), R => '0' ); \gpr1.dout_i_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_0_5_n_0, Q => Q(1), R => '0' ); \gpr1.dout_i_reg[20]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_18_23_n_3, Q => Q(20), R => '0' ); \gpr1.dout_i_reg[21]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_18_23_n_2, Q => Q(21), R => '0' ); \gpr1.dout_i_reg[22]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_18_23_n_5, Q => Q(22), R => '0' ); \gpr1.dout_i_reg[23]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_18_23_n_4, Q => Q(23), R => '0' ); \gpr1.dout_i_reg[24]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_24_29_n_1, Q => Q(24), R => '0' ); \gpr1.dout_i_reg[25]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_24_29_n_0, Q => Q(25), R => '0' ); \gpr1.dout_i_reg[26]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_24_29_n_3, Q => Q(26), R => '0' ); \gpr1.dout_i_reg[27]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_24_29_n_2, Q => Q(27), R => '0' ); \gpr1.dout_i_reg[28]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_24_29_n_5, Q => Q(28), R => '0' ); \gpr1.dout_i_reg[29]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_24_29_n_4, Q => Q(29), R => '0' ); \gpr1.dout_i_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_0_5_n_3, Q => Q(2), R => '0' ); \gpr1.dout_i_reg[30]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_30_35_n_1, Q => Q(30), R => '0' ); \gpr1.dout_i_reg[31]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_30_35_n_0, Q => Q(31), R => '0' ); \gpr1.dout_i_reg[32]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_30_35_n_3, Q => Q(32), R => '0' ); \gpr1.dout_i_reg[33]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_30_35_n_2, Q => Q(33), R => '0' ); \gpr1.dout_i_reg[34]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_30_35_n_5, Q => Q(34), R => '0' ); \gpr1.dout_i_reg[35]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_30_35_n_4, Q => Q(35), R => '0' ); \gpr1.dout_i_reg[36]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_36_38_n_1, Q => Q(36), R => '0' ); \gpr1.dout_i_reg[37]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_36_38_n_0, Q => Q(37), R => '0' ); \gpr1.dout_i_reg[38]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_36_38_n_3, Q => Q(38), R => '0' ); \gpr1.dout_i_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_0_5_n_2, Q => Q(3), R => '0' ); \gpr1.dout_i_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_0_5_n_5, Q => Q(4), R => '0' ); \gpr1.dout_i_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_0_5_n_4, Q => Q(5), R => '0' ); \gpr1.dout_i_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_6_11_n_1, Q => Q(6), R => '0' ); \gpr1.dout_i_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_6_11_n_0, Q => Q(7), R => '0' ); \gpr1.dout_i_reg[8]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_6_11_n_3, Q => Q(8), R => '0' ); \gpr1.dout_i_reg[9]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \gpregsm1.curr_fwft_state_reg[1]\(0), D => RAM_reg_0_15_6_11_n_2, Q => Q(9), R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity mig_wrap_auto_cc_0_rd_bin_cntr is port ( Q : out STD_LOGIC_VECTOR ( 3 downto 0 ); ram_empty_i_reg : out STD_LOGIC; D : out STD_LOGIC_VECTOR ( 2 downto 0 ); \gnxpm_cdc.rd_pntr_gc_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \gnxpm_cdc.wr_pntr_bin_reg[2]\ : in STD_LOGIC; \gpregsm1.curr_fwft_state_reg[1]\ : in STD_LOGIC; \gnxpm_cdc.wr_pntr_bin_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); E : in STD_LOGIC_VECTOR ( 0 to 0 ); s_aclk : in STD_LOGIC; \out\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); end mig_wrap_auto_cc_0_rd_bin_cntr; architecture STRUCTURE of mig_wrap_auto_cc_0_rd_bin_cntr is signal \^q\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \^gnxpm_cdc.rd_pntr_gc_reg[3]\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \plusOp__6\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \ram_empty_i_i_2__2_n_0\ : STD_LOGIC; signal \ram_empty_i_i_3__2_n_0\ : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \gc0.count[2]_i_1__2\ : label is "soft_lutpair23"; attribute SOFT_HLUTNM of \gc0.count[3]_i_1__2\ : label is "soft_lutpair23"; attribute SOFT_HLUTNM of \gnxpm_cdc.rd_pntr_gc[0]_i_1__2\ : label is "soft_lutpair22"; attribute SOFT_HLUTNM of \gnxpm_cdc.rd_pntr_gc[2]_i_1__2\ : label is "soft_lutpair21"; attribute SOFT_HLUTNM of \ram_empty_i_i_2__2\ : label is "soft_lutpair21"; attribute SOFT_HLUTNM of \ram_empty_i_i_3__2\ : label is "soft_lutpair22"; begin Q(3 downto 0) <= \^q\(3 downto 0); \gnxpm_cdc.rd_pntr_gc_reg[3]\(3 downto 0) <= \^gnxpm_cdc.rd_pntr_gc_reg[3]\(3 downto 0); \gc0.count[0]_i_1__2\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \^q\(0), O => \plusOp__6\(0) ); \gc0.count[1]_i_1__2\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \^q\(0), I1 => \^q\(1), O => \plusOp__6\(1) ); \gc0.count[2]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"78" ) port map ( I0 => \^q\(1), I1 => \^q\(0), I2 => \^q\(2), O => \plusOp__6\(2) ); \gc0.count[3]_i_1__2\: unisim.vcomponents.LUT4 generic map( INIT => X"7F80" ) port map ( I0 => \^q\(2), I1 => \^q\(0), I2 => \^q\(1), I3 => \^q\(3), O => \plusOp__6\(3) ); \gc0.count_d1_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => \out\(0), D => \^q\(0), Q => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(0) ); \gc0.count_d1_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => \out\(0), D => \^q\(1), Q => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(1) ); \gc0.count_d1_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => \out\(0), D => \^q\(2), Q => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(2) ); \gc0.count_d1_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => \out\(0), D => \^q\(3), Q => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(3) ); \gc0.count_reg[0]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => E(0), D => \plusOp__6\(0), PRE => \out\(0), Q => \^q\(0) ); \gc0.count_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => \out\(0), D => \plusOp__6\(1), Q => \^q\(1) ); \gc0.count_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => \out\(0), D => \plusOp__6\(2), Q => \^q\(2) ); \gc0.count_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => \out\(0), D => \plusOp__6\(3), Q => \^q\(3) ); \gnxpm_cdc.rd_pntr_gc[0]_i_1__2\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(0), I1 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(1), O => D(0) ); \gnxpm_cdc.rd_pntr_gc[1]_i_1__2\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(1), I1 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(2), O => D(1) ); \gnxpm_cdc.rd_pntr_gc[2]_i_1__2\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(2), I1 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(3), O => D(2) ); \ram_empty_i_i_1__2\: unisim.vcomponents.LUT4 generic map( INIT => X"F888" ) port map ( I0 => \ram_empty_i_i_2__2_n_0\, I1 => \ram_empty_i_i_3__2_n_0\, I2 => \gnxpm_cdc.wr_pntr_bin_reg[2]\, I3 => \gpregsm1.curr_fwft_state_reg[1]\, O => ram_empty_i_reg ); \ram_empty_i_i_2__2\: unisim.vcomponents.LUT4 generic map( INIT => X"9009" ) port map ( I0 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(2), I1 => \gnxpm_cdc.wr_pntr_bin_reg[3]\(2), I2 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(3), I3 => \gnxpm_cdc.wr_pntr_bin_reg[3]\(3), O => \ram_empty_i_i_2__2_n_0\ ); \ram_empty_i_i_3__2\: unisim.vcomponents.LUT4 generic map( INIT => X"9009" ) port map ( I0 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(0), I1 => \gnxpm_cdc.wr_pntr_bin_reg[3]\(0), I2 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(1), I3 => \gnxpm_cdc.wr_pntr_bin_reg[3]\(1), O => \ram_empty_i_i_3__2_n_0\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity mig_wrap_auto_cc_0_rd_bin_cntr_20 is port ( Q : out STD_LOGIC_VECTOR ( 3 downto 0 ); ram_empty_i_reg : out STD_LOGIC; D : out STD_LOGIC_VECTOR ( 2 downto 0 ); \gnxpm_cdc.rd_pntr_gc_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \gnxpm_cdc.wr_pntr_bin_reg[2]\ : in STD_LOGIC; \gpregsm1.curr_fwft_state_reg[1]\ : in STD_LOGIC; \gnxpm_cdc.wr_pntr_bin_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); E : in STD_LOGIC_VECTOR ( 0 to 0 ); m_aclk : in STD_LOGIC; \out\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of mig_wrap_auto_cc_0_rd_bin_cntr_20 : entity is "rd_bin_cntr"; end mig_wrap_auto_cc_0_rd_bin_cntr_20; architecture STRUCTURE of mig_wrap_auto_cc_0_rd_bin_cntr_20 is signal \^q\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \^gnxpm_cdc.rd_pntr_gc_reg[3]\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \plusOp__0\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \ram_empty_i_i_2__0_n_0\ : STD_LOGIC; signal \ram_empty_i_i_3__0_n_0\ : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \gc0.count[2]_i_1__0\ : label is "soft_lutpair18"; attribute SOFT_HLUTNM of \gc0.count[3]_i_1__0\ : label is "soft_lutpair18"; attribute SOFT_HLUTNM of \gnxpm_cdc.rd_pntr_gc[0]_i_1__0\ : label is "soft_lutpair17"; attribute SOFT_HLUTNM of \gnxpm_cdc.rd_pntr_gc[2]_i_1__0\ : label is "soft_lutpair16"; attribute SOFT_HLUTNM of \ram_empty_i_i_2__0\ : label is "soft_lutpair16"; attribute SOFT_HLUTNM of \ram_empty_i_i_3__0\ : label is "soft_lutpair17"; begin Q(3 downto 0) <= \^q\(3 downto 0); \gnxpm_cdc.rd_pntr_gc_reg[3]\(3 downto 0) <= \^gnxpm_cdc.rd_pntr_gc_reg[3]\(3 downto 0); \gc0.count[0]_i_1__0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \^q\(0), O => \plusOp__0\(0) ); \gc0.count[1]_i_1__0\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \^q\(0), I1 => \^q\(1), O => \plusOp__0\(1) ); \gc0.count[2]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"78" ) port map ( I0 => \^q\(1), I1 => \^q\(0), I2 => \^q\(2), O => \plusOp__0\(2) ); \gc0.count[3]_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"7F80" ) port map ( I0 => \^q\(2), I1 => \^q\(0), I2 => \^q\(1), I3 => \^q\(3), O => \plusOp__0\(3) ); \gc0.count_d1_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), CLR => \out\(0), D => \^q\(0), Q => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(0) ); \gc0.count_d1_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), CLR => \out\(0), D => \^q\(1), Q => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(1) ); \gc0.count_d1_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), CLR => \out\(0), D => \^q\(2), Q => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(2) ); \gc0.count_d1_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), CLR => \out\(0), D => \^q\(3), Q => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(3) ); \gc0.count_reg[0]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => E(0), D => \plusOp__0\(0), PRE => \out\(0), Q => \^q\(0) ); \gc0.count_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), CLR => \out\(0), D => \plusOp__0\(1), Q => \^q\(1) ); \gc0.count_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), CLR => \out\(0), D => \plusOp__0\(2), Q => \^q\(2) ); \gc0.count_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), CLR => \out\(0), D => \plusOp__0\(3), Q => \^q\(3) ); \gnxpm_cdc.rd_pntr_gc[0]_i_1__0\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(0), I1 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(1), O => D(0) ); \gnxpm_cdc.rd_pntr_gc[1]_i_1__0\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(1), I1 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(2), O => D(1) ); \gnxpm_cdc.rd_pntr_gc[2]_i_1__0\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(2), I1 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(3), O => D(2) ); \ram_empty_i_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"F888" ) port map ( I0 => \ram_empty_i_i_2__0_n_0\, I1 => \ram_empty_i_i_3__0_n_0\, I2 => \gnxpm_cdc.wr_pntr_bin_reg[2]\, I3 => \gpregsm1.curr_fwft_state_reg[1]\, O => ram_empty_i_reg ); \ram_empty_i_i_2__0\: unisim.vcomponents.LUT4 generic map( INIT => X"9009" ) port map ( I0 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(2), I1 => \gnxpm_cdc.wr_pntr_bin_reg[3]\(2), I2 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(3), I3 => \gnxpm_cdc.wr_pntr_bin_reg[3]\(3), O => \ram_empty_i_i_2__0_n_0\ ); \ram_empty_i_i_3__0\: unisim.vcomponents.LUT4 generic map( INIT => X"9009" ) port map ( I0 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(0), I1 => \gnxpm_cdc.wr_pntr_bin_reg[3]\(0), I2 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(1), I3 => \gnxpm_cdc.wr_pntr_bin_reg[3]\(1), O => \ram_empty_i_i_3__0_n_0\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity mig_wrap_auto_cc_0_rd_bin_cntr_41 is port ( Q : out STD_LOGIC_VECTOR ( 3 downto 0 ); ram_empty_i_reg : out STD_LOGIC; \gnxpm_cdc.rd_pntr_gc_reg[2]\ : out STD_LOGIC_VECTOR ( 2 downto 0 ); \gnxpm_cdc.rd_pntr_gc_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \gnxpm_cdc.wr_pntr_bin_reg[2]\ : in STD_LOGIC; \gpregsm1.curr_fwft_state_reg[1]\ : in STD_LOGIC; \gnxpm_cdc.wr_pntr_bin_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); E : in STD_LOGIC_VECTOR ( 0 to 0 ); m_aclk : in STD_LOGIC; \out\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of mig_wrap_auto_cc_0_rd_bin_cntr_41 : entity is "rd_bin_cntr"; end mig_wrap_auto_cc_0_rd_bin_cntr_41; architecture STRUCTURE of mig_wrap_auto_cc_0_rd_bin_cntr_41 is signal \^q\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \^gnxpm_cdc.rd_pntr_gc_reg[3]\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal plusOp : STD_LOGIC_VECTOR ( 3 downto 0 ); signal ram_empty_i_i_2_n_0 : STD_LOGIC; signal ram_empty_i_i_3_n_0 : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \gc0.count[2]_i_1\ : label is "soft_lutpair13"; attribute SOFT_HLUTNM of \gc0.count[3]_i_1\ : label is "soft_lutpair13"; attribute SOFT_HLUTNM of \gnxpm_cdc.rd_pntr_gc[0]_i_1\ : label is "soft_lutpair12"; attribute SOFT_HLUTNM of \gnxpm_cdc.rd_pntr_gc[2]_i_1\ : label is "soft_lutpair11"; attribute SOFT_HLUTNM of ram_empty_i_i_2 : label is "soft_lutpair11"; attribute SOFT_HLUTNM of ram_empty_i_i_3 : label is "soft_lutpair12"; begin Q(3 downto 0) <= \^q\(3 downto 0); \gnxpm_cdc.rd_pntr_gc_reg[3]\(3 downto 0) <= \^gnxpm_cdc.rd_pntr_gc_reg[3]\(3 downto 0); \gc0.count[0]_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \^q\(0), O => plusOp(0) ); \gc0.count[1]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \^q\(0), I1 => \^q\(1), O => plusOp(1) ); \gc0.count[2]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"78" ) port map ( I0 => \^q\(1), I1 => \^q\(0), I2 => \^q\(2), O => plusOp(2) ); \gc0.count[3]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"7F80" ) port map ( I0 => \^q\(2), I1 => \^q\(0), I2 => \^q\(1), I3 => \^q\(3), O => plusOp(3) ); \gc0.count_d1_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), CLR => \out\(0), D => \^q\(0), Q => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(0) ); \gc0.count_d1_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), CLR => \out\(0), D => \^q\(1), Q => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(1) ); \gc0.count_d1_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), CLR => \out\(0), D => \^q\(2), Q => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(2) ); \gc0.count_d1_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), CLR => \out\(0), D => \^q\(3), Q => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(3) ); \gc0.count_reg[0]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => E(0), D => plusOp(0), PRE => \out\(0), Q => \^q\(0) ); \gc0.count_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), CLR => \out\(0), D => plusOp(1), Q => \^q\(1) ); \gc0.count_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), CLR => \out\(0), D => plusOp(2), Q => \^q\(2) ); \gc0.count_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), CLR => \out\(0), D => plusOp(3), Q => \^q\(3) ); \gnxpm_cdc.rd_pntr_gc[0]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(0), I1 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(1), O => \gnxpm_cdc.rd_pntr_gc_reg[2]\(0) ); \gnxpm_cdc.rd_pntr_gc[1]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(1), I1 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(2), O => \gnxpm_cdc.rd_pntr_gc_reg[2]\(1) ); \gnxpm_cdc.rd_pntr_gc[2]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(2), I1 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(3), O => \gnxpm_cdc.rd_pntr_gc_reg[2]\(2) ); ram_empty_i_i_1: unisim.vcomponents.LUT4 generic map( INIT => X"F888" ) port map ( I0 => ram_empty_i_i_2_n_0, I1 => ram_empty_i_i_3_n_0, I2 => \gnxpm_cdc.wr_pntr_bin_reg[2]\, I3 => \gpregsm1.curr_fwft_state_reg[1]\, O => ram_empty_i_reg ); ram_empty_i_i_2: unisim.vcomponents.LUT4 generic map( INIT => X"9009" ) port map ( I0 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(2), I1 => \gnxpm_cdc.wr_pntr_bin_reg[3]\(2), I2 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(3), I3 => \gnxpm_cdc.wr_pntr_bin_reg[3]\(3), O => ram_empty_i_i_2_n_0 ); ram_empty_i_i_3: unisim.vcomponents.LUT4 generic map( INIT => X"9009" ) port map ( I0 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(0), I1 => \gnxpm_cdc.wr_pntr_bin_reg[3]\(0), I2 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(1), I3 => \gnxpm_cdc.wr_pntr_bin_reg[3]\(1), O => ram_empty_i_i_3_n_0 ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity mig_wrap_auto_cc_0_rd_bin_cntr_62 is port ( Q : out STD_LOGIC_VECTOR ( 3 downto 0 ); ram_empty_i_reg : out STD_LOGIC; D : out STD_LOGIC_VECTOR ( 2 downto 0 ); \gnxpm_cdc.rd_pntr_gc_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \gnxpm_cdc.wr_pntr_bin_reg[2]\ : in STD_LOGIC; \gpregsm1.curr_fwft_state_reg[1]\ : in STD_LOGIC; \gnxpm_cdc.wr_pntr_bin_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); E : in STD_LOGIC_VECTOR ( 0 to 0 ); s_aclk : in STD_LOGIC; \out\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of mig_wrap_auto_cc_0_rd_bin_cntr_62 : entity is "rd_bin_cntr"; end mig_wrap_auto_cc_0_rd_bin_cntr_62; architecture STRUCTURE of mig_wrap_auto_cc_0_rd_bin_cntr_62 is signal \^q\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \^gnxpm_cdc.rd_pntr_gc_reg[3]\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \plusOp__8\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \ram_empty_i_i_2__3_n_0\ : STD_LOGIC; signal \ram_empty_i_i_3__3_n_0\ : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \gc0.count[2]_i_1__3\ : label is "soft_lutpair8"; attribute SOFT_HLUTNM of \gc0.count[3]_i_1__3\ : label is "soft_lutpair8"; attribute SOFT_HLUTNM of \gnxpm_cdc.rd_pntr_gc[0]_i_1__3\ : label is "soft_lutpair7"; attribute SOFT_HLUTNM of \gnxpm_cdc.rd_pntr_gc[2]_i_1__3\ : label is "soft_lutpair6"; attribute SOFT_HLUTNM of \ram_empty_i_i_2__3\ : label is "soft_lutpair6"; attribute SOFT_HLUTNM of \ram_empty_i_i_3__3\ : label is "soft_lutpair7"; begin Q(3 downto 0) <= \^q\(3 downto 0); \gnxpm_cdc.rd_pntr_gc_reg[3]\(3 downto 0) <= \^gnxpm_cdc.rd_pntr_gc_reg[3]\(3 downto 0); \gc0.count[0]_i_1__3\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \^q\(0), O => \plusOp__8\(0) ); \gc0.count[1]_i_1__3\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \^q\(0), I1 => \^q\(1), O => \plusOp__8\(1) ); \gc0.count[2]_i_1__3\: unisim.vcomponents.LUT3 generic map( INIT => X"78" ) port map ( I0 => \^q\(1), I1 => \^q\(0), I2 => \^q\(2), O => \plusOp__8\(2) ); \gc0.count[3]_i_1__3\: unisim.vcomponents.LUT4 generic map( INIT => X"7F80" ) port map ( I0 => \^q\(2), I1 => \^q\(0), I2 => \^q\(1), I3 => \^q\(3), O => \plusOp__8\(3) ); \gc0.count_d1_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => \out\(0), D => \^q\(0), Q => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(0) ); \gc0.count_d1_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => \out\(0), D => \^q\(1), Q => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(1) ); \gc0.count_d1_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => \out\(0), D => \^q\(2), Q => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(2) ); \gc0.count_d1_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => \out\(0), D => \^q\(3), Q => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(3) ); \gc0.count_reg[0]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => E(0), D => \plusOp__8\(0), PRE => \out\(0), Q => \^q\(0) ); \gc0.count_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => \out\(0), D => \plusOp__8\(1), Q => \^q\(1) ); \gc0.count_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => \out\(0), D => \plusOp__8\(2), Q => \^q\(2) ); \gc0.count_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => \out\(0), D => \plusOp__8\(3), Q => \^q\(3) ); \gnxpm_cdc.rd_pntr_gc[0]_i_1__3\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(0), I1 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(1), O => D(0) ); \gnxpm_cdc.rd_pntr_gc[1]_i_1__3\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(1), I1 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(2), O => D(1) ); \gnxpm_cdc.rd_pntr_gc[2]_i_1__3\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(2), I1 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(3), O => D(2) ); \ram_empty_i_i_1__3\: unisim.vcomponents.LUT4 generic map( INIT => X"F888" ) port map ( I0 => \ram_empty_i_i_2__3_n_0\, I1 => \ram_empty_i_i_3__3_n_0\, I2 => \gnxpm_cdc.wr_pntr_bin_reg[2]\, I3 => \gpregsm1.curr_fwft_state_reg[1]\, O => ram_empty_i_reg ); \ram_empty_i_i_2__3\: unisim.vcomponents.LUT4 generic map( INIT => X"9009" ) port map ( I0 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(2), I1 => \gnxpm_cdc.wr_pntr_bin_reg[3]\(2), I2 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(3), I3 => \gnxpm_cdc.wr_pntr_bin_reg[3]\(3), O => \ram_empty_i_i_2__3_n_0\ ); \ram_empty_i_i_3__3\: unisim.vcomponents.LUT4 generic map( INIT => X"9009" ) port map ( I0 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(0), I1 => \gnxpm_cdc.wr_pntr_bin_reg[3]\(0), I2 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(1), I3 => \gnxpm_cdc.wr_pntr_bin_reg[3]\(1), O => \ram_empty_i_i_3__3_n_0\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity mig_wrap_auto_cc_0_rd_bin_cntr_86 is port ( Q : out STD_LOGIC_VECTOR ( 3 downto 0 ); ram_empty_i_reg : out STD_LOGIC; D : out STD_LOGIC_VECTOR ( 2 downto 0 ); \gnxpm_cdc.rd_pntr_gc_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \gnxpm_cdc.wr_pntr_bin_reg[2]\ : in STD_LOGIC; \gpregsm1.curr_fwft_state_reg[1]\ : in STD_LOGIC; \gnxpm_cdc.wr_pntr_bin_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); E : in STD_LOGIC_VECTOR ( 0 to 0 ); m_aclk : in STD_LOGIC; \out\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of mig_wrap_auto_cc_0_rd_bin_cntr_86 : entity is "rd_bin_cntr"; end mig_wrap_auto_cc_0_rd_bin_cntr_86; architecture STRUCTURE of mig_wrap_auto_cc_0_rd_bin_cntr_86 is signal \^q\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \^gnxpm_cdc.rd_pntr_gc_reg[3]\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \plusOp__2\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \ram_empty_i_i_2__1_n_0\ : STD_LOGIC; signal \ram_empty_i_i_3__1_n_0\ : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \gc0.count[2]_i_1__1\ : label is "soft_lutpair3"; attribute SOFT_HLUTNM of \gc0.count[3]_i_1__1\ : label is "soft_lutpair3"; attribute SOFT_HLUTNM of \gnxpm_cdc.rd_pntr_gc[0]_i_1__1\ : label is "soft_lutpair2"; attribute SOFT_HLUTNM of \gnxpm_cdc.rd_pntr_gc[2]_i_1__1\ : label is "soft_lutpair1"; attribute SOFT_HLUTNM of \ram_empty_i_i_2__1\ : label is "soft_lutpair1"; attribute SOFT_HLUTNM of \ram_empty_i_i_3__1\ : label is "soft_lutpair2"; begin Q(3 downto 0) <= \^q\(3 downto 0); \gnxpm_cdc.rd_pntr_gc_reg[3]\(3 downto 0) <= \^gnxpm_cdc.rd_pntr_gc_reg[3]\(3 downto 0); \gc0.count[0]_i_1__1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \^q\(0), O => \plusOp__2\(0) ); \gc0.count[1]_i_1__1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \^q\(0), I1 => \^q\(1), O => \plusOp__2\(1) ); \gc0.count[2]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"78" ) port map ( I0 => \^q\(1), I1 => \^q\(0), I2 => \^q\(2), O => \plusOp__2\(2) ); \gc0.count[3]_i_1__1\: unisim.vcomponents.LUT4 generic map( INIT => X"7F80" ) port map ( I0 => \^q\(2), I1 => \^q\(0), I2 => \^q\(1), I3 => \^q\(3), O => \plusOp__2\(3) ); \gc0.count_d1_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), CLR => \out\(0), D => \^q\(0), Q => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(0) ); \gc0.count_d1_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), CLR => \out\(0), D => \^q\(1), Q => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(1) ); \gc0.count_d1_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), CLR => \out\(0), D => \^q\(2), Q => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(2) ); \gc0.count_d1_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), CLR => \out\(0), D => \^q\(3), Q => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(3) ); \gc0.count_reg[0]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => E(0), D => \plusOp__2\(0), PRE => \out\(0), Q => \^q\(0) ); \gc0.count_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), CLR => \out\(0), D => \plusOp__2\(1), Q => \^q\(1) ); \gc0.count_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), CLR => \out\(0), D => \plusOp__2\(2), Q => \^q\(2) ); \gc0.count_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), CLR => \out\(0), D => \plusOp__2\(3), Q => \^q\(3) ); \gnxpm_cdc.rd_pntr_gc[0]_i_1__1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(0), I1 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(1), O => D(0) ); \gnxpm_cdc.rd_pntr_gc[1]_i_1__1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(1), I1 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(2), O => D(1) ); \gnxpm_cdc.rd_pntr_gc[2]_i_1__1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(2), I1 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(3), O => D(2) ); \ram_empty_i_i_1__1\: unisim.vcomponents.LUT4 generic map( INIT => X"F888" ) port map ( I0 => \ram_empty_i_i_2__1_n_0\, I1 => \ram_empty_i_i_3__1_n_0\, I2 => \gnxpm_cdc.wr_pntr_bin_reg[2]\, I3 => \gpregsm1.curr_fwft_state_reg[1]\, O => ram_empty_i_reg ); \ram_empty_i_i_2__1\: unisim.vcomponents.LUT4 generic map( INIT => X"9009" ) port map ( I0 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(2), I1 => \gnxpm_cdc.wr_pntr_bin_reg[3]\(2), I2 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(3), I3 => \gnxpm_cdc.wr_pntr_bin_reg[3]\(3), O => \ram_empty_i_i_2__1_n_0\ ); \ram_empty_i_i_3__1\: unisim.vcomponents.LUT4 generic map( INIT => X"9009" ) port map ( I0 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(0), I1 => \gnxpm_cdc.wr_pntr_bin_reg[3]\(0), I2 => \^gnxpm_cdc.rd_pntr_gc_reg[3]\(1), I3 => \gnxpm_cdc.wr_pntr_bin_reg[3]\(1), O => \ram_empty_i_i_3__1_n_0\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity mig_wrap_auto_cc_0_rd_fwft is port ( ram_empty_i_reg : out STD_LOGIC; E : out STD_LOGIC_VECTOR ( 0 to 0 ); \goreg_dm.dout_i_reg[5]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_bvalid : out STD_LOGIC; s_aclk : in STD_LOGIC; \out\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_bready : in STD_LOGIC; ram_empty_fb_i_reg : in STD_LOGIC; \gnxpm_cdc.wr_pntr_bin_reg[3]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); Q : in STD_LOGIC_VECTOR ( 0 to 0 ) ); end mig_wrap_auto_cc_0_rd_fwft; architecture STRUCTURE of mig_wrap_auto_cc_0_rd_fwft is signal aempty_fwft_fb_i : STD_LOGIC; attribute DONT_TOUCH : boolean; attribute DONT_TOUCH of aempty_fwft_fb_i : signal is std.standard.true; signal aempty_fwft_i : STD_LOGIC; attribute DONT_TOUCH of aempty_fwft_i : signal is std.standard.true; signal aempty_fwft_i0 : STD_LOGIC; signal curr_fwft_state : STD_LOGIC_VECTOR ( 1 downto 0 ); attribute DONT_TOUCH of curr_fwft_state : signal is std.standard.true; signal empty_fwft_fb_i : STD_LOGIC; attribute DONT_TOUCH of empty_fwft_fb_i : signal is std.standard.true; signal empty_fwft_fb_o_i : STD_LOGIC; attribute DONT_TOUCH of empty_fwft_fb_o_i : signal is std.standard.true; signal empty_fwft_fb_o_i0 : STD_LOGIC; signal empty_fwft_i : STD_LOGIC; attribute DONT_TOUCH of empty_fwft_i : signal is std.standard.true; signal empty_fwft_i0 : STD_LOGIC; signal next_fwft_state : STD_LOGIC_VECTOR ( 1 downto 0 ); signal user_valid : STD_LOGIC; attribute DONT_TOUCH of user_valid : signal is std.standard.true; attribute DONT_TOUCH of aempty_fwft_fb_i_reg : label is std.standard.true; attribute KEEP : string; attribute KEEP of aempty_fwft_fb_i_reg : label is "yes"; attribute equivalent_register_removal : string; attribute equivalent_register_removal of aempty_fwft_fb_i_reg : label is "no"; attribute DONT_TOUCH of aempty_fwft_i_reg : label is std.standard.true; attribute KEEP of aempty_fwft_i_reg : label is "yes"; attribute equivalent_register_removal of aempty_fwft_i_reg : label is "no"; attribute DONT_TOUCH of empty_fwft_fb_i_reg : label is std.standard.true; attribute KEEP of empty_fwft_fb_i_reg : label is "yes"; attribute equivalent_register_removal of empty_fwft_fb_i_reg : label is "no"; attribute DONT_TOUCH of empty_fwft_fb_o_i_reg : label is std.standard.true; attribute KEEP of empty_fwft_fb_o_i_reg : label is "yes"; attribute equivalent_register_removal of empty_fwft_fb_o_i_reg : label is "no"; attribute DONT_TOUCH of empty_fwft_i_reg : label is std.standard.true; attribute KEEP of empty_fwft_i_reg : label is "yes"; attribute equivalent_register_removal of empty_fwft_i_reg : label is "no"; attribute DONT_TOUCH of \gpregsm1.curr_fwft_state_reg[0]\ : label is std.standard.true; attribute KEEP of \gpregsm1.curr_fwft_state_reg[0]\ : label is "yes"; attribute equivalent_register_removal of \gpregsm1.curr_fwft_state_reg[0]\ : label is "no"; attribute DONT_TOUCH of \gpregsm1.curr_fwft_state_reg[1]\ : label is std.standard.true; attribute KEEP of \gpregsm1.curr_fwft_state_reg[1]\ : label is "yes"; attribute equivalent_register_removal of \gpregsm1.curr_fwft_state_reg[1]\ : label is "no"; attribute DONT_TOUCH of \gpregsm1.user_valid_reg\ : label is std.standard.true; attribute KEEP of \gpregsm1.user_valid_reg\ : label is "yes"; attribute equivalent_register_removal of \gpregsm1.user_valid_reg\ : label is "no"; begin \aempty_fwft_fb_i_i_1__2\: unisim.vcomponents.LUT5 generic map( INIT => X"FAEF8000" ) port map ( I0 => ram_empty_fb_i_reg, I1 => s_axi_bready, I2 => curr_fwft_state(0), I3 => curr_fwft_state(1), I4 => aempty_fwft_fb_i, O => aempty_fwft_i0 ); aempty_fwft_fb_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => aempty_fwft_i0, PRE => \out\(1), Q => aempty_fwft_fb_i ); aempty_fwft_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => aempty_fwft_i0, PRE => \out\(1), Q => aempty_fwft_i ); \empty_fwft_fb_i_i_1__2\: unisim.vcomponents.LUT4 generic map( INIT => X"B2A2" ) port map ( I0 => empty_fwft_fb_i, I1 => curr_fwft_state(1), I2 => curr_fwft_state(0), I3 => s_axi_bready, O => empty_fwft_i0 ); empty_fwft_fb_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => empty_fwft_i0, PRE => \out\(1), Q => empty_fwft_fb_i ); \empty_fwft_fb_o_i_i_1__2\: unisim.vcomponents.LUT4 generic map( INIT => X"B2A2" ) port map ( I0 => empty_fwft_fb_o_i, I1 => curr_fwft_state(1), I2 => curr_fwft_state(0), I3 => s_axi_bready, O => empty_fwft_fb_o_i0 ); empty_fwft_fb_o_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => empty_fwft_fb_o_i0, PRE => \out\(1), Q => empty_fwft_fb_o_i ); empty_fwft_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => empty_fwft_i0, PRE => \out\(1), Q => empty_fwft_i ); \gc0.count_d1[3]_i_1__2\: unisim.vcomponents.LUT4 generic map( INIT => X"00DF" ) port map ( I0 => curr_fwft_state(1), I1 => s_axi_bready, I2 => curr_fwft_state(0), I3 => ram_empty_fb_i_reg, O => E(0) ); \goreg_dm.dout_i[5]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"4404" ) port map ( I0 => \out\(0), I1 => curr_fwft_state(1), I2 => curr_fwft_state(0), I3 => s_axi_bready, O => \goreg_dm.dout_i_reg[5]\(0) ); \gpregsm1.curr_fwft_state[0]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"AE" ) port map ( I0 => curr_fwft_state(1), I1 => curr_fwft_state(0), I2 => s_axi_bready, O => next_fwft_state(0) ); \gpregsm1.curr_fwft_state[1]_i_1__2\: unisim.vcomponents.LUT4 generic map( INIT => X"20FF" ) port map ( I0 => curr_fwft_state(1), I1 => s_axi_bready, I2 => curr_fwft_state(0), I3 => ram_empty_fb_i_reg, O => next_fwft_state(1) ); \gpregsm1.curr_fwft_state_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \out\(1), D => next_fwft_state(0), Q => curr_fwft_state(0) ); \gpregsm1.curr_fwft_state_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \out\(1), D => next_fwft_state(1), Q => curr_fwft_state(1) ); \gpregsm1.user_valid_reg\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \out\(1), D => next_fwft_state(0), Q => user_valid ); \ram_empty_i_i_5__2\: unisim.vcomponents.LUT6 generic map( INIT => X"00DF0000000000DF" ) port map ( I0 => curr_fwft_state(1), I1 => s_axi_bready, I2 => curr_fwft_state(0), I3 => ram_empty_fb_i_reg, I4 => \gnxpm_cdc.wr_pntr_bin_reg[3]\(0), I5 => Q(0), O => ram_empty_i_reg ); s_axi_bvalid_INST_0: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => empty_fwft_i, O => s_axi_bvalid ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity mig_wrap_auto_cc_0_rd_fwft_18 is port ( ram_empty_i_reg : out STD_LOGIC; E : out STD_LOGIC_VECTOR ( 0 to 0 ); \goreg_dm.dout_i_reg[36]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_wvalid : out STD_LOGIC; m_aclk : in STD_LOGIC; \out\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_wready : in STD_LOGIC; ram_empty_fb_i_reg : in STD_LOGIC; \gnxpm_cdc.wr_pntr_bin_reg[3]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); Q : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of mig_wrap_auto_cc_0_rd_fwft_18 : entity is "rd_fwft"; end mig_wrap_auto_cc_0_rd_fwft_18; architecture STRUCTURE of mig_wrap_auto_cc_0_rd_fwft_18 is signal aempty_fwft_fb_i : STD_LOGIC; attribute DONT_TOUCH : boolean; attribute DONT_TOUCH of aempty_fwft_fb_i : signal is std.standard.true; signal aempty_fwft_i : STD_LOGIC; attribute DONT_TOUCH of aempty_fwft_i : signal is std.standard.true; signal aempty_fwft_i0 : STD_LOGIC; signal curr_fwft_state : STD_LOGIC_VECTOR ( 1 downto 0 ); attribute DONT_TOUCH of curr_fwft_state : signal is std.standard.true; signal empty_fwft_fb_i : STD_LOGIC; attribute DONT_TOUCH of empty_fwft_fb_i : signal is std.standard.true; signal empty_fwft_fb_o_i : STD_LOGIC; attribute DONT_TOUCH of empty_fwft_fb_o_i : signal is std.standard.true; signal empty_fwft_fb_o_i0 : STD_LOGIC; signal empty_fwft_i : STD_LOGIC; attribute DONT_TOUCH of empty_fwft_i : signal is std.standard.true; signal empty_fwft_i0 : STD_LOGIC; signal next_fwft_state : STD_LOGIC_VECTOR ( 1 downto 0 ); signal user_valid : STD_LOGIC; attribute DONT_TOUCH of user_valid : signal is std.standard.true; attribute DONT_TOUCH of aempty_fwft_fb_i_reg : label is std.standard.true; attribute KEEP : string; attribute KEEP of aempty_fwft_fb_i_reg : label is "yes"; attribute equivalent_register_removal : string; attribute equivalent_register_removal of aempty_fwft_fb_i_reg : label is "no"; attribute DONT_TOUCH of aempty_fwft_i_reg : label is std.standard.true; attribute KEEP of aempty_fwft_i_reg : label is "yes"; attribute equivalent_register_removal of aempty_fwft_i_reg : label is "no"; attribute DONT_TOUCH of empty_fwft_fb_i_reg : label is std.standard.true; attribute KEEP of empty_fwft_fb_i_reg : label is "yes"; attribute equivalent_register_removal of empty_fwft_fb_i_reg : label is "no"; attribute DONT_TOUCH of empty_fwft_fb_o_i_reg : label is std.standard.true; attribute KEEP of empty_fwft_fb_o_i_reg : label is "yes"; attribute equivalent_register_removal of empty_fwft_fb_o_i_reg : label is "no"; attribute DONT_TOUCH of empty_fwft_i_reg : label is std.standard.true; attribute KEEP of empty_fwft_i_reg : label is "yes"; attribute equivalent_register_removal of empty_fwft_i_reg : label is "no"; attribute DONT_TOUCH of \gpregsm1.curr_fwft_state_reg[0]\ : label is std.standard.true; attribute KEEP of \gpregsm1.curr_fwft_state_reg[0]\ : label is "yes"; attribute equivalent_register_removal of \gpregsm1.curr_fwft_state_reg[0]\ : label is "no"; attribute DONT_TOUCH of \gpregsm1.curr_fwft_state_reg[1]\ : label is std.standard.true; attribute KEEP of \gpregsm1.curr_fwft_state_reg[1]\ : label is "yes"; attribute equivalent_register_removal of \gpregsm1.curr_fwft_state_reg[1]\ : label is "no"; attribute DONT_TOUCH of \gpregsm1.user_valid_reg\ : label is std.standard.true; attribute KEEP of \gpregsm1.user_valid_reg\ : label is "yes"; attribute equivalent_register_removal of \gpregsm1.user_valid_reg\ : label is "no"; begin \aempty_fwft_fb_i_i_1__0\: unisim.vcomponents.LUT5 generic map( INIT => X"FAEF8000" ) port map ( I0 => ram_empty_fb_i_reg, I1 => m_axi_wready, I2 => curr_fwft_state(0), I3 => curr_fwft_state(1), I4 => aempty_fwft_fb_i, O => aempty_fwft_i0 ); aempty_fwft_fb_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => aempty_fwft_i0, PRE => \out\(1), Q => aempty_fwft_fb_i ); aempty_fwft_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => aempty_fwft_i0, PRE => \out\(1), Q => aempty_fwft_i ); \empty_fwft_fb_i_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"B2A2" ) port map ( I0 => empty_fwft_fb_i, I1 => curr_fwft_state(1), I2 => curr_fwft_state(0), I3 => m_axi_wready, O => empty_fwft_i0 ); empty_fwft_fb_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => empty_fwft_i0, PRE => \out\(1), Q => empty_fwft_fb_i ); \empty_fwft_fb_o_i_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"B2A2" ) port map ( I0 => empty_fwft_fb_o_i, I1 => curr_fwft_state(1), I2 => curr_fwft_state(0), I3 => m_axi_wready, O => empty_fwft_fb_o_i0 ); empty_fwft_fb_o_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => empty_fwft_fb_o_i0, PRE => \out\(1), Q => empty_fwft_fb_o_i ); empty_fwft_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => empty_fwft_i0, PRE => \out\(1), Q => empty_fwft_i ); \gc0.count_d1[3]_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"00DF" ) port map ( I0 => curr_fwft_state(1), I1 => m_axi_wready, I2 => curr_fwft_state(0), I3 => ram_empty_fb_i_reg, O => E(0) ); \goreg_dm.dout_i[36]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"4404" ) port map ( I0 => \out\(0), I1 => curr_fwft_state(1), I2 => curr_fwft_state(0), I3 => m_axi_wready, O => \goreg_dm.dout_i_reg[36]\(0) ); \gpregsm1.curr_fwft_state[0]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"AE" ) port map ( I0 => curr_fwft_state(1), I1 => curr_fwft_state(0), I2 => m_axi_wready, O => next_fwft_state(0) ); \gpregsm1.curr_fwft_state[1]_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"20FF" ) port map ( I0 => curr_fwft_state(1), I1 => m_axi_wready, I2 => curr_fwft_state(0), I3 => ram_empty_fb_i_reg, O => next_fwft_state(1) ); \gpregsm1.curr_fwft_state_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \out\(1), D => next_fwft_state(0), Q => curr_fwft_state(0) ); \gpregsm1.curr_fwft_state_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \out\(1), D => next_fwft_state(1), Q => curr_fwft_state(1) ); \gpregsm1.user_valid_reg\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \out\(1), D => next_fwft_state(0), Q => user_valid ); m_axi_wvalid_INST_0: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => empty_fwft_i, O => m_axi_wvalid ); \ram_empty_i_i_5__0\: unisim.vcomponents.LUT6 generic map( INIT => X"00DF0000000000DF" ) port map ( I0 => curr_fwft_state(1), I1 => m_axi_wready, I2 => curr_fwft_state(0), I3 => ram_empty_fb_i_reg, I4 => \gnxpm_cdc.wr_pntr_bin_reg[3]\(0), I5 => Q(0), O => ram_empty_i_reg ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity mig_wrap_auto_cc_0_rd_fwft_39 is port ( ram_empty_i_reg : out STD_LOGIC; E : out STD_LOGIC_VECTOR ( 0 to 0 ); \goreg_dm.dout_i_reg[64]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_awvalid : out STD_LOGIC; m_aclk : in STD_LOGIC; \out\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_awready : in STD_LOGIC; ram_empty_fb_i_reg : in STD_LOGIC; \gnxpm_cdc.wr_pntr_bin_reg[3]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); Q : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of mig_wrap_auto_cc_0_rd_fwft_39 : entity is "rd_fwft"; end mig_wrap_auto_cc_0_rd_fwft_39; architecture STRUCTURE of mig_wrap_auto_cc_0_rd_fwft_39 is signal aempty_fwft_fb_i : STD_LOGIC; attribute DONT_TOUCH : boolean; attribute DONT_TOUCH of aempty_fwft_fb_i : signal is std.standard.true; signal aempty_fwft_i : STD_LOGIC; attribute DONT_TOUCH of aempty_fwft_i : signal is std.standard.true; signal aempty_fwft_i0 : STD_LOGIC; signal curr_fwft_state : STD_LOGIC_VECTOR ( 1 downto 0 ); attribute DONT_TOUCH of curr_fwft_state : signal is std.standard.true; signal empty_fwft_fb_i : STD_LOGIC; attribute DONT_TOUCH of empty_fwft_fb_i : signal is std.standard.true; signal empty_fwft_fb_o_i : STD_LOGIC; attribute DONT_TOUCH of empty_fwft_fb_o_i : signal is std.standard.true; signal empty_fwft_fb_o_i0 : STD_LOGIC; signal empty_fwft_i : STD_LOGIC; attribute DONT_TOUCH of empty_fwft_i : signal is std.standard.true; signal empty_fwft_i0 : STD_LOGIC; signal next_fwft_state : STD_LOGIC_VECTOR ( 1 downto 0 ); signal user_valid : STD_LOGIC; attribute DONT_TOUCH of user_valid : signal is std.standard.true; attribute DONT_TOUCH of aempty_fwft_fb_i_reg : label is std.standard.true; attribute KEEP : string; attribute KEEP of aempty_fwft_fb_i_reg : label is "yes"; attribute equivalent_register_removal : string; attribute equivalent_register_removal of aempty_fwft_fb_i_reg : label is "no"; attribute DONT_TOUCH of aempty_fwft_i_reg : label is std.standard.true; attribute KEEP of aempty_fwft_i_reg : label is "yes"; attribute equivalent_register_removal of aempty_fwft_i_reg : label is "no"; attribute DONT_TOUCH of empty_fwft_fb_i_reg : label is std.standard.true; attribute KEEP of empty_fwft_fb_i_reg : label is "yes"; attribute equivalent_register_removal of empty_fwft_fb_i_reg : label is "no"; attribute DONT_TOUCH of empty_fwft_fb_o_i_reg : label is std.standard.true; attribute KEEP of empty_fwft_fb_o_i_reg : label is "yes"; attribute equivalent_register_removal of empty_fwft_fb_o_i_reg : label is "no"; attribute DONT_TOUCH of empty_fwft_i_reg : label is std.standard.true; attribute KEEP of empty_fwft_i_reg : label is "yes"; attribute equivalent_register_removal of empty_fwft_i_reg : label is "no"; attribute DONT_TOUCH of \gpregsm1.curr_fwft_state_reg[0]\ : label is std.standard.true; attribute KEEP of \gpregsm1.curr_fwft_state_reg[0]\ : label is "yes"; attribute equivalent_register_removal of \gpregsm1.curr_fwft_state_reg[0]\ : label is "no"; attribute DONT_TOUCH of \gpregsm1.curr_fwft_state_reg[1]\ : label is std.standard.true; attribute KEEP of \gpregsm1.curr_fwft_state_reg[1]\ : label is "yes"; attribute equivalent_register_removal of \gpregsm1.curr_fwft_state_reg[1]\ : label is "no"; attribute DONT_TOUCH of \gpregsm1.user_valid_reg\ : label is std.standard.true; attribute KEEP of \gpregsm1.user_valid_reg\ : label is "yes"; attribute equivalent_register_removal of \gpregsm1.user_valid_reg\ : label is "no"; begin aempty_fwft_fb_i_i_1: unisim.vcomponents.LUT5 generic map( INIT => X"FAEF8000" ) port map ( I0 => ram_empty_fb_i_reg, I1 => m_axi_awready, I2 => curr_fwft_state(0), I3 => curr_fwft_state(1), I4 => aempty_fwft_fb_i, O => aempty_fwft_i0 ); aempty_fwft_fb_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => aempty_fwft_i0, PRE => \out\(1), Q => aempty_fwft_fb_i ); aempty_fwft_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => aempty_fwft_i0, PRE => \out\(1), Q => aempty_fwft_i ); empty_fwft_fb_i_i_1: unisim.vcomponents.LUT4 generic map( INIT => X"B2A2" ) port map ( I0 => empty_fwft_fb_i, I1 => curr_fwft_state(1), I2 => curr_fwft_state(0), I3 => m_axi_awready, O => empty_fwft_i0 ); empty_fwft_fb_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => empty_fwft_i0, PRE => \out\(1), Q => empty_fwft_fb_i ); empty_fwft_fb_o_i_i_1: unisim.vcomponents.LUT4 generic map( INIT => X"B2A2" ) port map ( I0 => empty_fwft_fb_o_i, I1 => curr_fwft_state(1), I2 => curr_fwft_state(0), I3 => m_axi_awready, O => empty_fwft_fb_o_i0 ); empty_fwft_fb_o_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => empty_fwft_fb_o_i0, PRE => \out\(1), Q => empty_fwft_fb_o_i ); empty_fwft_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => empty_fwft_i0, PRE => \out\(1), Q => empty_fwft_i ); \gc0.count_d1[3]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"00DF" ) port map ( I0 => curr_fwft_state(1), I1 => m_axi_awready, I2 => curr_fwft_state(0), I3 => ram_empty_fb_i_reg, O => E(0) ); \goreg_dm.dout_i[64]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"4404" ) port map ( I0 => \out\(0), I1 => curr_fwft_state(1), I2 => curr_fwft_state(0), I3 => m_axi_awready, O => \goreg_dm.dout_i_reg[64]\(0) ); \gpregsm1.curr_fwft_state[0]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"AE" ) port map ( I0 => curr_fwft_state(1), I1 => curr_fwft_state(0), I2 => m_axi_awready, O => next_fwft_state(0) ); \gpregsm1.curr_fwft_state[1]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"20FF" ) port map ( I0 => curr_fwft_state(1), I1 => m_axi_awready, I2 => curr_fwft_state(0), I3 => ram_empty_fb_i_reg, O => next_fwft_state(1) ); \gpregsm1.curr_fwft_state_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \out\(1), D => next_fwft_state(0), Q => curr_fwft_state(0) ); \gpregsm1.curr_fwft_state_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \out\(1), D => next_fwft_state(1), Q => curr_fwft_state(1) ); \gpregsm1.user_valid_reg\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \out\(1), D => next_fwft_state(0), Q => user_valid ); m_axi_awvalid_INST_0: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => empty_fwft_i, O => m_axi_awvalid ); ram_empty_i_i_5: unisim.vcomponents.LUT6 generic map( INIT => X"00DF0000000000DF" ) port map ( I0 => curr_fwft_state(1), I1 => m_axi_awready, I2 => curr_fwft_state(0), I3 => ram_empty_fb_i_reg, I4 => \gnxpm_cdc.wr_pntr_bin_reg[3]\(0), I5 => Q(0), O => ram_empty_i_reg ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity mig_wrap_auto_cc_0_rd_fwft_60 is port ( ram_empty_i_reg : out STD_LOGIC; E : out STD_LOGIC_VECTOR ( 0 to 0 ); \goreg_dm.dout_i_reg[38]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_rvalid : out STD_LOGIC; s_aclk : in STD_LOGIC; \out\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_rready : in STD_LOGIC; ram_empty_fb_i_reg : in STD_LOGIC; \gnxpm_cdc.wr_pntr_bin_reg[3]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); Q : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of mig_wrap_auto_cc_0_rd_fwft_60 : entity is "rd_fwft"; end mig_wrap_auto_cc_0_rd_fwft_60; architecture STRUCTURE of mig_wrap_auto_cc_0_rd_fwft_60 is signal aempty_fwft_fb_i : STD_LOGIC; attribute DONT_TOUCH : boolean; attribute DONT_TOUCH of aempty_fwft_fb_i : signal is std.standard.true; signal aempty_fwft_i : STD_LOGIC; attribute DONT_TOUCH of aempty_fwft_i : signal is std.standard.true; signal aempty_fwft_i0 : STD_LOGIC; signal curr_fwft_state : STD_LOGIC_VECTOR ( 1 downto 0 ); attribute DONT_TOUCH of curr_fwft_state : signal is std.standard.true; signal empty_fwft_fb_i : STD_LOGIC; attribute DONT_TOUCH of empty_fwft_fb_i : signal is std.standard.true; signal empty_fwft_fb_o_i : STD_LOGIC; attribute DONT_TOUCH of empty_fwft_fb_o_i : signal is std.standard.true; signal empty_fwft_fb_o_i0 : STD_LOGIC; signal empty_fwft_i : STD_LOGIC; attribute DONT_TOUCH of empty_fwft_i : signal is std.standard.true; signal empty_fwft_i0 : STD_LOGIC; signal next_fwft_state : STD_LOGIC_VECTOR ( 1 downto 0 ); signal user_valid : STD_LOGIC; attribute DONT_TOUCH of user_valid : signal is std.standard.true; attribute DONT_TOUCH of aempty_fwft_fb_i_reg : label is std.standard.true; attribute KEEP : string; attribute KEEP of aempty_fwft_fb_i_reg : label is "yes"; attribute equivalent_register_removal : string; attribute equivalent_register_removal of aempty_fwft_fb_i_reg : label is "no"; attribute DONT_TOUCH of aempty_fwft_i_reg : label is std.standard.true; attribute KEEP of aempty_fwft_i_reg : label is "yes"; attribute equivalent_register_removal of aempty_fwft_i_reg : label is "no"; attribute DONT_TOUCH of empty_fwft_fb_i_reg : label is std.standard.true; attribute KEEP of empty_fwft_fb_i_reg : label is "yes"; attribute equivalent_register_removal of empty_fwft_fb_i_reg : label is "no"; attribute DONT_TOUCH of empty_fwft_fb_o_i_reg : label is std.standard.true; attribute KEEP of empty_fwft_fb_o_i_reg : label is "yes"; attribute equivalent_register_removal of empty_fwft_fb_o_i_reg : label is "no"; attribute DONT_TOUCH of empty_fwft_i_reg : label is std.standard.true; attribute KEEP of empty_fwft_i_reg : label is "yes"; attribute equivalent_register_removal of empty_fwft_i_reg : label is "no"; attribute DONT_TOUCH of \gpregsm1.curr_fwft_state_reg[0]\ : label is std.standard.true; attribute KEEP of \gpregsm1.curr_fwft_state_reg[0]\ : label is "yes"; attribute equivalent_register_removal of \gpregsm1.curr_fwft_state_reg[0]\ : label is "no"; attribute DONT_TOUCH of \gpregsm1.curr_fwft_state_reg[1]\ : label is std.standard.true; attribute KEEP of \gpregsm1.curr_fwft_state_reg[1]\ : label is "yes"; attribute equivalent_register_removal of \gpregsm1.curr_fwft_state_reg[1]\ : label is "no"; attribute DONT_TOUCH of \gpregsm1.user_valid_reg\ : label is std.standard.true; attribute KEEP of \gpregsm1.user_valid_reg\ : label is "yes"; attribute equivalent_register_removal of \gpregsm1.user_valid_reg\ : label is "no"; begin \aempty_fwft_fb_i_i_1__3\: unisim.vcomponents.LUT5 generic map( INIT => X"FAEF8000" ) port map ( I0 => ram_empty_fb_i_reg, I1 => s_axi_rready, I2 => curr_fwft_state(0), I3 => curr_fwft_state(1), I4 => aempty_fwft_fb_i, O => aempty_fwft_i0 ); aempty_fwft_fb_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => aempty_fwft_i0, PRE => \out\(1), Q => aempty_fwft_fb_i ); aempty_fwft_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => aempty_fwft_i0, PRE => \out\(1), Q => aempty_fwft_i ); \empty_fwft_fb_i_i_1__3\: unisim.vcomponents.LUT4 generic map( INIT => X"B2A2" ) port map ( I0 => empty_fwft_fb_i, I1 => curr_fwft_state(1), I2 => curr_fwft_state(0), I3 => s_axi_rready, O => empty_fwft_i0 ); empty_fwft_fb_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => empty_fwft_i0, PRE => \out\(1), Q => empty_fwft_fb_i ); \empty_fwft_fb_o_i_i_1__3\: unisim.vcomponents.LUT4 generic map( INIT => X"B2A2" ) port map ( I0 => empty_fwft_fb_o_i, I1 => curr_fwft_state(1), I2 => curr_fwft_state(0), I3 => s_axi_rready, O => empty_fwft_fb_o_i0 ); empty_fwft_fb_o_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => empty_fwft_fb_o_i0, PRE => \out\(1), Q => empty_fwft_fb_o_i ); empty_fwft_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => empty_fwft_i0, PRE => \out\(1), Q => empty_fwft_i ); \gc0.count_d1[3]_i_1__3\: unisim.vcomponents.LUT4 generic map( INIT => X"00DF" ) port map ( I0 => curr_fwft_state(1), I1 => s_axi_rready, I2 => curr_fwft_state(0), I3 => ram_empty_fb_i_reg, O => E(0) ); \goreg_dm.dout_i[38]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"4404" ) port map ( I0 => \out\(0), I1 => curr_fwft_state(1), I2 => curr_fwft_state(0), I3 => s_axi_rready, O => \goreg_dm.dout_i_reg[38]\(0) ); \gpregsm1.curr_fwft_state[0]_i_1__3\: unisim.vcomponents.LUT3 generic map( INIT => X"AE" ) port map ( I0 => curr_fwft_state(1), I1 => curr_fwft_state(0), I2 => s_axi_rready, O => next_fwft_state(0) ); \gpregsm1.curr_fwft_state[1]_i_1__3\: unisim.vcomponents.LUT4 generic map( INIT => X"20FF" ) port map ( I0 => curr_fwft_state(1), I1 => s_axi_rready, I2 => curr_fwft_state(0), I3 => ram_empty_fb_i_reg, O => next_fwft_state(1) ); \gpregsm1.curr_fwft_state_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \out\(1), D => next_fwft_state(0), Q => curr_fwft_state(0) ); \gpregsm1.curr_fwft_state_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \out\(1), D => next_fwft_state(1), Q => curr_fwft_state(1) ); \gpregsm1.user_valid_reg\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \out\(1), D => next_fwft_state(0), Q => user_valid ); \ram_empty_i_i_5__3\: unisim.vcomponents.LUT6 generic map( INIT => X"00DF0000000000DF" ) port map ( I0 => curr_fwft_state(1), I1 => s_axi_rready, I2 => curr_fwft_state(0), I3 => ram_empty_fb_i_reg, I4 => \gnxpm_cdc.wr_pntr_bin_reg[3]\(0), I5 => Q(0), O => ram_empty_i_reg ); s_axi_rvalid_INST_0: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => empty_fwft_i, O => s_axi_rvalid ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity mig_wrap_auto_cc_0_rd_fwft_84 is port ( ram_empty_i_reg : out STD_LOGIC; E : out STD_LOGIC_VECTOR ( 0 to 0 ); \goreg_dm.dout_i_reg[64]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_arvalid : out STD_LOGIC; m_aclk : in STD_LOGIC; \out\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_arready : in STD_LOGIC; ram_empty_fb_i_reg : in STD_LOGIC; \gnxpm_cdc.wr_pntr_bin_reg[3]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); Q : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of mig_wrap_auto_cc_0_rd_fwft_84 : entity is "rd_fwft"; end mig_wrap_auto_cc_0_rd_fwft_84; architecture STRUCTURE of mig_wrap_auto_cc_0_rd_fwft_84 is signal aempty_fwft_fb_i : STD_LOGIC; attribute DONT_TOUCH : boolean; attribute DONT_TOUCH of aempty_fwft_fb_i : signal is std.standard.true; signal aempty_fwft_i : STD_LOGIC; attribute DONT_TOUCH of aempty_fwft_i : signal is std.standard.true; signal aempty_fwft_i0 : STD_LOGIC; signal curr_fwft_state : STD_LOGIC_VECTOR ( 1 downto 0 ); attribute DONT_TOUCH of curr_fwft_state : signal is std.standard.true; signal empty_fwft_fb_i : STD_LOGIC; attribute DONT_TOUCH of empty_fwft_fb_i : signal is std.standard.true; signal empty_fwft_fb_o_i : STD_LOGIC; attribute DONT_TOUCH of empty_fwft_fb_o_i : signal is std.standard.true; signal empty_fwft_fb_o_i0 : STD_LOGIC; signal empty_fwft_i : STD_LOGIC; attribute DONT_TOUCH of empty_fwft_i : signal is std.standard.true; signal empty_fwft_i0 : STD_LOGIC; signal next_fwft_state : STD_LOGIC_VECTOR ( 1 downto 0 ); signal user_valid : STD_LOGIC; attribute DONT_TOUCH of user_valid : signal is std.standard.true; attribute DONT_TOUCH of aempty_fwft_fb_i_reg : label is std.standard.true; attribute KEEP : string; attribute KEEP of aempty_fwft_fb_i_reg : label is "yes"; attribute equivalent_register_removal : string; attribute equivalent_register_removal of aempty_fwft_fb_i_reg : label is "no"; attribute DONT_TOUCH of aempty_fwft_i_reg : label is std.standard.true; attribute KEEP of aempty_fwft_i_reg : label is "yes"; attribute equivalent_register_removal of aempty_fwft_i_reg : label is "no"; attribute DONT_TOUCH of empty_fwft_fb_i_reg : label is std.standard.true; attribute KEEP of empty_fwft_fb_i_reg : label is "yes"; attribute equivalent_register_removal of empty_fwft_fb_i_reg : label is "no"; attribute DONT_TOUCH of empty_fwft_fb_o_i_reg : label is std.standard.true; attribute KEEP of empty_fwft_fb_o_i_reg : label is "yes"; attribute equivalent_register_removal of empty_fwft_fb_o_i_reg : label is "no"; attribute DONT_TOUCH of empty_fwft_i_reg : label is std.standard.true; attribute KEEP of empty_fwft_i_reg : label is "yes"; attribute equivalent_register_removal of empty_fwft_i_reg : label is "no"; attribute DONT_TOUCH of \gpregsm1.curr_fwft_state_reg[0]\ : label is std.standard.true; attribute KEEP of \gpregsm1.curr_fwft_state_reg[0]\ : label is "yes"; attribute equivalent_register_removal of \gpregsm1.curr_fwft_state_reg[0]\ : label is "no"; attribute DONT_TOUCH of \gpregsm1.curr_fwft_state_reg[1]\ : label is std.standard.true; attribute KEEP of \gpregsm1.curr_fwft_state_reg[1]\ : label is "yes"; attribute equivalent_register_removal of \gpregsm1.curr_fwft_state_reg[1]\ : label is "no"; attribute DONT_TOUCH of \gpregsm1.user_valid_reg\ : label is std.standard.true; attribute KEEP of \gpregsm1.user_valid_reg\ : label is "yes"; attribute equivalent_register_removal of \gpregsm1.user_valid_reg\ : label is "no"; begin \aempty_fwft_fb_i_i_1__1\: unisim.vcomponents.LUT5 generic map( INIT => X"FAEF8000" ) port map ( I0 => ram_empty_fb_i_reg, I1 => m_axi_arready, I2 => curr_fwft_state(0), I3 => curr_fwft_state(1), I4 => aempty_fwft_fb_i, O => aempty_fwft_i0 ); aempty_fwft_fb_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => aempty_fwft_i0, PRE => \out\(1), Q => aempty_fwft_fb_i ); aempty_fwft_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => aempty_fwft_i0, PRE => \out\(1), Q => aempty_fwft_i ); \empty_fwft_fb_i_i_1__1\: unisim.vcomponents.LUT4 generic map( INIT => X"B2A2" ) port map ( I0 => empty_fwft_fb_i, I1 => curr_fwft_state(1), I2 => curr_fwft_state(0), I3 => m_axi_arready, O => empty_fwft_i0 ); empty_fwft_fb_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => empty_fwft_i0, PRE => \out\(1), Q => empty_fwft_fb_i ); \empty_fwft_fb_o_i_i_1__1\: unisim.vcomponents.LUT4 generic map( INIT => X"B2A2" ) port map ( I0 => empty_fwft_fb_o_i, I1 => curr_fwft_state(1), I2 => curr_fwft_state(0), I3 => m_axi_arready, O => empty_fwft_fb_o_i0 ); empty_fwft_fb_o_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => empty_fwft_fb_o_i0, PRE => \out\(1), Q => empty_fwft_fb_o_i ); empty_fwft_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => empty_fwft_i0, PRE => \out\(1), Q => empty_fwft_i ); \gc0.count_d1[3]_i_1__1\: unisim.vcomponents.LUT4 generic map( INIT => X"00DF" ) port map ( I0 => curr_fwft_state(1), I1 => m_axi_arready, I2 => curr_fwft_state(0), I3 => ram_empty_fb_i_reg, O => E(0) ); \goreg_dm.dout_i[64]_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"4404" ) port map ( I0 => \out\(0), I1 => curr_fwft_state(1), I2 => curr_fwft_state(0), I3 => m_axi_arready, O => \goreg_dm.dout_i_reg[64]\(0) ); \gpregsm1.curr_fwft_state[0]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"AE" ) port map ( I0 => curr_fwft_state(1), I1 => curr_fwft_state(0), I2 => m_axi_arready, O => next_fwft_state(0) ); \gpregsm1.curr_fwft_state[1]_i_1__1\: unisim.vcomponents.LUT4 generic map( INIT => X"20FF" ) port map ( I0 => curr_fwft_state(1), I1 => m_axi_arready, I2 => curr_fwft_state(0), I3 => ram_empty_fb_i_reg, O => next_fwft_state(1) ); \gpregsm1.curr_fwft_state_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \out\(1), D => next_fwft_state(0), Q => curr_fwft_state(0) ); \gpregsm1.curr_fwft_state_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \out\(1), D => next_fwft_state(1), Q => curr_fwft_state(1) ); \gpregsm1.user_valid_reg\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \out\(1), D => next_fwft_state(0), Q => user_valid ); m_axi_arvalid_INST_0: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => empty_fwft_i, O => m_axi_arvalid ); \ram_empty_i_i_5__1\: unisim.vcomponents.LUT6 generic map( INIT => X"00DF0000000000DF" ) port map ( I0 => curr_fwft_state(1), I1 => m_axi_arready, I2 => curr_fwft_state(0), I3 => ram_empty_fb_i_reg, I4 => \gnxpm_cdc.wr_pntr_bin_reg[3]\(0), I5 => Q(0), O => ram_empty_i_reg ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity mig_wrap_auto_cc_0_rd_status_flags_as is port ( \out\ : out STD_LOGIC; \gc0.count_d1_reg[2]\ : in STD_LOGIC; s_aclk : in STD_LOGIC; \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); end mig_wrap_auto_cc_0_rd_status_flags_as; architecture STRUCTURE of mig_wrap_auto_cc_0_rd_status_flags_as is signal ram_empty_fb_i : STD_LOGIC; attribute DONT_TOUCH : boolean; attribute DONT_TOUCH of ram_empty_fb_i : signal is std.standard.true; signal ram_empty_i : STD_LOGIC; attribute DONT_TOUCH of ram_empty_i : signal is std.standard.true; attribute DONT_TOUCH of ram_empty_fb_i_reg : label is std.standard.true; attribute KEEP : string; attribute KEEP of ram_empty_fb_i_reg : label is "yes"; attribute equivalent_register_removal : string; attribute equivalent_register_removal of ram_empty_fb_i_reg : label is "no"; attribute DONT_TOUCH of ram_empty_i_reg : label is std.standard.true; attribute KEEP of ram_empty_i_reg : label is "yes"; attribute equivalent_register_removal of ram_empty_i_reg : label is "no"; begin \out\ <= ram_empty_fb_i; ram_empty_fb_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => \gc0.count_d1_reg[2]\, PRE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0), Q => ram_empty_fb_i ); ram_empty_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => \gc0.count_d1_reg[2]\, PRE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0), Q => ram_empty_i ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity mig_wrap_auto_cc_0_rd_status_flags_as_19 is port ( \out\ : out STD_LOGIC; \gc0.count_d1_reg[2]\ : in STD_LOGIC; m_aclk : in STD_LOGIC; \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of mig_wrap_auto_cc_0_rd_status_flags_as_19 : entity is "rd_status_flags_as"; end mig_wrap_auto_cc_0_rd_status_flags_as_19; architecture STRUCTURE of mig_wrap_auto_cc_0_rd_status_flags_as_19 is signal ram_empty_fb_i : STD_LOGIC; attribute DONT_TOUCH : boolean; attribute DONT_TOUCH of ram_empty_fb_i : signal is std.standard.true; signal ram_empty_i : STD_LOGIC; attribute DONT_TOUCH of ram_empty_i : signal is std.standard.true; attribute DONT_TOUCH of ram_empty_fb_i_reg : label is std.standard.true; attribute KEEP : string; attribute KEEP of ram_empty_fb_i_reg : label is "yes"; attribute equivalent_register_removal : string; attribute equivalent_register_removal of ram_empty_fb_i_reg : label is "no"; attribute DONT_TOUCH of ram_empty_i_reg : label is std.standard.true; attribute KEEP of ram_empty_i_reg : label is "yes"; attribute equivalent_register_removal of ram_empty_i_reg : label is "no"; begin \out\ <= ram_empty_fb_i; ram_empty_fb_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => \gc0.count_d1_reg[2]\, PRE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0), Q => ram_empty_fb_i ); ram_empty_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => \gc0.count_d1_reg[2]\, PRE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0), Q => ram_empty_i ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity mig_wrap_auto_cc_0_rd_status_flags_as_40 is port ( \out\ : out STD_LOGIC; \gc0.count_d1_reg[2]\ : in STD_LOGIC; m_aclk : in STD_LOGIC; \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of mig_wrap_auto_cc_0_rd_status_flags_as_40 : entity is "rd_status_flags_as"; end mig_wrap_auto_cc_0_rd_status_flags_as_40; architecture STRUCTURE of mig_wrap_auto_cc_0_rd_status_flags_as_40 is signal ram_empty_fb_i : STD_LOGIC; attribute DONT_TOUCH : boolean; attribute DONT_TOUCH of ram_empty_fb_i : signal is std.standard.true; signal ram_empty_i : STD_LOGIC; attribute DONT_TOUCH of ram_empty_i : signal is std.standard.true; attribute DONT_TOUCH of ram_empty_fb_i_reg : label is std.standard.true; attribute KEEP : string; attribute KEEP of ram_empty_fb_i_reg : label is "yes"; attribute equivalent_register_removal : string; attribute equivalent_register_removal of ram_empty_fb_i_reg : label is "no"; attribute DONT_TOUCH of ram_empty_i_reg : label is std.standard.true; attribute KEEP of ram_empty_i_reg : label is "yes"; attribute equivalent_register_removal of ram_empty_i_reg : label is "no"; begin \out\ <= ram_empty_fb_i; ram_empty_fb_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => \gc0.count_d1_reg[2]\, PRE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0), Q => ram_empty_fb_i ); ram_empty_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => \gc0.count_d1_reg[2]\, PRE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0), Q => ram_empty_i ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity mig_wrap_auto_cc_0_rd_status_flags_as_61 is port ( \out\ : out STD_LOGIC; \gc0.count_d1_reg[2]\ : in STD_LOGIC; s_aclk : in STD_LOGIC; \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of mig_wrap_auto_cc_0_rd_status_flags_as_61 : entity is "rd_status_flags_as"; end mig_wrap_auto_cc_0_rd_status_flags_as_61; architecture STRUCTURE of mig_wrap_auto_cc_0_rd_status_flags_as_61 is signal ram_empty_fb_i : STD_LOGIC; attribute DONT_TOUCH : boolean; attribute DONT_TOUCH of ram_empty_fb_i : signal is std.standard.true; signal ram_empty_i : STD_LOGIC; attribute DONT_TOUCH of ram_empty_i : signal is std.standard.true; attribute DONT_TOUCH of ram_empty_fb_i_reg : label is std.standard.true; attribute KEEP : string; attribute KEEP of ram_empty_fb_i_reg : label is "yes"; attribute equivalent_register_removal : string; attribute equivalent_register_removal of ram_empty_fb_i_reg : label is "no"; attribute DONT_TOUCH of ram_empty_i_reg : label is std.standard.true; attribute KEEP of ram_empty_i_reg : label is "yes"; attribute equivalent_register_removal of ram_empty_i_reg : label is "no"; begin \out\ <= ram_empty_fb_i; ram_empty_fb_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => \gc0.count_d1_reg[2]\, PRE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0), Q => ram_empty_fb_i ); ram_empty_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => \gc0.count_d1_reg[2]\, PRE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0), Q => ram_empty_i ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity mig_wrap_auto_cc_0_rd_status_flags_as_85 is port ( \out\ : out STD_LOGIC; \gc0.count_d1_reg[2]\ : in STD_LOGIC; m_aclk : in STD_LOGIC; \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of mig_wrap_auto_cc_0_rd_status_flags_as_85 : entity is "rd_status_flags_as"; end mig_wrap_auto_cc_0_rd_status_flags_as_85; architecture STRUCTURE of mig_wrap_auto_cc_0_rd_status_flags_as_85 is signal ram_empty_fb_i : STD_LOGIC; attribute DONT_TOUCH : boolean; attribute DONT_TOUCH of ram_empty_fb_i : signal is std.standard.true; signal ram_empty_i : STD_LOGIC; attribute DONT_TOUCH of ram_empty_i : signal is std.standard.true; attribute DONT_TOUCH of ram_empty_fb_i_reg : label is std.standard.true; attribute KEEP : string; attribute KEEP of ram_empty_fb_i_reg : label is "yes"; attribute equivalent_register_removal : string; attribute equivalent_register_removal of ram_empty_fb_i_reg : label is "no"; attribute DONT_TOUCH of ram_empty_i_reg : label is std.standard.true; attribute KEEP of ram_empty_i_reg : label is "yes"; attribute equivalent_register_removal of ram_empty_i_reg : label is "no"; begin \out\ <= ram_empty_fb_i; ram_empty_fb_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => \gc0.count_d1_reg[2]\, PRE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0), Q => ram_empty_fb_i ); ram_empty_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => \gc0.count_d1_reg[2]\, PRE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0), Q => ram_empty_i ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity mig_wrap_auto_cc_0_synchronizer_ff is port ( \out\ : out STD_LOGIC; in0 : in STD_LOGIC_VECTOR ( 0 to 0 ); s_aclk : in STD_LOGIC ); end mig_wrap_auto_cc_0_synchronizer_ff; architecture STRUCTURE of mig_wrap_auto_cc_0_synchronizer_ff is signal Q_reg : STD_LOGIC; attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; begin \out\ <= Q_reg; \Q_reg_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', D => in0(0), Q => Q_reg, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity mig_wrap_auto_cc_0_synchronizer_ff_1 is port ( \out\ : out STD_LOGIC; in0 : in STD_LOGIC_VECTOR ( 0 to 0 ); m_aclk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of mig_wrap_auto_cc_0_synchronizer_ff_1 : entity is "synchronizer_ff"; end mig_wrap_auto_cc_0_synchronizer_ff_1; architecture STRUCTURE of mig_wrap_auto_cc_0_synchronizer_ff_1 is signal Q_reg : STD_LOGIC; attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; begin \out\ <= Q_reg; \Q_reg_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', D => in0(0), Q => Q_reg, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity mig_wrap_auto_cc_0_synchronizer_ff_10 is port ( \out\ : out STD_LOGIC; in0 : in STD_LOGIC_VECTOR ( 0 to 0 ); m_aclk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of mig_wrap_auto_cc_0_synchronizer_ff_10 : entity is "synchronizer_ff"; end mig_wrap_auto_cc_0_synchronizer_ff_10; architecture STRUCTURE of mig_wrap_auto_cc_0_synchronizer_ff_10 is signal Q_reg : STD_LOGIC; attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; begin \out\ <= Q_reg; \Q_reg_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', D => in0(0), Q => Q_reg, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity mig_wrap_auto_cc_0_synchronizer_ff_11 is port ( \out\ : out STD_LOGIC; in0 : in STD_LOGIC_VECTOR ( 0 to 0 ); s_aclk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of mig_wrap_auto_cc_0_synchronizer_ff_11 : entity is "synchronizer_ff"; end mig_wrap_auto_cc_0_synchronizer_ff_11; architecture STRUCTURE of mig_wrap_auto_cc_0_synchronizer_ff_11 is signal Q_reg : STD_LOGIC; attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; begin \out\ <= Q_reg; \Q_reg_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', D => in0(0), Q => Q_reg, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity mig_wrap_auto_cc_0_synchronizer_ff_12 is port ( \Q_reg_reg[0]_0\ : out STD_LOGIC; AS : out STD_LOGIC_VECTOR ( 0 to 0 ); \out\ : in STD_LOGIC; m_aclk : in STD_LOGIC; in0 : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of mig_wrap_auto_cc_0_synchronizer_ff_12 : entity is "synchronizer_ff"; end mig_wrap_auto_cc_0_synchronizer_ff_12; architecture STRUCTURE of mig_wrap_auto_cc_0_synchronizer_ff_12 is signal Q_reg : STD_LOGIC; attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; begin \Q_reg_reg[0]_0\ <= Q_reg; \Q_reg_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', D => \out\, Q => Q_reg, R => '0' ); \ngwrdrst.grst.g7serrst.rd_rst_reg[2]_i_1__0\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => in0(0), I1 => Q_reg, O => AS(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity mig_wrap_auto_cc_0_synchronizer_ff_13 is port ( \Q_reg_reg[0]_0\ : out STD_LOGIC; AS : out STD_LOGIC_VECTOR ( 0 to 0 ); \out\ : in STD_LOGIC; s_aclk : in STD_LOGIC; in0 : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of mig_wrap_auto_cc_0_synchronizer_ff_13 : entity is "synchronizer_ff"; end mig_wrap_auto_cc_0_synchronizer_ff_13; architecture STRUCTURE of mig_wrap_auto_cc_0_synchronizer_ff_13 is signal Q_reg : STD_LOGIC; attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; begin \Q_reg_reg[0]_0\ <= Q_reg; \Q_reg_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', D => \out\, Q => Q_reg, R => '0' ); \ngwrdrst.grst.g7serrst.wr_rst_reg[2]_i_1__0\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => in0(0), I1 => Q_reg, O => AS(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity mig_wrap_auto_cc_0_synchronizer_ff_14 is port ( \Q_reg_reg[0]_0\ : in STD_LOGIC; m_aclk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of mig_wrap_auto_cc_0_synchronizer_ff_14 : entity is "synchronizer_ff"; end mig_wrap_auto_cc_0_synchronizer_ff_14; architecture STRUCTURE of mig_wrap_auto_cc_0_synchronizer_ff_14 is signal Q_reg : STD_LOGIC; attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; begin \Q_reg_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', D => \Q_reg_reg[0]_0\, Q => Q_reg, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity mig_wrap_auto_cc_0_synchronizer_ff_15 is port ( \Q_reg_reg[0]_0\ : in STD_LOGIC; s_aclk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of mig_wrap_auto_cc_0_synchronizer_ff_15 : entity is "synchronizer_ff"; end mig_wrap_auto_cc_0_synchronizer_ff_15; architecture STRUCTURE of mig_wrap_auto_cc_0_synchronizer_ff_15 is signal Q_reg : STD_LOGIC; attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; begin \Q_reg_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', D => \Q_reg_reg[0]_0\, Q => Q_reg, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity mig_wrap_auto_cc_0_synchronizer_ff_2 is port ( \Q_reg_reg[0]_0\ : out STD_LOGIC; AS : out STD_LOGIC_VECTOR ( 0 to 0 ); \out\ : in STD_LOGIC; s_aclk : in STD_LOGIC; in0 : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of mig_wrap_auto_cc_0_synchronizer_ff_2 : entity is "synchronizer_ff"; end mig_wrap_auto_cc_0_synchronizer_ff_2; architecture STRUCTURE of mig_wrap_auto_cc_0_synchronizer_ff_2 is signal Q_reg : STD_LOGIC; attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; begin \Q_reg_reg[0]_0\ <= Q_reg; \Q_reg_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', D => \out\, Q => Q_reg, R => '0' ); \ngwrdrst.grst.g7serrst.rd_rst_reg[2]_i_1__1\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => in0(0), I1 => Q_reg, O => AS(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity mig_wrap_auto_cc_0_synchronizer_ff_3 is port ( \Q_reg_reg[0]_0\ : out STD_LOGIC; AS : out STD_LOGIC_VECTOR ( 0 to 0 ); \out\ : in STD_LOGIC; m_aclk : in STD_LOGIC; in0 : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of mig_wrap_auto_cc_0_synchronizer_ff_3 : entity is "synchronizer_ff"; end mig_wrap_auto_cc_0_synchronizer_ff_3; architecture STRUCTURE of mig_wrap_auto_cc_0_synchronizer_ff_3 is signal Q_reg : STD_LOGIC; attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; begin \Q_reg_reg[0]_0\ <= Q_reg; \Q_reg_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', D => \out\, Q => Q_reg, R => '0' ); \ngwrdrst.grst.g7serrst.wr_rst_reg[2]_i_1__1\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => in0(0), I1 => Q_reg, O => AS(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity mig_wrap_auto_cc_0_synchronizer_ff_31 is port ( \out\ : out STD_LOGIC; in0 : in STD_LOGIC_VECTOR ( 0 to 0 ); m_aclk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of mig_wrap_auto_cc_0_synchronizer_ff_31 : entity is "synchronizer_ff"; end mig_wrap_auto_cc_0_synchronizer_ff_31; architecture STRUCTURE of mig_wrap_auto_cc_0_synchronizer_ff_31 is signal Q_reg : STD_LOGIC; attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; begin \out\ <= Q_reg; \Q_reg_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', D => in0(0), Q => Q_reg, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity mig_wrap_auto_cc_0_synchronizer_ff_32 is port ( \out\ : out STD_LOGIC; in0 : in STD_LOGIC_VECTOR ( 0 to 0 ); s_aclk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of mig_wrap_auto_cc_0_synchronizer_ff_32 : entity is "synchronizer_ff"; end mig_wrap_auto_cc_0_synchronizer_ff_32; architecture STRUCTURE of mig_wrap_auto_cc_0_synchronizer_ff_32 is signal Q_reg : STD_LOGIC; attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; begin \out\ <= Q_reg; \Q_reg_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', D => in0(0), Q => Q_reg, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity mig_wrap_auto_cc_0_synchronizer_ff_33 is port ( \Q_reg_reg[0]_0\ : out STD_LOGIC; AS : out STD_LOGIC_VECTOR ( 0 to 0 ); \out\ : in STD_LOGIC; m_aclk : in STD_LOGIC; in0 : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of mig_wrap_auto_cc_0_synchronizer_ff_33 : entity is "synchronizer_ff"; end mig_wrap_auto_cc_0_synchronizer_ff_33; architecture STRUCTURE of mig_wrap_auto_cc_0_synchronizer_ff_33 is signal Q_reg : STD_LOGIC; attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; begin \Q_reg_reg[0]_0\ <= Q_reg; \Q_reg_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', D => \out\, Q => Q_reg, R => '0' ); \ngwrdrst.grst.g7serrst.rd_rst_reg[2]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => in0(0), I1 => Q_reg, O => AS(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity mig_wrap_auto_cc_0_synchronizer_ff_34 is port ( \Q_reg_reg[0]_0\ : out STD_LOGIC; AS : out STD_LOGIC_VECTOR ( 0 to 0 ); \out\ : in STD_LOGIC; s_aclk : in STD_LOGIC; in0 : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of mig_wrap_auto_cc_0_synchronizer_ff_34 : entity is "synchronizer_ff"; end mig_wrap_auto_cc_0_synchronizer_ff_34; architecture STRUCTURE of mig_wrap_auto_cc_0_synchronizer_ff_34 is signal Q_reg : STD_LOGIC; attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; begin \Q_reg_reg[0]_0\ <= Q_reg; \Q_reg_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', D => \out\, Q => Q_reg, R => '0' ); \ngwrdrst.grst.g7serrst.wr_rst_reg[2]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => in0(0), I1 => Q_reg, O => AS(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity mig_wrap_auto_cc_0_synchronizer_ff_35 is port ( \Q_reg_reg[0]_0\ : in STD_LOGIC; m_aclk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of mig_wrap_auto_cc_0_synchronizer_ff_35 : entity is "synchronizer_ff"; end mig_wrap_auto_cc_0_synchronizer_ff_35; architecture STRUCTURE of mig_wrap_auto_cc_0_synchronizer_ff_35 is signal Q_reg : STD_LOGIC; attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; begin \Q_reg_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', D => \Q_reg_reg[0]_0\, Q => Q_reg, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity mig_wrap_auto_cc_0_synchronizer_ff_36 is port ( \Q_reg_reg[0]_0\ : in STD_LOGIC; s_aclk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of mig_wrap_auto_cc_0_synchronizer_ff_36 : entity is "synchronizer_ff"; end mig_wrap_auto_cc_0_synchronizer_ff_36; architecture STRUCTURE of mig_wrap_auto_cc_0_synchronizer_ff_36 is signal Q_reg : STD_LOGIC; attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; begin \Q_reg_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', D => \Q_reg_reg[0]_0\, Q => Q_reg, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity mig_wrap_auto_cc_0_synchronizer_ff_4 is port ( \Q_reg_reg[0]_0\ : in STD_LOGIC; s_aclk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of mig_wrap_auto_cc_0_synchronizer_ff_4 : entity is "synchronizer_ff"; end mig_wrap_auto_cc_0_synchronizer_ff_4; architecture STRUCTURE of mig_wrap_auto_cc_0_synchronizer_ff_4 is signal Q_reg : STD_LOGIC; attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; begin \Q_reg_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', D => \Q_reg_reg[0]_0\, Q => Q_reg, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity mig_wrap_auto_cc_0_synchronizer_ff_5 is port ( \Q_reg_reg[0]_0\ : in STD_LOGIC; m_aclk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of mig_wrap_auto_cc_0_synchronizer_ff_5 : entity is "synchronizer_ff"; end mig_wrap_auto_cc_0_synchronizer_ff_5; architecture STRUCTURE of mig_wrap_auto_cc_0_synchronizer_ff_5 is signal Q_reg : STD_LOGIC; attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; begin \Q_reg_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', D => \Q_reg_reg[0]_0\, Q => Q_reg, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity mig_wrap_auto_cc_0_synchronizer_ff_52 is port ( \out\ : out STD_LOGIC; in0 : in STD_LOGIC_VECTOR ( 0 to 0 ); s_aclk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of mig_wrap_auto_cc_0_synchronizer_ff_52 : entity is "synchronizer_ff"; end mig_wrap_auto_cc_0_synchronizer_ff_52; architecture STRUCTURE of mig_wrap_auto_cc_0_synchronizer_ff_52 is signal Q_reg : STD_LOGIC; attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; begin \out\ <= Q_reg; \Q_reg_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', D => in0(0), Q => Q_reg, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity mig_wrap_auto_cc_0_synchronizer_ff_53 is port ( \out\ : out STD_LOGIC; in0 : in STD_LOGIC_VECTOR ( 0 to 0 ); m_aclk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of mig_wrap_auto_cc_0_synchronizer_ff_53 : entity is "synchronizer_ff"; end mig_wrap_auto_cc_0_synchronizer_ff_53; architecture STRUCTURE of mig_wrap_auto_cc_0_synchronizer_ff_53 is signal Q_reg : STD_LOGIC; attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; begin \out\ <= Q_reg; \Q_reg_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', D => in0(0), Q => Q_reg, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity mig_wrap_auto_cc_0_synchronizer_ff_54 is port ( \Q_reg_reg[0]_0\ : out STD_LOGIC; AS : out STD_LOGIC_VECTOR ( 0 to 0 ); \out\ : in STD_LOGIC; s_aclk : in STD_LOGIC; in0 : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of mig_wrap_auto_cc_0_synchronizer_ff_54 : entity is "synchronizer_ff"; end mig_wrap_auto_cc_0_synchronizer_ff_54; architecture STRUCTURE of mig_wrap_auto_cc_0_synchronizer_ff_54 is signal Q_reg : STD_LOGIC; attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; begin \Q_reg_reg[0]_0\ <= Q_reg; \Q_reg_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', D => \out\, Q => Q_reg, R => '0' ); \ngwrdrst.grst.g7serrst.rd_rst_reg[2]_i_1__3\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => in0(0), I1 => Q_reg, O => AS(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity mig_wrap_auto_cc_0_synchronizer_ff_55 is port ( \Q_reg_reg[0]_0\ : out STD_LOGIC; AS : out STD_LOGIC_VECTOR ( 0 to 0 ); \out\ : in STD_LOGIC; m_aclk : in STD_LOGIC; in0 : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of mig_wrap_auto_cc_0_synchronizer_ff_55 : entity is "synchronizer_ff"; end mig_wrap_auto_cc_0_synchronizer_ff_55; architecture STRUCTURE of mig_wrap_auto_cc_0_synchronizer_ff_55 is signal Q_reg : STD_LOGIC; attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; begin \Q_reg_reg[0]_0\ <= Q_reg; \Q_reg_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', D => \out\, Q => Q_reg, R => '0' ); \ngwrdrst.grst.g7serrst.wr_rst_reg[2]_i_1__3\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => in0(0), I1 => Q_reg, O => AS(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity mig_wrap_auto_cc_0_synchronizer_ff_56 is port ( \Q_reg_reg[0]_0\ : in STD_LOGIC; s_aclk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of mig_wrap_auto_cc_0_synchronizer_ff_56 : entity is "synchronizer_ff"; end mig_wrap_auto_cc_0_synchronizer_ff_56; architecture STRUCTURE of mig_wrap_auto_cc_0_synchronizer_ff_56 is signal Q_reg : STD_LOGIC; attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; begin \Q_reg_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', D => \Q_reg_reg[0]_0\, Q => Q_reg, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity mig_wrap_auto_cc_0_synchronizer_ff_57 is port ( \Q_reg_reg[0]_0\ : in STD_LOGIC; m_aclk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of mig_wrap_auto_cc_0_synchronizer_ff_57 : entity is "synchronizer_ff"; end mig_wrap_auto_cc_0_synchronizer_ff_57; architecture STRUCTURE of mig_wrap_auto_cc_0_synchronizer_ff_57 is signal Q_reg : STD_LOGIC; attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; begin \Q_reg_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', D => \Q_reg_reg[0]_0\, Q => Q_reg, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity mig_wrap_auto_cc_0_synchronizer_ff_75 is port ( \out\ : out STD_LOGIC; in0 : in STD_LOGIC_VECTOR ( 0 to 0 ); m_aclk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of mig_wrap_auto_cc_0_synchronizer_ff_75 : entity is "synchronizer_ff"; end mig_wrap_auto_cc_0_synchronizer_ff_75; architecture STRUCTURE of mig_wrap_auto_cc_0_synchronizer_ff_75 is signal Q_reg : STD_LOGIC; attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; begin \out\ <= Q_reg; \Q_reg_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', D => in0(0), Q => Q_reg, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity mig_wrap_auto_cc_0_synchronizer_ff_76 is port ( \out\ : out STD_LOGIC; in0 : in STD_LOGIC_VECTOR ( 0 to 0 ); s_aclk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of mig_wrap_auto_cc_0_synchronizer_ff_76 : entity is "synchronizer_ff"; end mig_wrap_auto_cc_0_synchronizer_ff_76; architecture STRUCTURE of mig_wrap_auto_cc_0_synchronizer_ff_76 is signal Q_reg : STD_LOGIC; attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; begin \out\ <= Q_reg; \Q_reg_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', D => in0(0), Q => Q_reg, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity mig_wrap_auto_cc_0_synchronizer_ff_77 is port ( \Q_reg_reg[0]_0\ : out STD_LOGIC; AS : out STD_LOGIC_VECTOR ( 0 to 0 ); \out\ : in STD_LOGIC; m_aclk : in STD_LOGIC; in0 : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of mig_wrap_auto_cc_0_synchronizer_ff_77 : entity is "synchronizer_ff"; end mig_wrap_auto_cc_0_synchronizer_ff_77; architecture STRUCTURE of mig_wrap_auto_cc_0_synchronizer_ff_77 is signal Q_reg : STD_LOGIC; attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; begin \Q_reg_reg[0]_0\ <= Q_reg; \Q_reg_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', D => \out\, Q => Q_reg, R => '0' ); \ngwrdrst.grst.g7serrst.rd_rst_reg[2]_i_1__2\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => in0(0), I1 => Q_reg, O => AS(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity mig_wrap_auto_cc_0_synchronizer_ff_78 is port ( \Q_reg_reg[0]_0\ : out STD_LOGIC; AS : out STD_LOGIC_VECTOR ( 0 to 0 ); \out\ : in STD_LOGIC; s_aclk : in STD_LOGIC; in0 : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of mig_wrap_auto_cc_0_synchronizer_ff_78 : entity is "synchronizer_ff"; end mig_wrap_auto_cc_0_synchronizer_ff_78; architecture STRUCTURE of mig_wrap_auto_cc_0_synchronizer_ff_78 is signal Q_reg : STD_LOGIC; attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; begin \Q_reg_reg[0]_0\ <= Q_reg; \Q_reg_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', D => \out\, Q => Q_reg, R => '0' ); \ngwrdrst.grst.g7serrst.wr_rst_reg[2]_i_1__2\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => in0(0), I1 => Q_reg, O => AS(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity mig_wrap_auto_cc_0_synchronizer_ff_79 is port ( \Q_reg_reg[0]_0\ : in STD_LOGIC; m_aclk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of mig_wrap_auto_cc_0_synchronizer_ff_79 : entity is "synchronizer_ff"; end mig_wrap_auto_cc_0_synchronizer_ff_79; architecture STRUCTURE of mig_wrap_auto_cc_0_synchronizer_ff_79 is signal Q_reg : STD_LOGIC; attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; begin \Q_reg_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', D => \Q_reg_reg[0]_0\, Q => Q_reg, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity mig_wrap_auto_cc_0_synchronizer_ff_80 is port ( \Q_reg_reg[0]_0\ : in STD_LOGIC; s_aclk : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of mig_wrap_auto_cc_0_synchronizer_ff_80 : entity is "synchronizer_ff"; end mig_wrap_auto_cc_0_synchronizer_ff_80; architecture STRUCTURE of mig_wrap_auto_cc_0_synchronizer_ff_80 is signal Q_reg : STD_LOGIC; attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; begin \Q_reg_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', D => \Q_reg_reg[0]_0\, Q => Q_reg, R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \mig_wrap_auto_cc_0_synchronizer_ff__parameterized0\ is port ( D : out STD_LOGIC_VECTOR ( 3 downto 0 ); Q : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_aclk : in STD_LOGIC; \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \mig_wrap_auto_cc_0_synchronizer_ff__parameterized0\ : entity is "synchronizer_ff"; end \mig_wrap_auto_cc_0_synchronizer_ff__parameterized0\; architecture STRUCTURE of \mig_wrap_auto_cc_0_synchronizer_ff__parameterized0\ is signal Q_reg : STD_LOGIC_VECTOR ( 3 downto 0 ); attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[1]\ : label is "yes"; attribute msgon of \Q_reg_reg[1]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[2]\ : label is "yes"; attribute msgon of \Q_reg_reg[2]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[3]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[3]\ : label is "yes"; attribute msgon of \Q_reg_reg[3]\ : label is "true"; begin D(3 downto 0) <= Q_reg(3 downto 0); \Q_reg_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => Q(0), Q => Q_reg(0) ); \Q_reg_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => Q(1), Q => Q_reg(1) ); \Q_reg_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => Q(2), Q => Q_reg(2) ); \Q_reg_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => Q(3), Q => Q_reg(3) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \mig_wrap_auto_cc_0_synchronizer_ff__parameterized0_21\ is port ( D : out STD_LOGIC_VECTOR ( 3 downto 0 ); Q : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_aclk : in STD_LOGIC; \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \mig_wrap_auto_cc_0_synchronizer_ff__parameterized0_21\ : entity is "synchronizer_ff"; end \mig_wrap_auto_cc_0_synchronizer_ff__parameterized0_21\; architecture STRUCTURE of \mig_wrap_auto_cc_0_synchronizer_ff__parameterized0_21\ is signal Q_reg : STD_LOGIC_VECTOR ( 3 downto 0 ); attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[1]\ : label is "yes"; attribute msgon of \Q_reg_reg[1]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[2]\ : label is "yes"; attribute msgon of \Q_reg_reg[2]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[3]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[3]\ : label is "yes"; attribute msgon of \Q_reg_reg[3]\ : label is "true"; begin D(3 downto 0) <= Q_reg(3 downto 0); \Q_reg_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => Q(0), Q => Q_reg(0) ); \Q_reg_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => Q(1), Q => Q_reg(1) ); \Q_reg_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => Q(2), Q => Q_reg(2) ); \Q_reg_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => Q(3), Q => Q_reg(3) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \mig_wrap_auto_cc_0_synchronizer_ff__parameterized0_42\ is port ( D : out STD_LOGIC_VECTOR ( 3 downto 0 ); Q : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_aclk : in STD_LOGIC; \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \mig_wrap_auto_cc_0_synchronizer_ff__parameterized0_42\ : entity is "synchronizer_ff"; end \mig_wrap_auto_cc_0_synchronizer_ff__parameterized0_42\; architecture STRUCTURE of \mig_wrap_auto_cc_0_synchronizer_ff__parameterized0_42\ is signal Q_reg : STD_LOGIC_VECTOR ( 3 downto 0 ); attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[1]\ : label is "yes"; attribute msgon of \Q_reg_reg[1]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[2]\ : label is "yes"; attribute msgon of \Q_reg_reg[2]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[3]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[3]\ : label is "yes"; attribute msgon of \Q_reg_reg[3]\ : label is "true"; begin D(3 downto 0) <= Q_reg(3 downto 0); \Q_reg_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => Q(0), Q => Q_reg(0) ); \Q_reg_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => Q(1), Q => Q_reg(1) ); \Q_reg_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => Q(2), Q => Q_reg(2) ); \Q_reg_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => Q(3), Q => Q_reg(3) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \mig_wrap_auto_cc_0_synchronizer_ff__parameterized0_63\ is port ( D : out STD_LOGIC_VECTOR ( 3 downto 0 ); Q : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_aclk : in STD_LOGIC; \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \mig_wrap_auto_cc_0_synchronizer_ff__parameterized0_63\ : entity is "synchronizer_ff"; end \mig_wrap_auto_cc_0_synchronizer_ff__parameterized0_63\; architecture STRUCTURE of \mig_wrap_auto_cc_0_synchronizer_ff__parameterized0_63\ is signal Q_reg : STD_LOGIC_VECTOR ( 3 downto 0 ); attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[1]\ : label is "yes"; attribute msgon of \Q_reg_reg[1]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[2]\ : label is "yes"; attribute msgon of \Q_reg_reg[2]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[3]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[3]\ : label is "yes"; attribute msgon of \Q_reg_reg[3]\ : label is "true"; begin D(3 downto 0) <= Q_reg(3 downto 0); \Q_reg_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => Q(0), Q => Q_reg(0) ); \Q_reg_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => Q(1), Q => Q_reg(1) ); \Q_reg_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => Q(2), Q => Q_reg(2) ); \Q_reg_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => Q(3), Q => Q_reg(3) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \mig_wrap_auto_cc_0_synchronizer_ff__parameterized0_87\ is port ( D : out STD_LOGIC_VECTOR ( 3 downto 0 ); Q : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_aclk : in STD_LOGIC; \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \mig_wrap_auto_cc_0_synchronizer_ff__parameterized0_87\ : entity is "synchronizer_ff"; end \mig_wrap_auto_cc_0_synchronizer_ff__parameterized0_87\; architecture STRUCTURE of \mig_wrap_auto_cc_0_synchronizer_ff__parameterized0_87\ is signal Q_reg : STD_LOGIC_VECTOR ( 3 downto 0 ); attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[1]\ : label is "yes"; attribute msgon of \Q_reg_reg[1]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[2]\ : label is "yes"; attribute msgon of \Q_reg_reg[2]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[3]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[3]\ : label is "yes"; attribute msgon of \Q_reg_reg[3]\ : label is "true"; begin D(3 downto 0) <= Q_reg(3 downto 0); \Q_reg_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => Q(0), Q => Q_reg(0) ); \Q_reg_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => Q(1), Q => Q_reg(1) ); \Q_reg_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => Q(2), Q => Q_reg(2) ); \Q_reg_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => Q(3), Q => Q_reg(3) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \mig_wrap_auto_cc_0_synchronizer_ff__parameterized1\ is port ( D : out STD_LOGIC_VECTOR ( 3 downto 0 ); Q : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_aclk : in STD_LOGIC; AR : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \mig_wrap_auto_cc_0_synchronizer_ff__parameterized1\ : entity is "synchronizer_ff"; end \mig_wrap_auto_cc_0_synchronizer_ff__parameterized1\; architecture STRUCTURE of \mig_wrap_auto_cc_0_synchronizer_ff__parameterized1\ is signal Q_reg : STD_LOGIC_VECTOR ( 3 downto 0 ); attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[1]\ : label is "yes"; attribute msgon of \Q_reg_reg[1]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[2]\ : label is "yes"; attribute msgon of \Q_reg_reg[2]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[3]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[3]\ : label is "yes"; attribute msgon of \Q_reg_reg[3]\ : label is "true"; begin D(3 downto 0) <= Q_reg(3 downto 0); \Q_reg_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => AR(0), D => Q(0), Q => Q_reg(0) ); \Q_reg_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => AR(0), D => Q(1), Q => Q_reg(1) ); \Q_reg_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => AR(0), D => Q(2), Q => Q_reg(2) ); \Q_reg_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => AR(0), D => Q(3), Q => Q_reg(3) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \mig_wrap_auto_cc_0_synchronizer_ff__parameterized1_22\ is port ( D : out STD_LOGIC_VECTOR ( 3 downto 0 ); Q : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_aclk : in STD_LOGIC; AR : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \mig_wrap_auto_cc_0_synchronizer_ff__parameterized1_22\ : entity is "synchronizer_ff"; end \mig_wrap_auto_cc_0_synchronizer_ff__parameterized1_22\; architecture STRUCTURE of \mig_wrap_auto_cc_0_synchronizer_ff__parameterized1_22\ is signal Q_reg : STD_LOGIC_VECTOR ( 3 downto 0 ); attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[1]\ : label is "yes"; attribute msgon of \Q_reg_reg[1]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[2]\ : label is "yes"; attribute msgon of \Q_reg_reg[2]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[3]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[3]\ : label is "yes"; attribute msgon of \Q_reg_reg[3]\ : label is "true"; begin D(3 downto 0) <= Q_reg(3 downto 0); \Q_reg_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => Q(0), Q => Q_reg(0) ); \Q_reg_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => Q(1), Q => Q_reg(1) ); \Q_reg_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => Q(2), Q => Q_reg(2) ); \Q_reg_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => Q(3), Q => Q_reg(3) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \mig_wrap_auto_cc_0_synchronizer_ff__parameterized1_43\ is port ( D : out STD_LOGIC_VECTOR ( 3 downto 0 ); Q : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_aclk : in STD_LOGIC; AR : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \mig_wrap_auto_cc_0_synchronizer_ff__parameterized1_43\ : entity is "synchronizer_ff"; end \mig_wrap_auto_cc_0_synchronizer_ff__parameterized1_43\; architecture STRUCTURE of \mig_wrap_auto_cc_0_synchronizer_ff__parameterized1_43\ is signal Q_reg : STD_LOGIC_VECTOR ( 3 downto 0 ); attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[1]\ : label is "yes"; attribute msgon of \Q_reg_reg[1]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[2]\ : label is "yes"; attribute msgon of \Q_reg_reg[2]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[3]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[3]\ : label is "yes"; attribute msgon of \Q_reg_reg[3]\ : label is "true"; begin D(3 downto 0) <= Q_reg(3 downto 0); \Q_reg_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => Q(0), Q => Q_reg(0) ); \Q_reg_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => Q(1), Q => Q_reg(1) ); \Q_reg_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => Q(2), Q => Q_reg(2) ); \Q_reg_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => Q(3), Q => Q_reg(3) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \mig_wrap_auto_cc_0_synchronizer_ff__parameterized1_64\ is port ( D : out STD_LOGIC_VECTOR ( 3 downto 0 ); Q : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_aclk : in STD_LOGIC; AR : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \mig_wrap_auto_cc_0_synchronizer_ff__parameterized1_64\ : entity is "synchronizer_ff"; end \mig_wrap_auto_cc_0_synchronizer_ff__parameterized1_64\; architecture STRUCTURE of \mig_wrap_auto_cc_0_synchronizer_ff__parameterized1_64\ is signal Q_reg : STD_LOGIC_VECTOR ( 3 downto 0 ); attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[1]\ : label is "yes"; attribute msgon of \Q_reg_reg[1]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[2]\ : label is "yes"; attribute msgon of \Q_reg_reg[2]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[3]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[3]\ : label is "yes"; attribute msgon of \Q_reg_reg[3]\ : label is "true"; begin D(3 downto 0) <= Q_reg(3 downto 0); \Q_reg_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => AR(0), D => Q(0), Q => Q_reg(0) ); \Q_reg_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => AR(0), D => Q(1), Q => Q_reg(1) ); \Q_reg_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => AR(0), D => Q(2), Q => Q_reg(2) ); \Q_reg_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => AR(0), D => Q(3), Q => Q_reg(3) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \mig_wrap_auto_cc_0_synchronizer_ff__parameterized1_88\ is port ( D : out STD_LOGIC_VECTOR ( 3 downto 0 ); Q : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_aclk : in STD_LOGIC; AR : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \mig_wrap_auto_cc_0_synchronizer_ff__parameterized1_88\ : entity is "synchronizer_ff"; end \mig_wrap_auto_cc_0_synchronizer_ff__parameterized1_88\; architecture STRUCTURE of \mig_wrap_auto_cc_0_synchronizer_ff__parameterized1_88\ is signal Q_reg : STD_LOGIC_VECTOR ( 3 downto 0 ); attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[1]\ : label is "yes"; attribute msgon of \Q_reg_reg[1]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[2]\ : label is "yes"; attribute msgon of \Q_reg_reg[2]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[3]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[3]\ : label is "yes"; attribute msgon of \Q_reg_reg[3]\ : label is "true"; begin D(3 downto 0) <= Q_reg(3 downto 0); \Q_reg_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => Q(0), Q => Q_reg(0) ); \Q_reg_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => Q(1), Q => Q_reg(1) ); \Q_reg_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => Q(2), Q => Q_reg(2) ); \Q_reg_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => Q(3), Q => Q_reg(3) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \mig_wrap_auto_cc_0_synchronizer_ff__parameterized2\ is port ( D : out STD_LOGIC_VECTOR ( 3 downto 0 ); \Q_reg_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_aclk : in STD_LOGIC; \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \mig_wrap_auto_cc_0_synchronizer_ff__parameterized2\ : entity is "synchronizer_ff"; end \mig_wrap_auto_cc_0_synchronizer_ff__parameterized2\; architecture STRUCTURE of \mig_wrap_auto_cc_0_synchronizer_ff__parameterized2\ is signal Q_reg : STD_LOGIC_VECTOR ( 3 downto 0 ); attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[1]\ : label is "yes"; attribute msgon of \Q_reg_reg[1]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[2]\ : label is "yes"; attribute msgon of \Q_reg_reg[2]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[3]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[3]\ : label is "yes"; attribute msgon of \Q_reg_reg[3]\ : label is "true"; begin D(3 downto 0) <= Q_reg(3 downto 0); \Q_reg_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[3]_0\(0), Q => Q_reg(0) ); \Q_reg_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[3]_0\(1), Q => Q_reg(1) ); \Q_reg_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[3]_0\(2), Q => Q_reg(2) ); \Q_reg_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[3]_0\(3), Q => Q_reg(3) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \mig_wrap_auto_cc_0_synchronizer_ff__parameterized2_23\ is port ( D : out STD_LOGIC_VECTOR ( 3 downto 0 ); \Q_reg_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_aclk : in STD_LOGIC; \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \mig_wrap_auto_cc_0_synchronizer_ff__parameterized2_23\ : entity is "synchronizer_ff"; end \mig_wrap_auto_cc_0_synchronizer_ff__parameterized2_23\; architecture STRUCTURE of \mig_wrap_auto_cc_0_synchronizer_ff__parameterized2_23\ is signal Q_reg : STD_LOGIC_VECTOR ( 3 downto 0 ); attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[1]\ : label is "yes"; attribute msgon of \Q_reg_reg[1]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[2]\ : label is "yes"; attribute msgon of \Q_reg_reg[2]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[3]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[3]\ : label is "yes"; attribute msgon of \Q_reg_reg[3]\ : label is "true"; begin D(3 downto 0) <= Q_reg(3 downto 0); \Q_reg_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[3]_0\(0), Q => Q_reg(0) ); \Q_reg_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[3]_0\(1), Q => Q_reg(1) ); \Q_reg_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[3]_0\(2), Q => Q_reg(2) ); \Q_reg_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[3]_0\(3), Q => Q_reg(3) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \mig_wrap_auto_cc_0_synchronizer_ff__parameterized2_44\ is port ( D : out STD_LOGIC_VECTOR ( 3 downto 0 ); \Q_reg_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_aclk : in STD_LOGIC; \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \mig_wrap_auto_cc_0_synchronizer_ff__parameterized2_44\ : entity is "synchronizer_ff"; end \mig_wrap_auto_cc_0_synchronizer_ff__parameterized2_44\; architecture STRUCTURE of \mig_wrap_auto_cc_0_synchronizer_ff__parameterized2_44\ is signal Q_reg : STD_LOGIC_VECTOR ( 3 downto 0 ); attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[1]\ : label is "yes"; attribute msgon of \Q_reg_reg[1]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[2]\ : label is "yes"; attribute msgon of \Q_reg_reg[2]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[3]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[3]\ : label is "yes"; attribute msgon of \Q_reg_reg[3]\ : label is "true"; begin D(3 downto 0) <= Q_reg(3 downto 0); \Q_reg_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[3]_0\(0), Q => Q_reg(0) ); \Q_reg_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[3]_0\(1), Q => Q_reg(1) ); \Q_reg_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[3]_0\(2), Q => Q_reg(2) ); \Q_reg_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[3]_0\(3), Q => Q_reg(3) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \mig_wrap_auto_cc_0_synchronizer_ff__parameterized2_65\ is port ( D : out STD_LOGIC_VECTOR ( 3 downto 0 ); \Q_reg_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_aclk : in STD_LOGIC; \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \mig_wrap_auto_cc_0_synchronizer_ff__parameterized2_65\ : entity is "synchronizer_ff"; end \mig_wrap_auto_cc_0_synchronizer_ff__parameterized2_65\; architecture STRUCTURE of \mig_wrap_auto_cc_0_synchronizer_ff__parameterized2_65\ is signal Q_reg : STD_LOGIC_VECTOR ( 3 downto 0 ); attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[1]\ : label is "yes"; attribute msgon of \Q_reg_reg[1]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[2]\ : label is "yes"; attribute msgon of \Q_reg_reg[2]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[3]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[3]\ : label is "yes"; attribute msgon of \Q_reg_reg[3]\ : label is "true"; begin D(3 downto 0) <= Q_reg(3 downto 0); \Q_reg_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[3]_0\(0), Q => Q_reg(0) ); \Q_reg_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[3]_0\(1), Q => Q_reg(1) ); \Q_reg_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[3]_0\(2), Q => Q_reg(2) ); \Q_reg_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[3]_0\(3), Q => Q_reg(3) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \mig_wrap_auto_cc_0_synchronizer_ff__parameterized2_89\ is port ( D : out STD_LOGIC_VECTOR ( 3 downto 0 ); \Q_reg_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_aclk : in STD_LOGIC; \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \mig_wrap_auto_cc_0_synchronizer_ff__parameterized2_89\ : entity is "synchronizer_ff"; end \mig_wrap_auto_cc_0_synchronizer_ff__parameterized2_89\; architecture STRUCTURE of \mig_wrap_auto_cc_0_synchronizer_ff__parameterized2_89\ is signal Q_reg : STD_LOGIC_VECTOR ( 3 downto 0 ); attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[1]\ : label is "yes"; attribute msgon of \Q_reg_reg[1]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[2]\ : label is "yes"; attribute msgon of \Q_reg_reg[2]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[3]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[3]\ : label is "yes"; attribute msgon of \Q_reg_reg[3]\ : label is "true"; begin D(3 downto 0) <= Q_reg(3 downto 0); \Q_reg_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[3]_0\(0), Q => Q_reg(0) ); \Q_reg_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[3]_0\(1), Q => Q_reg(1) ); \Q_reg_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[3]_0\(2), Q => Q_reg(2) ); \Q_reg_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[3]_0\(3), Q => Q_reg(3) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \mig_wrap_auto_cc_0_synchronizer_ff__parameterized3\ is port ( D : out STD_LOGIC_VECTOR ( 3 downto 0 ); \Q_reg_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_aclk : in STD_LOGIC; AR : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \mig_wrap_auto_cc_0_synchronizer_ff__parameterized3\ : entity is "synchronizer_ff"; end \mig_wrap_auto_cc_0_synchronizer_ff__parameterized3\; architecture STRUCTURE of \mig_wrap_auto_cc_0_synchronizer_ff__parameterized3\ is signal Q_reg : STD_LOGIC_VECTOR ( 3 downto 0 ); attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[1]\ : label is "yes"; attribute msgon of \Q_reg_reg[1]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[2]\ : label is "yes"; attribute msgon of \Q_reg_reg[2]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[3]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[3]\ : label is "yes"; attribute msgon of \Q_reg_reg[3]\ : label is "true"; begin D(3 downto 0) <= Q_reg(3 downto 0); \Q_reg_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => AR(0), D => \Q_reg_reg[3]_0\(0), Q => Q_reg(0) ); \Q_reg_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => AR(0), D => \Q_reg_reg[3]_0\(1), Q => Q_reg(1) ); \Q_reg_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => AR(0), D => \Q_reg_reg[3]_0\(2), Q => Q_reg(2) ); \Q_reg_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => AR(0), D => \Q_reg_reg[3]_0\(3), Q => Q_reg(3) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \mig_wrap_auto_cc_0_synchronizer_ff__parameterized3_24\ is port ( D : out STD_LOGIC_VECTOR ( 3 downto 0 ); \Q_reg_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_aclk : in STD_LOGIC; AR : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \mig_wrap_auto_cc_0_synchronizer_ff__parameterized3_24\ : entity is "synchronizer_ff"; end \mig_wrap_auto_cc_0_synchronizer_ff__parameterized3_24\; architecture STRUCTURE of \mig_wrap_auto_cc_0_synchronizer_ff__parameterized3_24\ is signal Q_reg : STD_LOGIC_VECTOR ( 3 downto 0 ); attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[1]\ : label is "yes"; attribute msgon of \Q_reg_reg[1]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[2]\ : label is "yes"; attribute msgon of \Q_reg_reg[2]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[3]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[3]\ : label is "yes"; attribute msgon of \Q_reg_reg[3]\ : label is "true"; begin D(3 downto 0) <= Q_reg(3 downto 0); \Q_reg_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => \Q_reg_reg[3]_0\(0), Q => Q_reg(0) ); \Q_reg_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => \Q_reg_reg[3]_0\(1), Q => Q_reg(1) ); \Q_reg_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => \Q_reg_reg[3]_0\(2), Q => Q_reg(2) ); \Q_reg_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => \Q_reg_reg[3]_0\(3), Q => Q_reg(3) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \mig_wrap_auto_cc_0_synchronizer_ff__parameterized3_45\ is port ( D : out STD_LOGIC_VECTOR ( 3 downto 0 ); \Q_reg_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_aclk : in STD_LOGIC; AR : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \mig_wrap_auto_cc_0_synchronizer_ff__parameterized3_45\ : entity is "synchronizer_ff"; end \mig_wrap_auto_cc_0_synchronizer_ff__parameterized3_45\; architecture STRUCTURE of \mig_wrap_auto_cc_0_synchronizer_ff__parameterized3_45\ is signal Q_reg : STD_LOGIC_VECTOR ( 3 downto 0 ); attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[1]\ : label is "yes"; attribute msgon of \Q_reg_reg[1]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[2]\ : label is "yes"; attribute msgon of \Q_reg_reg[2]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[3]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[3]\ : label is "yes"; attribute msgon of \Q_reg_reg[3]\ : label is "true"; begin D(3 downto 0) <= Q_reg(3 downto 0); \Q_reg_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => \Q_reg_reg[3]_0\(0), Q => Q_reg(0) ); \Q_reg_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => \Q_reg_reg[3]_0\(1), Q => Q_reg(1) ); \Q_reg_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => \Q_reg_reg[3]_0\(2), Q => Q_reg(2) ); \Q_reg_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => \Q_reg_reg[3]_0\(3), Q => Q_reg(3) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \mig_wrap_auto_cc_0_synchronizer_ff__parameterized3_66\ is port ( D : out STD_LOGIC_VECTOR ( 3 downto 0 ); \Q_reg_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_aclk : in STD_LOGIC; AR : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \mig_wrap_auto_cc_0_synchronizer_ff__parameterized3_66\ : entity is "synchronizer_ff"; end \mig_wrap_auto_cc_0_synchronizer_ff__parameterized3_66\; architecture STRUCTURE of \mig_wrap_auto_cc_0_synchronizer_ff__parameterized3_66\ is signal Q_reg : STD_LOGIC_VECTOR ( 3 downto 0 ); attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[1]\ : label is "yes"; attribute msgon of \Q_reg_reg[1]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[2]\ : label is "yes"; attribute msgon of \Q_reg_reg[2]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[3]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[3]\ : label is "yes"; attribute msgon of \Q_reg_reg[3]\ : label is "true"; begin D(3 downto 0) <= Q_reg(3 downto 0); \Q_reg_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => AR(0), D => \Q_reg_reg[3]_0\(0), Q => Q_reg(0) ); \Q_reg_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => AR(0), D => \Q_reg_reg[3]_0\(1), Q => Q_reg(1) ); \Q_reg_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => AR(0), D => \Q_reg_reg[3]_0\(2), Q => Q_reg(2) ); \Q_reg_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => AR(0), D => \Q_reg_reg[3]_0\(3), Q => Q_reg(3) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \mig_wrap_auto_cc_0_synchronizer_ff__parameterized3_90\ is port ( D : out STD_LOGIC_VECTOR ( 3 downto 0 ); \Q_reg_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_aclk : in STD_LOGIC; AR : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \mig_wrap_auto_cc_0_synchronizer_ff__parameterized3_90\ : entity is "synchronizer_ff"; end \mig_wrap_auto_cc_0_synchronizer_ff__parameterized3_90\; architecture STRUCTURE of \mig_wrap_auto_cc_0_synchronizer_ff__parameterized3_90\ is signal Q_reg : STD_LOGIC_VECTOR ( 3 downto 0 ); attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[1]\ : label is "yes"; attribute msgon of \Q_reg_reg[1]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[2]\ : label is "yes"; attribute msgon of \Q_reg_reg[2]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[3]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[3]\ : label is "yes"; attribute msgon of \Q_reg_reg[3]\ : label is "true"; begin D(3 downto 0) <= Q_reg(3 downto 0); \Q_reg_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => \Q_reg_reg[3]_0\(0), Q => Q_reg(0) ); \Q_reg_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => \Q_reg_reg[3]_0\(1), Q => Q_reg(1) ); \Q_reg_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => \Q_reg_reg[3]_0\(2), Q => Q_reg(2) ); \Q_reg_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => \Q_reg_reg[3]_0\(3), Q => Q_reg(3) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \mig_wrap_auto_cc_0_synchronizer_ff__parameterized4\ is port ( \out\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); D : out STD_LOGIC_VECTOR ( 0 to 0 ); \Q_reg_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_aclk : in STD_LOGIC; \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \mig_wrap_auto_cc_0_synchronizer_ff__parameterized4\ : entity is "synchronizer_ff"; end \mig_wrap_auto_cc_0_synchronizer_ff__parameterized4\; architecture STRUCTURE of \mig_wrap_auto_cc_0_synchronizer_ff__parameterized4\ is signal Q_reg : STD_LOGIC_VECTOR ( 3 downto 0 ); attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[1]\ : label is "yes"; attribute msgon of \Q_reg_reg[1]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[2]\ : label is "yes"; attribute msgon of \Q_reg_reg[2]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[3]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[3]\ : label is "yes"; attribute msgon of \Q_reg_reg[3]\ : label is "true"; begin \out\(3 downto 0) <= Q_reg(3 downto 0); \Q_reg_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[3]_0\(0), Q => Q_reg(0) ); \Q_reg_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[3]_0\(1), Q => Q_reg(1) ); \Q_reg_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[3]_0\(2), Q => Q_reg(2) ); \Q_reg_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[3]_0\(3), Q => Q_reg(3) ); \gnxpm_cdc.wr_pntr_bin[2]_i_1__1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => Q_reg(2), I1 => Q_reg(3), O => D(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \mig_wrap_auto_cc_0_synchronizer_ff__parameterized4_25\ is port ( \out\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); D : out STD_LOGIC_VECTOR ( 0 to 0 ); \Q_reg_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_aclk : in STD_LOGIC; \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \mig_wrap_auto_cc_0_synchronizer_ff__parameterized4_25\ : entity is "synchronizer_ff"; end \mig_wrap_auto_cc_0_synchronizer_ff__parameterized4_25\; architecture STRUCTURE of \mig_wrap_auto_cc_0_synchronizer_ff__parameterized4_25\ is signal Q_reg : STD_LOGIC_VECTOR ( 3 downto 0 ); attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[1]\ : label is "yes"; attribute msgon of \Q_reg_reg[1]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[2]\ : label is "yes"; attribute msgon of \Q_reg_reg[2]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[3]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[3]\ : label is "yes"; attribute msgon of \Q_reg_reg[3]\ : label is "true"; begin \out\(3 downto 0) <= Q_reg(3 downto 0); \Q_reg_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[3]_0\(0), Q => Q_reg(0) ); \Q_reg_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[3]_0\(1), Q => Q_reg(1) ); \Q_reg_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[3]_0\(2), Q => Q_reg(2) ); \Q_reg_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[3]_0\(3), Q => Q_reg(3) ); \gnxpm_cdc.wr_pntr_bin[2]_i_1__0\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => Q_reg(2), I1 => Q_reg(3), O => D(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \mig_wrap_auto_cc_0_synchronizer_ff__parameterized4_46\ is port ( \out\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); D : out STD_LOGIC_VECTOR ( 0 to 0 ); \Q_reg_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_aclk : in STD_LOGIC; \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \mig_wrap_auto_cc_0_synchronizer_ff__parameterized4_46\ : entity is "synchronizer_ff"; end \mig_wrap_auto_cc_0_synchronizer_ff__parameterized4_46\; architecture STRUCTURE of \mig_wrap_auto_cc_0_synchronizer_ff__parameterized4_46\ is signal Q_reg : STD_LOGIC_VECTOR ( 3 downto 0 ); attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[1]\ : label is "yes"; attribute msgon of \Q_reg_reg[1]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[2]\ : label is "yes"; attribute msgon of \Q_reg_reg[2]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[3]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[3]\ : label is "yes"; attribute msgon of \Q_reg_reg[3]\ : label is "true"; begin \out\(3 downto 0) <= Q_reg(3 downto 0); \Q_reg_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[3]_0\(0), Q => Q_reg(0) ); \Q_reg_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[3]_0\(1), Q => Q_reg(1) ); \Q_reg_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[3]_0\(2), Q => Q_reg(2) ); \Q_reg_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[3]_0\(3), Q => Q_reg(3) ); \gnxpm_cdc.wr_pntr_bin[2]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => Q_reg(2), I1 => Q_reg(3), O => D(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \mig_wrap_auto_cc_0_synchronizer_ff__parameterized4_67\ is port ( \out\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); D : out STD_LOGIC_VECTOR ( 0 to 0 ); \Q_reg_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_aclk : in STD_LOGIC; \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \mig_wrap_auto_cc_0_synchronizer_ff__parameterized4_67\ : entity is "synchronizer_ff"; end \mig_wrap_auto_cc_0_synchronizer_ff__parameterized4_67\; architecture STRUCTURE of \mig_wrap_auto_cc_0_synchronizer_ff__parameterized4_67\ is signal Q_reg : STD_LOGIC_VECTOR ( 3 downto 0 ); attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[1]\ : label is "yes"; attribute msgon of \Q_reg_reg[1]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[2]\ : label is "yes"; attribute msgon of \Q_reg_reg[2]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[3]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[3]\ : label is "yes"; attribute msgon of \Q_reg_reg[3]\ : label is "true"; begin \out\(3 downto 0) <= Q_reg(3 downto 0); \Q_reg_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[3]_0\(0), Q => Q_reg(0) ); \Q_reg_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[3]_0\(1), Q => Q_reg(1) ); \Q_reg_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[3]_0\(2), Q => Q_reg(2) ); \Q_reg_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[3]_0\(3), Q => Q_reg(3) ); \gnxpm_cdc.wr_pntr_bin[2]_i_1__3\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => Q_reg(2), I1 => Q_reg(3), O => D(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \mig_wrap_auto_cc_0_synchronizer_ff__parameterized4_91\ is port ( \out\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); D : out STD_LOGIC_VECTOR ( 0 to 0 ); \Q_reg_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_aclk : in STD_LOGIC; \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \mig_wrap_auto_cc_0_synchronizer_ff__parameterized4_91\ : entity is "synchronizer_ff"; end \mig_wrap_auto_cc_0_synchronizer_ff__parameterized4_91\; architecture STRUCTURE of \mig_wrap_auto_cc_0_synchronizer_ff__parameterized4_91\ is signal Q_reg : STD_LOGIC_VECTOR ( 3 downto 0 ); attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[1]\ : label is "yes"; attribute msgon of \Q_reg_reg[1]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[2]\ : label is "yes"; attribute msgon of \Q_reg_reg[2]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[3]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[3]\ : label is "yes"; attribute msgon of \Q_reg_reg[3]\ : label is "true"; begin \out\(3 downto 0) <= Q_reg(3 downto 0); \Q_reg_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[3]_0\(0), Q => Q_reg(0) ); \Q_reg_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[3]_0\(1), Q => Q_reg(1) ); \Q_reg_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[3]_0\(2), Q => Q_reg(2) ); \Q_reg_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[3]_0\(3), Q => Q_reg(3) ); \gnxpm_cdc.wr_pntr_bin[2]_i_1__2\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => Q_reg(2), I1 => Q_reg(3), O => D(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \mig_wrap_auto_cc_0_synchronizer_ff__parameterized5\ is port ( \out\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); D : out STD_LOGIC_VECTOR ( 0 to 0 ); \Q_reg_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_aclk : in STD_LOGIC; AR : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \mig_wrap_auto_cc_0_synchronizer_ff__parameterized5\ : entity is "synchronizer_ff"; end \mig_wrap_auto_cc_0_synchronizer_ff__parameterized5\; architecture STRUCTURE of \mig_wrap_auto_cc_0_synchronizer_ff__parameterized5\ is signal Q_reg : STD_LOGIC_VECTOR ( 3 downto 0 ); attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[1]\ : label is "yes"; attribute msgon of \Q_reg_reg[1]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[2]\ : label is "yes"; attribute msgon of \Q_reg_reg[2]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[3]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[3]\ : label is "yes"; attribute msgon of \Q_reg_reg[3]\ : label is "true"; begin \out\(3 downto 0) <= Q_reg(3 downto 0); \Q_reg_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => AR(0), D => \Q_reg_reg[3]_0\(0), Q => Q_reg(0) ); \Q_reg_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => AR(0), D => \Q_reg_reg[3]_0\(1), Q => Q_reg(1) ); \Q_reg_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => AR(0), D => \Q_reg_reg[3]_0\(2), Q => Q_reg(2) ); \Q_reg_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => AR(0), D => \Q_reg_reg[3]_0\(3), Q => Q_reg(3) ); \gnxpm_cdc.rd_pntr_bin[2]_i_1__1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => Q_reg(2), I1 => Q_reg(3), O => D(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \mig_wrap_auto_cc_0_synchronizer_ff__parameterized5_26\ is port ( \out\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); D : out STD_LOGIC_VECTOR ( 0 to 0 ); \Q_reg_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_aclk : in STD_LOGIC; AR : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \mig_wrap_auto_cc_0_synchronizer_ff__parameterized5_26\ : entity is "synchronizer_ff"; end \mig_wrap_auto_cc_0_synchronizer_ff__parameterized5_26\; architecture STRUCTURE of \mig_wrap_auto_cc_0_synchronizer_ff__parameterized5_26\ is signal Q_reg : STD_LOGIC_VECTOR ( 3 downto 0 ); attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[1]\ : label is "yes"; attribute msgon of \Q_reg_reg[1]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[2]\ : label is "yes"; attribute msgon of \Q_reg_reg[2]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[3]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[3]\ : label is "yes"; attribute msgon of \Q_reg_reg[3]\ : label is "true"; begin \out\(3 downto 0) <= Q_reg(3 downto 0); \Q_reg_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => \Q_reg_reg[3]_0\(0), Q => Q_reg(0) ); \Q_reg_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => \Q_reg_reg[3]_0\(1), Q => Q_reg(1) ); \Q_reg_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => \Q_reg_reg[3]_0\(2), Q => Q_reg(2) ); \Q_reg_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => \Q_reg_reg[3]_0\(3), Q => Q_reg(3) ); \gnxpm_cdc.rd_pntr_bin[2]_i_1__0\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => Q_reg(2), I1 => Q_reg(3), O => D(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \mig_wrap_auto_cc_0_synchronizer_ff__parameterized5_47\ is port ( \out\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); D : out STD_LOGIC_VECTOR ( 0 to 0 ); \Q_reg_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_aclk : in STD_LOGIC; AR : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \mig_wrap_auto_cc_0_synchronizer_ff__parameterized5_47\ : entity is "synchronizer_ff"; end \mig_wrap_auto_cc_0_synchronizer_ff__parameterized5_47\; architecture STRUCTURE of \mig_wrap_auto_cc_0_synchronizer_ff__parameterized5_47\ is signal Q_reg : STD_LOGIC_VECTOR ( 3 downto 0 ); attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[1]\ : label is "yes"; attribute msgon of \Q_reg_reg[1]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[2]\ : label is "yes"; attribute msgon of \Q_reg_reg[2]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[3]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[3]\ : label is "yes"; attribute msgon of \Q_reg_reg[3]\ : label is "true"; begin \out\(3 downto 0) <= Q_reg(3 downto 0); \Q_reg_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => \Q_reg_reg[3]_0\(0), Q => Q_reg(0) ); \Q_reg_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => \Q_reg_reg[3]_0\(1), Q => Q_reg(1) ); \Q_reg_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => \Q_reg_reg[3]_0\(2), Q => Q_reg(2) ); \Q_reg_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => \Q_reg_reg[3]_0\(3), Q => Q_reg(3) ); \gnxpm_cdc.rd_pntr_bin[2]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => Q_reg(2), I1 => Q_reg(3), O => D(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \mig_wrap_auto_cc_0_synchronizer_ff__parameterized5_68\ is port ( \out\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); D : out STD_LOGIC_VECTOR ( 0 to 0 ); \Q_reg_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_aclk : in STD_LOGIC; AR : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \mig_wrap_auto_cc_0_synchronizer_ff__parameterized5_68\ : entity is "synchronizer_ff"; end \mig_wrap_auto_cc_0_synchronizer_ff__parameterized5_68\; architecture STRUCTURE of \mig_wrap_auto_cc_0_synchronizer_ff__parameterized5_68\ is signal Q_reg : STD_LOGIC_VECTOR ( 3 downto 0 ); attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[1]\ : label is "yes"; attribute msgon of \Q_reg_reg[1]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[2]\ : label is "yes"; attribute msgon of \Q_reg_reg[2]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[3]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[3]\ : label is "yes"; attribute msgon of \Q_reg_reg[3]\ : label is "true"; begin \out\(3 downto 0) <= Q_reg(3 downto 0); \Q_reg_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => AR(0), D => \Q_reg_reg[3]_0\(0), Q => Q_reg(0) ); \Q_reg_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => AR(0), D => \Q_reg_reg[3]_0\(1), Q => Q_reg(1) ); \Q_reg_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => AR(0), D => \Q_reg_reg[3]_0\(2), Q => Q_reg(2) ); \Q_reg_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => AR(0), D => \Q_reg_reg[3]_0\(3), Q => Q_reg(3) ); \gnxpm_cdc.rd_pntr_bin[2]_i_1__3\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => Q_reg(2), I1 => Q_reg(3), O => D(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \mig_wrap_auto_cc_0_synchronizer_ff__parameterized5_92\ is port ( \out\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); D : out STD_LOGIC_VECTOR ( 0 to 0 ); \Q_reg_reg[3]_0\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_aclk : in STD_LOGIC; AR : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \mig_wrap_auto_cc_0_synchronizer_ff__parameterized5_92\ : entity is "synchronizer_ff"; end \mig_wrap_auto_cc_0_synchronizer_ff__parameterized5_92\; architecture STRUCTURE of \mig_wrap_auto_cc_0_synchronizer_ff__parameterized5_92\ is signal Q_reg : STD_LOGIC_VECTOR ( 3 downto 0 ); attribute async_reg : string; attribute async_reg of Q_reg : signal is "true"; attribute msgon : string; attribute msgon of Q_reg : signal is "true"; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \Q_reg_reg[0]\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \Q_reg_reg[0]\ : label is "yes"; attribute msgon of \Q_reg_reg[0]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[1]\ : label is "yes"; attribute msgon of \Q_reg_reg[1]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[2]\ : label is "yes"; attribute msgon of \Q_reg_reg[2]\ : label is "true"; attribute ASYNC_REG_boolean of \Q_reg_reg[3]\ : label is std.standard.true; attribute KEEP of \Q_reg_reg[3]\ : label is "yes"; attribute msgon of \Q_reg_reg[3]\ : label is "true"; begin \out\(3 downto 0) <= Q_reg(3 downto 0); \Q_reg_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => \Q_reg_reg[3]_0\(0), Q => Q_reg(0) ); \Q_reg_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => \Q_reg_reg[3]_0\(1), Q => Q_reg(1) ); \Q_reg_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => \Q_reg_reg[3]_0\(2), Q => Q_reg(2) ); \Q_reg_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => \Q_reg_reg[3]_0\(3), Q => Q_reg(3) ); \gnxpm_cdc.rd_pntr_bin[2]_i_1__2\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => Q_reg(2), I1 => Q_reg(3), O => D(0) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity mig_wrap_auto_cc_0_wr_bin_cntr is port ( Q : out STD_LOGIC_VECTOR ( 3 downto 0 ); \gic0.gc0.count_d2_reg[3]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \gnxpm_cdc.wr_pntr_gc_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); E : in STD_LOGIC_VECTOR ( 0 to 0 ); m_aclk : in STD_LOGIC; AR : in STD_LOGIC_VECTOR ( 0 to 0 ) ); end mig_wrap_auto_cc_0_wr_bin_cntr; architecture STRUCTURE of mig_wrap_auto_cc_0_wr_bin_cntr is signal \^q\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \^gic0.gc0.count_d2_reg[3]_0\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \plusOp__1\ : STD_LOGIC_VECTOR ( 3 downto 0 ); attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \gic0.gc0.count[2]_i_1\ : label is "soft_lutpair24"; attribute SOFT_HLUTNM of \gic0.gc0.count[3]_i_1\ : label is "soft_lutpair24"; begin Q(3 downto 0) <= \^q\(3 downto 0); \gic0.gc0.count_d2_reg[3]_0\(3 downto 0) <= \^gic0.gc0.count_d2_reg[3]_0\(3 downto 0); \gic0.gc0.count[0]_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \^q\(0), O => \plusOp__1\(0) ); \gic0.gc0.count[1]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \^q\(0), I1 => \^q\(1), O => \plusOp__1\(1) ); \gic0.gc0.count[2]_i_1\: unisim.vcomponents.LUT3 generic map( INIT => X"78" ) port map ( I0 => \^q\(1), I1 => \^q\(0), I2 => \^q\(2), O => \plusOp__1\(2) ); \gic0.gc0.count[3]_i_1\: unisim.vcomponents.LUT4 generic map( INIT => X"7F80" ) port map ( I0 => \^q\(2), I1 => \^q\(0), I2 => \^q\(1), I3 => \^q\(3), O => \plusOp__1\(3) ); \gic0.gc0.count_d1_reg[0]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => E(0), D => \^q\(0), PRE => AR(0), Q => \^gic0.gc0.count_d2_reg[3]_0\(0) ); \gic0.gc0.count_d1_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), CLR => AR(0), D => \^q\(1), Q => \^gic0.gc0.count_d2_reg[3]_0\(1) ); \gic0.gc0.count_d1_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), CLR => AR(0), D => \^q\(2), Q => \^gic0.gc0.count_d2_reg[3]_0\(2) ); \gic0.gc0.count_d1_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), CLR => AR(0), D => \^q\(3), Q => \^gic0.gc0.count_d2_reg[3]_0\(3) ); \gic0.gc0.count_d2_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), CLR => AR(0), D => \^gic0.gc0.count_d2_reg[3]_0\(0), Q => \gnxpm_cdc.wr_pntr_gc_reg[3]\(0) ); \gic0.gc0.count_d2_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), CLR => AR(0), D => \^gic0.gc0.count_d2_reg[3]_0\(1), Q => \gnxpm_cdc.wr_pntr_gc_reg[3]\(1) ); \gic0.gc0.count_d2_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), CLR => AR(0), D => \^gic0.gc0.count_d2_reg[3]_0\(2), Q => \gnxpm_cdc.wr_pntr_gc_reg[3]\(2) ); \gic0.gc0.count_d2_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), CLR => AR(0), D => \^gic0.gc0.count_d2_reg[3]_0\(3), Q => \gnxpm_cdc.wr_pntr_gc_reg[3]\(3) ); \gic0.gc0.count_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), CLR => AR(0), D => \plusOp__1\(0), Q => \^q\(0) ); \gic0.gc0.count_reg[1]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => E(0), D => \plusOp__1\(1), PRE => AR(0), Q => \^q\(1) ); \gic0.gc0.count_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), CLR => AR(0), D => \plusOp__1\(2), Q => \^q\(2) ); \gic0.gc0.count_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), CLR => AR(0), D => \plusOp__1\(3), Q => \^q\(3) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity mig_wrap_auto_cc_0_wr_bin_cntr_17 is port ( Q : out STD_LOGIC_VECTOR ( 3 downto 0 ); \gic0.gc0.count_d2_reg[3]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \gnxpm_cdc.wr_pntr_gc_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); E : in STD_LOGIC_VECTOR ( 0 to 0 ); s_aclk : in STD_LOGIC; AR : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of mig_wrap_auto_cc_0_wr_bin_cntr_17 : entity is "wr_bin_cntr"; end mig_wrap_auto_cc_0_wr_bin_cntr_17; architecture STRUCTURE of mig_wrap_auto_cc_0_wr_bin_cntr_17 is signal \^q\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \^gic0.gc0.count_d2_reg[3]_0\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \plusOp__5\ : STD_LOGIC_VECTOR ( 3 downto 0 ); attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \gic0.gc0.count[2]_i_1__2\ : label is "soft_lutpair19"; attribute SOFT_HLUTNM of \gic0.gc0.count[3]_i_1__2\ : label is "soft_lutpair19"; begin Q(3 downto 0) <= \^q\(3 downto 0); \gic0.gc0.count_d2_reg[3]_0\(3 downto 0) <= \^gic0.gc0.count_d2_reg[3]_0\(3 downto 0); \gic0.gc0.count[0]_i_1__2\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \^q\(0), O => \plusOp__5\(0) ); \gic0.gc0.count[1]_i_1__2\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \^q\(0), I1 => \^q\(1), O => \plusOp__5\(1) ); \gic0.gc0.count[2]_i_1__2\: unisim.vcomponents.LUT3 generic map( INIT => X"78" ) port map ( I0 => \^q\(1), I1 => \^q\(0), I2 => \^q\(2), O => \plusOp__5\(2) ); \gic0.gc0.count[3]_i_1__2\: unisim.vcomponents.LUT4 generic map( INIT => X"7F80" ) port map ( I0 => \^q\(2), I1 => \^q\(0), I2 => \^q\(1), I3 => \^q\(3), O => \plusOp__5\(3) ); \gic0.gc0.count_d1_reg[0]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => E(0), D => \^q\(0), PRE => AR(0), Q => \^gic0.gc0.count_d2_reg[3]_0\(0) ); \gic0.gc0.count_d1_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => AR(0), D => \^q\(1), Q => \^gic0.gc0.count_d2_reg[3]_0\(1) ); \gic0.gc0.count_d1_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => AR(0), D => \^q\(2), Q => \^gic0.gc0.count_d2_reg[3]_0\(2) ); \gic0.gc0.count_d1_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => AR(0), D => \^q\(3), Q => \^gic0.gc0.count_d2_reg[3]_0\(3) ); \gic0.gc0.count_d2_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => AR(0), D => \^gic0.gc0.count_d2_reg[3]_0\(0), Q => \gnxpm_cdc.wr_pntr_gc_reg[3]\(0) ); \gic0.gc0.count_d2_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => AR(0), D => \^gic0.gc0.count_d2_reg[3]_0\(1), Q => \gnxpm_cdc.wr_pntr_gc_reg[3]\(1) ); \gic0.gc0.count_d2_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => AR(0), D => \^gic0.gc0.count_d2_reg[3]_0\(2), Q => \gnxpm_cdc.wr_pntr_gc_reg[3]\(2) ); \gic0.gc0.count_d2_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => AR(0), D => \^gic0.gc0.count_d2_reg[3]_0\(3), Q => \gnxpm_cdc.wr_pntr_gc_reg[3]\(3) ); \gic0.gc0.count_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => AR(0), D => \plusOp__5\(0), Q => \^q\(0) ); \gic0.gc0.count_reg[1]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => E(0), D => \plusOp__5\(1), PRE => AR(0), Q => \^q\(1) ); \gic0.gc0.count_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => AR(0), D => \plusOp__5\(2), Q => \^q\(2) ); \gic0.gc0.count_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => AR(0), D => \plusOp__5\(3), Q => \^q\(3) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity mig_wrap_auto_cc_0_wr_bin_cntr_38 is port ( Q : out STD_LOGIC_VECTOR ( 3 downto 0 ); \gic0.gc0.count_d2_reg[3]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \gnxpm_cdc.wr_pntr_gc_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); E : in STD_LOGIC_VECTOR ( 0 to 0 ); s_aclk : in STD_LOGIC; AR : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of mig_wrap_auto_cc_0_wr_bin_cntr_38 : entity is "wr_bin_cntr"; end mig_wrap_auto_cc_0_wr_bin_cntr_38; architecture STRUCTURE of mig_wrap_auto_cc_0_wr_bin_cntr_38 is signal \^q\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \^gic0.gc0.count_d2_reg[3]_0\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \plusOp__4\ : STD_LOGIC_VECTOR ( 3 downto 0 ); attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \gic0.gc0.count[2]_i_1__1\ : label is "soft_lutpair14"; attribute SOFT_HLUTNM of \gic0.gc0.count[3]_i_1__1\ : label is "soft_lutpair14"; begin Q(3 downto 0) <= \^q\(3 downto 0); \gic0.gc0.count_d2_reg[3]_0\(3 downto 0) <= \^gic0.gc0.count_d2_reg[3]_0\(3 downto 0); \gic0.gc0.count[0]_i_1__1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \^q\(0), O => \plusOp__4\(0) ); \gic0.gc0.count[1]_i_1__1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \^q\(0), I1 => \^q\(1), O => \plusOp__4\(1) ); \gic0.gc0.count[2]_i_1__1\: unisim.vcomponents.LUT3 generic map( INIT => X"78" ) port map ( I0 => \^q\(1), I1 => \^q\(0), I2 => \^q\(2), O => \plusOp__4\(2) ); \gic0.gc0.count[3]_i_1__1\: unisim.vcomponents.LUT4 generic map( INIT => X"7F80" ) port map ( I0 => \^q\(2), I1 => \^q\(0), I2 => \^q\(1), I3 => \^q\(3), O => \plusOp__4\(3) ); \gic0.gc0.count_d1_reg[0]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => E(0), D => \^q\(0), PRE => AR(0), Q => \^gic0.gc0.count_d2_reg[3]_0\(0) ); \gic0.gc0.count_d1_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => AR(0), D => \^q\(1), Q => \^gic0.gc0.count_d2_reg[3]_0\(1) ); \gic0.gc0.count_d1_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => AR(0), D => \^q\(2), Q => \^gic0.gc0.count_d2_reg[3]_0\(2) ); \gic0.gc0.count_d1_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => AR(0), D => \^q\(3), Q => \^gic0.gc0.count_d2_reg[3]_0\(3) ); \gic0.gc0.count_d2_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => AR(0), D => \^gic0.gc0.count_d2_reg[3]_0\(0), Q => \gnxpm_cdc.wr_pntr_gc_reg[3]\(0) ); \gic0.gc0.count_d2_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => AR(0), D => \^gic0.gc0.count_d2_reg[3]_0\(1), Q => \gnxpm_cdc.wr_pntr_gc_reg[3]\(1) ); \gic0.gc0.count_d2_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => AR(0), D => \^gic0.gc0.count_d2_reg[3]_0\(2), Q => \gnxpm_cdc.wr_pntr_gc_reg[3]\(2) ); \gic0.gc0.count_d2_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => AR(0), D => \^gic0.gc0.count_d2_reg[3]_0\(3), Q => \gnxpm_cdc.wr_pntr_gc_reg[3]\(3) ); \gic0.gc0.count_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => AR(0), D => \plusOp__4\(0), Q => \^q\(0) ); \gic0.gc0.count_reg[1]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => E(0), D => \plusOp__4\(1), PRE => AR(0), Q => \^q\(1) ); \gic0.gc0.count_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => AR(0), D => \plusOp__4\(2), Q => \^q\(2) ); \gic0.gc0.count_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => AR(0), D => \plusOp__4\(3), Q => \^q\(3) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity mig_wrap_auto_cc_0_wr_bin_cntr_59 is port ( Q : out STD_LOGIC_VECTOR ( 3 downto 0 ); \gic0.gc0.count_d2_reg[3]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \gnxpm_cdc.wr_pntr_gc_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); E : in STD_LOGIC_VECTOR ( 0 to 0 ); m_aclk : in STD_LOGIC; AR : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of mig_wrap_auto_cc_0_wr_bin_cntr_59 : entity is "wr_bin_cntr"; end mig_wrap_auto_cc_0_wr_bin_cntr_59; architecture STRUCTURE of mig_wrap_auto_cc_0_wr_bin_cntr_59 is signal \^q\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \^gic0.gc0.count_d2_reg[3]_0\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \plusOp__3\ : STD_LOGIC_VECTOR ( 3 downto 0 ); attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \gic0.gc0.count[2]_i_1__0\ : label is "soft_lutpair9"; attribute SOFT_HLUTNM of \gic0.gc0.count[3]_i_1__0\ : label is "soft_lutpair9"; begin Q(3 downto 0) <= \^q\(3 downto 0); \gic0.gc0.count_d2_reg[3]_0\(3 downto 0) <= \^gic0.gc0.count_d2_reg[3]_0\(3 downto 0); \gic0.gc0.count[0]_i_1__0\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \^q\(0), O => \plusOp__3\(0) ); \gic0.gc0.count[1]_i_1__0\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \^q\(0), I1 => \^q\(1), O => \plusOp__3\(1) ); \gic0.gc0.count[2]_i_1__0\: unisim.vcomponents.LUT3 generic map( INIT => X"78" ) port map ( I0 => \^q\(1), I1 => \^q\(0), I2 => \^q\(2), O => \plusOp__3\(2) ); \gic0.gc0.count[3]_i_1__0\: unisim.vcomponents.LUT4 generic map( INIT => X"7F80" ) port map ( I0 => \^q\(2), I1 => \^q\(0), I2 => \^q\(1), I3 => \^q\(3), O => \plusOp__3\(3) ); \gic0.gc0.count_d1_reg[0]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => E(0), D => \^q\(0), PRE => AR(0), Q => \^gic0.gc0.count_d2_reg[3]_0\(0) ); \gic0.gc0.count_d1_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), CLR => AR(0), D => \^q\(1), Q => \^gic0.gc0.count_d2_reg[3]_0\(1) ); \gic0.gc0.count_d1_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), CLR => AR(0), D => \^q\(2), Q => \^gic0.gc0.count_d2_reg[3]_0\(2) ); \gic0.gc0.count_d1_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), CLR => AR(0), D => \^q\(3), Q => \^gic0.gc0.count_d2_reg[3]_0\(3) ); \gic0.gc0.count_d2_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), CLR => AR(0), D => \^gic0.gc0.count_d2_reg[3]_0\(0), Q => \gnxpm_cdc.wr_pntr_gc_reg[3]\(0) ); \gic0.gc0.count_d2_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), CLR => AR(0), D => \^gic0.gc0.count_d2_reg[3]_0\(1), Q => \gnxpm_cdc.wr_pntr_gc_reg[3]\(1) ); \gic0.gc0.count_d2_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), CLR => AR(0), D => \^gic0.gc0.count_d2_reg[3]_0\(2), Q => \gnxpm_cdc.wr_pntr_gc_reg[3]\(2) ); \gic0.gc0.count_d2_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), CLR => AR(0), D => \^gic0.gc0.count_d2_reg[3]_0\(3), Q => \gnxpm_cdc.wr_pntr_gc_reg[3]\(3) ); \gic0.gc0.count_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), CLR => AR(0), D => \plusOp__3\(0), Q => \^q\(0) ); \gic0.gc0.count_reg[1]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => E(0), D => \plusOp__3\(1), PRE => AR(0), Q => \^q\(1) ); \gic0.gc0.count_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), CLR => AR(0), D => \plusOp__3\(2), Q => \^q\(2) ); \gic0.gc0.count_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), CLR => AR(0), D => \plusOp__3\(3), Q => \^q\(3) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity mig_wrap_auto_cc_0_wr_bin_cntr_83 is port ( Q : out STD_LOGIC_VECTOR ( 3 downto 0 ); \gic0.gc0.count_d2_reg[3]_0\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \gnxpm_cdc.wr_pntr_gc_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); E : in STD_LOGIC_VECTOR ( 0 to 0 ); s_aclk : in STD_LOGIC; AR : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of mig_wrap_auto_cc_0_wr_bin_cntr_83 : entity is "wr_bin_cntr"; end mig_wrap_auto_cc_0_wr_bin_cntr_83; architecture STRUCTURE of mig_wrap_auto_cc_0_wr_bin_cntr_83 is signal \^q\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \^gic0.gc0.count_d2_reg[3]_0\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \plusOp__7\ : STD_LOGIC_VECTOR ( 3 downto 0 ); attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \gic0.gc0.count[2]_i_1__3\ : label is "soft_lutpair4"; attribute SOFT_HLUTNM of \gic0.gc0.count[3]_i_1__3\ : label is "soft_lutpair4"; begin Q(3 downto 0) <= \^q\(3 downto 0); \gic0.gc0.count_d2_reg[3]_0\(3 downto 0) <= \^gic0.gc0.count_d2_reg[3]_0\(3 downto 0); \gic0.gc0.count[0]_i_1__3\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => \^q\(0), O => \plusOp__7\(0) ); \gic0.gc0.count[1]_i_1__3\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \^q\(0), I1 => \^q\(1), O => \plusOp__7\(1) ); \gic0.gc0.count[2]_i_1__3\: unisim.vcomponents.LUT3 generic map( INIT => X"78" ) port map ( I0 => \^q\(1), I1 => \^q\(0), I2 => \^q\(2), O => \plusOp__7\(2) ); \gic0.gc0.count[3]_i_1__3\: unisim.vcomponents.LUT4 generic map( INIT => X"7F80" ) port map ( I0 => \^q\(2), I1 => \^q\(0), I2 => \^q\(1), I3 => \^q\(3), O => \plusOp__7\(3) ); \gic0.gc0.count_d1_reg[0]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => E(0), D => \^q\(0), PRE => AR(0), Q => \^gic0.gc0.count_d2_reg[3]_0\(0) ); \gic0.gc0.count_d1_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => AR(0), D => \^q\(1), Q => \^gic0.gc0.count_d2_reg[3]_0\(1) ); \gic0.gc0.count_d1_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => AR(0), D => \^q\(2), Q => \^gic0.gc0.count_d2_reg[3]_0\(2) ); \gic0.gc0.count_d1_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => AR(0), D => \^q\(3), Q => \^gic0.gc0.count_d2_reg[3]_0\(3) ); \gic0.gc0.count_d2_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => AR(0), D => \^gic0.gc0.count_d2_reg[3]_0\(0), Q => \gnxpm_cdc.wr_pntr_gc_reg[3]\(0) ); \gic0.gc0.count_d2_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => AR(0), D => \^gic0.gc0.count_d2_reg[3]_0\(1), Q => \gnxpm_cdc.wr_pntr_gc_reg[3]\(1) ); \gic0.gc0.count_d2_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => AR(0), D => \^gic0.gc0.count_d2_reg[3]_0\(2), Q => \gnxpm_cdc.wr_pntr_gc_reg[3]\(2) ); \gic0.gc0.count_d2_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => AR(0), D => \^gic0.gc0.count_d2_reg[3]_0\(3), Q => \gnxpm_cdc.wr_pntr_gc_reg[3]\(3) ); \gic0.gc0.count_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => AR(0), D => \plusOp__7\(0), Q => \^q\(0) ); \gic0.gc0.count_reg[1]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => E(0), D => \plusOp__7\(1), PRE => AR(0), Q => \^q\(1) ); \gic0.gc0.count_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => AR(0), D => \plusOp__7\(2), Q => \^q\(2) ); \gic0.gc0.count_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => E(0), CLR => AR(0), D => \plusOp__7\(3), Q => \^q\(3) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity mig_wrap_auto_cc_0_wr_status_flags_as is port ( ram_full_fb_i_reg_0 : out STD_LOGIC; E : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_bready : out STD_LOGIC; \gic0.gc0.count_d1_reg[3]\ : in STD_LOGIC; m_aclk : in STD_LOGIC; \out\ : in STD_LOGIC; m_axi_bvalid : in STD_LOGIC; Q : in STD_LOGIC_VECTOR ( 0 to 0 ); \gnxpm_cdc.rd_pntr_bin_reg[3]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); end mig_wrap_auto_cc_0_wr_status_flags_as; architecture STRUCTURE of mig_wrap_auto_cc_0_wr_status_flags_as is signal ram_full_fb_i : STD_LOGIC; attribute DONT_TOUCH : boolean; attribute DONT_TOUCH of ram_full_fb_i : signal is std.standard.true; signal ram_full_i : STD_LOGIC; attribute DONT_TOUCH of ram_full_i : signal is std.standard.true; attribute DONT_TOUCH of ram_full_fb_i_reg : label is std.standard.true; attribute KEEP : string; attribute KEEP of ram_full_fb_i_reg : label is "yes"; attribute equivalent_register_removal : string; attribute equivalent_register_removal of ram_full_fb_i_reg : label is "no"; attribute DONT_TOUCH of ram_full_i_reg : label is std.standard.true; attribute KEEP of ram_full_i_reg : label is "yes"; attribute equivalent_register_removal of ram_full_i_reg : label is "no"; begin \gic0.gc0.count_d1[3]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => m_axi_bvalid, I1 => ram_full_fb_i, O => E(0) ); m_axi_bready_INST_0: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => ram_full_i, O => m_axi_bready ); ram_full_fb_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => \gic0.gc0.count_d1_reg[3]\, PRE => \out\, Q => ram_full_fb_i ); ram_full_i_i_3: unisim.vcomponents.LUT4 generic map( INIT => X"4004" ) port map ( I0 => ram_full_fb_i, I1 => m_axi_bvalid, I2 => Q(0), I3 => \gnxpm_cdc.rd_pntr_bin_reg[3]\(0), O => ram_full_fb_i_reg_0 ); ram_full_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => \gic0.gc0.count_d1_reg[3]\, PRE => \out\, Q => ram_full_i ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity mig_wrap_auto_cc_0_wr_status_flags_as_16 is port ( ram_full_fb_i_reg_0 : out STD_LOGIC; E : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_wready : out STD_LOGIC; \gic0.gc0.count_d1_reg[3]\ : in STD_LOGIC; s_aclk : in STD_LOGIC; \out\ : in STD_LOGIC; s_axi_wvalid : in STD_LOGIC; Q : in STD_LOGIC_VECTOR ( 0 to 0 ); \gnxpm_cdc.rd_pntr_bin_reg[3]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of mig_wrap_auto_cc_0_wr_status_flags_as_16 : entity is "wr_status_flags_as"; end mig_wrap_auto_cc_0_wr_status_flags_as_16; architecture STRUCTURE of mig_wrap_auto_cc_0_wr_status_flags_as_16 is signal ram_full_fb_i : STD_LOGIC; attribute DONT_TOUCH : boolean; attribute DONT_TOUCH of ram_full_fb_i : signal is std.standard.true; signal ram_full_i : STD_LOGIC; attribute DONT_TOUCH of ram_full_i : signal is std.standard.true; attribute DONT_TOUCH of ram_full_fb_i_reg : label is std.standard.true; attribute KEEP : string; attribute KEEP of ram_full_fb_i_reg : label is "yes"; attribute equivalent_register_removal : string; attribute equivalent_register_removal of ram_full_fb_i_reg : label is "no"; attribute DONT_TOUCH of ram_full_i_reg : label is std.standard.true; attribute KEEP of ram_full_i_reg : label is "yes"; attribute equivalent_register_removal of ram_full_i_reg : label is "no"; begin \gic0.gc0.count_d1[3]_i_1__2\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => s_axi_wvalid, I1 => ram_full_fb_i, O => E(0) ); ram_full_fb_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => \gic0.gc0.count_d1_reg[3]\, PRE => \out\, Q => ram_full_fb_i ); \ram_full_i_i_3__2\: unisim.vcomponents.LUT4 generic map( INIT => X"4004" ) port map ( I0 => ram_full_fb_i, I1 => s_axi_wvalid, I2 => Q(0), I3 => \gnxpm_cdc.rd_pntr_bin_reg[3]\(0), O => ram_full_fb_i_reg_0 ); ram_full_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => \gic0.gc0.count_d1_reg[3]\, PRE => \out\, Q => ram_full_i ); s_axi_wready_INST_0: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => ram_full_i, O => s_axi_wready ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity mig_wrap_auto_cc_0_wr_status_flags_as_37 is port ( ram_full_fb_i_reg_0 : out STD_LOGIC; E : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_awready : out STD_LOGIC; \gic0.gc0.count_d1_reg[3]\ : in STD_LOGIC; s_aclk : in STD_LOGIC; \out\ : in STD_LOGIC; s_axi_awvalid : in STD_LOGIC; Q : in STD_LOGIC_VECTOR ( 0 to 0 ); \gnxpm_cdc.rd_pntr_bin_reg[3]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of mig_wrap_auto_cc_0_wr_status_flags_as_37 : entity is "wr_status_flags_as"; end mig_wrap_auto_cc_0_wr_status_flags_as_37; architecture STRUCTURE of mig_wrap_auto_cc_0_wr_status_flags_as_37 is signal ram_full_fb_i : STD_LOGIC; attribute DONT_TOUCH : boolean; attribute DONT_TOUCH of ram_full_fb_i : signal is std.standard.true; signal ram_full_i : STD_LOGIC; attribute DONT_TOUCH of ram_full_i : signal is std.standard.true; attribute DONT_TOUCH of ram_full_fb_i_reg : label is std.standard.true; attribute KEEP : string; attribute KEEP of ram_full_fb_i_reg : label is "yes"; attribute equivalent_register_removal : string; attribute equivalent_register_removal of ram_full_fb_i_reg : label is "no"; attribute DONT_TOUCH of ram_full_i_reg : label is std.standard.true; attribute KEEP of ram_full_i_reg : label is "yes"; attribute equivalent_register_removal of ram_full_i_reg : label is "no"; begin \gic0.gc0.count_d1[3]_i_1__1\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => s_axi_awvalid, I1 => ram_full_fb_i, O => E(0) ); ram_full_fb_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => \gic0.gc0.count_d1_reg[3]\, PRE => \out\, Q => ram_full_fb_i ); \ram_full_i_i_3__1\: unisim.vcomponents.LUT4 generic map( INIT => X"4004" ) port map ( I0 => ram_full_fb_i, I1 => s_axi_awvalid, I2 => Q(0), I3 => \gnxpm_cdc.rd_pntr_bin_reg[3]\(0), O => ram_full_fb_i_reg_0 ); ram_full_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => \gic0.gc0.count_d1_reg[3]\, PRE => \out\, Q => ram_full_i ); s_axi_awready_INST_0: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => ram_full_i, O => s_axi_awready ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity mig_wrap_auto_cc_0_wr_status_flags_as_58 is port ( ram_full_fb_i_reg_0 : out STD_LOGIC; E : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_rready : out STD_LOGIC; \gic0.gc0.count_d1_reg[3]\ : in STD_LOGIC; m_aclk : in STD_LOGIC; \out\ : in STD_LOGIC; m_axi_rvalid : in STD_LOGIC; Q : in STD_LOGIC_VECTOR ( 0 to 0 ); \gnxpm_cdc.rd_pntr_bin_reg[3]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of mig_wrap_auto_cc_0_wr_status_flags_as_58 : entity is "wr_status_flags_as"; end mig_wrap_auto_cc_0_wr_status_flags_as_58; architecture STRUCTURE of mig_wrap_auto_cc_0_wr_status_flags_as_58 is signal ram_full_fb_i : STD_LOGIC; attribute DONT_TOUCH : boolean; attribute DONT_TOUCH of ram_full_fb_i : signal is std.standard.true; signal ram_full_i : STD_LOGIC; attribute DONT_TOUCH of ram_full_i : signal is std.standard.true; attribute DONT_TOUCH of ram_full_fb_i_reg : label is std.standard.true; attribute KEEP : string; attribute KEEP of ram_full_fb_i_reg : label is "yes"; attribute equivalent_register_removal : string; attribute equivalent_register_removal of ram_full_fb_i_reg : label is "no"; attribute DONT_TOUCH of ram_full_i_reg : label is std.standard.true; attribute KEEP of ram_full_i_reg : label is "yes"; attribute equivalent_register_removal of ram_full_i_reg : label is "no"; begin \gic0.gc0.count_d1[3]_i_1__0\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => m_axi_rvalid, I1 => ram_full_fb_i, O => E(0) ); m_axi_rready_INST_0: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => ram_full_i, O => m_axi_rready ); ram_full_fb_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => \gic0.gc0.count_d1_reg[3]\, PRE => \out\, Q => ram_full_fb_i ); \ram_full_i_i_3__0\: unisim.vcomponents.LUT4 generic map( INIT => X"4004" ) port map ( I0 => ram_full_fb_i, I1 => m_axi_rvalid, I2 => Q(0), I3 => \gnxpm_cdc.rd_pntr_bin_reg[3]\(0), O => ram_full_fb_i_reg_0 ); ram_full_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => \gic0.gc0.count_d1_reg[3]\, PRE => \out\, Q => ram_full_i ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity mig_wrap_auto_cc_0_wr_status_flags_as_82 is port ( ram_full_fb_i_reg_0 : out STD_LOGIC; E : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_arready : out STD_LOGIC; \gic0.gc0.count_d1_reg[3]\ : in STD_LOGIC; s_aclk : in STD_LOGIC; \out\ : in STD_LOGIC; s_axi_arvalid : in STD_LOGIC; Q : in STD_LOGIC_VECTOR ( 0 to 0 ); \gnxpm_cdc.rd_pntr_bin_reg[3]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of mig_wrap_auto_cc_0_wr_status_flags_as_82 : entity is "wr_status_flags_as"; end mig_wrap_auto_cc_0_wr_status_flags_as_82; architecture STRUCTURE of mig_wrap_auto_cc_0_wr_status_flags_as_82 is signal ram_full_fb_i : STD_LOGIC; attribute DONT_TOUCH : boolean; attribute DONT_TOUCH of ram_full_fb_i : signal is std.standard.true; signal ram_full_i : STD_LOGIC; attribute DONT_TOUCH of ram_full_i : signal is std.standard.true; attribute DONT_TOUCH of ram_full_fb_i_reg : label is std.standard.true; attribute KEEP : string; attribute KEEP of ram_full_fb_i_reg : label is "yes"; attribute equivalent_register_removal : string; attribute equivalent_register_removal of ram_full_fb_i_reg : label is "no"; attribute DONT_TOUCH of ram_full_i_reg : label is std.standard.true; attribute KEEP of ram_full_i_reg : label is "yes"; attribute equivalent_register_removal of ram_full_i_reg : label is "no"; begin \gic0.gc0.count_d1[3]_i_1__3\: unisim.vcomponents.LUT2 generic map( INIT => X"2" ) port map ( I0 => s_axi_arvalid, I1 => ram_full_fb_i, O => E(0) ); ram_full_fb_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => \gic0.gc0.count_d1_reg[3]\, PRE => \out\, Q => ram_full_fb_i ); \ram_full_i_i_3__3\: unisim.vcomponents.LUT4 generic map( INIT => X"4004" ) port map ( I0 => ram_full_fb_i, I1 => s_axi_arvalid, I2 => Q(0), I3 => \gnxpm_cdc.rd_pntr_bin_reg[3]\(0), O => ram_full_fb_i_reg_0 ); ram_full_i_reg: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => \gic0.gc0.count_d1_reg[3]\, PRE => \out\, Q => ram_full_i ); s_axi_arready_INST_0: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => ram_full_i, O => s_axi_arready ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity mig_wrap_auto_cc_0_clk_x_pntrs is port ( \out\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); ram_full_fb_i_reg : out STD_LOGIC; ram_full_fb_i_reg_0 : out STD_LOGIC_VECTOR ( 0 to 0 ); ram_empty_i_reg : out STD_LOGIC; ram_empty_i_reg_0 : out STD_LOGIC_VECTOR ( 3 downto 0 ); ram_full_fb_i_reg_1 : in STD_LOGIC; Q : in STD_LOGIC_VECTOR ( 3 downto 0 ); \grstd1.grst_full.grst_f.rst_d3_reg\ : in STD_LOGIC; \gic0.gc0.count_reg[2]\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); \gc0.count_reg[2]\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); \gic0.gc0.count_d2_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_aclk : in STD_LOGIC; AR : in STD_LOGIC_VECTOR ( 0 to 0 ); s_aclk : in STD_LOGIC; \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \gc0.count_d1_reg[3]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); D : in STD_LOGIC_VECTOR ( 2 downto 0 ); \Q_reg_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); end mig_wrap_auto_cc_0_clk_x_pntrs; architecture STRUCTURE of mig_wrap_auto_cc_0_clk_x_pntrs is signal \__0_n_0\ : STD_LOGIC; signal \__1_n_0\ : STD_LOGIC; signal \__2_n_0\ : STD_LOGIC; signal bin2gray : STD_LOGIC_VECTOR ( 2 downto 0 ); signal \gnxpm_cdc.gsync_stage[3].rd_stg_inst_n_4\ : STD_LOGIC; signal \gnxpm_cdc.gsync_stage[3].wr_stg_inst_n_4\ : STD_LOGIC; signal \gnxpm_cdc.rd_pntr_gc_reg_n_0_[0]\ : STD_LOGIC; signal \gnxpm_cdc.rd_pntr_gc_reg_n_0_[1]\ : STD_LOGIC; signal \gnxpm_cdc.rd_pntr_gc_reg_n_0_[2]\ : STD_LOGIC; signal \gnxpm_cdc.rd_pntr_gc_reg_n_0_[3]\ : STD_LOGIC; signal \gnxpm_cdc.wr_pntr_gc_reg_n_0_[0]\ : STD_LOGIC; signal \gnxpm_cdc.wr_pntr_gc_reg_n_0_[1]\ : STD_LOGIC; signal \gnxpm_cdc.wr_pntr_gc_reg_n_0_[2]\ : STD_LOGIC; signal \gnxpm_cdc.wr_pntr_gc_reg_n_0_[3]\ : STD_LOGIC; signal \^out\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_23_out : STD_LOGIC_VECTOR ( 2 downto 0 ); signal p_3_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_4_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_5_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_6_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_8_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \^ram_empty_i_reg_0\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \^ram_full_fb_i_reg_0\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal ram_full_i_i_2_n_0 : STD_LOGIC; signal ram_full_i_i_4_n_0 : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \__1\ : label is "soft_lutpair20"; attribute SOFT_HLUTNM of \__2\ : label is "soft_lutpair20"; begin \out\(3 downto 0) <= \^out\(3 downto 0); ram_empty_i_reg_0(3 downto 0) <= \^ram_empty_i_reg_0\(3 downto 0); ram_full_fb_i_reg_0(0) <= \^ram_full_fb_i_reg_0\(0); \__0\: unisim.vcomponents.LUT3 generic map( INIT => X"96" ) port map ( I0 => \^out\(2), I1 => \^out\(1), I2 => \^out\(3), O => \__0_n_0\ ); \__1\: unisim.vcomponents.LUT4 generic map( INIT => X"6996" ) port map ( I0 => p_8_out(1), I1 => p_8_out(0), I2 => p_8_out(3), I3 => p_8_out(2), O => \__1_n_0\ ); \__2\: unisim.vcomponents.LUT3 generic map( INIT => X"96" ) port map ( I0 => p_8_out(2), I1 => p_8_out(1), I2 => p_8_out(3), O => \__2_n_0\ ); \gnxpm_cdc.gsync_stage[1].rd_stg_inst\: entity work.\mig_wrap_auto_cc_0_synchronizer_ff__parameterized0\ port map ( D(3 downto 0) => p_3_out(3 downto 0), Q(3) => \gnxpm_cdc.wr_pntr_gc_reg_n_0_[3]\, Q(2) => \gnxpm_cdc.wr_pntr_gc_reg_n_0_[2]\, Q(1) => \gnxpm_cdc.wr_pntr_gc_reg_n_0_[1]\, Q(0) => \gnxpm_cdc.wr_pntr_gc_reg_n_0_[0]\, \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0) => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), s_aclk => s_aclk ); \gnxpm_cdc.gsync_stage[1].wr_stg_inst\: entity work.\mig_wrap_auto_cc_0_synchronizer_ff__parameterized1\ port map ( AR(0) => AR(0), D(3 downto 0) => p_4_out(3 downto 0), Q(3) => \gnxpm_cdc.rd_pntr_gc_reg_n_0_[3]\, Q(2) => \gnxpm_cdc.rd_pntr_gc_reg_n_0_[2]\, Q(1) => \gnxpm_cdc.rd_pntr_gc_reg_n_0_[1]\, Q(0) => \gnxpm_cdc.rd_pntr_gc_reg_n_0_[0]\, m_aclk => m_aclk ); \gnxpm_cdc.gsync_stage[2].rd_stg_inst\: entity work.\mig_wrap_auto_cc_0_synchronizer_ff__parameterized2\ port map ( D(3 downto 0) => p_5_out(3 downto 0), \Q_reg_reg[3]_0\(3 downto 0) => p_3_out(3 downto 0), \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0) => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), s_aclk => s_aclk ); \gnxpm_cdc.gsync_stage[2].wr_stg_inst\: entity work.\mig_wrap_auto_cc_0_synchronizer_ff__parameterized3\ port map ( AR(0) => AR(0), D(3 downto 0) => p_6_out(3 downto 0), \Q_reg_reg[3]_0\(3 downto 0) => p_4_out(3 downto 0), m_aclk => m_aclk ); \gnxpm_cdc.gsync_stage[3].rd_stg_inst\: entity work.\mig_wrap_auto_cc_0_synchronizer_ff__parameterized4\ port map ( D(0) => \gnxpm_cdc.gsync_stage[3].rd_stg_inst_n_4\, \Q_reg_reg[3]_0\(3 downto 0) => p_5_out(3 downto 0), \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0) => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), \out\(3 downto 0) => \^out\(3 downto 0), s_aclk => s_aclk ); \gnxpm_cdc.gsync_stage[3].wr_stg_inst\: entity work.\mig_wrap_auto_cc_0_synchronizer_ff__parameterized5\ port map ( AR(0) => AR(0), D(0) => \gnxpm_cdc.gsync_stage[3].wr_stg_inst_n_4\, \Q_reg_reg[3]_0\(3 downto 0) => p_6_out(3 downto 0), m_aclk => m_aclk, \out\(3 downto 0) => p_8_out(3 downto 0) ); \gnxpm_cdc.rd_pntr_bin_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => AR(0), D => \__1_n_0\, Q => p_23_out(0) ); \gnxpm_cdc.rd_pntr_bin_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => AR(0), D => \__2_n_0\, Q => p_23_out(1) ); \gnxpm_cdc.rd_pntr_bin_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => AR(0), D => \gnxpm_cdc.gsync_stage[3].wr_stg_inst_n_4\, Q => p_23_out(2) ); \gnxpm_cdc.rd_pntr_bin_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => AR(0), D => p_8_out(3), Q => \^ram_full_fb_i_reg_0\(0) ); \gnxpm_cdc.rd_pntr_gc_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => D(0), Q => \gnxpm_cdc.rd_pntr_gc_reg_n_0_[0]\ ); \gnxpm_cdc.rd_pntr_gc_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => D(1), Q => \gnxpm_cdc.rd_pntr_gc_reg_n_0_[1]\ ); \gnxpm_cdc.rd_pntr_gc_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => D(2), Q => \gnxpm_cdc.rd_pntr_gc_reg_n_0_[2]\ ); \gnxpm_cdc.rd_pntr_gc_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \gc0.count_d1_reg[3]\(0), Q => \gnxpm_cdc.rd_pntr_gc_reg_n_0_[3]\ ); \gnxpm_cdc.wr_pntr_bin_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[1]\(0), Q => \^ram_empty_i_reg_0\(0) ); \gnxpm_cdc.wr_pntr_bin_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \__0_n_0\, Q => \^ram_empty_i_reg_0\(1) ); \gnxpm_cdc.wr_pntr_bin_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \gnxpm_cdc.gsync_stage[3].rd_stg_inst_n_4\, Q => \^ram_empty_i_reg_0\(2) ); \gnxpm_cdc.wr_pntr_bin_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \^out\(3), Q => \^ram_empty_i_reg_0\(3) ); \gnxpm_cdc.wr_pntr_gc[0]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \gic0.gc0.count_d2_reg[3]\(0), I1 => \gic0.gc0.count_d2_reg[3]\(1), O => bin2gray(0) ); \gnxpm_cdc.wr_pntr_gc[1]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \gic0.gc0.count_d2_reg[3]\(1), I1 => \gic0.gc0.count_d2_reg[3]\(2), O => bin2gray(1) ); \gnxpm_cdc.wr_pntr_gc[2]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \gic0.gc0.count_d2_reg[3]\(2), I1 => \gic0.gc0.count_d2_reg[3]\(3), O => bin2gray(2) ); \gnxpm_cdc.wr_pntr_gc_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => AR(0), D => bin2gray(0), Q => \gnxpm_cdc.wr_pntr_gc_reg_n_0_[0]\ ); \gnxpm_cdc.wr_pntr_gc_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => AR(0), D => bin2gray(1), Q => \gnxpm_cdc.wr_pntr_gc_reg_n_0_[1]\ ); \gnxpm_cdc.wr_pntr_gc_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => AR(0), D => bin2gray(2), Q => \gnxpm_cdc.wr_pntr_gc_reg_n_0_[2]\ ); \gnxpm_cdc.wr_pntr_gc_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => AR(0), D => \gic0.gc0.count_d2_reg[3]\(3), Q => \gnxpm_cdc.wr_pntr_gc_reg_n_0_[3]\ ); \ram_empty_i_i_4__2\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^ram_empty_i_reg_0\(2), I1 => \gc0.count_reg[2]\(2), I2 => \^ram_empty_i_reg_0\(1), I3 => \gc0.count_reg[2]\(1), I4 => \gc0.count_reg[2]\(0), I5 => \^ram_empty_i_reg_0\(0), O => ram_empty_i_reg ); ram_full_i_i_1: unisim.vcomponents.LUT6 generic map( INIT => X"0000F88F00008888" ) port map ( I0 => ram_full_i_i_2_n_0, I1 => ram_full_fb_i_reg_1, I2 => Q(3), I3 => \^ram_full_fb_i_reg_0\(0), I4 => \grstd1.grst_full.grst_f.rst_d3_reg\, I5 => ram_full_i_i_4_n_0, O => ram_full_fb_i_reg ); ram_full_i_i_2: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => p_23_out(2), I1 => \gic0.gc0.count_reg[2]\(2), I2 => p_23_out(1), I3 => \gic0.gc0.count_reg[2]\(1), I4 => \gic0.gc0.count_reg[2]\(0), I5 => p_23_out(0), O => ram_full_i_i_2_n_0 ); ram_full_i_i_4: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => p_23_out(2), I1 => Q(2), I2 => p_23_out(1), I3 => Q(1), I4 => Q(0), I5 => p_23_out(0), O => ram_full_i_i_4_n_0 ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity mig_wrap_auto_cc_0_clk_x_pntrs_27 is port ( \out\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); ram_empty_i_reg : out STD_LOGIC; Q : out STD_LOGIC_VECTOR ( 3 downto 0 ); ram_full_fb_i_reg : out STD_LOGIC; ram_full_fb_i_reg_0 : out STD_LOGIC_VECTOR ( 0 to 0 ); D : in STD_LOGIC_VECTOR ( 0 to 0 ); \gc0.count_reg[2]\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); ram_full_fb_i_reg_1 : in STD_LOGIC; \gic0.gc0.count_d1_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \grstd1.grst_full.grst_f.rst_d3_reg\ : in STD_LOGIC; \gic0.gc0.count_reg[2]\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); \gic0.gc0.count_d2_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_aclk : in STD_LOGIC; AR : in STD_LOGIC_VECTOR ( 0 to 0 ); m_aclk : in STD_LOGIC; \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \gc0.count_d1_reg[3]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \gc0.count_d1_reg[2]\ : in STD_LOGIC_VECTOR ( 2 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of mig_wrap_auto_cc_0_clk_x_pntrs_27 : entity is "clk_x_pntrs"; end mig_wrap_auto_cc_0_clk_x_pntrs_27; architecture STRUCTURE of mig_wrap_auto_cc_0_clk_x_pntrs_27 is signal \^q\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \__1_n_0\ : STD_LOGIC; signal \__2_n_0\ : STD_LOGIC; signal bin2gray : STD_LOGIC_VECTOR ( 2 downto 0 ); signal \gnxpm_cdc.gsync_stage[3].wr_stg_inst_n_4\ : STD_LOGIC; signal gray2bin : STD_LOGIC_VECTOR ( 1 to 1 ); signal \^out\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_0_out : STD_LOGIC; signal p_23_out_1 : STD_LOGIC_VECTOR ( 2 downto 0 ); signal p_3_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_4_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_5_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_6_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_8_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \^ram_full_fb_i_reg_0\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \ram_full_i_i_2__1_n_0\ : STD_LOGIC; signal \ram_full_i_i_4__1_n_0\ : STD_LOGIC; signal rd_pntr_gc : STD_LOGIC_VECTOR ( 3 downto 0 ); signal wr_pntr_gc : STD_LOGIC_VECTOR ( 3 downto 0 ); attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \__1\ : label is "soft_lutpair10"; attribute SOFT_HLUTNM of \__2\ : label is "soft_lutpair10"; begin Q(3 downto 0) <= \^q\(3 downto 0); \out\(3 downto 0) <= \^out\(3 downto 0); ram_full_fb_i_reg_0(0) <= \^ram_full_fb_i_reg_0\(0); \__0\: unisim.vcomponents.LUT3 generic map( INIT => X"96" ) port map ( I0 => \^out\(2), I1 => \^out\(1), I2 => \^out\(3), O => gray2bin(1) ); \__1\: unisim.vcomponents.LUT4 generic map( INIT => X"6996" ) port map ( I0 => p_8_out(1), I1 => p_8_out(0), I2 => p_8_out(3), I3 => p_8_out(2), O => \__1_n_0\ ); \__2\: unisim.vcomponents.LUT3 generic map( INIT => X"96" ) port map ( I0 => p_8_out(2), I1 => p_8_out(1), I2 => p_8_out(3), O => \__2_n_0\ ); \gnxpm_cdc.gsync_stage[1].rd_stg_inst\: entity work.\mig_wrap_auto_cc_0_synchronizer_ff__parameterized0_42\ port map ( D(3 downto 0) => p_3_out(3 downto 0), Q(3 downto 0) => wr_pntr_gc(3 downto 0), m_aclk => m_aclk, \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0) => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0) ); \gnxpm_cdc.gsync_stage[1].wr_stg_inst\: entity work.\mig_wrap_auto_cc_0_synchronizer_ff__parameterized1_43\ port map ( AR(0) => AR(0), D(3 downto 0) => p_4_out(3 downto 0), Q(3 downto 0) => rd_pntr_gc(3 downto 0), s_aclk => s_aclk ); \gnxpm_cdc.gsync_stage[2].rd_stg_inst\: entity work.\mig_wrap_auto_cc_0_synchronizer_ff__parameterized2_44\ port map ( D(3 downto 0) => p_5_out(3 downto 0), \Q_reg_reg[3]_0\(3 downto 0) => p_3_out(3 downto 0), m_aclk => m_aclk, \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0) => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0) ); \gnxpm_cdc.gsync_stage[2].wr_stg_inst\: entity work.\mig_wrap_auto_cc_0_synchronizer_ff__parameterized3_45\ port map ( AR(0) => AR(0), D(3 downto 0) => p_6_out(3 downto 0), \Q_reg_reg[3]_0\(3 downto 0) => p_4_out(3 downto 0), s_aclk => s_aclk ); \gnxpm_cdc.gsync_stage[3].rd_stg_inst\: entity work.\mig_wrap_auto_cc_0_synchronizer_ff__parameterized4_46\ port map ( D(0) => p_0_out, \Q_reg_reg[3]_0\(3 downto 0) => p_5_out(3 downto 0), m_aclk => m_aclk, \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0) => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), \out\(3 downto 0) => \^out\(3 downto 0) ); \gnxpm_cdc.gsync_stage[3].wr_stg_inst\: entity work.\mig_wrap_auto_cc_0_synchronizer_ff__parameterized5_47\ port map ( AR(0) => AR(0), D(0) => \gnxpm_cdc.gsync_stage[3].wr_stg_inst_n_4\, \Q_reg_reg[3]_0\(3 downto 0) => p_6_out(3 downto 0), \out\(3 downto 0) => p_8_out(3 downto 0), s_aclk => s_aclk ); \gnxpm_cdc.rd_pntr_bin_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => \__1_n_0\, Q => p_23_out_1(0) ); \gnxpm_cdc.rd_pntr_bin_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => \__2_n_0\, Q => p_23_out_1(1) ); \gnxpm_cdc.rd_pntr_bin_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => \gnxpm_cdc.gsync_stage[3].wr_stg_inst_n_4\, Q => p_23_out_1(2) ); \gnxpm_cdc.rd_pntr_bin_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => p_8_out(3), Q => \^ram_full_fb_i_reg_0\(0) ); \gnxpm_cdc.rd_pntr_gc_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \gc0.count_d1_reg[2]\(0), Q => rd_pntr_gc(0) ); \gnxpm_cdc.rd_pntr_gc_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \gc0.count_d1_reg[2]\(1), Q => rd_pntr_gc(1) ); \gnxpm_cdc.rd_pntr_gc_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \gc0.count_d1_reg[2]\(2), Q => rd_pntr_gc(2) ); \gnxpm_cdc.rd_pntr_gc_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \gc0.count_d1_reg[3]\(0), Q => rd_pntr_gc(3) ); \gnxpm_cdc.wr_pntr_bin_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => D(0), Q => \^q\(0) ); \gnxpm_cdc.wr_pntr_bin_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => gray2bin(1), Q => \^q\(1) ); \gnxpm_cdc.wr_pntr_bin_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => p_0_out, Q => \^q\(2) ); \gnxpm_cdc.wr_pntr_bin_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \^out\(3), Q => \^q\(3) ); \gnxpm_cdc.wr_pntr_gc[0]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \gic0.gc0.count_d2_reg[3]\(0), I1 => \gic0.gc0.count_d2_reg[3]\(1), O => bin2gray(0) ); \gnxpm_cdc.wr_pntr_gc[1]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \gic0.gc0.count_d2_reg[3]\(1), I1 => \gic0.gc0.count_d2_reg[3]\(2), O => bin2gray(1) ); \gnxpm_cdc.wr_pntr_gc[2]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \gic0.gc0.count_d2_reg[3]\(2), I1 => \gic0.gc0.count_d2_reg[3]\(3), O => bin2gray(2) ); \gnxpm_cdc.wr_pntr_gc_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => bin2gray(0), Q => wr_pntr_gc(0) ); \gnxpm_cdc.wr_pntr_gc_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => bin2gray(1), Q => wr_pntr_gc(1) ); \gnxpm_cdc.wr_pntr_gc_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => bin2gray(2), Q => wr_pntr_gc(2) ); \gnxpm_cdc.wr_pntr_gc_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => \gic0.gc0.count_d2_reg[3]\(3), Q => wr_pntr_gc(3) ); ram_empty_i_i_4: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^q\(2), I1 => \gc0.count_reg[2]\(2), I2 => \^q\(1), I3 => \gc0.count_reg[2]\(1), I4 => \gc0.count_reg[2]\(0), I5 => \^q\(0), O => ram_empty_i_reg ); \ram_full_i_i_1__1\: unisim.vcomponents.LUT6 generic map( INIT => X"0000F88F00008888" ) port map ( I0 => \ram_full_i_i_2__1_n_0\, I1 => ram_full_fb_i_reg_1, I2 => \gic0.gc0.count_d1_reg[3]\(3), I3 => \^ram_full_fb_i_reg_0\(0), I4 => \grstd1.grst_full.grst_f.rst_d3_reg\, I5 => \ram_full_i_i_4__1_n_0\, O => ram_full_fb_i_reg ); \ram_full_i_i_2__1\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => p_23_out_1(2), I1 => \gic0.gc0.count_reg[2]\(2), I2 => p_23_out_1(1), I3 => \gic0.gc0.count_reg[2]\(1), I4 => \gic0.gc0.count_reg[2]\(0), I5 => p_23_out_1(0), O => \ram_full_i_i_2__1_n_0\ ); \ram_full_i_i_4__1\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => p_23_out_1(2), I1 => \gic0.gc0.count_d1_reg[3]\(2), I2 => p_23_out_1(1), I3 => \gic0.gc0.count_d1_reg[3]\(1), I4 => \gic0.gc0.count_d1_reg[3]\(0), I5 => p_23_out_1(0), O => \ram_full_i_i_4__1_n_0\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity mig_wrap_auto_cc_0_clk_x_pntrs_48 is port ( \out\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); ram_full_fb_i_reg : out STD_LOGIC; ram_full_fb_i_reg_0 : out STD_LOGIC_VECTOR ( 0 to 0 ); ram_empty_i_reg : out STD_LOGIC; ram_empty_i_reg_0 : out STD_LOGIC_VECTOR ( 3 downto 0 ); ram_full_fb_i_reg_1 : in STD_LOGIC; Q : in STD_LOGIC_VECTOR ( 3 downto 0 ); \grstd1.grst_full.grst_f.rst_d3_reg\ : in STD_LOGIC; \gic0.gc0.count_reg[2]\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); \gc0.count_reg[2]\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); \gic0.gc0.count_d2_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_aclk : in STD_LOGIC; AR : in STD_LOGIC_VECTOR ( 0 to 0 ); s_aclk : in STD_LOGIC; \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \gc0.count_d1_reg[3]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); D : in STD_LOGIC_VECTOR ( 2 downto 0 ); \Q_reg_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of mig_wrap_auto_cc_0_clk_x_pntrs_48 : entity is "clk_x_pntrs"; end mig_wrap_auto_cc_0_clk_x_pntrs_48; architecture STRUCTURE of mig_wrap_auto_cc_0_clk_x_pntrs_48 is signal \__0_n_0\ : STD_LOGIC; signal \__1_n_0\ : STD_LOGIC; signal \__2_n_0\ : STD_LOGIC; signal bin2gray : STD_LOGIC_VECTOR ( 2 downto 0 ); signal \gnxpm_cdc.gsync_stage[3].rd_stg_inst_n_4\ : STD_LOGIC; signal \gnxpm_cdc.gsync_stage[3].wr_stg_inst_n_4\ : STD_LOGIC; signal \gnxpm_cdc.rd_pntr_gc_reg_n_0_[0]\ : STD_LOGIC; signal \gnxpm_cdc.rd_pntr_gc_reg_n_0_[1]\ : STD_LOGIC; signal \gnxpm_cdc.rd_pntr_gc_reg_n_0_[2]\ : STD_LOGIC; signal \gnxpm_cdc.rd_pntr_gc_reg_n_0_[3]\ : STD_LOGIC; signal \gnxpm_cdc.wr_pntr_gc_reg_n_0_[0]\ : STD_LOGIC; signal \gnxpm_cdc.wr_pntr_gc_reg_n_0_[1]\ : STD_LOGIC; signal \gnxpm_cdc.wr_pntr_gc_reg_n_0_[2]\ : STD_LOGIC; signal \gnxpm_cdc.wr_pntr_gc_reg_n_0_[3]\ : STD_LOGIC; signal \^out\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_23_out : STD_LOGIC_VECTOR ( 2 downto 0 ); signal p_3_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_4_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_5_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_6_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_8_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \^ram_empty_i_reg_0\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \^ram_full_fb_i_reg_0\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \ram_full_i_i_2__0_n_0\ : STD_LOGIC; signal \ram_full_i_i_4__0_n_0\ : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \__1\ : label is "soft_lutpair5"; attribute SOFT_HLUTNM of \__2\ : label is "soft_lutpair5"; begin \out\(3 downto 0) <= \^out\(3 downto 0); ram_empty_i_reg_0(3 downto 0) <= \^ram_empty_i_reg_0\(3 downto 0); ram_full_fb_i_reg_0(0) <= \^ram_full_fb_i_reg_0\(0); \__0\: unisim.vcomponents.LUT3 generic map( INIT => X"96" ) port map ( I0 => \^out\(2), I1 => \^out\(1), I2 => \^out\(3), O => \__0_n_0\ ); \__1\: unisim.vcomponents.LUT4 generic map( INIT => X"6996" ) port map ( I0 => p_8_out(1), I1 => p_8_out(0), I2 => p_8_out(3), I3 => p_8_out(2), O => \__1_n_0\ ); \__2\: unisim.vcomponents.LUT3 generic map( INIT => X"96" ) port map ( I0 => p_8_out(2), I1 => p_8_out(1), I2 => p_8_out(3), O => \__2_n_0\ ); \gnxpm_cdc.gsync_stage[1].rd_stg_inst\: entity work.\mig_wrap_auto_cc_0_synchronizer_ff__parameterized0_63\ port map ( D(3 downto 0) => p_3_out(3 downto 0), Q(3) => \gnxpm_cdc.wr_pntr_gc_reg_n_0_[3]\, Q(2) => \gnxpm_cdc.wr_pntr_gc_reg_n_0_[2]\, Q(1) => \gnxpm_cdc.wr_pntr_gc_reg_n_0_[1]\, Q(0) => \gnxpm_cdc.wr_pntr_gc_reg_n_0_[0]\, \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0) => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), s_aclk => s_aclk ); \gnxpm_cdc.gsync_stage[1].wr_stg_inst\: entity work.\mig_wrap_auto_cc_0_synchronizer_ff__parameterized1_64\ port map ( AR(0) => AR(0), D(3 downto 0) => p_4_out(3 downto 0), Q(3) => \gnxpm_cdc.rd_pntr_gc_reg_n_0_[3]\, Q(2) => \gnxpm_cdc.rd_pntr_gc_reg_n_0_[2]\, Q(1) => \gnxpm_cdc.rd_pntr_gc_reg_n_0_[1]\, Q(0) => \gnxpm_cdc.rd_pntr_gc_reg_n_0_[0]\, m_aclk => m_aclk ); \gnxpm_cdc.gsync_stage[2].rd_stg_inst\: entity work.\mig_wrap_auto_cc_0_synchronizer_ff__parameterized2_65\ port map ( D(3 downto 0) => p_5_out(3 downto 0), \Q_reg_reg[3]_0\(3 downto 0) => p_3_out(3 downto 0), \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0) => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), s_aclk => s_aclk ); \gnxpm_cdc.gsync_stage[2].wr_stg_inst\: entity work.\mig_wrap_auto_cc_0_synchronizer_ff__parameterized3_66\ port map ( AR(0) => AR(0), D(3 downto 0) => p_6_out(3 downto 0), \Q_reg_reg[3]_0\(3 downto 0) => p_4_out(3 downto 0), m_aclk => m_aclk ); \gnxpm_cdc.gsync_stage[3].rd_stg_inst\: entity work.\mig_wrap_auto_cc_0_synchronizer_ff__parameterized4_67\ port map ( D(0) => \gnxpm_cdc.gsync_stage[3].rd_stg_inst_n_4\, \Q_reg_reg[3]_0\(3 downto 0) => p_5_out(3 downto 0), \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0) => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), \out\(3 downto 0) => \^out\(3 downto 0), s_aclk => s_aclk ); \gnxpm_cdc.gsync_stage[3].wr_stg_inst\: entity work.\mig_wrap_auto_cc_0_synchronizer_ff__parameterized5_68\ port map ( AR(0) => AR(0), D(0) => \gnxpm_cdc.gsync_stage[3].wr_stg_inst_n_4\, \Q_reg_reg[3]_0\(3 downto 0) => p_6_out(3 downto 0), m_aclk => m_aclk, \out\(3 downto 0) => p_8_out(3 downto 0) ); \gnxpm_cdc.rd_pntr_bin_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => AR(0), D => \__1_n_0\, Q => p_23_out(0) ); \gnxpm_cdc.rd_pntr_bin_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => AR(0), D => \__2_n_0\, Q => p_23_out(1) ); \gnxpm_cdc.rd_pntr_bin_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => AR(0), D => \gnxpm_cdc.gsync_stage[3].wr_stg_inst_n_4\, Q => p_23_out(2) ); \gnxpm_cdc.rd_pntr_bin_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => AR(0), D => p_8_out(3), Q => \^ram_full_fb_i_reg_0\(0) ); \gnxpm_cdc.rd_pntr_gc_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => D(0), Q => \gnxpm_cdc.rd_pntr_gc_reg_n_0_[0]\ ); \gnxpm_cdc.rd_pntr_gc_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => D(1), Q => \gnxpm_cdc.rd_pntr_gc_reg_n_0_[1]\ ); \gnxpm_cdc.rd_pntr_gc_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => D(2), Q => \gnxpm_cdc.rd_pntr_gc_reg_n_0_[2]\ ); \gnxpm_cdc.rd_pntr_gc_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \gc0.count_d1_reg[3]\(0), Q => \gnxpm_cdc.rd_pntr_gc_reg_n_0_[3]\ ); \gnxpm_cdc.wr_pntr_bin_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[1]\(0), Q => \^ram_empty_i_reg_0\(0) ); \gnxpm_cdc.wr_pntr_bin_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \__0_n_0\, Q => \^ram_empty_i_reg_0\(1) ); \gnxpm_cdc.wr_pntr_bin_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \gnxpm_cdc.gsync_stage[3].rd_stg_inst_n_4\, Q => \^ram_empty_i_reg_0\(2) ); \gnxpm_cdc.wr_pntr_bin_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \^out\(3), Q => \^ram_empty_i_reg_0\(3) ); \gnxpm_cdc.wr_pntr_gc[0]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \gic0.gc0.count_d2_reg[3]\(0), I1 => \gic0.gc0.count_d2_reg[3]\(1), O => bin2gray(0) ); \gnxpm_cdc.wr_pntr_gc[1]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \gic0.gc0.count_d2_reg[3]\(1), I1 => \gic0.gc0.count_d2_reg[3]\(2), O => bin2gray(1) ); \gnxpm_cdc.wr_pntr_gc[2]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \gic0.gc0.count_d2_reg[3]\(2), I1 => \gic0.gc0.count_d2_reg[3]\(3), O => bin2gray(2) ); \gnxpm_cdc.wr_pntr_gc_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => AR(0), D => bin2gray(0), Q => \gnxpm_cdc.wr_pntr_gc_reg_n_0_[0]\ ); \gnxpm_cdc.wr_pntr_gc_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => AR(0), D => bin2gray(1), Q => \gnxpm_cdc.wr_pntr_gc_reg_n_0_[1]\ ); \gnxpm_cdc.wr_pntr_gc_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => AR(0), D => bin2gray(2), Q => \gnxpm_cdc.wr_pntr_gc_reg_n_0_[2]\ ); \gnxpm_cdc.wr_pntr_gc_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => AR(0), D => \gic0.gc0.count_d2_reg[3]\(3), Q => \gnxpm_cdc.wr_pntr_gc_reg_n_0_[3]\ ); \ram_empty_i_i_4__3\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^ram_empty_i_reg_0\(2), I1 => \gc0.count_reg[2]\(2), I2 => \^ram_empty_i_reg_0\(1), I3 => \gc0.count_reg[2]\(1), I4 => \gc0.count_reg[2]\(0), I5 => \^ram_empty_i_reg_0\(0), O => ram_empty_i_reg ); \ram_full_i_i_1__0\: unisim.vcomponents.LUT6 generic map( INIT => X"0000F88F00008888" ) port map ( I0 => \ram_full_i_i_2__0_n_0\, I1 => ram_full_fb_i_reg_1, I2 => Q(3), I3 => \^ram_full_fb_i_reg_0\(0), I4 => \grstd1.grst_full.grst_f.rst_d3_reg\, I5 => \ram_full_i_i_4__0_n_0\, O => ram_full_fb_i_reg ); \ram_full_i_i_2__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => p_23_out(2), I1 => \gic0.gc0.count_reg[2]\(2), I2 => p_23_out(1), I3 => \gic0.gc0.count_reg[2]\(1), I4 => \gic0.gc0.count_reg[2]\(0), I5 => p_23_out(0), O => \ram_full_i_i_2__0_n_0\ ); \ram_full_i_i_4__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => p_23_out(2), I1 => Q(2), I2 => p_23_out(1), I3 => Q(1), I4 => Q(0), I5 => p_23_out(0), O => \ram_full_i_i_4__0_n_0\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity mig_wrap_auto_cc_0_clk_x_pntrs_6 is port ( \out\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); ram_empty_i_reg : out STD_LOGIC; Q : out STD_LOGIC_VECTOR ( 3 downto 0 ); ram_full_fb_i_reg : out STD_LOGIC; ram_full_fb_i_reg_0 : out STD_LOGIC_VECTOR ( 0 to 0 ); \gc0.count_reg[2]\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); ram_full_fb_i_reg_1 : in STD_LOGIC; \gic0.gc0.count_d1_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \grstd1.grst_full.grst_f.rst_d3_reg\ : in STD_LOGIC; \gic0.gc0.count_reg[2]\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); \gic0.gc0.count_d2_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_aclk : in STD_LOGIC; AR : in STD_LOGIC_VECTOR ( 0 to 0 ); m_aclk : in STD_LOGIC; \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \gc0.count_d1_reg[3]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); D : in STD_LOGIC_VECTOR ( 2 downto 0 ); \Q_reg_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of mig_wrap_auto_cc_0_clk_x_pntrs_6 : entity is "clk_x_pntrs"; end mig_wrap_auto_cc_0_clk_x_pntrs_6; architecture STRUCTURE of mig_wrap_auto_cc_0_clk_x_pntrs_6 is signal \^q\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \__0_n_0\ : STD_LOGIC; signal \__1_n_0\ : STD_LOGIC; signal \__2_n_0\ : STD_LOGIC; signal bin2gray : STD_LOGIC_VECTOR ( 2 downto 0 ); signal \gnxpm_cdc.gsync_stage[3].rd_stg_inst_n_4\ : STD_LOGIC; signal \gnxpm_cdc.gsync_stage[3].wr_stg_inst_n_4\ : STD_LOGIC; signal \gnxpm_cdc.rd_pntr_gc_reg_n_0_[0]\ : STD_LOGIC; signal \gnxpm_cdc.rd_pntr_gc_reg_n_0_[1]\ : STD_LOGIC; signal \gnxpm_cdc.rd_pntr_gc_reg_n_0_[2]\ : STD_LOGIC; signal \gnxpm_cdc.rd_pntr_gc_reg_n_0_[3]\ : STD_LOGIC; signal \gnxpm_cdc.wr_pntr_gc_reg_n_0_[0]\ : STD_LOGIC; signal \gnxpm_cdc.wr_pntr_gc_reg_n_0_[1]\ : STD_LOGIC; signal \gnxpm_cdc.wr_pntr_gc_reg_n_0_[2]\ : STD_LOGIC; signal \gnxpm_cdc.wr_pntr_gc_reg_n_0_[3]\ : STD_LOGIC; signal \^out\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_23_out : STD_LOGIC_VECTOR ( 2 downto 0 ); signal p_3_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_4_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_5_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_6_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_8_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \^ram_full_fb_i_reg_0\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \ram_full_i_i_2__2_n_0\ : STD_LOGIC; signal \ram_full_i_i_4__2_n_0\ : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \__1\ : label is "soft_lutpair15"; attribute SOFT_HLUTNM of \__2\ : label is "soft_lutpair15"; begin Q(3 downto 0) <= \^q\(3 downto 0); \out\(3 downto 0) <= \^out\(3 downto 0); ram_full_fb_i_reg_0(0) <= \^ram_full_fb_i_reg_0\(0); \__0\: unisim.vcomponents.LUT3 generic map( INIT => X"96" ) port map ( I0 => \^out\(2), I1 => \^out\(1), I2 => \^out\(3), O => \__0_n_0\ ); \__1\: unisim.vcomponents.LUT4 generic map( INIT => X"6996" ) port map ( I0 => p_8_out(1), I1 => p_8_out(0), I2 => p_8_out(3), I3 => p_8_out(2), O => \__1_n_0\ ); \__2\: unisim.vcomponents.LUT3 generic map( INIT => X"96" ) port map ( I0 => p_8_out(2), I1 => p_8_out(1), I2 => p_8_out(3), O => \__2_n_0\ ); \gnxpm_cdc.gsync_stage[1].rd_stg_inst\: entity work.\mig_wrap_auto_cc_0_synchronizer_ff__parameterized0_21\ port map ( D(3 downto 0) => p_3_out(3 downto 0), Q(3) => \gnxpm_cdc.wr_pntr_gc_reg_n_0_[3]\, Q(2) => \gnxpm_cdc.wr_pntr_gc_reg_n_0_[2]\, Q(1) => \gnxpm_cdc.wr_pntr_gc_reg_n_0_[1]\, Q(0) => \gnxpm_cdc.wr_pntr_gc_reg_n_0_[0]\, m_aclk => m_aclk, \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0) => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0) ); \gnxpm_cdc.gsync_stage[1].wr_stg_inst\: entity work.\mig_wrap_auto_cc_0_synchronizer_ff__parameterized1_22\ port map ( AR(0) => AR(0), D(3 downto 0) => p_4_out(3 downto 0), Q(3) => \gnxpm_cdc.rd_pntr_gc_reg_n_0_[3]\, Q(2) => \gnxpm_cdc.rd_pntr_gc_reg_n_0_[2]\, Q(1) => \gnxpm_cdc.rd_pntr_gc_reg_n_0_[1]\, Q(0) => \gnxpm_cdc.rd_pntr_gc_reg_n_0_[0]\, s_aclk => s_aclk ); \gnxpm_cdc.gsync_stage[2].rd_stg_inst\: entity work.\mig_wrap_auto_cc_0_synchronizer_ff__parameterized2_23\ port map ( D(3 downto 0) => p_5_out(3 downto 0), \Q_reg_reg[3]_0\(3 downto 0) => p_3_out(3 downto 0), m_aclk => m_aclk, \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0) => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0) ); \gnxpm_cdc.gsync_stage[2].wr_stg_inst\: entity work.\mig_wrap_auto_cc_0_synchronizer_ff__parameterized3_24\ port map ( AR(0) => AR(0), D(3 downto 0) => p_6_out(3 downto 0), \Q_reg_reg[3]_0\(3 downto 0) => p_4_out(3 downto 0), s_aclk => s_aclk ); \gnxpm_cdc.gsync_stage[3].rd_stg_inst\: entity work.\mig_wrap_auto_cc_0_synchronizer_ff__parameterized4_25\ port map ( D(0) => \gnxpm_cdc.gsync_stage[3].rd_stg_inst_n_4\, \Q_reg_reg[3]_0\(3 downto 0) => p_5_out(3 downto 0), m_aclk => m_aclk, \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0) => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), \out\(3 downto 0) => \^out\(3 downto 0) ); \gnxpm_cdc.gsync_stage[3].wr_stg_inst\: entity work.\mig_wrap_auto_cc_0_synchronizer_ff__parameterized5_26\ port map ( AR(0) => AR(0), D(0) => \gnxpm_cdc.gsync_stage[3].wr_stg_inst_n_4\, \Q_reg_reg[3]_0\(3 downto 0) => p_6_out(3 downto 0), \out\(3 downto 0) => p_8_out(3 downto 0), s_aclk => s_aclk ); \gnxpm_cdc.rd_pntr_bin_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => \__1_n_0\, Q => p_23_out(0) ); \gnxpm_cdc.rd_pntr_bin_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => \__2_n_0\, Q => p_23_out(1) ); \gnxpm_cdc.rd_pntr_bin_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => \gnxpm_cdc.gsync_stage[3].wr_stg_inst_n_4\, Q => p_23_out(2) ); \gnxpm_cdc.rd_pntr_bin_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => p_8_out(3), Q => \^ram_full_fb_i_reg_0\(0) ); \gnxpm_cdc.rd_pntr_gc_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => D(0), Q => \gnxpm_cdc.rd_pntr_gc_reg_n_0_[0]\ ); \gnxpm_cdc.rd_pntr_gc_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => D(1), Q => \gnxpm_cdc.rd_pntr_gc_reg_n_0_[1]\ ); \gnxpm_cdc.rd_pntr_gc_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => D(2), Q => \gnxpm_cdc.rd_pntr_gc_reg_n_0_[2]\ ); \gnxpm_cdc.rd_pntr_gc_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \gc0.count_d1_reg[3]\(0), Q => \gnxpm_cdc.rd_pntr_gc_reg_n_0_[3]\ ); \gnxpm_cdc.wr_pntr_bin_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[1]\(0), Q => \^q\(0) ); \gnxpm_cdc.wr_pntr_bin_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \__0_n_0\, Q => \^q\(1) ); \gnxpm_cdc.wr_pntr_bin_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \gnxpm_cdc.gsync_stage[3].rd_stg_inst_n_4\, Q => \^q\(2) ); \gnxpm_cdc.wr_pntr_bin_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \^out\(3), Q => \^q\(3) ); \gnxpm_cdc.wr_pntr_gc[0]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \gic0.gc0.count_d2_reg[3]\(0), I1 => \gic0.gc0.count_d2_reg[3]\(1), O => bin2gray(0) ); \gnxpm_cdc.wr_pntr_gc[1]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \gic0.gc0.count_d2_reg[3]\(1), I1 => \gic0.gc0.count_d2_reg[3]\(2), O => bin2gray(1) ); \gnxpm_cdc.wr_pntr_gc[2]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \gic0.gc0.count_d2_reg[3]\(2), I1 => \gic0.gc0.count_d2_reg[3]\(3), O => bin2gray(2) ); \gnxpm_cdc.wr_pntr_gc_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => bin2gray(0), Q => \gnxpm_cdc.wr_pntr_gc_reg_n_0_[0]\ ); \gnxpm_cdc.wr_pntr_gc_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => bin2gray(1), Q => \gnxpm_cdc.wr_pntr_gc_reg_n_0_[1]\ ); \gnxpm_cdc.wr_pntr_gc_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => bin2gray(2), Q => \gnxpm_cdc.wr_pntr_gc_reg_n_0_[2]\ ); \gnxpm_cdc.wr_pntr_gc_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => \gic0.gc0.count_d2_reg[3]\(3), Q => \gnxpm_cdc.wr_pntr_gc_reg_n_0_[3]\ ); \ram_empty_i_i_4__0\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^q\(2), I1 => \gc0.count_reg[2]\(2), I2 => \^q\(1), I3 => \gc0.count_reg[2]\(1), I4 => \gc0.count_reg[2]\(0), I5 => \^q\(0), O => ram_empty_i_reg ); \ram_full_i_i_1__2\: unisim.vcomponents.LUT6 generic map( INIT => X"0000F88F00008888" ) port map ( I0 => \ram_full_i_i_2__2_n_0\, I1 => ram_full_fb_i_reg_1, I2 => \gic0.gc0.count_d1_reg[3]\(3), I3 => \^ram_full_fb_i_reg_0\(0), I4 => \grstd1.grst_full.grst_f.rst_d3_reg\, I5 => \ram_full_i_i_4__2_n_0\, O => ram_full_fb_i_reg ); \ram_full_i_i_2__2\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => p_23_out(2), I1 => \gic0.gc0.count_reg[2]\(2), I2 => p_23_out(1), I3 => \gic0.gc0.count_reg[2]\(1), I4 => \gic0.gc0.count_reg[2]\(0), I5 => p_23_out(0), O => \ram_full_i_i_2__2_n_0\ ); \ram_full_i_i_4__2\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => p_23_out(2), I1 => \gic0.gc0.count_d1_reg[3]\(2), I2 => p_23_out(1), I3 => \gic0.gc0.count_d1_reg[3]\(1), I4 => \gic0.gc0.count_d1_reg[3]\(0), I5 => p_23_out(0), O => \ram_full_i_i_4__2_n_0\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity mig_wrap_auto_cc_0_clk_x_pntrs_70 is port ( \out\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); ram_empty_i_reg : out STD_LOGIC; Q : out STD_LOGIC_VECTOR ( 3 downto 0 ); ram_full_fb_i_reg : out STD_LOGIC; ram_full_fb_i_reg_0 : out STD_LOGIC_VECTOR ( 0 to 0 ); \gc0.count_reg[2]\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); ram_full_fb_i_reg_1 : in STD_LOGIC; \gic0.gc0.count_d1_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \grstd1.grst_full.grst_f.rst_d3_reg\ : in STD_LOGIC; \gic0.gc0.count_reg[2]\ : in STD_LOGIC_VECTOR ( 2 downto 0 ); \gic0.gc0.count_d2_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_aclk : in STD_LOGIC; AR : in STD_LOGIC_VECTOR ( 0 to 0 ); m_aclk : in STD_LOGIC; \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); \gc0.count_d1_reg[3]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); D : in STD_LOGIC_VECTOR ( 2 downto 0 ); \Q_reg_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of mig_wrap_auto_cc_0_clk_x_pntrs_70 : entity is "clk_x_pntrs"; end mig_wrap_auto_cc_0_clk_x_pntrs_70; architecture STRUCTURE of mig_wrap_auto_cc_0_clk_x_pntrs_70 is signal \^q\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \__0_n_0\ : STD_LOGIC; signal \__1_n_0\ : STD_LOGIC; signal \__2_n_0\ : STD_LOGIC; signal bin2gray : STD_LOGIC_VECTOR ( 2 downto 0 ); signal \gnxpm_cdc.gsync_stage[3].rd_stg_inst_n_4\ : STD_LOGIC; signal \gnxpm_cdc.gsync_stage[3].wr_stg_inst_n_4\ : STD_LOGIC; signal \gnxpm_cdc.rd_pntr_gc_reg_n_0_[0]\ : STD_LOGIC; signal \gnxpm_cdc.rd_pntr_gc_reg_n_0_[1]\ : STD_LOGIC; signal \gnxpm_cdc.rd_pntr_gc_reg_n_0_[2]\ : STD_LOGIC; signal \gnxpm_cdc.rd_pntr_gc_reg_n_0_[3]\ : STD_LOGIC; signal \gnxpm_cdc.wr_pntr_gc_reg_n_0_[0]\ : STD_LOGIC; signal \gnxpm_cdc.wr_pntr_gc_reg_n_0_[1]\ : STD_LOGIC; signal \gnxpm_cdc.wr_pntr_gc_reg_n_0_[2]\ : STD_LOGIC; signal \gnxpm_cdc.wr_pntr_gc_reg_n_0_[3]\ : STD_LOGIC; signal \^out\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_23_out : STD_LOGIC_VECTOR ( 2 downto 0 ); signal p_3_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_4_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_5_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_6_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_8_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \^ram_full_fb_i_reg_0\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \ram_full_i_i_2__3_n_0\ : STD_LOGIC; signal \ram_full_i_i_4__3_n_0\ : STD_LOGIC; attribute SOFT_HLUTNM : string; attribute SOFT_HLUTNM of \__1\ : label is "soft_lutpair0"; attribute SOFT_HLUTNM of \__2\ : label is "soft_lutpair0"; begin Q(3 downto 0) <= \^q\(3 downto 0); \out\(3 downto 0) <= \^out\(3 downto 0); ram_full_fb_i_reg_0(0) <= \^ram_full_fb_i_reg_0\(0); \__0\: unisim.vcomponents.LUT3 generic map( INIT => X"96" ) port map ( I0 => \^out\(2), I1 => \^out\(1), I2 => \^out\(3), O => \__0_n_0\ ); \__1\: unisim.vcomponents.LUT4 generic map( INIT => X"6996" ) port map ( I0 => p_8_out(1), I1 => p_8_out(0), I2 => p_8_out(3), I3 => p_8_out(2), O => \__1_n_0\ ); \__2\: unisim.vcomponents.LUT3 generic map( INIT => X"96" ) port map ( I0 => p_8_out(2), I1 => p_8_out(1), I2 => p_8_out(3), O => \__2_n_0\ ); \gnxpm_cdc.gsync_stage[1].rd_stg_inst\: entity work.\mig_wrap_auto_cc_0_synchronizer_ff__parameterized0_87\ port map ( D(3 downto 0) => p_3_out(3 downto 0), Q(3) => \gnxpm_cdc.wr_pntr_gc_reg_n_0_[3]\, Q(2) => \gnxpm_cdc.wr_pntr_gc_reg_n_0_[2]\, Q(1) => \gnxpm_cdc.wr_pntr_gc_reg_n_0_[1]\, Q(0) => \gnxpm_cdc.wr_pntr_gc_reg_n_0_[0]\, m_aclk => m_aclk, \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0) => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0) ); \gnxpm_cdc.gsync_stage[1].wr_stg_inst\: entity work.\mig_wrap_auto_cc_0_synchronizer_ff__parameterized1_88\ port map ( AR(0) => AR(0), D(3 downto 0) => p_4_out(3 downto 0), Q(3) => \gnxpm_cdc.rd_pntr_gc_reg_n_0_[3]\, Q(2) => \gnxpm_cdc.rd_pntr_gc_reg_n_0_[2]\, Q(1) => \gnxpm_cdc.rd_pntr_gc_reg_n_0_[1]\, Q(0) => \gnxpm_cdc.rd_pntr_gc_reg_n_0_[0]\, s_aclk => s_aclk ); \gnxpm_cdc.gsync_stage[2].rd_stg_inst\: entity work.\mig_wrap_auto_cc_0_synchronizer_ff__parameterized2_89\ port map ( D(3 downto 0) => p_5_out(3 downto 0), \Q_reg_reg[3]_0\(3 downto 0) => p_3_out(3 downto 0), m_aclk => m_aclk, \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0) => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0) ); \gnxpm_cdc.gsync_stage[2].wr_stg_inst\: entity work.\mig_wrap_auto_cc_0_synchronizer_ff__parameterized3_90\ port map ( AR(0) => AR(0), D(3 downto 0) => p_6_out(3 downto 0), \Q_reg_reg[3]_0\(3 downto 0) => p_4_out(3 downto 0), s_aclk => s_aclk ); \gnxpm_cdc.gsync_stage[3].rd_stg_inst\: entity work.\mig_wrap_auto_cc_0_synchronizer_ff__parameterized4_91\ port map ( D(0) => \gnxpm_cdc.gsync_stage[3].rd_stg_inst_n_4\, \Q_reg_reg[3]_0\(3 downto 0) => p_5_out(3 downto 0), m_aclk => m_aclk, \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0) => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), \out\(3 downto 0) => \^out\(3 downto 0) ); \gnxpm_cdc.gsync_stage[3].wr_stg_inst\: entity work.\mig_wrap_auto_cc_0_synchronizer_ff__parameterized5_92\ port map ( AR(0) => AR(0), D(0) => \gnxpm_cdc.gsync_stage[3].wr_stg_inst_n_4\, \Q_reg_reg[3]_0\(3 downto 0) => p_6_out(3 downto 0), \out\(3 downto 0) => p_8_out(3 downto 0), s_aclk => s_aclk ); \gnxpm_cdc.rd_pntr_bin_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => \__1_n_0\, Q => p_23_out(0) ); \gnxpm_cdc.rd_pntr_bin_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => \__2_n_0\, Q => p_23_out(1) ); \gnxpm_cdc.rd_pntr_bin_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => \gnxpm_cdc.gsync_stage[3].wr_stg_inst_n_4\, Q => p_23_out(2) ); \gnxpm_cdc.rd_pntr_bin_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => p_8_out(3), Q => \^ram_full_fb_i_reg_0\(0) ); \gnxpm_cdc.rd_pntr_gc_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => D(0), Q => \gnxpm_cdc.rd_pntr_gc_reg_n_0_[0]\ ); \gnxpm_cdc.rd_pntr_gc_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => D(1), Q => \gnxpm_cdc.rd_pntr_gc_reg_n_0_[1]\ ); \gnxpm_cdc.rd_pntr_gc_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => D(2), Q => \gnxpm_cdc.rd_pntr_gc_reg_n_0_[2]\ ); \gnxpm_cdc.rd_pntr_gc_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \gc0.count_d1_reg[3]\(0), Q => \gnxpm_cdc.rd_pntr_gc_reg_n_0_[3]\ ); \gnxpm_cdc.wr_pntr_bin_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \Q_reg_reg[1]\(0), Q => \^q\(0) ); \gnxpm_cdc.wr_pntr_bin_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \__0_n_0\, Q => \^q\(1) ); \gnxpm_cdc.wr_pntr_bin_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \gnxpm_cdc.gsync_stage[3].rd_stg_inst_n_4\, Q => \^q\(2) ); \gnxpm_cdc.wr_pntr_bin_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', CLR => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0), D => \^out\(3), Q => \^q\(3) ); \gnxpm_cdc.wr_pntr_gc[0]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \gic0.gc0.count_d2_reg[3]\(0), I1 => \gic0.gc0.count_d2_reg[3]\(1), O => bin2gray(0) ); \gnxpm_cdc.wr_pntr_gc[1]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \gic0.gc0.count_d2_reg[3]\(1), I1 => \gic0.gc0.count_d2_reg[3]\(2), O => bin2gray(1) ); \gnxpm_cdc.wr_pntr_gc[2]_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"6" ) port map ( I0 => \gic0.gc0.count_d2_reg[3]\(2), I1 => \gic0.gc0.count_d2_reg[3]\(3), O => bin2gray(2) ); \gnxpm_cdc.wr_pntr_gc_reg[0]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => bin2gray(0), Q => \gnxpm_cdc.wr_pntr_gc_reg_n_0_[0]\ ); \gnxpm_cdc.wr_pntr_gc_reg[1]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => bin2gray(1), Q => \gnxpm_cdc.wr_pntr_gc_reg_n_0_[1]\ ); \gnxpm_cdc.wr_pntr_gc_reg[2]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => bin2gray(2), Q => \gnxpm_cdc.wr_pntr_gc_reg_n_0_[2]\ ); \gnxpm_cdc.wr_pntr_gc_reg[3]\: unisim.vcomponents.FDCE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', CLR => AR(0), D => \gic0.gc0.count_d2_reg[3]\(3), Q => \gnxpm_cdc.wr_pntr_gc_reg_n_0_[3]\ ); \ram_empty_i_i_4__1\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => \^q\(2), I1 => \gc0.count_reg[2]\(2), I2 => \^q\(1), I3 => \gc0.count_reg[2]\(1), I4 => \gc0.count_reg[2]\(0), I5 => \^q\(0), O => ram_empty_i_reg ); \ram_full_i_i_1__3\: unisim.vcomponents.LUT6 generic map( INIT => X"0000F88F00008888" ) port map ( I0 => \ram_full_i_i_2__3_n_0\, I1 => ram_full_fb_i_reg_1, I2 => \gic0.gc0.count_d1_reg[3]\(3), I3 => \^ram_full_fb_i_reg_0\(0), I4 => \grstd1.grst_full.grst_f.rst_d3_reg\, I5 => \ram_full_i_i_4__3_n_0\, O => ram_full_fb_i_reg ); \ram_full_i_i_2__3\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => p_23_out(2), I1 => \gic0.gc0.count_reg[2]\(2), I2 => p_23_out(1), I3 => \gic0.gc0.count_reg[2]\(1), I4 => \gic0.gc0.count_reg[2]\(0), I5 => p_23_out(0), O => \ram_full_i_i_2__3_n_0\ ); \ram_full_i_i_4__3\: unisim.vcomponents.LUT6 generic map( INIT => X"9009000000009009" ) port map ( I0 => p_23_out(2), I1 => \gic0.gc0.count_d1_reg[3]\(2), I2 => p_23_out(1), I3 => \gic0.gc0.count_d1_reg[3]\(1), I4 => \gic0.gc0.count_d1_reg[3]\(0), I5 => p_23_out(0), O => \ram_full_i_i_4__3_n_0\ ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity mig_wrap_auto_cc_0_memory is port ( Q : out STD_LOGIC_VECTOR ( 64 downto 0 ); E : in STD_LOGIC_VECTOR ( 0 to 0 ); m_aclk : in STD_LOGIC; s_aclk : in STD_LOGIC; ram_full_fb_i_reg : in STD_LOGIC_VECTOR ( 0 to 0 ); DI : in STD_LOGIC_VECTOR ( 64 downto 0 ); \gc0.count_d1_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \gic0.gc0.count_d2_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \gpregsm1.curr_fwft_state_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); end mig_wrap_auto_cc_0_memory; architecture STRUCTURE of mig_wrap_auto_cc_0_memory is signal \gdm.dm_gen.dm_n_0\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_1\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_10\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_11\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_12\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_13\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_14\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_15\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_16\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_17\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_18\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_19\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_2\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_20\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_21\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_22\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_23\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_24\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_25\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_26\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_27\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_28\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_29\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_3\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_30\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_31\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_32\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_33\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_34\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_35\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_36\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_37\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_38\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_39\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_4\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_40\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_41\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_42\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_43\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_44\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_45\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_46\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_47\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_48\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_49\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_5\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_50\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_51\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_52\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_53\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_54\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_55\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_56\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_57\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_58\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_59\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_6\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_60\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_61\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_62\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_63\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_64\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_7\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_8\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_9\ : STD_LOGIC; begin \gdm.dm_gen.dm\: entity work.mig_wrap_auto_cc_0_dmem port map ( DI(64 downto 0) => DI(64 downto 0), dout_i(64) => \gdm.dm_gen.dm_n_0\, dout_i(63) => \gdm.dm_gen.dm_n_1\, dout_i(62) => \gdm.dm_gen.dm_n_2\, dout_i(61) => \gdm.dm_gen.dm_n_3\, dout_i(60) => \gdm.dm_gen.dm_n_4\, dout_i(59) => \gdm.dm_gen.dm_n_5\, dout_i(58) => \gdm.dm_gen.dm_n_6\, dout_i(57) => \gdm.dm_gen.dm_n_7\, dout_i(56) => \gdm.dm_gen.dm_n_8\, dout_i(55) => \gdm.dm_gen.dm_n_9\, dout_i(54) => \gdm.dm_gen.dm_n_10\, dout_i(53) => \gdm.dm_gen.dm_n_11\, dout_i(52) => \gdm.dm_gen.dm_n_12\, dout_i(51) => \gdm.dm_gen.dm_n_13\, dout_i(50) => \gdm.dm_gen.dm_n_14\, dout_i(49) => \gdm.dm_gen.dm_n_15\, dout_i(48) => \gdm.dm_gen.dm_n_16\, dout_i(47) => \gdm.dm_gen.dm_n_17\, dout_i(46) => \gdm.dm_gen.dm_n_18\, dout_i(45) => \gdm.dm_gen.dm_n_19\, dout_i(44) => \gdm.dm_gen.dm_n_20\, dout_i(43) => \gdm.dm_gen.dm_n_21\, dout_i(42) => \gdm.dm_gen.dm_n_22\, dout_i(41) => \gdm.dm_gen.dm_n_23\, dout_i(40) => \gdm.dm_gen.dm_n_24\, dout_i(39) => \gdm.dm_gen.dm_n_25\, dout_i(38) => \gdm.dm_gen.dm_n_26\, dout_i(37) => \gdm.dm_gen.dm_n_27\, dout_i(36) => \gdm.dm_gen.dm_n_28\, dout_i(35) => \gdm.dm_gen.dm_n_29\, dout_i(34) => \gdm.dm_gen.dm_n_30\, dout_i(33) => \gdm.dm_gen.dm_n_31\, dout_i(32) => \gdm.dm_gen.dm_n_32\, dout_i(31) => \gdm.dm_gen.dm_n_33\, dout_i(30) => \gdm.dm_gen.dm_n_34\, dout_i(29) => \gdm.dm_gen.dm_n_35\, dout_i(28) => \gdm.dm_gen.dm_n_36\, dout_i(27) => \gdm.dm_gen.dm_n_37\, dout_i(26) => \gdm.dm_gen.dm_n_38\, dout_i(25) => \gdm.dm_gen.dm_n_39\, dout_i(24) => \gdm.dm_gen.dm_n_40\, dout_i(23) => \gdm.dm_gen.dm_n_41\, dout_i(22) => \gdm.dm_gen.dm_n_42\, dout_i(21) => \gdm.dm_gen.dm_n_43\, dout_i(20) => \gdm.dm_gen.dm_n_44\, dout_i(19) => \gdm.dm_gen.dm_n_45\, dout_i(18) => \gdm.dm_gen.dm_n_46\, dout_i(17) => \gdm.dm_gen.dm_n_47\, dout_i(16) => \gdm.dm_gen.dm_n_48\, dout_i(15) => \gdm.dm_gen.dm_n_49\, dout_i(14) => \gdm.dm_gen.dm_n_50\, dout_i(13) => \gdm.dm_gen.dm_n_51\, dout_i(12) => \gdm.dm_gen.dm_n_52\, dout_i(11) => \gdm.dm_gen.dm_n_53\, dout_i(10) => \gdm.dm_gen.dm_n_54\, dout_i(9) => \gdm.dm_gen.dm_n_55\, dout_i(8) => \gdm.dm_gen.dm_n_56\, dout_i(7) => \gdm.dm_gen.dm_n_57\, dout_i(6) => \gdm.dm_gen.dm_n_58\, dout_i(5) => \gdm.dm_gen.dm_n_59\, dout_i(4) => \gdm.dm_gen.dm_n_60\, dout_i(3) => \gdm.dm_gen.dm_n_61\, dout_i(2) => \gdm.dm_gen.dm_n_62\, dout_i(1) => \gdm.dm_gen.dm_n_63\, dout_i(0) => \gdm.dm_gen.dm_n_64\, \gc0.count_d1_reg[3]\(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), \gic0.gc0.count_d2_reg[3]\(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), \gpregsm1.curr_fwft_state_reg[1]\(0) => \gpregsm1.curr_fwft_state_reg[1]\(0), m_aclk => m_aclk, ram_full_fb_i_reg(0) => ram_full_fb_i_reg(0), s_aclk => s_aclk ); \goreg_dm.dout_i_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_64\, Q => Q(0), R => '0' ); \goreg_dm.dout_i_reg[10]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_54\, Q => Q(10), R => '0' ); \goreg_dm.dout_i_reg[11]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_53\, Q => Q(11), R => '0' ); \goreg_dm.dout_i_reg[12]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_52\, Q => Q(12), R => '0' ); \goreg_dm.dout_i_reg[13]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_51\, Q => Q(13), R => '0' ); \goreg_dm.dout_i_reg[14]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_50\, Q => Q(14), R => '0' ); \goreg_dm.dout_i_reg[15]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_49\, Q => Q(15), R => '0' ); \goreg_dm.dout_i_reg[16]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_48\, Q => Q(16), R => '0' ); \goreg_dm.dout_i_reg[17]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_47\, Q => Q(17), R => '0' ); \goreg_dm.dout_i_reg[18]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_46\, Q => Q(18), R => '0' ); \goreg_dm.dout_i_reg[19]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_45\, Q => Q(19), R => '0' ); \goreg_dm.dout_i_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_63\, Q => Q(1), R => '0' ); \goreg_dm.dout_i_reg[20]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_44\, Q => Q(20), R => '0' ); \goreg_dm.dout_i_reg[21]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_43\, Q => Q(21), R => '0' ); \goreg_dm.dout_i_reg[22]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_42\, Q => Q(22), R => '0' ); \goreg_dm.dout_i_reg[23]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_41\, Q => Q(23), R => '0' ); \goreg_dm.dout_i_reg[24]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_40\, Q => Q(24), R => '0' ); \goreg_dm.dout_i_reg[25]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_39\, Q => Q(25), R => '0' ); \goreg_dm.dout_i_reg[26]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_38\, Q => Q(26), R => '0' ); \goreg_dm.dout_i_reg[27]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_37\, Q => Q(27), R => '0' ); \goreg_dm.dout_i_reg[28]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_36\, Q => Q(28), R => '0' ); \goreg_dm.dout_i_reg[29]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_35\, Q => Q(29), R => '0' ); \goreg_dm.dout_i_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_62\, Q => Q(2), R => '0' ); \goreg_dm.dout_i_reg[30]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_34\, Q => Q(30), R => '0' ); \goreg_dm.dout_i_reg[31]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_33\, Q => Q(31), R => '0' ); \goreg_dm.dout_i_reg[32]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_32\, Q => Q(32), R => '0' ); \goreg_dm.dout_i_reg[33]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_31\, Q => Q(33), R => '0' ); \goreg_dm.dout_i_reg[34]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_30\, Q => Q(34), R => '0' ); \goreg_dm.dout_i_reg[35]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_29\, Q => Q(35), R => '0' ); \goreg_dm.dout_i_reg[36]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_28\, Q => Q(36), R => '0' ); \goreg_dm.dout_i_reg[37]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_27\, Q => Q(37), R => '0' ); \goreg_dm.dout_i_reg[38]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_26\, Q => Q(38), R => '0' ); \goreg_dm.dout_i_reg[39]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_25\, Q => Q(39), R => '0' ); \goreg_dm.dout_i_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_61\, Q => Q(3), R => '0' ); \goreg_dm.dout_i_reg[40]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_24\, Q => Q(40), R => '0' ); \goreg_dm.dout_i_reg[41]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_23\, Q => Q(41), R => '0' ); \goreg_dm.dout_i_reg[42]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_22\, Q => Q(42), R => '0' ); \goreg_dm.dout_i_reg[43]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_21\, Q => Q(43), R => '0' ); \goreg_dm.dout_i_reg[44]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_20\, Q => Q(44), R => '0' ); \goreg_dm.dout_i_reg[45]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_19\, Q => Q(45), R => '0' ); \goreg_dm.dout_i_reg[46]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_18\, Q => Q(46), R => '0' ); \goreg_dm.dout_i_reg[47]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_17\, Q => Q(47), R => '0' ); \goreg_dm.dout_i_reg[48]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_16\, Q => Q(48), R => '0' ); \goreg_dm.dout_i_reg[49]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_15\, Q => Q(49), R => '0' ); \goreg_dm.dout_i_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_60\, Q => Q(4), R => '0' ); \goreg_dm.dout_i_reg[50]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_14\, Q => Q(50), R => '0' ); \goreg_dm.dout_i_reg[51]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_13\, Q => Q(51), R => '0' ); \goreg_dm.dout_i_reg[52]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_12\, Q => Q(52), R => '0' ); \goreg_dm.dout_i_reg[53]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_11\, Q => Q(53), R => '0' ); \goreg_dm.dout_i_reg[54]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_10\, Q => Q(54), R => '0' ); \goreg_dm.dout_i_reg[55]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_9\, Q => Q(55), R => '0' ); \goreg_dm.dout_i_reg[56]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_8\, Q => Q(56), R => '0' ); \goreg_dm.dout_i_reg[57]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_7\, Q => Q(57), R => '0' ); \goreg_dm.dout_i_reg[58]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_6\, Q => Q(58), R => '0' ); \goreg_dm.dout_i_reg[59]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_5\, Q => Q(59), R => '0' ); \goreg_dm.dout_i_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_59\, Q => Q(5), R => '0' ); \goreg_dm.dout_i_reg[60]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_4\, Q => Q(60), R => '0' ); \goreg_dm.dout_i_reg[61]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_3\, Q => Q(61), R => '0' ); \goreg_dm.dout_i_reg[62]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_2\, Q => Q(62), R => '0' ); \goreg_dm.dout_i_reg[63]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_1\, Q => Q(63), R => '0' ); \goreg_dm.dout_i_reg[64]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_0\, Q => Q(64), R => '0' ); \goreg_dm.dout_i_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_58\, Q => Q(6), R => '0' ); \goreg_dm.dout_i_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_57\, Q => Q(7), R => '0' ); \goreg_dm.dout_i_reg[8]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_56\, Q => Q(8), R => '0' ); \goreg_dm.dout_i_reg[9]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => E(0), D => \gdm.dm_gen.dm_n_55\, Q => Q(9), R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity mig_wrap_auto_cc_0_memory_73 is port ( \m_axi_arid[3]\ : out STD_LOGIC_VECTOR ( 64 downto 0 ); s_aclk : in STD_LOGIC; E : in STD_LOGIC_VECTOR ( 0 to 0 ); I123 : in STD_LOGIC_VECTOR ( 64 downto 0 ); \gc0.count_d1_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \gic0.gc0.count_d2_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \gpregsm1.curr_fwft_state_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); m_aclk : in STD_LOGIC; \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of mig_wrap_auto_cc_0_memory_73 : entity is "memory"; end mig_wrap_auto_cc_0_memory_73; architecture STRUCTURE of mig_wrap_auto_cc_0_memory_73 is signal \gdm.dm_gen.dm_n_0\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_1\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_10\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_11\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_12\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_13\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_14\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_15\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_16\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_17\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_18\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_19\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_2\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_20\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_21\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_22\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_23\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_24\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_25\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_26\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_27\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_28\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_29\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_3\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_30\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_31\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_32\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_33\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_34\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_35\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_36\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_37\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_38\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_39\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_4\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_40\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_41\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_42\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_43\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_44\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_45\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_46\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_47\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_48\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_49\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_5\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_50\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_51\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_52\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_53\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_54\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_55\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_56\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_57\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_58\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_59\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_6\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_60\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_61\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_62\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_63\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_64\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_7\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_8\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_9\ : STD_LOGIC; begin \gdm.dm_gen.dm\: entity work.mig_wrap_auto_cc_0_dmem_81 port map ( E(0) => E(0), I123(64 downto 0) => I123(64 downto 0), Q(64) => \gdm.dm_gen.dm_n_0\, Q(63) => \gdm.dm_gen.dm_n_1\, Q(62) => \gdm.dm_gen.dm_n_2\, Q(61) => \gdm.dm_gen.dm_n_3\, Q(60) => \gdm.dm_gen.dm_n_4\, Q(59) => \gdm.dm_gen.dm_n_5\, Q(58) => \gdm.dm_gen.dm_n_6\, Q(57) => \gdm.dm_gen.dm_n_7\, Q(56) => \gdm.dm_gen.dm_n_8\, Q(55) => \gdm.dm_gen.dm_n_9\, Q(54) => \gdm.dm_gen.dm_n_10\, Q(53) => \gdm.dm_gen.dm_n_11\, Q(52) => \gdm.dm_gen.dm_n_12\, Q(51) => \gdm.dm_gen.dm_n_13\, Q(50) => \gdm.dm_gen.dm_n_14\, Q(49) => \gdm.dm_gen.dm_n_15\, Q(48) => \gdm.dm_gen.dm_n_16\, Q(47) => \gdm.dm_gen.dm_n_17\, Q(46) => \gdm.dm_gen.dm_n_18\, Q(45) => \gdm.dm_gen.dm_n_19\, Q(44) => \gdm.dm_gen.dm_n_20\, Q(43) => \gdm.dm_gen.dm_n_21\, Q(42) => \gdm.dm_gen.dm_n_22\, Q(41) => \gdm.dm_gen.dm_n_23\, Q(40) => \gdm.dm_gen.dm_n_24\, Q(39) => \gdm.dm_gen.dm_n_25\, Q(38) => \gdm.dm_gen.dm_n_26\, Q(37) => \gdm.dm_gen.dm_n_27\, Q(36) => \gdm.dm_gen.dm_n_28\, Q(35) => \gdm.dm_gen.dm_n_29\, Q(34) => \gdm.dm_gen.dm_n_30\, Q(33) => \gdm.dm_gen.dm_n_31\, Q(32) => \gdm.dm_gen.dm_n_32\, Q(31) => \gdm.dm_gen.dm_n_33\, Q(30) => \gdm.dm_gen.dm_n_34\, Q(29) => \gdm.dm_gen.dm_n_35\, Q(28) => \gdm.dm_gen.dm_n_36\, Q(27) => \gdm.dm_gen.dm_n_37\, Q(26) => \gdm.dm_gen.dm_n_38\, Q(25) => \gdm.dm_gen.dm_n_39\, Q(24) => \gdm.dm_gen.dm_n_40\, Q(23) => \gdm.dm_gen.dm_n_41\, Q(22) => \gdm.dm_gen.dm_n_42\, Q(21) => \gdm.dm_gen.dm_n_43\, Q(20) => \gdm.dm_gen.dm_n_44\, Q(19) => \gdm.dm_gen.dm_n_45\, Q(18) => \gdm.dm_gen.dm_n_46\, Q(17) => \gdm.dm_gen.dm_n_47\, Q(16) => \gdm.dm_gen.dm_n_48\, Q(15) => \gdm.dm_gen.dm_n_49\, Q(14) => \gdm.dm_gen.dm_n_50\, Q(13) => \gdm.dm_gen.dm_n_51\, Q(12) => \gdm.dm_gen.dm_n_52\, Q(11) => \gdm.dm_gen.dm_n_53\, Q(10) => \gdm.dm_gen.dm_n_54\, Q(9) => \gdm.dm_gen.dm_n_55\, Q(8) => \gdm.dm_gen.dm_n_56\, Q(7) => \gdm.dm_gen.dm_n_57\, Q(6) => \gdm.dm_gen.dm_n_58\, Q(5) => \gdm.dm_gen.dm_n_59\, Q(4) => \gdm.dm_gen.dm_n_60\, Q(3) => \gdm.dm_gen.dm_n_61\, Q(2) => \gdm.dm_gen.dm_n_62\, Q(1) => \gdm.dm_gen.dm_n_63\, Q(0) => \gdm.dm_gen.dm_n_64\, \gc0.count_d1_reg[3]\(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), \gic0.gc0.count_d2_reg[3]\(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), \gpregsm1.curr_fwft_state_reg[1]\(0) => \gpregsm1.curr_fwft_state_reg[1]\(0), m_aclk => m_aclk, s_aclk => s_aclk ); \goreg_dm.dout_i_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_64\, Q => \m_axi_arid[3]\(0), R => '0' ); \goreg_dm.dout_i_reg[10]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_54\, Q => \m_axi_arid[3]\(10), R => '0' ); \goreg_dm.dout_i_reg[11]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_53\, Q => \m_axi_arid[3]\(11), R => '0' ); \goreg_dm.dout_i_reg[12]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_52\, Q => \m_axi_arid[3]\(12), R => '0' ); \goreg_dm.dout_i_reg[13]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_51\, Q => \m_axi_arid[3]\(13), R => '0' ); \goreg_dm.dout_i_reg[14]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_50\, Q => \m_axi_arid[3]\(14), R => '0' ); \goreg_dm.dout_i_reg[15]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_49\, Q => \m_axi_arid[3]\(15), R => '0' ); \goreg_dm.dout_i_reg[16]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_48\, Q => \m_axi_arid[3]\(16), R => '0' ); \goreg_dm.dout_i_reg[17]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_47\, Q => \m_axi_arid[3]\(17), R => '0' ); \goreg_dm.dout_i_reg[18]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_46\, Q => \m_axi_arid[3]\(18), R => '0' ); \goreg_dm.dout_i_reg[19]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_45\, Q => \m_axi_arid[3]\(19), R => '0' ); \goreg_dm.dout_i_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_63\, Q => \m_axi_arid[3]\(1), R => '0' ); \goreg_dm.dout_i_reg[20]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_44\, Q => \m_axi_arid[3]\(20), R => '0' ); \goreg_dm.dout_i_reg[21]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_43\, Q => \m_axi_arid[3]\(21), R => '0' ); \goreg_dm.dout_i_reg[22]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_42\, Q => \m_axi_arid[3]\(22), R => '0' ); \goreg_dm.dout_i_reg[23]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_41\, Q => \m_axi_arid[3]\(23), R => '0' ); \goreg_dm.dout_i_reg[24]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_40\, Q => \m_axi_arid[3]\(24), R => '0' ); \goreg_dm.dout_i_reg[25]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_39\, Q => \m_axi_arid[3]\(25), R => '0' ); \goreg_dm.dout_i_reg[26]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_38\, Q => \m_axi_arid[3]\(26), R => '0' ); \goreg_dm.dout_i_reg[27]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_37\, Q => \m_axi_arid[3]\(27), R => '0' ); \goreg_dm.dout_i_reg[28]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_36\, Q => \m_axi_arid[3]\(28), R => '0' ); \goreg_dm.dout_i_reg[29]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_35\, Q => \m_axi_arid[3]\(29), R => '0' ); \goreg_dm.dout_i_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_62\, Q => \m_axi_arid[3]\(2), R => '0' ); \goreg_dm.dout_i_reg[30]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_34\, Q => \m_axi_arid[3]\(30), R => '0' ); \goreg_dm.dout_i_reg[31]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_33\, Q => \m_axi_arid[3]\(31), R => '0' ); \goreg_dm.dout_i_reg[32]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_32\, Q => \m_axi_arid[3]\(32), R => '0' ); \goreg_dm.dout_i_reg[33]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_31\, Q => \m_axi_arid[3]\(33), R => '0' ); \goreg_dm.dout_i_reg[34]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_30\, Q => \m_axi_arid[3]\(34), R => '0' ); \goreg_dm.dout_i_reg[35]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_29\, Q => \m_axi_arid[3]\(35), R => '0' ); \goreg_dm.dout_i_reg[36]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_28\, Q => \m_axi_arid[3]\(36), R => '0' ); \goreg_dm.dout_i_reg[37]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_27\, Q => \m_axi_arid[3]\(37), R => '0' ); \goreg_dm.dout_i_reg[38]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_26\, Q => \m_axi_arid[3]\(38), R => '0' ); \goreg_dm.dout_i_reg[39]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_25\, Q => \m_axi_arid[3]\(39), R => '0' ); \goreg_dm.dout_i_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_61\, Q => \m_axi_arid[3]\(3), R => '0' ); \goreg_dm.dout_i_reg[40]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_24\, Q => \m_axi_arid[3]\(40), R => '0' ); \goreg_dm.dout_i_reg[41]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_23\, Q => \m_axi_arid[3]\(41), R => '0' ); \goreg_dm.dout_i_reg[42]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_22\, Q => \m_axi_arid[3]\(42), R => '0' ); \goreg_dm.dout_i_reg[43]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_21\, Q => \m_axi_arid[3]\(43), R => '0' ); \goreg_dm.dout_i_reg[44]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_20\, Q => \m_axi_arid[3]\(44), R => '0' ); \goreg_dm.dout_i_reg[45]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_19\, Q => \m_axi_arid[3]\(45), R => '0' ); \goreg_dm.dout_i_reg[46]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_18\, Q => \m_axi_arid[3]\(46), R => '0' ); \goreg_dm.dout_i_reg[47]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_17\, Q => \m_axi_arid[3]\(47), R => '0' ); \goreg_dm.dout_i_reg[48]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_16\, Q => \m_axi_arid[3]\(48), R => '0' ); \goreg_dm.dout_i_reg[49]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_15\, Q => \m_axi_arid[3]\(49), R => '0' ); \goreg_dm.dout_i_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_60\, Q => \m_axi_arid[3]\(4), R => '0' ); \goreg_dm.dout_i_reg[50]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_14\, Q => \m_axi_arid[3]\(50), R => '0' ); \goreg_dm.dout_i_reg[51]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_13\, Q => \m_axi_arid[3]\(51), R => '0' ); \goreg_dm.dout_i_reg[52]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_12\, Q => \m_axi_arid[3]\(52), R => '0' ); \goreg_dm.dout_i_reg[53]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_11\, Q => \m_axi_arid[3]\(53), R => '0' ); \goreg_dm.dout_i_reg[54]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_10\, Q => \m_axi_arid[3]\(54), R => '0' ); \goreg_dm.dout_i_reg[55]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_9\, Q => \m_axi_arid[3]\(55), R => '0' ); \goreg_dm.dout_i_reg[56]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_8\, Q => \m_axi_arid[3]\(56), R => '0' ); \goreg_dm.dout_i_reg[57]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_7\, Q => \m_axi_arid[3]\(57), R => '0' ); \goreg_dm.dout_i_reg[58]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_6\, Q => \m_axi_arid[3]\(58), R => '0' ); \goreg_dm.dout_i_reg[59]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_5\, Q => \m_axi_arid[3]\(59), R => '0' ); \goreg_dm.dout_i_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_59\, Q => \m_axi_arid[3]\(5), R => '0' ); \goreg_dm.dout_i_reg[60]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_4\, Q => \m_axi_arid[3]\(60), R => '0' ); \goreg_dm.dout_i_reg[61]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_3\, Q => \m_axi_arid[3]\(61), R => '0' ); \goreg_dm.dout_i_reg[62]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_2\, Q => \m_axi_arid[3]\(62), R => '0' ); \goreg_dm.dout_i_reg[63]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_1\, Q => \m_axi_arid[3]\(63), R => '0' ); \goreg_dm.dout_i_reg[64]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_0\, Q => \m_axi_arid[3]\(64), R => '0' ); \goreg_dm.dout_i_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_58\, Q => \m_axi_arid[3]\(6), R => '0' ); \goreg_dm.dout_i_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_57\, Q => \m_axi_arid[3]\(7), R => '0' ); \goreg_dm.dout_i_reg[8]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_56\, Q => \m_axi_arid[3]\(8), R => '0' ); \goreg_dm.dout_i_reg[9]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_55\, Q => \m_axi_arid[3]\(9), R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \mig_wrap_auto_cc_0_memory__parameterized0\ is port ( \m_axi_wdata[31]\ : out STD_LOGIC_VECTOR ( 36 downto 0 ); s_aclk : in STD_LOGIC; E : in STD_LOGIC_VECTOR ( 0 to 0 ); I115 : in STD_LOGIC_VECTOR ( 36 downto 0 ); \gc0.count_d1_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \gic0.gc0.count_d2_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \gpregsm1.curr_fwft_state_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); m_aclk : in STD_LOGIC; \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \mig_wrap_auto_cc_0_memory__parameterized0\ : entity is "memory"; end \mig_wrap_auto_cc_0_memory__parameterized0\; architecture STRUCTURE of \mig_wrap_auto_cc_0_memory__parameterized0\ is signal \gdm.dm_gen.dm_n_0\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_1\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_10\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_11\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_12\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_13\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_14\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_15\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_16\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_17\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_18\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_19\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_2\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_20\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_21\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_22\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_23\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_24\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_25\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_26\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_27\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_28\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_29\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_3\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_30\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_31\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_32\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_33\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_34\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_35\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_36\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_4\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_5\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_6\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_7\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_8\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_9\ : STD_LOGIC; begin \gdm.dm_gen.dm\: entity work.\mig_wrap_auto_cc_0_dmem__parameterized0\ port map ( E(0) => E(0), I115(36 downto 0) => I115(36 downto 0), Q(36) => \gdm.dm_gen.dm_n_0\, Q(35) => \gdm.dm_gen.dm_n_1\, Q(34) => \gdm.dm_gen.dm_n_2\, Q(33) => \gdm.dm_gen.dm_n_3\, Q(32) => \gdm.dm_gen.dm_n_4\, Q(31) => \gdm.dm_gen.dm_n_5\, Q(30) => \gdm.dm_gen.dm_n_6\, Q(29) => \gdm.dm_gen.dm_n_7\, Q(28) => \gdm.dm_gen.dm_n_8\, Q(27) => \gdm.dm_gen.dm_n_9\, Q(26) => \gdm.dm_gen.dm_n_10\, Q(25) => \gdm.dm_gen.dm_n_11\, Q(24) => \gdm.dm_gen.dm_n_12\, Q(23) => \gdm.dm_gen.dm_n_13\, Q(22) => \gdm.dm_gen.dm_n_14\, Q(21) => \gdm.dm_gen.dm_n_15\, Q(20) => \gdm.dm_gen.dm_n_16\, Q(19) => \gdm.dm_gen.dm_n_17\, Q(18) => \gdm.dm_gen.dm_n_18\, Q(17) => \gdm.dm_gen.dm_n_19\, Q(16) => \gdm.dm_gen.dm_n_20\, Q(15) => \gdm.dm_gen.dm_n_21\, Q(14) => \gdm.dm_gen.dm_n_22\, Q(13) => \gdm.dm_gen.dm_n_23\, Q(12) => \gdm.dm_gen.dm_n_24\, Q(11) => \gdm.dm_gen.dm_n_25\, Q(10) => \gdm.dm_gen.dm_n_26\, Q(9) => \gdm.dm_gen.dm_n_27\, Q(8) => \gdm.dm_gen.dm_n_28\, Q(7) => \gdm.dm_gen.dm_n_29\, Q(6) => \gdm.dm_gen.dm_n_30\, Q(5) => \gdm.dm_gen.dm_n_31\, Q(4) => \gdm.dm_gen.dm_n_32\, Q(3) => \gdm.dm_gen.dm_n_33\, Q(2) => \gdm.dm_gen.dm_n_34\, Q(1) => \gdm.dm_gen.dm_n_35\, Q(0) => \gdm.dm_gen.dm_n_36\, \gc0.count_d1_reg[3]\(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), \gic0.gc0.count_d2_reg[3]\(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), \gpregsm1.curr_fwft_state_reg[1]\(0) => \gpregsm1.curr_fwft_state_reg[1]\(0), m_aclk => m_aclk, s_aclk => s_aclk ); \goreg_dm.dout_i_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_36\, Q => \m_axi_wdata[31]\(0), R => '0' ); \goreg_dm.dout_i_reg[10]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_26\, Q => \m_axi_wdata[31]\(10), R => '0' ); \goreg_dm.dout_i_reg[11]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_25\, Q => \m_axi_wdata[31]\(11), R => '0' ); \goreg_dm.dout_i_reg[12]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_24\, Q => \m_axi_wdata[31]\(12), R => '0' ); \goreg_dm.dout_i_reg[13]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_23\, Q => \m_axi_wdata[31]\(13), R => '0' ); \goreg_dm.dout_i_reg[14]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_22\, Q => \m_axi_wdata[31]\(14), R => '0' ); \goreg_dm.dout_i_reg[15]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_21\, Q => \m_axi_wdata[31]\(15), R => '0' ); \goreg_dm.dout_i_reg[16]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_20\, Q => \m_axi_wdata[31]\(16), R => '0' ); \goreg_dm.dout_i_reg[17]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_19\, Q => \m_axi_wdata[31]\(17), R => '0' ); \goreg_dm.dout_i_reg[18]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_18\, Q => \m_axi_wdata[31]\(18), R => '0' ); \goreg_dm.dout_i_reg[19]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_17\, Q => \m_axi_wdata[31]\(19), R => '0' ); \goreg_dm.dout_i_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_35\, Q => \m_axi_wdata[31]\(1), R => '0' ); \goreg_dm.dout_i_reg[20]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_16\, Q => \m_axi_wdata[31]\(20), R => '0' ); \goreg_dm.dout_i_reg[21]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_15\, Q => \m_axi_wdata[31]\(21), R => '0' ); \goreg_dm.dout_i_reg[22]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_14\, Q => \m_axi_wdata[31]\(22), R => '0' ); \goreg_dm.dout_i_reg[23]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_13\, Q => \m_axi_wdata[31]\(23), R => '0' ); \goreg_dm.dout_i_reg[24]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_12\, Q => \m_axi_wdata[31]\(24), R => '0' ); \goreg_dm.dout_i_reg[25]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_11\, Q => \m_axi_wdata[31]\(25), R => '0' ); \goreg_dm.dout_i_reg[26]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_10\, Q => \m_axi_wdata[31]\(26), R => '0' ); \goreg_dm.dout_i_reg[27]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_9\, Q => \m_axi_wdata[31]\(27), R => '0' ); \goreg_dm.dout_i_reg[28]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_8\, Q => \m_axi_wdata[31]\(28), R => '0' ); \goreg_dm.dout_i_reg[29]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_7\, Q => \m_axi_wdata[31]\(29), R => '0' ); \goreg_dm.dout_i_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_34\, Q => \m_axi_wdata[31]\(2), R => '0' ); \goreg_dm.dout_i_reg[30]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_6\, Q => \m_axi_wdata[31]\(30), R => '0' ); \goreg_dm.dout_i_reg[31]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_5\, Q => \m_axi_wdata[31]\(31), R => '0' ); \goreg_dm.dout_i_reg[32]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_4\, Q => \m_axi_wdata[31]\(32), R => '0' ); \goreg_dm.dout_i_reg[33]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_3\, Q => \m_axi_wdata[31]\(33), R => '0' ); \goreg_dm.dout_i_reg[34]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_2\, Q => \m_axi_wdata[31]\(34), R => '0' ); \goreg_dm.dout_i_reg[35]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_1\, Q => \m_axi_wdata[31]\(35), R => '0' ); \goreg_dm.dout_i_reg[36]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_0\, Q => \m_axi_wdata[31]\(36), R => '0' ); \goreg_dm.dout_i_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_33\, Q => \m_axi_wdata[31]\(3), R => '0' ); \goreg_dm.dout_i_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_32\, Q => \m_axi_wdata[31]\(4), R => '0' ); \goreg_dm.dout_i_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_31\, Q => \m_axi_wdata[31]\(5), R => '0' ); \goreg_dm.dout_i_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_30\, Q => \m_axi_wdata[31]\(6), R => '0' ); \goreg_dm.dout_i_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_29\, Q => \m_axi_wdata[31]\(7), R => '0' ); \goreg_dm.dout_i_reg[8]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_28\, Q => \m_axi_wdata[31]\(8), R => '0' ); \goreg_dm.dout_i_reg[9]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => m_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_27\, Q => \m_axi_wdata[31]\(9), R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \mig_wrap_auto_cc_0_memory__parameterized1\ is port ( \s_axi_bid[3]\ : out STD_LOGIC_VECTOR ( 5 downto 0 ); m_aclk : in STD_LOGIC; E : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_bid : in STD_LOGIC_VECTOR ( 3 downto 0 ); \gc0.count_d1_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \gic0.gc0.count_d2_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \gpregsm1.curr_fwft_state_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); s_aclk : in STD_LOGIC; \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \mig_wrap_auto_cc_0_memory__parameterized1\ : entity is "memory"; end \mig_wrap_auto_cc_0_memory__parameterized1\; architecture STRUCTURE of \mig_wrap_auto_cc_0_memory__parameterized1\ is signal \gdm.dm_gen.dm_n_0\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_1\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_2\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_3\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_4\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_5\ : STD_LOGIC; begin \gdm.dm_gen.dm\: entity work.\mig_wrap_auto_cc_0_dmem__parameterized1\ port map ( E(0) => E(0), Q(5) => \gdm.dm_gen.dm_n_0\, Q(4) => \gdm.dm_gen.dm_n_1\, Q(3) => \gdm.dm_gen.dm_n_2\, Q(2) => \gdm.dm_gen.dm_n_3\, Q(1) => \gdm.dm_gen.dm_n_4\, Q(0) => \gdm.dm_gen.dm_n_5\, \gc0.count_d1_reg[3]\(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), \gic0.gc0.count_d2_reg[3]\(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), \gpregsm1.curr_fwft_state_reg[1]\(0) => \gpregsm1.curr_fwft_state_reg[1]\(0), m_aclk => m_aclk, m_axi_bid(3 downto 0) => m_axi_bid(3 downto 0), m_axi_bresp(1 downto 0) => m_axi_bresp(1 downto 0), s_aclk => s_aclk ); \goreg_dm.dout_i_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_5\, Q => \s_axi_bid[3]\(0), R => '0' ); \goreg_dm.dout_i_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_4\, Q => \s_axi_bid[3]\(1), R => '0' ); \goreg_dm.dout_i_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_3\, Q => \s_axi_bid[3]\(2), R => '0' ); \goreg_dm.dout_i_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_2\, Q => \s_axi_bid[3]\(3), R => '0' ); \goreg_dm.dout_i_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_1\, Q => \s_axi_bid[3]\(4), R => '0' ); \goreg_dm.dout_i_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_0\, Q => \s_axi_bid[3]\(5), R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \mig_wrap_auto_cc_0_memory__parameterized2\ is port ( \s_axi_rid[3]\ : out STD_LOGIC_VECTOR ( 38 downto 0 ); m_aclk : in STD_LOGIC; E : in STD_LOGIC_VECTOR ( 0 to 0 ); I127 : in STD_LOGIC_VECTOR ( 38 downto 0 ); \gc0.count_d1_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \gic0.gc0.count_d2_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ); \gpregsm1.curr_fwft_state_reg[1]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); s_aclk : in STD_LOGIC; \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\ : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \mig_wrap_auto_cc_0_memory__parameterized2\ : entity is "memory"; end \mig_wrap_auto_cc_0_memory__parameterized2\; architecture STRUCTURE of \mig_wrap_auto_cc_0_memory__parameterized2\ is signal \gdm.dm_gen.dm_n_0\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_1\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_10\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_11\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_12\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_13\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_14\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_15\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_16\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_17\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_18\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_19\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_2\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_20\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_21\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_22\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_23\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_24\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_25\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_26\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_27\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_28\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_29\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_3\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_30\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_31\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_32\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_33\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_34\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_35\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_36\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_37\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_38\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_4\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_5\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_6\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_7\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_8\ : STD_LOGIC; signal \gdm.dm_gen.dm_n_9\ : STD_LOGIC; begin \gdm.dm_gen.dm\: entity work.\mig_wrap_auto_cc_0_dmem__parameterized2\ port map ( E(0) => E(0), I127(38 downto 0) => I127(38 downto 0), Q(38) => \gdm.dm_gen.dm_n_0\, Q(37) => \gdm.dm_gen.dm_n_1\, Q(36) => \gdm.dm_gen.dm_n_2\, Q(35) => \gdm.dm_gen.dm_n_3\, Q(34) => \gdm.dm_gen.dm_n_4\, Q(33) => \gdm.dm_gen.dm_n_5\, Q(32) => \gdm.dm_gen.dm_n_6\, Q(31) => \gdm.dm_gen.dm_n_7\, Q(30) => \gdm.dm_gen.dm_n_8\, Q(29) => \gdm.dm_gen.dm_n_9\, Q(28) => \gdm.dm_gen.dm_n_10\, Q(27) => \gdm.dm_gen.dm_n_11\, Q(26) => \gdm.dm_gen.dm_n_12\, Q(25) => \gdm.dm_gen.dm_n_13\, Q(24) => \gdm.dm_gen.dm_n_14\, Q(23) => \gdm.dm_gen.dm_n_15\, Q(22) => \gdm.dm_gen.dm_n_16\, Q(21) => \gdm.dm_gen.dm_n_17\, Q(20) => \gdm.dm_gen.dm_n_18\, Q(19) => \gdm.dm_gen.dm_n_19\, Q(18) => \gdm.dm_gen.dm_n_20\, Q(17) => \gdm.dm_gen.dm_n_21\, Q(16) => \gdm.dm_gen.dm_n_22\, Q(15) => \gdm.dm_gen.dm_n_23\, Q(14) => \gdm.dm_gen.dm_n_24\, Q(13) => \gdm.dm_gen.dm_n_25\, Q(12) => \gdm.dm_gen.dm_n_26\, Q(11) => \gdm.dm_gen.dm_n_27\, Q(10) => \gdm.dm_gen.dm_n_28\, Q(9) => \gdm.dm_gen.dm_n_29\, Q(8) => \gdm.dm_gen.dm_n_30\, Q(7) => \gdm.dm_gen.dm_n_31\, Q(6) => \gdm.dm_gen.dm_n_32\, Q(5) => \gdm.dm_gen.dm_n_33\, Q(4) => \gdm.dm_gen.dm_n_34\, Q(3) => \gdm.dm_gen.dm_n_35\, Q(2) => \gdm.dm_gen.dm_n_36\, Q(1) => \gdm.dm_gen.dm_n_37\, Q(0) => \gdm.dm_gen.dm_n_38\, \gc0.count_d1_reg[3]\(3 downto 0) => \gc0.count_d1_reg[3]\(3 downto 0), \gic0.gc0.count_d2_reg[3]\(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), \gpregsm1.curr_fwft_state_reg[1]\(0) => \gpregsm1.curr_fwft_state_reg[1]\(0), m_aclk => m_aclk, s_aclk => s_aclk ); \goreg_dm.dout_i_reg[0]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_38\, Q => \s_axi_rid[3]\(0), R => '0' ); \goreg_dm.dout_i_reg[10]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_28\, Q => \s_axi_rid[3]\(10), R => '0' ); \goreg_dm.dout_i_reg[11]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_27\, Q => \s_axi_rid[3]\(11), R => '0' ); \goreg_dm.dout_i_reg[12]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_26\, Q => \s_axi_rid[3]\(12), R => '0' ); \goreg_dm.dout_i_reg[13]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_25\, Q => \s_axi_rid[3]\(13), R => '0' ); \goreg_dm.dout_i_reg[14]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_24\, Q => \s_axi_rid[3]\(14), R => '0' ); \goreg_dm.dout_i_reg[15]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_23\, Q => \s_axi_rid[3]\(15), R => '0' ); \goreg_dm.dout_i_reg[16]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_22\, Q => \s_axi_rid[3]\(16), R => '0' ); \goreg_dm.dout_i_reg[17]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_21\, Q => \s_axi_rid[3]\(17), R => '0' ); \goreg_dm.dout_i_reg[18]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_20\, Q => \s_axi_rid[3]\(18), R => '0' ); \goreg_dm.dout_i_reg[19]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_19\, Q => \s_axi_rid[3]\(19), R => '0' ); \goreg_dm.dout_i_reg[1]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_37\, Q => \s_axi_rid[3]\(1), R => '0' ); \goreg_dm.dout_i_reg[20]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_18\, Q => \s_axi_rid[3]\(20), R => '0' ); \goreg_dm.dout_i_reg[21]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_17\, Q => \s_axi_rid[3]\(21), R => '0' ); \goreg_dm.dout_i_reg[22]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_16\, Q => \s_axi_rid[3]\(22), R => '0' ); \goreg_dm.dout_i_reg[23]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_15\, Q => \s_axi_rid[3]\(23), R => '0' ); \goreg_dm.dout_i_reg[24]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_14\, Q => \s_axi_rid[3]\(24), R => '0' ); \goreg_dm.dout_i_reg[25]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_13\, Q => \s_axi_rid[3]\(25), R => '0' ); \goreg_dm.dout_i_reg[26]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_12\, Q => \s_axi_rid[3]\(26), R => '0' ); \goreg_dm.dout_i_reg[27]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_11\, Q => \s_axi_rid[3]\(27), R => '0' ); \goreg_dm.dout_i_reg[28]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_10\, Q => \s_axi_rid[3]\(28), R => '0' ); \goreg_dm.dout_i_reg[29]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_9\, Q => \s_axi_rid[3]\(29), R => '0' ); \goreg_dm.dout_i_reg[2]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_36\, Q => \s_axi_rid[3]\(2), R => '0' ); \goreg_dm.dout_i_reg[30]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_8\, Q => \s_axi_rid[3]\(30), R => '0' ); \goreg_dm.dout_i_reg[31]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_7\, Q => \s_axi_rid[3]\(31), R => '0' ); \goreg_dm.dout_i_reg[32]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_6\, Q => \s_axi_rid[3]\(32), R => '0' ); \goreg_dm.dout_i_reg[33]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_5\, Q => \s_axi_rid[3]\(33), R => '0' ); \goreg_dm.dout_i_reg[34]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_4\, Q => \s_axi_rid[3]\(34), R => '0' ); \goreg_dm.dout_i_reg[35]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_3\, Q => \s_axi_rid[3]\(35), R => '0' ); \goreg_dm.dout_i_reg[36]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_2\, Q => \s_axi_rid[3]\(36), R => '0' ); \goreg_dm.dout_i_reg[37]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_1\, Q => \s_axi_rid[3]\(37), R => '0' ); \goreg_dm.dout_i_reg[38]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_0\, Q => \s_axi_rid[3]\(38), R => '0' ); \goreg_dm.dout_i_reg[3]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_35\, Q => \s_axi_rid[3]\(3), R => '0' ); \goreg_dm.dout_i_reg[4]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_34\, Q => \s_axi_rid[3]\(4), R => '0' ); \goreg_dm.dout_i_reg[5]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_33\, Q => \s_axi_rid[3]\(5), R => '0' ); \goreg_dm.dout_i_reg[6]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_32\, Q => \s_axi_rid[3]\(6), R => '0' ); \goreg_dm.dout_i_reg[7]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_31\, Q => \s_axi_rid[3]\(7), R => '0' ); \goreg_dm.dout_i_reg[8]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_30\, Q => \s_axi_rid[3]\(8), R => '0' ); \goreg_dm.dout_i_reg[9]\: unisim.vcomponents.FDRE generic map( INIT => '0' ) port map ( C => s_aclk, CE => \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0), D => \gdm.dm_gen.dm_n_29\, Q => \s_axi_rid[3]\(9), R => '0' ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity mig_wrap_auto_cc_0_rd_logic is port ( Q : out STD_LOGIC_VECTOR ( 2 downto 0 ); E : out STD_LOGIC_VECTOR ( 0 to 0 ); \goreg_dm.dout_i_reg[5]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); D : out STD_LOGIC_VECTOR ( 2 downto 0 ); \gnxpm_cdc.rd_pntr_gc_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_bvalid : out STD_LOGIC; s_aclk : in STD_LOGIC; \out\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_bready : in STD_LOGIC; \gnxpm_cdc.wr_pntr_bin_reg[2]\ : in STD_LOGIC; \gnxpm_cdc.wr_pntr_bin_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ) ); end mig_wrap_auto_cc_0_rd_logic; architecture STRUCTURE of mig_wrap_auto_cc_0_rd_logic is signal \^e\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \gr1.gr1_int.rfwft_n_0\ : STD_LOGIC; signal p_2_out : STD_LOGIC; signal rd_pntr_plus1 : STD_LOGIC_VECTOR ( 3 to 3 ); signal rpntr_n_4 : STD_LOGIC; begin E(0) <= \^e\(0); \gr1.gr1_int.rfwft\: entity work.mig_wrap_auto_cc_0_rd_fwft port map ( E(0) => \^e\(0), Q(0) => rd_pntr_plus1(3), \gnxpm_cdc.wr_pntr_bin_reg[3]\(0) => \gnxpm_cdc.wr_pntr_bin_reg[3]\(3), \goreg_dm.dout_i_reg[5]\(0) => \goreg_dm.dout_i_reg[5]\(0), \out\(1 downto 0) => \out\(1 downto 0), ram_empty_fb_i_reg => p_2_out, ram_empty_i_reg => \gr1.gr1_int.rfwft_n_0\, s_aclk => s_aclk, s_axi_bready => s_axi_bready, s_axi_bvalid => s_axi_bvalid ); \gras.rsts\: entity work.mig_wrap_auto_cc_0_rd_status_flags_as port map ( \gc0.count_d1_reg[2]\ => rpntr_n_4, \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0) => \out\(1), \out\ => p_2_out, s_aclk => s_aclk ); rpntr: entity work.mig_wrap_auto_cc_0_rd_bin_cntr port map ( D(2 downto 0) => D(2 downto 0), E(0) => \^e\(0), Q(3) => rd_pntr_plus1(3), Q(2 downto 0) => Q(2 downto 0), \gnxpm_cdc.rd_pntr_gc_reg[3]\(3 downto 0) => \gnxpm_cdc.rd_pntr_gc_reg[3]\(3 downto 0), \gnxpm_cdc.wr_pntr_bin_reg[2]\ => \gnxpm_cdc.wr_pntr_bin_reg[2]\, \gnxpm_cdc.wr_pntr_bin_reg[3]\(3 downto 0) => \gnxpm_cdc.wr_pntr_bin_reg[3]\(3 downto 0), \gpregsm1.curr_fwft_state_reg[1]\ => \gr1.gr1_int.rfwft_n_0\, \out\(0) => \out\(1), ram_empty_i_reg => rpntr_n_4, s_aclk => s_aclk ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity mig_wrap_auto_cc_0_rd_logic_28 is port ( Q : out STD_LOGIC_VECTOR ( 2 downto 0 ); E : out STD_LOGIC_VECTOR ( 0 to 0 ); \goreg_dm.dout_i_reg[64]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); \gnxpm_cdc.rd_pntr_gc_reg[2]\ : out STD_LOGIC_VECTOR ( 2 downto 0 ); \gnxpm_cdc.rd_pntr_gc_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awvalid : out STD_LOGIC; m_aclk : in STD_LOGIC; \out\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_awready : in STD_LOGIC; \gnxpm_cdc.wr_pntr_bin_reg[2]\ : in STD_LOGIC; \gnxpm_cdc.wr_pntr_bin_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of mig_wrap_auto_cc_0_rd_logic_28 : entity is "rd_logic"; end mig_wrap_auto_cc_0_rd_logic_28; architecture STRUCTURE of mig_wrap_auto_cc_0_rd_logic_28 is signal \^e\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \gr1.gr1_int.rfwft_n_0\ : STD_LOGIC; signal p_2_out : STD_LOGIC; signal rd_pntr_plus1 : STD_LOGIC_VECTOR ( 3 to 3 ); signal rpntr_n_4 : STD_LOGIC; begin E(0) <= \^e\(0); \gr1.gr1_int.rfwft\: entity work.mig_wrap_auto_cc_0_rd_fwft_39 port map ( E(0) => \^e\(0), Q(0) => rd_pntr_plus1(3), \gnxpm_cdc.wr_pntr_bin_reg[3]\(0) => \gnxpm_cdc.wr_pntr_bin_reg[3]\(3), \goreg_dm.dout_i_reg[64]\(0) => \goreg_dm.dout_i_reg[64]\(0), m_aclk => m_aclk, m_axi_awready => m_axi_awready, m_axi_awvalid => m_axi_awvalid, \out\(1 downto 0) => \out\(1 downto 0), ram_empty_fb_i_reg => p_2_out, ram_empty_i_reg => \gr1.gr1_int.rfwft_n_0\ ); \gras.rsts\: entity work.mig_wrap_auto_cc_0_rd_status_flags_as_40 port map ( \gc0.count_d1_reg[2]\ => rpntr_n_4, m_aclk => m_aclk, \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0) => \out\(1), \out\ => p_2_out ); rpntr: entity work.mig_wrap_auto_cc_0_rd_bin_cntr_41 port map ( E(0) => \^e\(0), Q(3) => rd_pntr_plus1(3), Q(2 downto 0) => Q(2 downto 0), \gnxpm_cdc.rd_pntr_gc_reg[2]\(2 downto 0) => \gnxpm_cdc.rd_pntr_gc_reg[2]\(2 downto 0), \gnxpm_cdc.rd_pntr_gc_reg[3]\(3 downto 0) => \gnxpm_cdc.rd_pntr_gc_reg[3]\(3 downto 0), \gnxpm_cdc.wr_pntr_bin_reg[2]\ => \gnxpm_cdc.wr_pntr_bin_reg[2]\, \gnxpm_cdc.wr_pntr_bin_reg[3]\(3 downto 0) => \gnxpm_cdc.wr_pntr_bin_reg[3]\(3 downto 0), \gpregsm1.curr_fwft_state_reg[1]\ => \gr1.gr1_int.rfwft_n_0\, m_aclk => m_aclk, \out\(0) => \out\(1), ram_empty_i_reg => rpntr_n_4 ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity mig_wrap_auto_cc_0_rd_logic_49 is port ( Q : out STD_LOGIC_VECTOR ( 2 downto 0 ); E : out STD_LOGIC_VECTOR ( 0 to 0 ); \goreg_dm.dout_i_reg[38]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); D : out STD_LOGIC_VECTOR ( 2 downto 0 ); \gnxpm_cdc.rd_pntr_gc_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_rvalid : out STD_LOGIC; s_aclk : in STD_LOGIC; \out\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_rready : in STD_LOGIC; \gnxpm_cdc.wr_pntr_bin_reg[2]\ : in STD_LOGIC; \gnxpm_cdc.wr_pntr_bin_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of mig_wrap_auto_cc_0_rd_logic_49 : entity is "rd_logic"; end mig_wrap_auto_cc_0_rd_logic_49; architecture STRUCTURE of mig_wrap_auto_cc_0_rd_logic_49 is signal \^e\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \gr1.gr1_int.rfwft_n_0\ : STD_LOGIC; signal p_2_out : STD_LOGIC; signal rd_pntr_plus1 : STD_LOGIC_VECTOR ( 3 to 3 ); signal rpntr_n_4 : STD_LOGIC; begin E(0) <= \^e\(0); \gr1.gr1_int.rfwft\: entity work.mig_wrap_auto_cc_0_rd_fwft_60 port map ( E(0) => \^e\(0), Q(0) => rd_pntr_plus1(3), \gnxpm_cdc.wr_pntr_bin_reg[3]\(0) => \gnxpm_cdc.wr_pntr_bin_reg[3]\(3), \goreg_dm.dout_i_reg[38]\(0) => \goreg_dm.dout_i_reg[38]\(0), \out\(1 downto 0) => \out\(1 downto 0), ram_empty_fb_i_reg => p_2_out, ram_empty_i_reg => \gr1.gr1_int.rfwft_n_0\, s_aclk => s_aclk, s_axi_rready => s_axi_rready, s_axi_rvalid => s_axi_rvalid ); \gras.rsts\: entity work.mig_wrap_auto_cc_0_rd_status_flags_as_61 port map ( \gc0.count_d1_reg[2]\ => rpntr_n_4, \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0) => \out\(1), \out\ => p_2_out, s_aclk => s_aclk ); rpntr: entity work.mig_wrap_auto_cc_0_rd_bin_cntr_62 port map ( D(2 downto 0) => D(2 downto 0), E(0) => \^e\(0), Q(3) => rd_pntr_plus1(3), Q(2 downto 0) => Q(2 downto 0), \gnxpm_cdc.rd_pntr_gc_reg[3]\(3 downto 0) => \gnxpm_cdc.rd_pntr_gc_reg[3]\(3 downto 0), \gnxpm_cdc.wr_pntr_bin_reg[2]\ => \gnxpm_cdc.wr_pntr_bin_reg[2]\, \gnxpm_cdc.wr_pntr_bin_reg[3]\(3 downto 0) => \gnxpm_cdc.wr_pntr_bin_reg[3]\(3 downto 0), \gpregsm1.curr_fwft_state_reg[1]\ => \gr1.gr1_int.rfwft_n_0\, \out\(0) => \out\(1), ram_empty_i_reg => rpntr_n_4, s_aclk => s_aclk ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity mig_wrap_auto_cc_0_rd_logic_7 is port ( Q : out STD_LOGIC_VECTOR ( 2 downto 0 ); E : out STD_LOGIC_VECTOR ( 0 to 0 ); \goreg_dm.dout_i_reg[36]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); D : out STD_LOGIC_VECTOR ( 2 downto 0 ); \gnxpm_cdc.rd_pntr_gc_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_wvalid : out STD_LOGIC; m_aclk : in STD_LOGIC; \out\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_wready : in STD_LOGIC; \gnxpm_cdc.wr_pntr_bin_reg[2]\ : in STD_LOGIC; \gnxpm_cdc.wr_pntr_bin_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of mig_wrap_auto_cc_0_rd_logic_7 : entity is "rd_logic"; end mig_wrap_auto_cc_0_rd_logic_7; architecture STRUCTURE of mig_wrap_auto_cc_0_rd_logic_7 is signal \^e\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \gr1.gr1_int.rfwft_n_0\ : STD_LOGIC; signal p_2_out : STD_LOGIC; signal rd_pntr_plus1 : STD_LOGIC_VECTOR ( 3 to 3 ); signal rpntr_n_4 : STD_LOGIC; begin E(0) <= \^e\(0); \gr1.gr1_int.rfwft\: entity work.mig_wrap_auto_cc_0_rd_fwft_18 port map ( E(0) => \^e\(0), Q(0) => rd_pntr_plus1(3), \gnxpm_cdc.wr_pntr_bin_reg[3]\(0) => \gnxpm_cdc.wr_pntr_bin_reg[3]\(3), \goreg_dm.dout_i_reg[36]\(0) => \goreg_dm.dout_i_reg[36]\(0), m_aclk => m_aclk, m_axi_wready => m_axi_wready, m_axi_wvalid => m_axi_wvalid, \out\(1 downto 0) => \out\(1 downto 0), ram_empty_fb_i_reg => p_2_out, ram_empty_i_reg => \gr1.gr1_int.rfwft_n_0\ ); \gras.rsts\: entity work.mig_wrap_auto_cc_0_rd_status_flags_as_19 port map ( \gc0.count_d1_reg[2]\ => rpntr_n_4, m_aclk => m_aclk, \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0) => \out\(1), \out\ => p_2_out ); rpntr: entity work.mig_wrap_auto_cc_0_rd_bin_cntr_20 port map ( D(2 downto 0) => D(2 downto 0), E(0) => \^e\(0), Q(3) => rd_pntr_plus1(3), Q(2 downto 0) => Q(2 downto 0), \gnxpm_cdc.rd_pntr_gc_reg[3]\(3 downto 0) => \gnxpm_cdc.rd_pntr_gc_reg[3]\(3 downto 0), \gnxpm_cdc.wr_pntr_bin_reg[2]\ => \gnxpm_cdc.wr_pntr_bin_reg[2]\, \gnxpm_cdc.wr_pntr_bin_reg[3]\(3 downto 0) => \gnxpm_cdc.wr_pntr_bin_reg[3]\(3 downto 0), \gpregsm1.curr_fwft_state_reg[1]\ => \gr1.gr1_int.rfwft_n_0\, m_aclk => m_aclk, \out\(0) => \out\(1), ram_empty_i_reg => rpntr_n_4 ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity mig_wrap_auto_cc_0_rd_logic_71 is port ( Q : out STD_LOGIC_VECTOR ( 2 downto 0 ); E : out STD_LOGIC_VECTOR ( 0 to 0 ); \goreg_dm.dout_i_reg[64]\ : out STD_LOGIC_VECTOR ( 0 to 0 ); D : out STD_LOGIC_VECTOR ( 2 downto 0 ); \gnxpm_cdc.rd_pntr_gc_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_arvalid : out STD_LOGIC; m_aclk : in STD_LOGIC; \out\ : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_arready : in STD_LOGIC; \gnxpm_cdc.wr_pntr_bin_reg[2]\ : in STD_LOGIC; \gnxpm_cdc.wr_pntr_bin_reg[3]\ : in STD_LOGIC_VECTOR ( 3 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of mig_wrap_auto_cc_0_rd_logic_71 : entity is "rd_logic"; end mig_wrap_auto_cc_0_rd_logic_71; architecture STRUCTURE of mig_wrap_auto_cc_0_rd_logic_71 is signal \^e\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \gr1.gr1_int.rfwft_n_0\ : STD_LOGIC; signal p_2_out : STD_LOGIC; signal rd_pntr_plus1 : STD_LOGIC_VECTOR ( 3 to 3 ); signal rpntr_n_4 : STD_LOGIC; begin E(0) <= \^e\(0); \gr1.gr1_int.rfwft\: entity work.mig_wrap_auto_cc_0_rd_fwft_84 port map ( E(0) => \^e\(0), Q(0) => rd_pntr_plus1(3), \gnxpm_cdc.wr_pntr_bin_reg[3]\(0) => \gnxpm_cdc.wr_pntr_bin_reg[3]\(3), \goreg_dm.dout_i_reg[64]\(0) => \goreg_dm.dout_i_reg[64]\(0), m_aclk => m_aclk, m_axi_arready => m_axi_arready, m_axi_arvalid => m_axi_arvalid, \out\(1 downto 0) => \out\(1 downto 0), ram_empty_fb_i_reg => p_2_out, ram_empty_i_reg => \gr1.gr1_int.rfwft_n_0\ ); \gras.rsts\: entity work.mig_wrap_auto_cc_0_rd_status_flags_as_85 port map ( \gc0.count_d1_reg[2]\ => rpntr_n_4, m_aclk => m_aclk, \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\(0) => \out\(1), \out\ => p_2_out ); rpntr: entity work.mig_wrap_auto_cc_0_rd_bin_cntr_86 port map ( D(2 downto 0) => D(2 downto 0), E(0) => \^e\(0), Q(3) => rd_pntr_plus1(3), Q(2 downto 0) => Q(2 downto 0), \gnxpm_cdc.rd_pntr_gc_reg[3]\(3 downto 0) => \gnxpm_cdc.rd_pntr_gc_reg[3]\(3 downto 0), \gnxpm_cdc.wr_pntr_bin_reg[2]\ => \gnxpm_cdc.wr_pntr_bin_reg[2]\, \gnxpm_cdc.wr_pntr_bin_reg[3]\(3 downto 0) => \gnxpm_cdc.wr_pntr_bin_reg[3]\(3 downto 0), \gpregsm1.curr_fwft_state_reg[1]\ => \gr1.gr1_int.rfwft_n_0\, m_aclk => m_aclk, \out\(0) => \out\(1), ram_empty_i_reg => rpntr_n_4 ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity mig_wrap_auto_cc_0_reset_blk_ramfifo is port ( \out\ : out STD_LOGIC_VECTOR ( 1 downto 0 ); \gc0.count_reg[1]\ : out STD_LOGIC_VECTOR ( 2 downto 0 ); \grstd1.grst_full.grst_f.rst_d3_reg_0\ : out STD_LOGIC; ram_full_fb_i_reg : out STD_LOGIC; s_aclk : in STD_LOGIC; m_aclk : in STD_LOGIC; inverted_reset : in STD_LOGIC ); end mig_wrap_auto_cc_0_reset_blk_ramfifo; architecture STRUCTURE of mig_wrap_auto_cc_0_reset_blk_ramfifo is signal \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].rrst_inst_n_1\ : STD_LOGIC; signal \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].wrst_inst_n_1\ : STD_LOGIC; signal p_5_out : STD_LOGIC; signal p_6_out : STD_LOGIC; signal p_7_out : STD_LOGIC; signal p_8_out : STD_LOGIC; signal rd_rst_asreg : STD_LOGIC; signal rd_rst_reg : STD_LOGIC_VECTOR ( 2 downto 0 ); attribute DONT_TOUCH : boolean; attribute DONT_TOUCH of rd_rst_reg : signal is std.standard.true; signal rst_d1 : STD_LOGIC; attribute async_reg : string; attribute async_reg of rst_d1 : signal is "true"; attribute msgon : string; attribute msgon of rst_d1 : signal is "true"; signal rst_d2 : STD_LOGIC; attribute async_reg of rst_d2 : signal is "true"; attribute msgon of rst_d2 : signal is "true"; signal rst_d3 : STD_LOGIC; attribute async_reg of rst_d3 : signal is "true"; attribute msgon of rst_d3 : signal is "true"; signal rst_rd_reg1 : STD_LOGIC; attribute async_reg of rst_rd_reg1 : signal is "true"; attribute msgon of rst_rd_reg1 : signal is "true"; signal rst_rd_reg2 : STD_LOGIC; attribute async_reg of rst_rd_reg2 : signal is "true"; attribute msgon of rst_rd_reg2 : signal is "true"; signal rst_wr_reg1 : STD_LOGIC; attribute async_reg of rst_wr_reg1 : signal is "true"; attribute msgon of rst_wr_reg1 : signal is "true"; signal rst_wr_reg2 : STD_LOGIC; attribute async_reg of rst_wr_reg2 : signal is "true"; attribute msgon of rst_wr_reg2 : signal is "true"; signal wr_rst_asreg : STD_LOGIC; signal wr_rst_reg : STD_LOGIC_VECTOR ( 2 downto 0 ); attribute DONT_TOUCH of wr_rst_reg : signal is std.standard.true; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \grstd1.grst_full.grst_f.rst_d1_reg\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \grstd1.grst_full.grst_f.rst_d1_reg\ : label is "yes"; attribute msgon of \grstd1.grst_full.grst_f.rst_d1_reg\ : label is "true"; attribute ASYNC_REG_boolean of \grstd1.grst_full.grst_f.rst_d2_reg\ : label is std.standard.true; attribute KEEP of \grstd1.grst_full.grst_f.rst_d2_reg\ : label is "yes"; attribute msgon of \grstd1.grst_full.grst_f.rst_d2_reg\ : label is "true"; attribute ASYNC_REG_boolean of \grstd1.grst_full.grst_f.rst_d3_reg\ : label is std.standard.true; attribute KEEP of \grstd1.grst_full.grst_f.rst_d3_reg\ : label is "yes"; attribute msgon of \grstd1.grst_full.grst_f.rst_d3_reg\ : label is "true"; attribute DONT_TOUCH of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\ : label is "yes"; attribute equivalent_register_removal : string; attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\ : label is "no"; attribute DONT_TOUCH of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : label is "yes"; attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : label is "no"; attribute DONT_TOUCH of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\ : label is "yes"; attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\ : label is "no"; attribute ASYNC_REG_boolean of \ngwrdrst.grst.g7serrst.rst_rd_reg1_reg\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rst_rd_reg1_reg\ : label is "yes"; attribute msgon of \ngwrdrst.grst.g7serrst.rst_rd_reg1_reg\ : label is "true"; attribute ASYNC_REG_boolean of \ngwrdrst.grst.g7serrst.rst_rd_reg2_reg\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rst_rd_reg2_reg\ : label is "yes"; attribute msgon of \ngwrdrst.grst.g7serrst.rst_rd_reg2_reg\ : label is "true"; attribute ASYNC_REG_boolean of \ngwrdrst.grst.g7serrst.rst_wr_reg1_reg\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rst_wr_reg1_reg\ : label is "yes"; attribute msgon of \ngwrdrst.grst.g7serrst.rst_wr_reg1_reg\ : label is "true"; attribute ASYNC_REG_boolean of \ngwrdrst.grst.g7serrst.rst_wr_reg2_reg\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rst_wr_reg2_reg\ : label is "yes"; attribute msgon of \ngwrdrst.grst.g7serrst.rst_wr_reg2_reg\ : label is "true"; attribute DONT_TOUCH of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\ : label is "yes"; attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\ : label is "no"; attribute DONT_TOUCH of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\ : label is "yes"; attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\ : label is "no"; attribute DONT_TOUCH of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[2]\ : label is "yes"; attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[2]\ : label is "no"; begin \gc0.count_reg[1]\(2 downto 0) <= rd_rst_reg(2 downto 0); \grstd1.grst_full.grst_f.rst_d3_reg_0\ <= rst_d2; \out\(1 downto 0) <= wr_rst_reg(1 downto 0); ram_full_fb_i_reg <= rst_d3; \grstd1.grst_full.grst_f.rst_d1_reg\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => '0', PRE => rst_wr_reg2, Q => rst_d1 ); \grstd1.grst_full.grst_f.rst_d2_reg\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => rst_d1, PRE => rst_wr_reg2, Q => rst_d2 ); \grstd1.grst_full.grst_f.rst_d3_reg\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => rst_d2, PRE => rst_wr_reg2, Q => rst_d3 ); \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[1].rrst_inst\: entity work.mig_wrap_auto_cc_0_synchronizer_ff port map ( in0(0) => rd_rst_asreg, \out\ => p_5_out, s_aclk => s_aclk ); \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[1].wrst_inst\: entity work.mig_wrap_auto_cc_0_synchronizer_ff_1 port map ( in0(0) => wr_rst_asreg, m_aclk => m_aclk, \out\ => p_6_out ); \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].rrst_inst\: entity work.mig_wrap_auto_cc_0_synchronizer_ff_2 port map ( AS(0) => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].rrst_inst_n_1\, \Q_reg_reg[0]_0\ => p_7_out, in0(0) => rd_rst_asreg, \out\ => p_5_out, s_aclk => s_aclk ); \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].wrst_inst\: entity work.mig_wrap_auto_cc_0_synchronizer_ff_3 port map ( AS(0) => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].wrst_inst_n_1\, \Q_reg_reg[0]_0\ => p_8_out, in0(0) => wr_rst_asreg, m_aclk => m_aclk, \out\ => p_6_out ); \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[3].rrst_inst\: entity work.mig_wrap_auto_cc_0_synchronizer_ff_4 port map ( \Q_reg_reg[0]_0\ => p_7_out, s_aclk => s_aclk ); \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[3].wrst_inst\: entity work.mig_wrap_auto_cc_0_synchronizer_ff_5 port map ( \Q_reg_reg[0]_0\ => p_8_out, m_aclk => m_aclk ); \ngwrdrst.grst.g7serrst.rd_rst_asreg_reg\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].rrst_inst_n_1\, PRE => rst_rd_reg2, Q => rd_rst_asreg ); \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => '0', PRE => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].rrst_inst_n_1\, Q => rd_rst_reg(0) ); \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => '0', PRE => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].rrst_inst_n_1\, Q => rd_rst_reg(1) ); \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => '0', PRE => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].rrst_inst_n_1\, Q => rd_rst_reg(2) ); \ngwrdrst.grst.g7serrst.rst_rd_reg1_reg\: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', D => '0', PRE => inverted_reset, Q => rst_rd_reg1 ); \ngwrdrst.grst.g7serrst.rst_rd_reg2_reg\: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', D => rst_rd_reg1, PRE => inverted_reset, Q => rst_rd_reg2 ); \ngwrdrst.grst.g7serrst.rst_wr_reg1_reg\: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', D => '0', PRE => inverted_reset, Q => rst_wr_reg1 ); \ngwrdrst.grst.g7serrst.rst_wr_reg2_reg\: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', D => rst_wr_reg1, PRE => inverted_reset, Q => rst_wr_reg2 ); \ngwrdrst.grst.g7serrst.wr_rst_asreg_reg\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].wrst_inst_n_1\, PRE => rst_wr_reg2, Q => wr_rst_asreg ); \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => '0', PRE => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].wrst_inst_n_1\, Q => wr_rst_reg(0) ); \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => '0', PRE => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].wrst_inst_n_1\, Q => wr_rst_reg(1) ); \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[2]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => '0', PRE => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].wrst_inst_n_1\, Q => wr_rst_reg(2) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity mig_wrap_auto_cc_0_reset_blk_ramfifo_30 is port ( \out\ : out STD_LOGIC_VECTOR ( 1 downto 0 ); \gc0.count_reg[1]\ : out STD_LOGIC_VECTOR ( 2 downto 0 ); \grstd1.grst_full.grst_f.rst_d3_reg_0\ : out STD_LOGIC; ram_full_fb_i_reg : out STD_LOGIC; m_aclk : in STD_LOGIC; s_aclk : in STD_LOGIC; inverted_reset : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of mig_wrap_auto_cc_0_reset_blk_ramfifo_30 : entity is "reset_blk_ramfifo"; end mig_wrap_auto_cc_0_reset_blk_ramfifo_30; architecture STRUCTURE of mig_wrap_auto_cc_0_reset_blk_ramfifo_30 is signal \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].rrst_inst_n_1\ : STD_LOGIC; signal \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].wrst_inst_n_1\ : STD_LOGIC; signal p_5_out : STD_LOGIC; signal p_6_out : STD_LOGIC; signal p_7_out : STD_LOGIC; signal p_8_out : STD_LOGIC; signal rd_rst_asreg : STD_LOGIC; signal rd_rst_reg : STD_LOGIC_VECTOR ( 2 downto 0 ); attribute DONT_TOUCH : boolean; attribute DONT_TOUCH of rd_rst_reg : signal is std.standard.true; signal rst_d1 : STD_LOGIC; attribute async_reg : string; attribute async_reg of rst_d1 : signal is "true"; attribute msgon : string; attribute msgon of rst_d1 : signal is "true"; signal rst_d2 : STD_LOGIC; attribute async_reg of rst_d2 : signal is "true"; attribute msgon of rst_d2 : signal is "true"; signal rst_d3 : STD_LOGIC; attribute async_reg of rst_d3 : signal is "true"; attribute msgon of rst_d3 : signal is "true"; signal rst_rd_reg1 : STD_LOGIC; attribute async_reg of rst_rd_reg1 : signal is "true"; attribute msgon of rst_rd_reg1 : signal is "true"; signal rst_rd_reg2 : STD_LOGIC; attribute async_reg of rst_rd_reg2 : signal is "true"; attribute msgon of rst_rd_reg2 : signal is "true"; signal rst_wr_reg1 : STD_LOGIC; attribute async_reg of rst_wr_reg1 : signal is "true"; attribute msgon of rst_wr_reg1 : signal is "true"; signal rst_wr_reg2 : STD_LOGIC; attribute async_reg of rst_wr_reg2 : signal is "true"; attribute msgon of rst_wr_reg2 : signal is "true"; signal wr_rst_asreg : STD_LOGIC; signal wr_rst_reg : STD_LOGIC_VECTOR ( 2 downto 0 ); attribute DONT_TOUCH of wr_rst_reg : signal is std.standard.true; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \grstd1.grst_full.grst_f.rst_d1_reg\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \grstd1.grst_full.grst_f.rst_d1_reg\ : label is "yes"; attribute msgon of \grstd1.grst_full.grst_f.rst_d1_reg\ : label is "true"; attribute ASYNC_REG_boolean of \grstd1.grst_full.grst_f.rst_d2_reg\ : label is std.standard.true; attribute KEEP of \grstd1.grst_full.grst_f.rst_d2_reg\ : label is "yes"; attribute msgon of \grstd1.grst_full.grst_f.rst_d2_reg\ : label is "true"; attribute ASYNC_REG_boolean of \grstd1.grst_full.grst_f.rst_d3_reg\ : label is std.standard.true; attribute KEEP of \grstd1.grst_full.grst_f.rst_d3_reg\ : label is "yes"; attribute msgon of \grstd1.grst_full.grst_f.rst_d3_reg\ : label is "true"; attribute DONT_TOUCH of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\ : label is "yes"; attribute equivalent_register_removal : string; attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\ : label is "no"; attribute DONT_TOUCH of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : label is "yes"; attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : label is "no"; attribute DONT_TOUCH of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\ : label is "yes"; attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\ : label is "no"; attribute ASYNC_REG_boolean of \ngwrdrst.grst.g7serrst.rst_rd_reg1_reg\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rst_rd_reg1_reg\ : label is "yes"; attribute msgon of \ngwrdrst.grst.g7serrst.rst_rd_reg1_reg\ : label is "true"; attribute ASYNC_REG_boolean of \ngwrdrst.grst.g7serrst.rst_rd_reg2_reg\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rst_rd_reg2_reg\ : label is "yes"; attribute msgon of \ngwrdrst.grst.g7serrst.rst_rd_reg2_reg\ : label is "true"; attribute ASYNC_REG_boolean of \ngwrdrst.grst.g7serrst.rst_wr_reg1_reg\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rst_wr_reg1_reg\ : label is "yes"; attribute msgon of \ngwrdrst.grst.g7serrst.rst_wr_reg1_reg\ : label is "true"; attribute ASYNC_REG_boolean of \ngwrdrst.grst.g7serrst.rst_wr_reg2_reg\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rst_wr_reg2_reg\ : label is "yes"; attribute msgon of \ngwrdrst.grst.g7serrst.rst_wr_reg2_reg\ : label is "true"; attribute DONT_TOUCH of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\ : label is "yes"; attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\ : label is "no"; attribute DONT_TOUCH of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\ : label is "yes"; attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\ : label is "no"; attribute DONT_TOUCH of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[2]\ : label is "yes"; attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[2]\ : label is "no"; begin \gc0.count_reg[1]\(2 downto 0) <= rd_rst_reg(2 downto 0); \grstd1.grst_full.grst_f.rst_d3_reg_0\ <= rst_d2; \out\(1 downto 0) <= wr_rst_reg(1 downto 0); ram_full_fb_i_reg <= rst_d3; \grstd1.grst_full.grst_f.rst_d1_reg\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => '0', PRE => rst_wr_reg2, Q => rst_d1 ); \grstd1.grst_full.grst_f.rst_d2_reg\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => rst_d1, PRE => rst_wr_reg2, Q => rst_d2 ); \grstd1.grst_full.grst_f.rst_d3_reg\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => rst_d2, PRE => rst_wr_reg2, Q => rst_d3 ); \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[1].rrst_inst\: entity work.mig_wrap_auto_cc_0_synchronizer_ff_31 port map ( in0(0) => rd_rst_asreg, m_aclk => m_aclk, \out\ => p_5_out ); \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[1].wrst_inst\: entity work.mig_wrap_auto_cc_0_synchronizer_ff_32 port map ( in0(0) => wr_rst_asreg, \out\ => p_6_out, s_aclk => s_aclk ); \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].rrst_inst\: entity work.mig_wrap_auto_cc_0_synchronizer_ff_33 port map ( AS(0) => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].rrst_inst_n_1\, \Q_reg_reg[0]_0\ => p_7_out, in0(0) => rd_rst_asreg, m_aclk => m_aclk, \out\ => p_5_out ); \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].wrst_inst\: entity work.mig_wrap_auto_cc_0_synchronizer_ff_34 port map ( AS(0) => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].wrst_inst_n_1\, \Q_reg_reg[0]_0\ => p_8_out, in0(0) => wr_rst_asreg, \out\ => p_6_out, s_aclk => s_aclk ); \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[3].rrst_inst\: entity work.mig_wrap_auto_cc_0_synchronizer_ff_35 port map ( \Q_reg_reg[0]_0\ => p_7_out, m_aclk => m_aclk ); \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[3].wrst_inst\: entity work.mig_wrap_auto_cc_0_synchronizer_ff_36 port map ( \Q_reg_reg[0]_0\ => p_8_out, s_aclk => s_aclk ); \ngwrdrst.grst.g7serrst.rd_rst_asreg_reg\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].rrst_inst_n_1\, PRE => rst_rd_reg2, Q => rd_rst_asreg ); \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => '0', PRE => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].rrst_inst_n_1\, Q => rd_rst_reg(0) ); \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => '0', PRE => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].rrst_inst_n_1\, Q => rd_rst_reg(1) ); \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => '0', PRE => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].rrst_inst_n_1\, Q => rd_rst_reg(2) ); \ngwrdrst.grst.g7serrst.rst_rd_reg1_reg\: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', D => '0', PRE => inverted_reset, Q => rst_rd_reg1 ); \ngwrdrst.grst.g7serrst.rst_rd_reg2_reg\: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', D => rst_rd_reg1, PRE => inverted_reset, Q => rst_rd_reg2 ); \ngwrdrst.grst.g7serrst.rst_wr_reg1_reg\: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', D => '0', PRE => inverted_reset, Q => rst_wr_reg1 ); \ngwrdrst.grst.g7serrst.rst_wr_reg2_reg\: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', D => rst_wr_reg1, PRE => inverted_reset, Q => rst_wr_reg2 ); \ngwrdrst.grst.g7serrst.wr_rst_asreg_reg\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].wrst_inst_n_1\, PRE => rst_wr_reg2, Q => wr_rst_asreg ); \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => '0', PRE => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].wrst_inst_n_1\, Q => wr_rst_reg(0) ); \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => '0', PRE => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].wrst_inst_n_1\, Q => wr_rst_reg(1) ); \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[2]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => '0', PRE => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].wrst_inst_n_1\, Q => wr_rst_reg(2) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity mig_wrap_auto_cc_0_reset_blk_ramfifo_51 is port ( \out\ : out STD_LOGIC_VECTOR ( 1 downto 0 ); \gc0.count_reg[1]\ : out STD_LOGIC_VECTOR ( 2 downto 0 ); \grstd1.grst_full.grst_f.rst_d3_reg_0\ : out STD_LOGIC; ram_full_fb_i_reg : out STD_LOGIC; \ngwrdrst.grst.g7serrst.rst_wr_reg1_reg_0\ : out STD_LOGIC; s_aclk : in STD_LOGIC; m_aclk : in STD_LOGIC; s_aresetn : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of mig_wrap_auto_cc_0_reset_blk_ramfifo_51 : entity is "reset_blk_ramfifo"; end mig_wrap_auto_cc_0_reset_blk_ramfifo_51; architecture STRUCTURE of mig_wrap_auto_cc_0_reset_blk_ramfifo_51 is signal \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].rrst_inst_n_1\ : STD_LOGIC; signal \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].wrst_inst_n_1\ : STD_LOGIC; signal \^ngwrdrst.grst.g7serrst.rst_wr_reg1_reg_0\ : STD_LOGIC; signal p_5_out : STD_LOGIC; signal p_6_out : STD_LOGIC; signal p_7_out : STD_LOGIC; signal p_8_out : STD_LOGIC; signal rd_rst_asreg : STD_LOGIC; signal rd_rst_reg : STD_LOGIC_VECTOR ( 2 downto 0 ); attribute DONT_TOUCH : boolean; attribute DONT_TOUCH of rd_rst_reg : signal is std.standard.true; signal rst_d1 : STD_LOGIC; attribute async_reg : string; attribute async_reg of rst_d1 : signal is "true"; attribute msgon : string; attribute msgon of rst_d1 : signal is "true"; signal rst_d2 : STD_LOGIC; attribute async_reg of rst_d2 : signal is "true"; attribute msgon of rst_d2 : signal is "true"; signal rst_d3 : STD_LOGIC; attribute async_reg of rst_d3 : signal is "true"; attribute msgon of rst_d3 : signal is "true"; signal rst_rd_reg1 : STD_LOGIC; attribute async_reg of rst_rd_reg1 : signal is "true"; attribute msgon of rst_rd_reg1 : signal is "true"; signal rst_rd_reg2 : STD_LOGIC; attribute async_reg of rst_rd_reg2 : signal is "true"; attribute msgon of rst_rd_reg2 : signal is "true"; signal rst_wr_reg1 : STD_LOGIC; attribute async_reg of rst_wr_reg1 : signal is "true"; attribute msgon of rst_wr_reg1 : signal is "true"; signal rst_wr_reg2 : STD_LOGIC; attribute async_reg of rst_wr_reg2 : signal is "true"; attribute msgon of rst_wr_reg2 : signal is "true"; signal wr_rst_asreg : STD_LOGIC; signal wr_rst_reg : STD_LOGIC_VECTOR ( 2 downto 0 ); attribute DONT_TOUCH of wr_rst_reg : signal is std.standard.true; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \grstd1.grst_full.grst_f.rst_d1_reg\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \grstd1.grst_full.grst_f.rst_d1_reg\ : label is "yes"; attribute msgon of \grstd1.grst_full.grst_f.rst_d1_reg\ : label is "true"; attribute ASYNC_REG_boolean of \grstd1.grst_full.grst_f.rst_d2_reg\ : label is std.standard.true; attribute KEEP of \grstd1.grst_full.grst_f.rst_d2_reg\ : label is "yes"; attribute msgon of \grstd1.grst_full.grst_f.rst_d2_reg\ : label is "true"; attribute ASYNC_REG_boolean of \grstd1.grst_full.grst_f.rst_d3_reg\ : label is std.standard.true; attribute KEEP of \grstd1.grst_full.grst_f.rst_d3_reg\ : label is "yes"; attribute msgon of \grstd1.grst_full.grst_f.rst_d3_reg\ : label is "true"; attribute DONT_TOUCH of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\ : label is "yes"; attribute equivalent_register_removal : string; attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\ : label is "no"; attribute DONT_TOUCH of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : label is "yes"; attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : label is "no"; attribute DONT_TOUCH of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\ : label is "yes"; attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\ : label is "no"; attribute ASYNC_REG_boolean of \ngwrdrst.grst.g7serrst.rst_rd_reg1_reg\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rst_rd_reg1_reg\ : label is "yes"; attribute msgon of \ngwrdrst.grst.g7serrst.rst_rd_reg1_reg\ : label is "true"; attribute ASYNC_REG_boolean of \ngwrdrst.grst.g7serrst.rst_rd_reg2_reg\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rst_rd_reg2_reg\ : label is "yes"; attribute msgon of \ngwrdrst.grst.g7serrst.rst_rd_reg2_reg\ : label is "true"; attribute ASYNC_REG_boolean of \ngwrdrst.grst.g7serrst.rst_wr_reg1_reg\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rst_wr_reg1_reg\ : label is "yes"; attribute msgon of \ngwrdrst.grst.g7serrst.rst_wr_reg1_reg\ : label is "true"; attribute ASYNC_REG_boolean of \ngwrdrst.grst.g7serrst.rst_wr_reg2_reg\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rst_wr_reg2_reg\ : label is "yes"; attribute msgon of \ngwrdrst.grst.g7serrst.rst_wr_reg2_reg\ : label is "true"; attribute DONT_TOUCH of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\ : label is "yes"; attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\ : label is "no"; attribute DONT_TOUCH of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\ : label is "yes"; attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\ : label is "no"; attribute DONT_TOUCH of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[2]\ : label is "yes"; attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[2]\ : label is "no"; begin \gc0.count_reg[1]\(2 downto 0) <= rd_rst_reg(2 downto 0); \grstd1.grst_full.grst_f.rst_d3_reg_0\ <= rst_d2; \ngwrdrst.grst.g7serrst.rst_wr_reg1_reg_0\ <= \^ngwrdrst.grst.g7serrst.rst_wr_reg1_reg_0\; \out\(1 downto 0) <= wr_rst_reg(1 downto 0); ram_full_fb_i_reg <= rst_d3; \grstd1.grst_full.grst_f.rst_d1_reg\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => '0', PRE => rst_wr_reg2, Q => rst_d1 ); \grstd1.grst_full.grst_f.rst_d2_reg\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => rst_d1, PRE => rst_wr_reg2, Q => rst_d2 ); \grstd1.grst_full.grst_f.rst_d3_reg\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => rst_d2, PRE => rst_wr_reg2, Q => rst_d3 ); \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[1].rrst_inst\: entity work.mig_wrap_auto_cc_0_synchronizer_ff_52 port map ( in0(0) => rd_rst_asreg, \out\ => p_5_out, s_aclk => s_aclk ); \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[1].wrst_inst\: entity work.mig_wrap_auto_cc_0_synchronizer_ff_53 port map ( in0(0) => wr_rst_asreg, m_aclk => m_aclk, \out\ => p_6_out ); \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].rrst_inst\: entity work.mig_wrap_auto_cc_0_synchronizer_ff_54 port map ( AS(0) => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].rrst_inst_n_1\, \Q_reg_reg[0]_0\ => p_7_out, in0(0) => rd_rst_asreg, \out\ => p_5_out, s_aclk => s_aclk ); \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].wrst_inst\: entity work.mig_wrap_auto_cc_0_synchronizer_ff_55 port map ( AS(0) => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].wrst_inst_n_1\, \Q_reg_reg[0]_0\ => p_8_out, in0(0) => wr_rst_asreg, m_aclk => m_aclk, \out\ => p_6_out ); \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[3].rrst_inst\: entity work.mig_wrap_auto_cc_0_synchronizer_ff_56 port map ( \Q_reg_reg[0]_0\ => p_7_out, s_aclk => s_aclk ); \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[3].wrst_inst\: entity work.mig_wrap_auto_cc_0_synchronizer_ff_57 port map ( \Q_reg_reg[0]_0\ => p_8_out, m_aclk => m_aclk ); \ngwrdrst.grst.g7serrst.rd_rst_asreg_reg\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].rrst_inst_n_1\, PRE => rst_rd_reg2, Q => rd_rst_asreg ); \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => '0', PRE => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].rrst_inst_n_1\, Q => rd_rst_reg(0) ); \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => '0', PRE => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].rrst_inst_n_1\, Q => rd_rst_reg(1) ); \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => '0', PRE => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].rrst_inst_n_1\, Q => rd_rst_reg(2) ); \ngwrdrst.grst.g7serrst.rst_rd_reg1_reg\: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', D => '0', PRE => \^ngwrdrst.grst.g7serrst.rst_wr_reg1_reg_0\, Q => rst_rd_reg1 ); \ngwrdrst.grst.g7serrst.rst_rd_reg2_reg\: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', D => rst_rd_reg1, PRE => \^ngwrdrst.grst.g7serrst.rst_wr_reg1_reg_0\, Q => rst_rd_reg2 ); \ngwrdrst.grst.g7serrst.rst_wr_reg1_i_1\: unisim.vcomponents.LUT1 generic map( INIT => X"1" ) port map ( I0 => s_aresetn, O => \^ngwrdrst.grst.g7serrst.rst_wr_reg1_reg_0\ ); \ngwrdrst.grst.g7serrst.rst_wr_reg1_reg\: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', D => '0', PRE => \^ngwrdrst.grst.g7serrst.rst_wr_reg1_reg_0\, Q => rst_wr_reg1 ); \ngwrdrst.grst.g7serrst.rst_wr_reg2_reg\: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', D => rst_wr_reg1, PRE => \^ngwrdrst.grst.g7serrst.rst_wr_reg1_reg_0\, Q => rst_wr_reg2 ); \ngwrdrst.grst.g7serrst.wr_rst_asreg_reg\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].wrst_inst_n_1\, PRE => rst_wr_reg2, Q => wr_rst_asreg ); \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => '0', PRE => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].wrst_inst_n_1\, Q => wr_rst_reg(0) ); \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => '0', PRE => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].wrst_inst_n_1\, Q => wr_rst_reg(1) ); \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[2]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => '0', PRE => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].wrst_inst_n_1\, Q => wr_rst_reg(2) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity mig_wrap_auto_cc_0_reset_blk_ramfifo_74 is port ( \out\ : out STD_LOGIC_VECTOR ( 1 downto 0 ); \gc0.count_reg[1]\ : out STD_LOGIC_VECTOR ( 2 downto 0 ); \grstd1.grst_full.grst_f.rst_d3_reg_0\ : out STD_LOGIC; ram_full_fb_i_reg : out STD_LOGIC; m_aclk : in STD_LOGIC; s_aclk : in STD_LOGIC; inverted_reset : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of mig_wrap_auto_cc_0_reset_blk_ramfifo_74 : entity is "reset_blk_ramfifo"; end mig_wrap_auto_cc_0_reset_blk_ramfifo_74; architecture STRUCTURE of mig_wrap_auto_cc_0_reset_blk_ramfifo_74 is signal \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].rrst_inst_n_1\ : STD_LOGIC; signal \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].wrst_inst_n_1\ : STD_LOGIC; signal p_5_out : STD_LOGIC; signal p_6_out : STD_LOGIC; signal p_7_out : STD_LOGIC; signal p_8_out : STD_LOGIC; signal rd_rst_asreg : STD_LOGIC; signal rd_rst_reg : STD_LOGIC_VECTOR ( 2 downto 0 ); attribute DONT_TOUCH : boolean; attribute DONT_TOUCH of rd_rst_reg : signal is std.standard.true; signal rst_d1 : STD_LOGIC; attribute async_reg : string; attribute async_reg of rst_d1 : signal is "true"; attribute msgon : string; attribute msgon of rst_d1 : signal is "true"; signal rst_d2 : STD_LOGIC; attribute async_reg of rst_d2 : signal is "true"; attribute msgon of rst_d2 : signal is "true"; signal rst_d3 : STD_LOGIC; attribute async_reg of rst_d3 : signal is "true"; attribute msgon of rst_d3 : signal is "true"; signal rst_rd_reg1 : STD_LOGIC; attribute async_reg of rst_rd_reg1 : signal is "true"; attribute msgon of rst_rd_reg1 : signal is "true"; signal rst_rd_reg2 : STD_LOGIC; attribute async_reg of rst_rd_reg2 : signal is "true"; attribute msgon of rst_rd_reg2 : signal is "true"; signal rst_wr_reg1 : STD_LOGIC; attribute async_reg of rst_wr_reg1 : signal is "true"; attribute msgon of rst_wr_reg1 : signal is "true"; signal rst_wr_reg2 : STD_LOGIC; attribute async_reg of rst_wr_reg2 : signal is "true"; attribute msgon of rst_wr_reg2 : signal is "true"; signal wr_rst_asreg : STD_LOGIC; signal wr_rst_reg : STD_LOGIC_VECTOR ( 2 downto 0 ); attribute DONT_TOUCH of wr_rst_reg : signal is std.standard.true; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \grstd1.grst_full.grst_f.rst_d1_reg\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \grstd1.grst_full.grst_f.rst_d1_reg\ : label is "yes"; attribute msgon of \grstd1.grst_full.grst_f.rst_d1_reg\ : label is "true"; attribute ASYNC_REG_boolean of \grstd1.grst_full.grst_f.rst_d2_reg\ : label is std.standard.true; attribute KEEP of \grstd1.grst_full.grst_f.rst_d2_reg\ : label is "yes"; attribute msgon of \grstd1.grst_full.grst_f.rst_d2_reg\ : label is "true"; attribute ASYNC_REG_boolean of \grstd1.grst_full.grst_f.rst_d3_reg\ : label is std.standard.true; attribute KEEP of \grstd1.grst_full.grst_f.rst_d3_reg\ : label is "yes"; attribute msgon of \grstd1.grst_full.grst_f.rst_d3_reg\ : label is "true"; attribute DONT_TOUCH of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\ : label is "yes"; attribute equivalent_register_removal : string; attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\ : label is "no"; attribute DONT_TOUCH of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : label is "yes"; attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : label is "no"; attribute DONT_TOUCH of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\ : label is "yes"; attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\ : label is "no"; attribute ASYNC_REG_boolean of \ngwrdrst.grst.g7serrst.rst_rd_reg1_reg\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rst_rd_reg1_reg\ : label is "yes"; attribute msgon of \ngwrdrst.grst.g7serrst.rst_rd_reg1_reg\ : label is "true"; attribute ASYNC_REG_boolean of \ngwrdrst.grst.g7serrst.rst_rd_reg2_reg\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rst_rd_reg2_reg\ : label is "yes"; attribute msgon of \ngwrdrst.grst.g7serrst.rst_rd_reg2_reg\ : label is "true"; attribute ASYNC_REG_boolean of \ngwrdrst.grst.g7serrst.rst_wr_reg1_reg\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rst_wr_reg1_reg\ : label is "yes"; attribute msgon of \ngwrdrst.grst.g7serrst.rst_wr_reg1_reg\ : label is "true"; attribute ASYNC_REG_boolean of \ngwrdrst.grst.g7serrst.rst_wr_reg2_reg\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rst_wr_reg2_reg\ : label is "yes"; attribute msgon of \ngwrdrst.grst.g7serrst.rst_wr_reg2_reg\ : label is "true"; attribute DONT_TOUCH of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\ : label is "yes"; attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\ : label is "no"; attribute DONT_TOUCH of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\ : label is "yes"; attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\ : label is "no"; attribute DONT_TOUCH of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[2]\ : label is "yes"; attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[2]\ : label is "no"; begin \gc0.count_reg[1]\(2 downto 0) <= rd_rst_reg(2 downto 0); \grstd1.grst_full.grst_f.rst_d3_reg_0\ <= rst_d2; \out\(1 downto 0) <= wr_rst_reg(1 downto 0); ram_full_fb_i_reg <= rst_d3; \grstd1.grst_full.grst_f.rst_d1_reg\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => '0', PRE => rst_wr_reg2, Q => rst_d1 ); \grstd1.grst_full.grst_f.rst_d2_reg\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => rst_d1, PRE => rst_wr_reg2, Q => rst_d2 ); \grstd1.grst_full.grst_f.rst_d3_reg\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => rst_d2, PRE => rst_wr_reg2, Q => rst_d3 ); \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[1].rrst_inst\: entity work.mig_wrap_auto_cc_0_synchronizer_ff_75 port map ( in0(0) => rd_rst_asreg, m_aclk => m_aclk, \out\ => p_5_out ); \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[1].wrst_inst\: entity work.mig_wrap_auto_cc_0_synchronizer_ff_76 port map ( in0(0) => wr_rst_asreg, \out\ => p_6_out, s_aclk => s_aclk ); \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].rrst_inst\: entity work.mig_wrap_auto_cc_0_synchronizer_ff_77 port map ( AS(0) => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].rrst_inst_n_1\, \Q_reg_reg[0]_0\ => p_7_out, in0(0) => rd_rst_asreg, m_aclk => m_aclk, \out\ => p_5_out ); \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].wrst_inst\: entity work.mig_wrap_auto_cc_0_synchronizer_ff_78 port map ( AS(0) => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].wrst_inst_n_1\, \Q_reg_reg[0]_0\ => p_8_out, in0(0) => wr_rst_asreg, \out\ => p_6_out, s_aclk => s_aclk ); \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[3].rrst_inst\: entity work.mig_wrap_auto_cc_0_synchronizer_ff_79 port map ( \Q_reg_reg[0]_0\ => p_7_out, m_aclk => m_aclk ); \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[3].wrst_inst\: entity work.mig_wrap_auto_cc_0_synchronizer_ff_80 port map ( \Q_reg_reg[0]_0\ => p_8_out, s_aclk => s_aclk ); \ngwrdrst.grst.g7serrst.rd_rst_asreg_reg\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].rrst_inst_n_1\, PRE => rst_rd_reg2, Q => rd_rst_asreg ); \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => '0', PRE => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].rrst_inst_n_1\, Q => rd_rst_reg(0) ); \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => '0', PRE => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].rrst_inst_n_1\, Q => rd_rst_reg(1) ); \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => '0', PRE => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].rrst_inst_n_1\, Q => rd_rst_reg(2) ); \ngwrdrst.grst.g7serrst.rst_rd_reg1_reg\: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', D => '0', PRE => inverted_reset, Q => rst_rd_reg1 ); \ngwrdrst.grst.g7serrst.rst_rd_reg2_reg\: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', D => rst_rd_reg1, PRE => inverted_reset, Q => rst_rd_reg2 ); \ngwrdrst.grst.g7serrst.rst_wr_reg1_reg\: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', D => '0', PRE => inverted_reset, Q => rst_wr_reg1 ); \ngwrdrst.grst.g7serrst.rst_wr_reg2_reg\: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', D => rst_wr_reg1, PRE => inverted_reset, Q => rst_wr_reg2 ); \ngwrdrst.grst.g7serrst.wr_rst_asreg_reg\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].wrst_inst_n_1\, PRE => rst_wr_reg2, Q => wr_rst_asreg ); \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => '0', PRE => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].wrst_inst_n_1\, Q => wr_rst_reg(0) ); \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => '0', PRE => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].wrst_inst_n_1\, Q => wr_rst_reg(1) ); \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[2]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => '0', PRE => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].wrst_inst_n_1\, Q => wr_rst_reg(2) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity mig_wrap_auto_cc_0_reset_blk_ramfifo_9 is port ( \out\ : out STD_LOGIC_VECTOR ( 1 downto 0 ); \gc0.count_reg[1]\ : out STD_LOGIC_VECTOR ( 2 downto 0 ); \grstd1.grst_full.grst_f.rst_d3_reg_0\ : out STD_LOGIC; ram_full_fb_i_reg : out STD_LOGIC; m_aclk : in STD_LOGIC; s_aclk : in STD_LOGIC; inverted_reset : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of mig_wrap_auto_cc_0_reset_blk_ramfifo_9 : entity is "reset_blk_ramfifo"; end mig_wrap_auto_cc_0_reset_blk_ramfifo_9; architecture STRUCTURE of mig_wrap_auto_cc_0_reset_blk_ramfifo_9 is signal \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].rrst_inst_n_1\ : STD_LOGIC; signal \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].wrst_inst_n_1\ : STD_LOGIC; signal p_5_out : STD_LOGIC; signal p_6_out : STD_LOGIC; signal p_7_out : STD_LOGIC; signal p_8_out : STD_LOGIC; signal rd_rst_asreg : STD_LOGIC; signal rd_rst_reg : STD_LOGIC_VECTOR ( 2 downto 0 ); attribute DONT_TOUCH : boolean; attribute DONT_TOUCH of rd_rst_reg : signal is std.standard.true; signal rst_d1 : STD_LOGIC; attribute async_reg : string; attribute async_reg of rst_d1 : signal is "true"; attribute msgon : string; attribute msgon of rst_d1 : signal is "true"; signal rst_d2 : STD_LOGIC; attribute async_reg of rst_d2 : signal is "true"; attribute msgon of rst_d2 : signal is "true"; signal rst_d3 : STD_LOGIC; attribute async_reg of rst_d3 : signal is "true"; attribute msgon of rst_d3 : signal is "true"; signal rst_rd_reg1 : STD_LOGIC; attribute async_reg of rst_rd_reg1 : signal is "true"; attribute msgon of rst_rd_reg1 : signal is "true"; signal rst_rd_reg2 : STD_LOGIC; attribute async_reg of rst_rd_reg2 : signal is "true"; attribute msgon of rst_rd_reg2 : signal is "true"; signal rst_wr_reg1 : STD_LOGIC; attribute async_reg of rst_wr_reg1 : signal is "true"; attribute msgon of rst_wr_reg1 : signal is "true"; signal rst_wr_reg2 : STD_LOGIC; attribute async_reg of rst_wr_reg2 : signal is "true"; attribute msgon of rst_wr_reg2 : signal is "true"; signal wr_rst_asreg : STD_LOGIC; signal wr_rst_reg : STD_LOGIC_VECTOR ( 2 downto 0 ); attribute DONT_TOUCH of wr_rst_reg : signal is std.standard.true; attribute ASYNC_REG_boolean : boolean; attribute ASYNC_REG_boolean of \grstd1.grst_full.grst_f.rst_d1_reg\ : label is std.standard.true; attribute KEEP : string; attribute KEEP of \grstd1.grst_full.grst_f.rst_d1_reg\ : label is "yes"; attribute msgon of \grstd1.grst_full.grst_f.rst_d1_reg\ : label is "true"; attribute ASYNC_REG_boolean of \grstd1.grst_full.grst_f.rst_d2_reg\ : label is std.standard.true; attribute KEEP of \grstd1.grst_full.grst_f.rst_d2_reg\ : label is "yes"; attribute msgon of \grstd1.grst_full.grst_f.rst_d2_reg\ : label is "true"; attribute ASYNC_REG_boolean of \grstd1.grst_full.grst_f.rst_d3_reg\ : label is std.standard.true; attribute KEEP of \grstd1.grst_full.grst_f.rst_d3_reg\ : label is "yes"; attribute msgon of \grstd1.grst_full.grst_f.rst_d3_reg\ : label is "true"; attribute DONT_TOUCH of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\ : label is "yes"; attribute equivalent_register_removal : string; attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\ : label is "no"; attribute DONT_TOUCH of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : label is "yes"; attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\ : label is "no"; attribute DONT_TOUCH of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\ : label is "yes"; attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\ : label is "no"; attribute ASYNC_REG_boolean of \ngwrdrst.grst.g7serrst.rst_rd_reg1_reg\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rst_rd_reg1_reg\ : label is "yes"; attribute msgon of \ngwrdrst.grst.g7serrst.rst_rd_reg1_reg\ : label is "true"; attribute ASYNC_REG_boolean of \ngwrdrst.grst.g7serrst.rst_rd_reg2_reg\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rst_rd_reg2_reg\ : label is "yes"; attribute msgon of \ngwrdrst.grst.g7serrst.rst_rd_reg2_reg\ : label is "true"; attribute ASYNC_REG_boolean of \ngwrdrst.grst.g7serrst.rst_wr_reg1_reg\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rst_wr_reg1_reg\ : label is "yes"; attribute msgon of \ngwrdrst.grst.g7serrst.rst_wr_reg1_reg\ : label is "true"; attribute ASYNC_REG_boolean of \ngwrdrst.grst.g7serrst.rst_wr_reg2_reg\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.rst_wr_reg2_reg\ : label is "yes"; attribute msgon of \ngwrdrst.grst.g7serrst.rst_wr_reg2_reg\ : label is "true"; attribute DONT_TOUCH of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\ : label is "yes"; attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\ : label is "no"; attribute DONT_TOUCH of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\ : label is "yes"; attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\ : label is "no"; attribute DONT_TOUCH of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[2]\ : label is std.standard.true; attribute KEEP of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[2]\ : label is "yes"; attribute equivalent_register_removal of \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[2]\ : label is "no"; begin \gc0.count_reg[1]\(2 downto 0) <= rd_rst_reg(2 downto 0); \grstd1.grst_full.grst_f.rst_d3_reg_0\ <= rst_d2; \out\(1 downto 0) <= wr_rst_reg(1 downto 0); ram_full_fb_i_reg <= rst_d3; \grstd1.grst_full.grst_f.rst_d1_reg\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => '0', PRE => rst_wr_reg2, Q => rst_d1 ); \grstd1.grst_full.grst_f.rst_d2_reg\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => rst_d1, PRE => rst_wr_reg2, Q => rst_d2 ); \grstd1.grst_full.grst_f.rst_d3_reg\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => rst_d2, PRE => rst_wr_reg2, Q => rst_d3 ); \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[1].rrst_inst\: entity work.mig_wrap_auto_cc_0_synchronizer_ff_10 port map ( in0(0) => rd_rst_asreg, m_aclk => m_aclk, \out\ => p_5_out ); \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[1].wrst_inst\: entity work.mig_wrap_auto_cc_0_synchronizer_ff_11 port map ( in0(0) => wr_rst_asreg, \out\ => p_6_out, s_aclk => s_aclk ); \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].rrst_inst\: entity work.mig_wrap_auto_cc_0_synchronizer_ff_12 port map ( AS(0) => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].rrst_inst_n_1\, \Q_reg_reg[0]_0\ => p_7_out, in0(0) => rd_rst_asreg, m_aclk => m_aclk, \out\ => p_5_out ); \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].wrst_inst\: entity work.mig_wrap_auto_cc_0_synchronizer_ff_13 port map ( AS(0) => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].wrst_inst_n_1\, \Q_reg_reg[0]_0\ => p_8_out, in0(0) => wr_rst_asreg, \out\ => p_6_out, s_aclk => s_aclk ); \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[3].rrst_inst\: entity work.mig_wrap_auto_cc_0_synchronizer_ff_14 port map ( \Q_reg_reg[0]_0\ => p_7_out, m_aclk => m_aclk ); \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[3].wrst_inst\: entity work.mig_wrap_auto_cc_0_synchronizer_ff_15 port map ( \Q_reg_reg[0]_0\ => p_8_out, s_aclk => s_aclk ); \ngwrdrst.grst.g7serrst.rd_rst_asreg_reg\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].rrst_inst_n_1\, PRE => rst_rd_reg2, Q => rd_rst_asreg ); \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => '0', PRE => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].rrst_inst_n_1\, Q => rd_rst_reg(0) ); \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => '0', PRE => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].rrst_inst_n_1\, Q => rd_rst_reg(1) ); \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[2]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => m_aclk, CE => '1', D => '0', PRE => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].rrst_inst_n_1\, Q => rd_rst_reg(2) ); \ngwrdrst.grst.g7serrst.rst_rd_reg1_reg\: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', D => '0', PRE => inverted_reset, Q => rst_rd_reg1 ); \ngwrdrst.grst.g7serrst.rst_rd_reg2_reg\: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => m_aclk, CE => '1', D => rst_rd_reg1, PRE => inverted_reset, Q => rst_rd_reg2 ); \ngwrdrst.grst.g7serrst.rst_wr_reg1_reg\: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', D => '0', PRE => inverted_reset, Q => rst_wr_reg1 ); \ngwrdrst.grst.g7serrst.rst_wr_reg2_reg\: unisim.vcomponents.FDPE generic map( INIT => '0' ) port map ( C => s_aclk, CE => '1', D => rst_wr_reg1, PRE => inverted_reset, Q => rst_wr_reg2 ); \ngwrdrst.grst.g7serrst.wr_rst_asreg_reg\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].wrst_inst_n_1\, PRE => rst_wr_reg2, Q => wr_rst_asreg ); \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[0]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => '0', PRE => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].wrst_inst_n_1\, Q => wr_rst_reg(0) ); \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[1]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => '0', PRE => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].wrst_inst_n_1\, Q => wr_rst_reg(1) ); \ngwrdrst.grst.g7serrst.wr_rst_reg_reg[2]\: unisim.vcomponents.FDPE generic map( INIT => '1' ) port map ( C => s_aclk, CE => '1', D => '0', PRE => \ngwrdrst.grst.g7serrst.gwrrd_rst_sync_stage[2].wrst_inst_n_1\, Q => wr_rst_reg(2) ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity mig_wrap_auto_cc_0_wr_logic is port ( Q : out STD_LOGIC_VECTOR ( 2 downto 0 ); ram_full_fb_i_reg : out STD_LOGIC; E : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_bready : out STD_LOGIC; \gic0.gc0.count_d2_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \gnxpm_cdc.wr_pntr_gc_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \gic0.gc0.count_d1_reg[3]\ : in STD_LOGIC; m_aclk : in STD_LOGIC; \out\ : in STD_LOGIC; m_axi_bvalid : in STD_LOGIC; \gnxpm_cdc.rd_pntr_bin_reg[3]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); AR : in STD_LOGIC_VECTOR ( 0 to 0 ) ); end mig_wrap_auto_cc_0_wr_logic; architecture STRUCTURE of mig_wrap_auto_cc_0_wr_logic is signal \^e\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal wr_pntr_plus2 : STD_LOGIC_VECTOR ( 3 to 3 ); begin E(0) <= \^e\(0); \gwas.wsts\: entity work.mig_wrap_auto_cc_0_wr_status_flags_as port map ( E(0) => \^e\(0), Q(0) => wr_pntr_plus2(3), \gic0.gc0.count_d1_reg[3]\ => \gic0.gc0.count_d1_reg[3]\, \gnxpm_cdc.rd_pntr_bin_reg[3]\(0) => \gnxpm_cdc.rd_pntr_bin_reg[3]\(0), m_aclk => m_aclk, m_axi_bready => m_axi_bready, m_axi_bvalid => m_axi_bvalid, \out\ => \out\, ram_full_fb_i_reg_0 => ram_full_fb_i_reg ); wpntr: entity work.mig_wrap_auto_cc_0_wr_bin_cntr port map ( AR(0) => AR(0), E(0) => \^e\(0), Q(3) => wr_pntr_plus2(3), Q(2 downto 0) => Q(2 downto 0), \gic0.gc0.count_d2_reg[3]_0\(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), \gnxpm_cdc.wr_pntr_gc_reg[3]\(3 downto 0) => \gnxpm_cdc.wr_pntr_gc_reg[3]\(3 downto 0), m_aclk => m_aclk ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity mig_wrap_auto_cc_0_wr_logic_29 is port ( Q : out STD_LOGIC_VECTOR ( 2 downto 0 ); ram_full_fb_i_reg : out STD_LOGIC; E : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_awready : out STD_LOGIC; \gic0.gc0.count_d2_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \gnxpm_cdc.wr_pntr_gc_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \gic0.gc0.count_d1_reg[3]\ : in STD_LOGIC; s_aclk : in STD_LOGIC; \out\ : in STD_LOGIC; s_axi_awvalid : in STD_LOGIC; \gnxpm_cdc.rd_pntr_bin_reg[3]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); AR : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of mig_wrap_auto_cc_0_wr_logic_29 : entity is "wr_logic"; end mig_wrap_auto_cc_0_wr_logic_29; architecture STRUCTURE of mig_wrap_auto_cc_0_wr_logic_29 is signal \^e\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal wr_pntr_plus2 : STD_LOGIC_VECTOR ( 3 to 3 ); begin E(0) <= \^e\(0); \gwas.wsts\: entity work.mig_wrap_auto_cc_0_wr_status_flags_as_37 port map ( E(0) => \^e\(0), Q(0) => wr_pntr_plus2(3), \gic0.gc0.count_d1_reg[3]\ => \gic0.gc0.count_d1_reg[3]\, \gnxpm_cdc.rd_pntr_bin_reg[3]\(0) => \gnxpm_cdc.rd_pntr_bin_reg[3]\(0), \out\ => \out\, ram_full_fb_i_reg_0 => ram_full_fb_i_reg, s_aclk => s_aclk, s_axi_awready => s_axi_awready, s_axi_awvalid => s_axi_awvalid ); wpntr: entity work.mig_wrap_auto_cc_0_wr_bin_cntr_38 port map ( AR(0) => AR(0), E(0) => \^e\(0), Q(3) => wr_pntr_plus2(3), Q(2 downto 0) => Q(2 downto 0), \gic0.gc0.count_d2_reg[3]_0\(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), \gnxpm_cdc.wr_pntr_gc_reg[3]\(3 downto 0) => \gnxpm_cdc.wr_pntr_gc_reg[3]\(3 downto 0), s_aclk => s_aclk ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity mig_wrap_auto_cc_0_wr_logic_50 is port ( Q : out STD_LOGIC_VECTOR ( 2 downto 0 ); ram_full_fb_i_reg : out STD_LOGIC; E : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_rready : out STD_LOGIC; \gic0.gc0.count_d2_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \gnxpm_cdc.wr_pntr_gc_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \gic0.gc0.count_d1_reg[3]\ : in STD_LOGIC; m_aclk : in STD_LOGIC; \out\ : in STD_LOGIC; m_axi_rvalid : in STD_LOGIC; \gnxpm_cdc.rd_pntr_bin_reg[3]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); AR : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of mig_wrap_auto_cc_0_wr_logic_50 : entity is "wr_logic"; end mig_wrap_auto_cc_0_wr_logic_50; architecture STRUCTURE of mig_wrap_auto_cc_0_wr_logic_50 is signal \^e\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal wr_pntr_plus2 : STD_LOGIC_VECTOR ( 3 to 3 ); begin E(0) <= \^e\(0); \gwas.wsts\: entity work.mig_wrap_auto_cc_0_wr_status_flags_as_58 port map ( E(0) => \^e\(0), Q(0) => wr_pntr_plus2(3), \gic0.gc0.count_d1_reg[3]\ => \gic0.gc0.count_d1_reg[3]\, \gnxpm_cdc.rd_pntr_bin_reg[3]\(0) => \gnxpm_cdc.rd_pntr_bin_reg[3]\(0), m_aclk => m_aclk, m_axi_rready => m_axi_rready, m_axi_rvalid => m_axi_rvalid, \out\ => \out\, ram_full_fb_i_reg_0 => ram_full_fb_i_reg ); wpntr: entity work.mig_wrap_auto_cc_0_wr_bin_cntr_59 port map ( AR(0) => AR(0), E(0) => \^e\(0), Q(3) => wr_pntr_plus2(3), Q(2 downto 0) => Q(2 downto 0), \gic0.gc0.count_d2_reg[3]_0\(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), \gnxpm_cdc.wr_pntr_gc_reg[3]\(3 downto 0) => \gnxpm_cdc.wr_pntr_gc_reg[3]\(3 downto 0), m_aclk => m_aclk ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity mig_wrap_auto_cc_0_wr_logic_72 is port ( Q : out STD_LOGIC_VECTOR ( 2 downto 0 ); ram_full_fb_i_reg : out STD_LOGIC; E : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_arready : out STD_LOGIC; \gic0.gc0.count_d2_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \gnxpm_cdc.wr_pntr_gc_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \gic0.gc0.count_d1_reg[3]\ : in STD_LOGIC; s_aclk : in STD_LOGIC; \out\ : in STD_LOGIC; s_axi_arvalid : in STD_LOGIC; \gnxpm_cdc.rd_pntr_bin_reg[3]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); AR : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of mig_wrap_auto_cc_0_wr_logic_72 : entity is "wr_logic"; end mig_wrap_auto_cc_0_wr_logic_72; architecture STRUCTURE of mig_wrap_auto_cc_0_wr_logic_72 is signal \^e\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal wr_pntr_plus2 : STD_LOGIC_VECTOR ( 3 to 3 ); begin E(0) <= \^e\(0); \gwas.wsts\: entity work.mig_wrap_auto_cc_0_wr_status_flags_as_82 port map ( E(0) => \^e\(0), Q(0) => wr_pntr_plus2(3), \gic0.gc0.count_d1_reg[3]\ => \gic0.gc0.count_d1_reg[3]\, \gnxpm_cdc.rd_pntr_bin_reg[3]\(0) => \gnxpm_cdc.rd_pntr_bin_reg[3]\(0), \out\ => \out\, ram_full_fb_i_reg_0 => ram_full_fb_i_reg, s_aclk => s_aclk, s_axi_arready => s_axi_arready, s_axi_arvalid => s_axi_arvalid ); wpntr: entity work.mig_wrap_auto_cc_0_wr_bin_cntr_83 port map ( AR(0) => AR(0), E(0) => \^e\(0), Q(3) => wr_pntr_plus2(3), Q(2 downto 0) => Q(2 downto 0), \gic0.gc0.count_d2_reg[3]_0\(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), \gnxpm_cdc.wr_pntr_gc_reg[3]\(3 downto 0) => \gnxpm_cdc.wr_pntr_gc_reg[3]\(3 downto 0), s_aclk => s_aclk ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity mig_wrap_auto_cc_0_wr_logic_8 is port ( Q : out STD_LOGIC_VECTOR ( 2 downto 0 ); ram_full_fb_i_reg : out STD_LOGIC; E : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_wready : out STD_LOGIC; \gic0.gc0.count_d2_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \gnxpm_cdc.wr_pntr_gc_reg[3]\ : out STD_LOGIC_VECTOR ( 3 downto 0 ); \gic0.gc0.count_d1_reg[3]\ : in STD_LOGIC; s_aclk : in STD_LOGIC; \out\ : in STD_LOGIC; s_axi_wvalid : in STD_LOGIC; \gnxpm_cdc.rd_pntr_bin_reg[3]\ : in STD_LOGIC_VECTOR ( 0 to 0 ); AR : in STD_LOGIC_VECTOR ( 0 to 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of mig_wrap_auto_cc_0_wr_logic_8 : entity is "wr_logic"; end mig_wrap_auto_cc_0_wr_logic_8; architecture STRUCTURE of mig_wrap_auto_cc_0_wr_logic_8 is signal \^e\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal wr_pntr_plus2 : STD_LOGIC_VECTOR ( 3 to 3 ); begin E(0) <= \^e\(0); \gwas.wsts\: entity work.mig_wrap_auto_cc_0_wr_status_flags_as_16 port map ( E(0) => \^e\(0), Q(0) => wr_pntr_plus2(3), \gic0.gc0.count_d1_reg[3]\ => \gic0.gc0.count_d1_reg[3]\, \gnxpm_cdc.rd_pntr_bin_reg[3]\(0) => \gnxpm_cdc.rd_pntr_bin_reg[3]\(0), \out\ => \out\, ram_full_fb_i_reg_0 => ram_full_fb_i_reg, s_aclk => s_aclk, s_axi_wready => s_axi_wready, s_axi_wvalid => s_axi_wvalid ); wpntr: entity work.mig_wrap_auto_cc_0_wr_bin_cntr_17 port map ( AR(0) => AR(0), E(0) => \^e\(0), Q(3) => wr_pntr_plus2(3), Q(2 downto 0) => Q(2 downto 0), \gic0.gc0.count_d2_reg[3]_0\(3 downto 0) => \gic0.gc0.count_d2_reg[3]\(3 downto 0), \gnxpm_cdc.wr_pntr_gc_reg[3]\(3 downto 0) => \gnxpm_cdc.wr_pntr_gc_reg[3]\(3 downto 0), s_aclk => s_aclk ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity mig_wrap_auto_cc_0_fifo_generator_ramfifo is port ( s_axi_awready : out STD_LOGIC; m_axi_awvalid : out STD_LOGIC; Q : out STD_LOGIC_VECTOR ( 64 downto 0 ); m_aclk : in STD_LOGIC; s_aclk : in STD_LOGIC; inverted_reset : in STD_LOGIC; m_axi_awready : in STD_LOGIC; s_axi_awvalid : in STD_LOGIC; DI : in STD_LOGIC_VECTOR ( 64 downto 0 ) ); end mig_wrap_auto_cc_0_fifo_generator_ramfifo; architecture STRUCTURE of mig_wrap_auto_cc_0_fifo_generator_ramfifo is signal \gntv_or_sync_fifo.gcx.clkx_n_4\ : STD_LOGIC; signal \gntv_or_sync_fifo.gcx.clkx_n_9\ : STD_LOGIC; signal \gntv_or_sync_fifo.gl0.rd_n_4\ : STD_LOGIC; signal \gntv_or_sync_fifo.gl0.rd_n_5\ : STD_LOGIC; signal \gntv_or_sync_fifo.gl0.rd_n_6\ : STD_LOGIC; signal \gntv_or_sync_fifo.gl0.rd_n_7\ : STD_LOGIC; signal \gntv_or_sync_fifo.gl0.wr_n_3\ : STD_LOGIC; signal gray2bin : STD_LOGIC_VECTOR ( 0 to 0 ); signal p_0_out_0 : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_12_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_13_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_18_out : STD_LOGIC; signal p_22_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_23_out : STD_LOGIC; signal p_23_out_1 : STD_LOGIC_VECTOR ( 3 to 3 ); signal p_7_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal ram_rd_en_i : STD_LOGIC; signal rd_pntr_plus1 : STD_LOGIC_VECTOR ( 2 downto 0 ); signal rd_rst_i : STD_LOGIC_VECTOR ( 2 downto 0 ); signal rst_full_ff_i : STD_LOGIC; signal wr_pntr_plus2 : STD_LOGIC_VECTOR ( 2 downto 0 ); signal wr_rst_i : STD_LOGIC_VECTOR ( 1 downto 0 ); begin \gntv_or_sync_fifo.gcx.clkx\: entity work.mig_wrap_auto_cc_0_clk_x_pntrs_27 port map ( AR(0) => wr_rst_i(0), D(0) => gray2bin(0), Q(3 downto 0) => p_22_out(3 downto 0), \gc0.count_d1_reg[2]\(2) => \gntv_or_sync_fifo.gl0.rd_n_5\, \gc0.count_d1_reg[2]\(1) => \gntv_or_sync_fifo.gl0.rd_n_6\, \gc0.count_d1_reg[2]\(0) => \gntv_or_sync_fifo.gl0.rd_n_7\, \gc0.count_d1_reg[3]\(0) => p_0_out_0(3), \gc0.count_reg[2]\(2 downto 0) => rd_pntr_plus1(2 downto 0), \gic0.gc0.count_d1_reg[3]\(3 downto 0) => p_13_out(3 downto 0), \gic0.gc0.count_d2_reg[3]\(3 downto 0) => p_12_out(3 downto 0), \gic0.gc0.count_reg[2]\(2 downto 0) => wr_pntr_plus2(2 downto 0), \grstd1.grst_full.grst_f.rst_d3_reg\ => p_23_out, m_aclk => m_aclk, \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0) => rd_rst_i(1), \out\(3 downto 0) => p_7_out(3 downto 0), ram_empty_i_reg => \gntv_or_sync_fifo.gcx.clkx_n_4\, ram_full_fb_i_reg => \gntv_or_sync_fifo.gcx.clkx_n_9\, ram_full_fb_i_reg_0(0) => p_23_out_1(3), ram_full_fb_i_reg_1 => \gntv_or_sync_fifo.gl0.wr_n_3\, s_aclk => s_aclk ); \gntv_or_sync_fifo.gcx.clkx/\: unisim.vcomponents.LUT4 generic map( INIT => X"6996" ) port map ( I0 => p_7_out(1), I1 => p_7_out(0), I2 => p_7_out(3), I3 => p_7_out(2), O => gray2bin(0) ); \gntv_or_sync_fifo.gl0.rd\: entity work.mig_wrap_auto_cc_0_rd_logic_28 port map ( E(0) => ram_rd_en_i, Q(2 downto 0) => rd_pntr_plus1(2 downto 0), \gnxpm_cdc.rd_pntr_gc_reg[2]\(2) => \gntv_or_sync_fifo.gl0.rd_n_5\, \gnxpm_cdc.rd_pntr_gc_reg[2]\(1) => \gntv_or_sync_fifo.gl0.rd_n_6\, \gnxpm_cdc.rd_pntr_gc_reg[2]\(0) => \gntv_or_sync_fifo.gl0.rd_n_7\, \gnxpm_cdc.rd_pntr_gc_reg[3]\(3 downto 0) => p_0_out_0(3 downto 0), \gnxpm_cdc.wr_pntr_bin_reg[2]\ => \gntv_or_sync_fifo.gcx.clkx_n_4\, \gnxpm_cdc.wr_pntr_bin_reg[3]\(3 downto 0) => p_22_out(3 downto 0), \goreg_dm.dout_i_reg[64]\(0) => \gntv_or_sync_fifo.gl0.rd_n_4\, m_aclk => m_aclk, m_axi_awready => m_axi_awready, m_axi_awvalid => m_axi_awvalid, \out\(1) => rd_rst_i(2), \out\(0) => rd_rst_i(0) ); \gntv_or_sync_fifo.gl0.wr\: entity work.mig_wrap_auto_cc_0_wr_logic_29 port map ( AR(0) => wr_rst_i(1), E(0) => p_18_out, Q(2 downto 0) => wr_pntr_plus2(2 downto 0), \gic0.gc0.count_d1_reg[3]\ => \gntv_or_sync_fifo.gcx.clkx_n_9\, \gic0.gc0.count_d2_reg[3]\(3 downto 0) => p_13_out(3 downto 0), \gnxpm_cdc.rd_pntr_bin_reg[3]\(0) => p_23_out_1(3), \gnxpm_cdc.wr_pntr_gc_reg[3]\(3 downto 0) => p_12_out(3 downto 0), \out\ => rst_full_ff_i, ram_full_fb_i_reg => \gntv_or_sync_fifo.gl0.wr_n_3\, s_aclk => s_aclk, s_axi_awready => s_axi_awready, s_axi_awvalid => s_axi_awvalid ); \gntv_or_sync_fifo.mem\: entity work.mig_wrap_auto_cc_0_memory port map ( DI(64 downto 0) => DI(64 downto 0), E(0) => \gntv_or_sync_fifo.gl0.rd_n_4\, Q(64 downto 0) => Q(64 downto 0), \gc0.count_d1_reg[3]\(3 downto 0) => p_0_out_0(3 downto 0), \gic0.gc0.count_d2_reg[3]\(3 downto 0) => p_12_out(3 downto 0), \gpregsm1.curr_fwft_state_reg[1]\(0) => ram_rd_en_i, m_aclk => m_aclk, ram_full_fb_i_reg(0) => p_18_out, s_aclk => s_aclk ); rstblk: entity work.mig_wrap_auto_cc_0_reset_blk_ramfifo_30 port map ( \gc0.count_reg[1]\(2 downto 0) => rd_rst_i(2 downto 0), \grstd1.grst_full.grst_f.rst_d3_reg_0\ => rst_full_ff_i, inverted_reset => inverted_reset, m_aclk => m_aclk, \out\(1 downto 0) => wr_rst_i(1 downto 0), ram_full_fb_i_reg => p_23_out, s_aclk => s_aclk ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity mig_wrap_auto_cc_0_fifo_generator_ramfifo_69 is port ( s_axi_arready : out STD_LOGIC; m_axi_arvalid : out STD_LOGIC; \m_axi_arid[3]\ : out STD_LOGIC_VECTOR ( 64 downto 0 ); m_aclk : in STD_LOGIC; s_aclk : in STD_LOGIC; inverted_reset : in STD_LOGIC; m_axi_arready : in STD_LOGIC; s_axi_arvalid : in STD_LOGIC; I123 : in STD_LOGIC_VECTOR ( 64 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of mig_wrap_auto_cc_0_fifo_generator_ramfifo_69 : entity is "fifo_generator_ramfifo"; end mig_wrap_auto_cc_0_fifo_generator_ramfifo_69; architecture STRUCTURE of mig_wrap_auto_cc_0_fifo_generator_ramfifo_69 is signal \gntv_or_sync_fifo.gcx.clkx/_n_0\ : STD_LOGIC; signal \gntv_or_sync_fifo.gcx.clkx_n_4\ : STD_LOGIC; signal \gntv_or_sync_fifo.gcx.clkx_n_9\ : STD_LOGIC; signal \gntv_or_sync_fifo.gl0.rd_n_4\ : STD_LOGIC; signal \gntv_or_sync_fifo.gl0.rd_n_5\ : STD_LOGIC; signal \gntv_or_sync_fifo.gl0.rd_n_6\ : STD_LOGIC; signal \gntv_or_sync_fifo.gl0.rd_n_7\ : STD_LOGIC; signal \gntv_or_sync_fifo.gl0.wr_n_3\ : STD_LOGIC; signal p_0_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_12_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_13_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_18_out : STD_LOGIC; signal p_22_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_23_out : STD_LOGIC_VECTOR ( 3 to 3 ); signal p_7_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal ram_rd_en_i : STD_LOGIC; signal rd_pntr_plus1 : STD_LOGIC_VECTOR ( 2 downto 0 ); signal rd_rst_i : STD_LOGIC_VECTOR ( 2 downto 0 ); signal rst_full_ff_i : STD_LOGIC; signal wr_pntr_plus2 : STD_LOGIC_VECTOR ( 2 downto 0 ); signal wr_rst_busy_rach : STD_LOGIC; signal wr_rst_i : STD_LOGIC_VECTOR ( 1 downto 0 ); begin \gntv_or_sync_fifo.gcx.clkx\: entity work.mig_wrap_auto_cc_0_clk_x_pntrs_70 port map ( AR(0) => wr_rst_i(0), D(2) => \gntv_or_sync_fifo.gl0.rd_n_5\, D(1) => \gntv_or_sync_fifo.gl0.rd_n_6\, D(0) => \gntv_or_sync_fifo.gl0.rd_n_7\, Q(3 downto 0) => p_22_out(3 downto 0), \Q_reg_reg[1]\(0) => \gntv_or_sync_fifo.gcx.clkx/_n_0\, \gc0.count_d1_reg[3]\(0) => p_0_out(3), \gc0.count_reg[2]\(2 downto 0) => rd_pntr_plus1(2 downto 0), \gic0.gc0.count_d1_reg[3]\(3 downto 0) => p_13_out(3 downto 0), \gic0.gc0.count_d2_reg[3]\(3 downto 0) => p_12_out(3 downto 0), \gic0.gc0.count_reg[2]\(2 downto 0) => wr_pntr_plus2(2 downto 0), \grstd1.grst_full.grst_f.rst_d3_reg\ => wr_rst_busy_rach, m_aclk => m_aclk, \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0) => rd_rst_i(1), \out\(3 downto 0) => p_7_out(3 downto 0), ram_empty_i_reg => \gntv_or_sync_fifo.gcx.clkx_n_4\, ram_full_fb_i_reg => \gntv_or_sync_fifo.gcx.clkx_n_9\, ram_full_fb_i_reg_0(0) => p_23_out(3), ram_full_fb_i_reg_1 => \gntv_or_sync_fifo.gl0.wr_n_3\, s_aclk => s_aclk ); \gntv_or_sync_fifo.gcx.clkx/\: unisim.vcomponents.LUT4 generic map( INIT => X"6996" ) port map ( I0 => p_7_out(1), I1 => p_7_out(0), I2 => p_7_out(3), I3 => p_7_out(2), O => \gntv_or_sync_fifo.gcx.clkx/_n_0\ ); \gntv_or_sync_fifo.gl0.rd\: entity work.mig_wrap_auto_cc_0_rd_logic_71 port map ( D(2) => \gntv_or_sync_fifo.gl0.rd_n_5\, D(1) => \gntv_or_sync_fifo.gl0.rd_n_6\, D(0) => \gntv_or_sync_fifo.gl0.rd_n_7\, E(0) => ram_rd_en_i, Q(2 downto 0) => rd_pntr_plus1(2 downto 0), \gnxpm_cdc.rd_pntr_gc_reg[3]\(3 downto 0) => p_0_out(3 downto 0), \gnxpm_cdc.wr_pntr_bin_reg[2]\ => \gntv_or_sync_fifo.gcx.clkx_n_4\, \gnxpm_cdc.wr_pntr_bin_reg[3]\(3 downto 0) => p_22_out(3 downto 0), \goreg_dm.dout_i_reg[64]\(0) => \gntv_or_sync_fifo.gl0.rd_n_4\, m_aclk => m_aclk, m_axi_arready => m_axi_arready, m_axi_arvalid => m_axi_arvalid, \out\(1) => rd_rst_i(2), \out\(0) => rd_rst_i(0) ); \gntv_or_sync_fifo.gl0.wr\: entity work.mig_wrap_auto_cc_0_wr_logic_72 port map ( AR(0) => wr_rst_i(1), E(0) => p_18_out, Q(2 downto 0) => wr_pntr_plus2(2 downto 0), \gic0.gc0.count_d1_reg[3]\ => \gntv_or_sync_fifo.gcx.clkx_n_9\, \gic0.gc0.count_d2_reg[3]\(3 downto 0) => p_13_out(3 downto 0), \gnxpm_cdc.rd_pntr_bin_reg[3]\(0) => p_23_out(3), \gnxpm_cdc.wr_pntr_gc_reg[3]\(3 downto 0) => p_12_out(3 downto 0), \out\ => rst_full_ff_i, ram_full_fb_i_reg => \gntv_or_sync_fifo.gl0.wr_n_3\, s_aclk => s_aclk, s_axi_arready => s_axi_arready, s_axi_arvalid => s_axi_arvalid ); \gntv_or_sync_fifo.mem\: entity work.mig_wrap_auto_cc_0_memory_73 port map ( E(0) => p_18_out, I123(64 downto 0) => I123(64 downto 0), \gc0.count_d1_reg[3]\(3 downto 0) => p_0_out(3 downto 0), \gic0.gc0.count_d2_reg[3]\(3 downto 0) => p_12_out(3 downto 0), \gpregsm1.curr_fwft_state_reg[1]\(0) => ram_rd_en_i, m_aclk => m_aclk, \m_axi_arid[3]\(64 downto 0) => \m_axi_arid[3]\(64 downto 0), \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0) => \gntv_or_sync_fifo.gl0.rd_n_4\, s_aclk => s_aclk ); rstblk: entity work.mig_wrap_auto_cc_0_reset_blk_ramfifo_74 port map ( \gc0.count_reg[1]\(2 downto 0) => rd_rst_i(2 downto 0), \grstd1.grst_full.grst_f.rst_d3_reg_0\ => rst_full_ff_i, inverted_reset => inverted_reset, m_aclk => m_aclk, \out\(1 downto 0) => wr_rst_i(1 downto 0), ram_full_fb_i_reg => wr_rst_busy_rach, s_aclk => s_aclk ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \mig_wrap_auto_cc_0_fifo_generator_ramfifo__parameterized0\ is port ( s_axi_wready : out STD_LOGIC; m_axi_wvalid : out STD_LOGIC; \m_axi_wdata[31]\ : out STD_LOGIC_VECTOR ( 36 downto 0 ); m_aclk : in STD_LOGIC; s_aclk : in STD_LOGIC; inverted_reset : in STD_LOGIC; m_axi_wready : in STD_LOGIC; s_axi_wvalid : in STD_LOGIC; I115 : in STD_LOGIC_VECTOR ( 36 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \mig_wrap_auto_cc_0_fifo_generator_ramfifo__parameterized0\ : entity is "fifo_generator_ramfifo"; end \mig_wrap_auto_cc_0_fifo_generator_ramfifo__parameterized0\; architecture STRUCTURE of \mig_wrap_auto_cc_0_fifo_generator_ramfifo__parameterized0\ is signal \gntv_or_sync_fifo.gcx.clkx/_n_0\ : STD_LOGIC; signal \gntv_or_sync_fifo.gcx.clkx_n_4\ : STD_LOGIC; signal \gntv_or_sync_fifo.gcx.clkx_n_9\ : STD_LOGIC; signal \gntv_or_sync_fifo.gl0.rd_n_4\ : STD_LOGIC; signal \gntv_or_sync_fifo.gl0.rd_n_5\ : STD_LOGIC; signal \gntv_or_sync_fifo.gl0.rd_n_6\ : STD_LOGIC; signal \gntv_or_sync_fifo.gl0.rd_n_7\ : STD_LOGIC; signal \gntv_or_sync_fifo.gl0.wr_n_3\ : STD_LOGIC; signal p_0_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_12_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_13_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_15_out : STD_LOGIC; signal p_18_out : STD_LOGIC; signal p_22_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_23_out : STD_LOGIC_VECTOR ( 3 to 3 ); signal p_7_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal ram_rd_en_i : STD_LOGIC; signal rd_pntr_plus1 : STD_LOGIC_VECTOR ( 2 downto 0 ); signal rd_rst_i : STD_LOGIC_VECTOR ( 2 downto 0 ); signal rst_full_ff_i : STD_LOGIC; signal wr_pntr_plus2 : STD_LOGIC_VECTOR ( 2 downto 0 ); signal wr_rst_i : STD_LOGIC_VECTOR ( 1 downto 0 ); begin \gntv_or_sync_fifo.gcx.clkx\: entity work.mig_wrap_auto_cc_0_clk_x_pntrs_6 port map ( AR(0) => wr_rst_i(0), D(2) => \gntv_or_sync_fifo.gl0.rd_n_5\, D(1) => \gntv_or_sync_fifo.gl0.rd_n_6\, D(0) => \gntv_or_sync_fifo.gl0.rd_n_7\, Q(3 downto 0) => p_22_out(3 downto 0), \Q_reg_reg[1]\(0) => \gntv_or_sync_fifo.gcx.clkx/_n_0\, \gc0.count_d1_reg[3]\(0) => p_0_out(3), \gc0.count_reg[2]\(2 downto 0) => rd_pntr_plus1(2 downto 0), \gic0.gc0.count_d1_reg[3]\(3 downto 0) => p_13_out(3 downto 0), \gic0.gc0.count_d2_reg[3]\(3 downto 0) => p_12_out(3 downto 0), \gic0.gc0.count_reg[2]\(2 downto 0) => wr_pntr_plus2(2 downto 0), \grstd1.grst_full.grst_f.rst_d3_reg\ => p_15_out, m_aclk => m_aclk, \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0) => rd_rst_i(1), \out\(3 downto 0) => p_7_out(3 downto 0), ram_empty_i_reg => \gntv_or_sync_fifo.gcx.clkx_n_4\, ram_full_fb_i_reg => \gntv_or_sync_fifo.gcx.clkx_n_9\, ram_full_fb_i_reg_0(0) => p_23_out(3), ram_full_fb_i_reg_1 => \gntv_or_sync_fifo.gl0.wr_n_3\, s_aclk => s_aclk ); \gntv_or_sync_fifo.gcx.clkx/\: unisim.vcomponents.LUT4 generic map( INIT => X"6996" ) port map ( I0 => p_7_out(1), I1 => p_7_out(0), I2 => p_7_out(3), I3 => p_7_out(2), O => \gntv_or_sync_fifo.gcx.clkx/_n_0\ ); \gntv_or_sync_fifo.gl0.rd\: entity work.mig_wrap_auto_cc_0_rd_logic_7 port map ( D(2) => \gntv_or_sync_fifo.gl0.rd_n_5\, D(1) => \gntv_or_sync_fifo.gl0.rd_n_6\, D(0) => \gntv_or_sync_fifo.gl0.rd_n_7\, E(0) => ram_rd_en_i, Q(2 downto 0) => rd_pntr_plus1(2 downto 0), \gnxpm_cdc.rd_pntr_gc_reg[3]\(3 downto 0) => p_0_out(3 downto 0), \gnxpm_cdc.wr_pntr_bin_reg[2]\ => \gntv_or_sync_fifo.gcx.clkx_n_4\, \gnxpm_cdc.wr_pntr_bin_reg[3]\(3 downto 0) => p_22_out(3 downto 0), \goreg_dm.dout_i_reg[36]\(0) => \gntv_or_sync_fifo.gl0.rd_n_4\, m_aclk => m_aclk, m_axi_wready => m_axi_wready, m_axi_wvalid => m_axi_wvalid, \out\(1) => rd_rst_i(2), \out\(0) => rd_rst_i(0) ); \gntv_or_sync_fifo.gl0.wr\: entity work.mig_wrap_auto_cc_0_wr_logic_8 port map ( AR(0) => wr_rst_i(1), E(0) => p_18_out, Q(2 downto 0) => wr_pntr_plus2(2 downto 0), \gic0.gc0.count_d1_reg[3]\ => \gntv_or_sync_fifo.gcx.clkx_n_9\, \gic0.gc0.count_d2_reg[3]\(3 downto 0) => p_13_out(3 downto 0), \gnxpm_cdc.rd_pntr_bin_reg[3]\(0) => p_23_out(3), \gnxpm_cdc.wr_pntr_gc_reg[3]\(3 downto 0) => p_12_out(3 downto 0), \out\ => rst_full_ff_i, ram_full_fb_i_reg => \gntv_or_sync_fifo.gl0.wr_n_3\, s_aclk => s_aclk, s_axi_wready => s_axi_wready, s_axi_wvalid => s_axi_wvalid ); \gntv_or_sync_fifo.mem\: entity work.\mig_wrap_auto_cc_0_memory__parameterized0\ port map ( E(0) => p_18_out, I115(36 downto 0) => I115(36 downto 0), \gc0.count_d1_reg[3]\(3 downto 0) => p_0_out(3 downto 0), \gic0.gc0.count_d2_reg[3]\(3 downto 0) => p_12_out(3 downto 0), \gpregsm1.curr_fwft_state_reg[1]\(0) => ram_rd_en_i, m_aclk => m_aclk, \m_axi_wdata[31]\(36 downto 0) => \m_axi_wdata[31]\(36 downto 0), \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0) => \gntv_or_sync_fifo.gl0.rd_n_4\, s_aclk => s_aclk ); rstblk: entity work.mig_wrap_auto_cc_0_reset_blk_ramfifo_9 port map ( \gc0.count_reg[1]\(2 downto 0) => rd_rst_i(2 downto 0), \grstd1.grst_full.grst_f.rst_d3_reg_0\ => rst_full_ff_i, inverted_reset => inverted_reset, m_aclk => m_aclk, \out\(1 downto 0) => wr_rst_i(1 downto 0), ram_full_fb_i_reg => p_15_out, s_aclk => s_aclk ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \mig_wrap_auto_cc_0_fifo_generator_ramfifo__parameterized1\ is port ( s_axi_bvalid : out STD_LOGIC; m_axi_bready : out STD_LOGIC; \s_axi_bid[3]\ : out STD_LOGIC_VECTOR ( 5 downto 0 ); s_aclk : in STD_LOGIC; m_aclk : in STD_LOGIC; inverted_reset : in STD_LOGIC; m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_bid : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_bvalid : in STD_LOGIC; s_axi_bready : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \mig_wrap_auto_cc_0_fifo_generator_ramfifo__parameterized1\ : entity is "fifo_generator_ramfifo"; end \mig_wrap_auto_cc_0_fifo_generator_ramfifo__parameterized1\; architecture STRUCTURE of \mig_wrap_auto_cc_0_fifo_generator_ramfifo__parameterized1\ is signal \gntv_or_sync_fifo.gcx.clkx/_n_0\ : STD_LOGIC; signal \gntv_or_sync_fifo.gcx.clkx_n_4\ : STD_LOGIC; signal \gntv_or_sync_fifo.gcx.clkx_n_6\ : STD_LOGIC; signal \gntv_or_sync_fifo.gl0.rd_n_4\ : STD_LOGIC; signal \gntv_or_sync_fifo.gl0.rd_n_5\ : STD_LOGIC; signal \gntv_or_sync_fifo.gl0.rd_n_6\ : STD_LOGIC; signal \gntv_or_sync_fifo.gl0.rd_n_7\ : STD_LOGIC; signal \gntv_or_sync_fifo.gl0.wr_n_3\ : STD_LOGIC; signal p_0_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_12_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_13_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_18_out : STD_LOGIC; signal p_22_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_23_out : STD_LOGIC_VECTOR ( 3 to 3 ); signal p_7_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal ram_rd_en_i : STD_LOGIC; signal rd_pntr_plus1 : STD_LOGIC_VECTOR ( 2 downto 0 ); signal rd_rst_i : STD_LOGIC_VECTOR ( 2 downto 0 ); signal rst_full_ff_i : STD_LOGIC; signal wr_pntr_plus2 : STD_LOGIC_VECTOR ( 2 downto 0 ); signal wr_rst_busy_wrch : STD_LOGIC; signal wr_rst_i : STD_LOGIC_VECTOR ( 1 downto 0 ); begin \gntv_or_sync_fifo.gcx.clkx\: entity work.mig_wrap_auto_cc_0_clk_x_pntrs port map ( AR(0) => wr_rst_i(0), D(2) => \gntv_or_sync_fifo.gl0.rd_n_5\, D(1) => \gntv_or_sync_fifo.gl0.rd_n_6\, D(0) => \gntv_or_sync_fifo.gl0.rd_n_7\, Q(3 downto 0) => p_13_out(3 downto 0), \Q_reg_reg[1]\(0) => \gntv_or_sync_fifo.gcx.clkx/_n_0\, \gc0.count_d1_reg[3]\(0) => p_0_out(3), \gc0.count_reg[2]\(2 downto 0) => rd_pntr_plus1(2 downto 0), \gic0.gc0.count_d2_reg[3]\(3 downto 0) => p_12_out(3 downto 0), \gic0.gc0.count_reg[2]\(2 downto 0) => wr_pntr_plus2(2 downto 0), \grstd1.grst_full.grst_f.rst_d3_reg\ => wr_rst_busy_wrch, m_aclk => m_aclk, \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0) => rd_rst_i(1), \out\(3 downto 0) => p_7_out(3 downto 0), ram_empty_i_reg => \gntv_or_sync_fifo.gcx.clkx_n_6\, ram_empty_i_reg_0(3 downto 0) => p_22_out(3 downto 0), ram_full_fb_i_reg => \gntv_or_sync_fifo.gcx.clkx_n_4\, ram_full_fb_i_reg_0(0) => p_23_out(3), ram_full_fb_i_reg_1 => \gntv_or_sync_fifo.gl0.wr_n_3\, s_aclk => s_aclk ); \gntv_or_sync_fifo.gcx.clkx/\: unisim.vcomponents.LUT4 generic map( INIT => X"6996" ) port map ( I0 => p_7_out(1), I1 => p_7_out(0), I2 => p_7_out(3), I3 => p_7_out(2), O => \gntv_or_sync_fifo.gcx.clkx/_n_0\ ); \gntv_or_sync_fifo.gl0.rd\: entity work.mig_wrap_auto_cc_0_rd_logic port map ( D(2) => \gntv_or_sync_fifo.gl0.rd_n_5\, D(1) => \gntv_or_sync_fifo.gl0.rd_n_6\, D(0) => \gntv_or_sync_fifo.gl0.rd_n_7\, E(0) => ram_rd_en_i, Q(2 downto 0) => rd_pntr_plus1(2 downto 0), \gnxpm_cdc.rd_pntr_gc_reg[3]\(3 downto 0) => p_0_out(3 downto 0), \gnxpm_cdc.wr_pntr_bin_reg[2]\ => \gntv_or_sync_fifo.gcx.clkx_n_6\, \gnxpm_cdc.wr_pntr_bin_reg[3]\(3 downto 0) => p_22_out(3 downto 0), \goreg_dm.dout_i_reg[5]\(0) => \gntv_or_sync_fifo.gl0.rd_n_4\, \out\(1) => rd_rst_i(2), \out\(0) => rd_rst_i(0), s_aclk => s_aclk, s_axi_bready => s_axi_bready, s_axi_bvalid => s_axi_bvalid ); \gntv_or_sync_fifo.gl0.wr\: entity work.mig_wrap_auto_cc_0_wr_logic port map ( AR(0) => wr_rst_i(1), E(0) => p_18_out, Q(2 downto 0) => wr_pntr_plus2(2 downto 0), \gic0.gc0.count_d1_reg[3]\ => \gntv_or_sync_fifo.gcx.clkx_n_4\, \gic0.gc0.count_d2_reg[3]\(3 downto 0) => p_13_out(3 downto 0), \gnxpm_cdc.rd_pntr_bin_reg[3]\(0) => p_23_out(3), \gnxpm_cdc.wr_pntr_gc_reg[3]\(3 downto 0) => p_12_out(3 downto 0), m_aclk => m_aclk, m_axi_bready => m_axi_bready, m_axi_bvalid => m_axi_bvalid, \out\ => rst_full_ff_i, ram_full_fb_i_reg => \gntv_or_sync_fifo.gl0.wr_n_3\ ); \gntv_or_sync_fifo.mem\: entity work.\mig_wrap_auto_cc_0_memory__parameterized1\ port map ( E(0) => p_18_out, \gc0.count_d1_reg[3]\(3 downto 0) => p_0_out(3 downto 0), \gic0.gc0.count_d2_reg[3]\(3 downto 0) => p_12_out(3 downto 0), \gpregsm1.curr_fwft_state_reg[1]\(0) => ram_rd_en_i, m_aclk => m_aclk, m_axi_bid(3 downto 0) => m_axi_bid(3 downto 0), m_axi_bresp(1 downto 0) => m_axi_bresp(1 downto 0), \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0) => \gntv_or_sync_fifo.gl0.rd_n_4\, s_aclk => s_aclk, \s_axi_bid[3]\(5 downto 0) => \s_axi_bid[3]\(5 downto 0) ); rstblk: entity work.mig_wrap_auto_cc_0_reset_blk_ramfifo port map ( \gc0.count_reg[1]\(2 downto 0) => rd_rst_i(2 downto 0), \grstd1.grst_full.grst_f.rst_d3_reg_0\ => rst_full_ff_i, inverted_reset => inverted_reset, m_aclk => m_aclk, \out\(1 downto 0) => wr_rst_i(1 downto 0), ram_full_fb_i_reg => wr_rst_busy_wrch, s_aclk => s_aclk ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \mig_wrap_auto_cc_0_fifo_generator_ramfifo__parameterized2\ is port ( \ngwrdrst.grst.g7serrst.rst_wr_reg1_reg\ : out STD_LOGIC; s_axi_rvalid : out STD_LOGIC; m_axi_rready : out STD_LOGIC; \s_axi_rid[3]\ : out STD_LOGIC_VECTOR ( 38 downto 0 ); s_aclk : in STD_LOGIC; m_aclk : in STD_LOGIC; m_axi_rvalid : in STD_LOGIC; s_axi_rready : in STD_LOGIC; s_aresetn : in STD_LOGIC; I127 : in STD_LOGIC_VECTOR ( 38 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \mig_wrap_auto_cc_0_fifo_generator_ramfifo__parameterized2\ : entity is "fifo_generator_ramfifo"; end \mig_wrap_auto_cc_0_fifo_generator_ramfifo__parameterized2\; architecture STRUCTURE of \mig_wrap_auto_cc_0_fifo_generator_ramfifo__parameterized2\ is signal \gntv_or_sync_fifo.gcx.clkx/_n_0\ : STD_LOGIC; signal \gntv_or_sync_fifo.gcx.clkx_n_4\ : STD_LOGIC; signal \gntv_or_sync_fifo.gcx.clkx_n_6\ : STD_LOGIC; signal \gntv_or_sync_fifo.gl0.rd_n_4\ : STD_LOGIC; signal \gntv_or_sync_fifo.gl0.rd_n_5\ : STD_LOGIC; signal \gntv_or_sync_fifo.gl0.rd_n_6\ : STD_LOGIC; signal \gntv_or_sync_fifo.gl0.rd_n_7\ : STD_LOGIC; signal \gntv_or_sync_fifo.gl0.wr_n_3\ : STD_LOGIC; signal p_0_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_12_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_13_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_18_out : STD_LOGIC; signal p_22_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal p_23_out : STD_LOGIC_VECTOR ( 3 to 3 ); signal p_7_out : STD_LOGIC_VECTOR ( 3 downto 0 ); signal ram_rd_en_i : STD_LOGIC; signal rd_pntr_plus1 : STD_LOGIC_VECTOR ( 2 downto 0 ); signal rd_rst_i : STD_LOGIC_VECTOR ( 2 downto 0 ); signal rst_full_ff_i : STD_LOGIC; signal wr_pntr_plus2 : STD_LOGIC_VECTOR ( 2 downto 0 ); signal wr_rst_busy_rdch : STD_LOGIC; signal wr_rst_i : STD_LOGIC_VECTOR ( 1 downto 0 ); begin \gntv_or_sync_fifo.gcx.clkx\: entity work.mig_wrap_auto_cc_0_clk_x_pntrs_48 port map ( AR(0) => wr_rst_i(0), D(2) => \gntv_or_sync_fifo.gl0.rd_n_5\, D(1) => \gntv_or_sync_fifo.gl0.rd_n_6\, D(0) => \gntv_or_sync_fifo.gl0.rd_n_7\, Q(3 downto 0) => p_13_out(3 downto 0), \Q_reg_reg[1]\(0) => \gntv_or_sync_fifo.gcx.clkx/_n_0\, \gc0.count_d1_reg[3]\(0) => p_0_out(3), \gc0.count_reg[2]\(2 downto 0) => rd_pntr_plus1(2 downto 0), \gic0.gc0.count_d2_reg[3]\(3 downto 0) => p_12_out(3 downto 0), \gic0.gc0.count_reg[2]\(2 downto 0) => wr_pntr_plus2(2 downto 0), \grstd1.grst_full.grst_f.rst_d3_reg\ => wr_rst_busy_rdch, m_aclk => m_aclk, \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[1]\(0) => rd_rst_i(1), \out\(3 downto 0) => p_7_out(3 downto 0), ram_empty_i_reg => \gntv_or_sync_fifo.gcx.clkx_n_6\, ram_empty_i_reg_0(3 downto 0) => p_22_out(3 downto 0), ram_full_fb_i_reg => \gntv_or_sync_fifo.gcx.clkx_n_4\, ram_full_fb_i_reg_0(0) => p_23_out(3), ram_full_fb_i_reg_1 => \gntv_or_sync_fifo.gl0.wr_n_3\, s_aclk => s_aclk ); \gntv_or_sync_fifo.gcx.clkx/\: unisim.vcomponents.LUT4 generic map( INIT => X"6996" ) port map ( I0 => p_7_out(1), I1 => p_7_out(0), I2 => p_7_out(3), I3 => p_7_out(2), O => \gntv_or_sync_fifo.gcx.clkx/_n_0\ ); \gntv_or_sync_fifo.gl0.rd\: entity work.mig_wrap_auto_cc_0_rd_logic_49 port map ( D(2) => \gntv_or_sync_fifo.gl0.rd_n_5\, D(1) => \gntv_or_sync_fifo.gl0.rd_n_6\, D(0) => \gntv_or_sync_fifo.gl0.rd_n_7\, E(0) => ram_rd_en_i, Q(2 downto 0) => rd_pntr_plus1(2 downto 0), \gnxpm_cdc.rd_pntr_gc_reg[3]\(3 downto 0) => p_0_out(3 downto 0), \gnxpm_cdc.wr_pntr_bin_reg[2]\ => \gntv_or_sync_fifo.gcx.clkx_n_6\, \gnxpm_cdc.wr_pntr_bin_reg[3]\(3 downto 0) => p_22_out(3 downto 0), \goreg_dm.dout_i_reg[38]\(0) => \gntv_or_sync_fifo.gl0.rd_n_4\, \out\(1) => rd_rst_i(2), \out\(0) => rd_rst_i(0), s_aclk => s_aclk, s_axi_rready => s_axi_rready, s_axi_rvalid => s_axi_rvalid ); \gntv_or_sync_fifo.gl0.wr\: entity work.mig_wrap_auto_cc_0_wr_logic_50 port map ( AR(0) => wr_rst_i(1), E(0) => p_18_out, Q(2 downto 0) => wr_pntr_plus2(2 downto 0), \gic0.gc0.count_d1_reg[3]\ => \gntv_or_sync_fifo.gcx.clkx_n_4\, \gic0.gc0.count_d2_reg[3]\(3 downto 0) => p_13_out(3 downto 0), \gnxpm_cdc.rd_pntr_bin_reg[3]\(0) => p_23_out(3), \gnxpm_cdc.wr_pntr_gc_reg[3]\(3 downto 0) => p_12_out(3 downto 0), m_aclk => m_aclk, m_axi_rready => m_axi_rready, m_axi_rvalid => m_axi_rvalid, \out\ => rst_full_ff_i, ram_full_fb_i_reg => \gntv_or_sync_fifo.gl0.wr_n_3\ ); \gntv_or_sync_fifo.mem\: entity work.\mig_wrap_auto_cc_0_memory__parameterized2\ port map ( E(0) => p_18_out, I127(38 downto 0) => I127(38 downto 0), \gc0.count_d1_reg[3]\(3 downto 0) => p_0_out(3 downto 0), \gic0.gc0.count_d2_reg[3]\(3 downto 0) => p_12_out(3 downto 0), \gpregsm1.curr_fwft_state_reg[1]\(0) => ram_rd_en_i, m_aclk => m_aclk, \ngwrdrst.grst.g7serrst.rd_rst_reg_reg[0]\(0) => \gntv_or_sync_fifo.gl0.rd_n_4\, s_aclk => s_aclk, \s_axi_rid[3]\(38 downto 0) => \s_axi_rid[3]\(38 downto 0) ); rstblk: entity work.mig_wrap_auto_cc_0_reset_blk_ramfifo_51 port map ( \gc0.count_reg[1]\(2 downto 0) => rd_rst_i(2 downto 0), \grstd1.grst_full.grst_f.rst_d3_reg_0\ => rst_full_ff_i, m_aclk => m_aclk, \ngwrdrst.grst.g7serrst.rst_wr_reg1_reg_0\ => \ngwrdrst.grst.g7serrst.rst_wr_reg1_reg\, \out\(1 downto 0) => wr_rst_i(1 downto 0), ram_full_fb_i_reg => wr_rst_busy_rdch, s_aclk => s_aclk, s_aresetn => s_aresetn ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity mig_wrap_auto_cc_0_fifo_generator_top is port ( s_axi_arready : out STD_LOGIC; m_axi_arvalid : out STD_LOGIC; \m_axi_arid[3]\ : out STD_LOGIC_VECTOR ( 64 downto 0 ); m_aclk : in STD_LOGIC; s_aclk : in STD_LOGIC; inverted_reset : in STD_LOGIC; m_axi_arready : in STD_LOGIC; s_axi_arvalid : in STD_LOGIC; I123 : in STD_LOGIC_VECTOR ( 64 downto 0 ) ); end mig_wrap_auto_cc_0_fifo_generator_top; architecture STRUCTURE of mig_wrap_auto_cc_0_fifo_generator_top is begin \grf.rf\: entity work.mig_wrap_auto_cc_0_fifo_generator_ramfifo_69 port map ( I123(64 downto 0) => I123(64 downto 0), inverted_reset => inverted_reset, m_aclk => m_aclk, \m_axi_arid[3]\(64 downto 0) => \m_axi_arid[3]\(64 downto 0), m_axi_arready => m_axi_arready, m_axi_arvalid => m_axi_arvalid, s_aclk => s_aclk, s_axi_arready => s_axi_arready, s_axi_arvalid => s_axi_arvalid ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity mig_wrap_auto_cc_0_fifo_generator_top_0 is port ( s_axi_awready : out STD_LOGIC; m_axi_awvalid : out STD_LOGIC; Q : out STD_LOGIC_VECTOR ( 64 downto 0 ); m_aclk : in STD_LOGIC; s_aclk : in STD_LOGIC; inverted_reset : in STD_LOGIC; m_axi_awready : in STD_LOGIC; s_axi_awvalid : in STD_LOGIC; DI : in STD_LOGIC_VECTOR ( 64 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of mig_wrap_auto_cc_0_fifo_generator_top_0 : entity is "fifo_generator_top"; end mig_wrap_auto_cc_0_fifo_generator_top_0; architecture STRUCTURE of mig_wrap_auto_cc_0_fifo_generator_top_0 is begin \grf.rf\: entity work.mig_wrap_auto_cc_0_fifo_generator_ramfifo port map ( DI(64 downto 0) => DI(64 downto 0), Q(64 downto 0) => Q(64 downto 0), inverted_reset => inverted_reset, m_aclk => m_aclk, m_axi_awready => m_axi_awready, m_axi_awvalid => m_axi_awvalid, s_aclk => s_aclk, s_axi_awready => s_axi_awready, s_axi_awvalid => s_axi_awvalid ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \mig_wrap_auto_cc_0_fifo_generator_top__parameterized0\ is port ( s_axi_wready : out STD_LOGIC; m_axi_wvalid : out STD_LOGIC; \m_axi_wdata[31]\ : out STD_LOGIC_VECTOR ( 36 downto 0 ); m_aclk : in STD_LOGIC; s_aclk : in STD_LOGIC; inverted_reset : in STD_LOGIC; m_axi_wready : in STD_LOGIC; s_axi_wvalid : in STD_LOGIC; I115 : in STD_LOGIC_VECTOR ( 36 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \mig_wrap_auto_cc_0_fifo_generator_top__parameterized0\ : entity is "fifo_generator_top"; end \mig_wrap_auto_cc_0_fifo_generator_top__parameterized0\; architecture STRUCTURE of \mig_wrap_auto_cc_0_fifo_generator_top__parameterized0\ is begin \grf.rf\: entity work.\mig_wrap_auto_cc_0_fifo_generator_ramfifo__parameterized0\ port map ( I115(36 downto 0) => I115(36 downto 0), inverted_reset => inverted_reset, m_aclk => m_aclk, \m_axi_wdata[31]\(36 downto 0) => \m_axi_wdata[31]\(36 downto 0), m_axi_wready => m_axi_wready, m_axi_wvalid => m_axi_wvalid, s_aclk => s_aclk, s_axi_wready => s_axi_wready, s_axi_wvalid => s_axi_wvalid ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \mig_wrap_auto_cc_0_fifo_generator_top__parameterized1\ is port ( s_axi_bvalid : out STD_LOGIC; m_axi_bready : out STD_LOGIC; \s_axi_bid[3]\ : out STD_LOGIC_VECTOR ( 5 downto 0 ); s_aclk : in STD_LOGIC; m_aclk : in STD_LOGIC; inverted_reset : in STD_LOGIC; m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_bid : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_bvalid : in STD_LOGIC; s_axi_bready : in STD_LOGIC ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \mig_wrap_auto_cc_0_fifo_generator_top__parameterized1\ : entity is "fifo_generator_top"; end \mig_wrap_auto_cc_0_fifo_generator_top__parameterized1\; architecture STRUCTURE of \mig_wrap_auto_cc_0_fifo_generator_top__parameterized1\ is begin \grf.rf\: entity work.\mig_wrap_auto_cc_0_fifo_generator_ramfifo__parameterized1\ port map ( inverted_reset => inverted_reset, m_aclk => m_aclk, m_axi_bid(3 downto 0) => m_axi_bid(3 downto 0), m_axi_bready => m_axi_bready, m_axi_bresp(1 downto 0) => m_axi_bresp(1 downto 0), m_axi_bvalid => m_axi_bvalid, s_aclk => s_aclk, \s_axi_bid[3]\(5 downto 0) => \s_axi_bid[3]\(5 downto 0), s_axi_bready => s_axi_bready, s_axi_bvalid => s_axi_bvalid ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity \mig_wrap_auto_cc_0_fifo_generator_top__parameterized2\ is port ( inverted_reset : out STD_LOGIC; s_axi_rvalid : out STD_LOGIC; m_axi_rready : out STD_LOGIC; \s_axi_rid[3]\ : out STD_LOGIC_VECTOR ( 38 downto 0 ); s_aclk : in STD_LOGIC; m_aclk : in STD_LOGIC; m_axi_rvalid : in STD_LOGIC; s_axi_rready : in STD_LOGIC; s_aresetn : in STD_LOGIC; I127 : in STD_LOGIC_VECTOR ( 38 downto 0 ) ); attribute ORIG_REF_NAME : string; attribute ORIG_REF_NAME of \mig_wrap_auto_cc_0_fifo_generator_top__parameterized2\ : entity is "fifo_generator_top"; end \mig_wrap_auto_cc_0_fifo_generator_top__parameterized2\; architecture STRUCTURE of \mig_wrap_auto_cc_0_fifo_generator_top__parameterized2\ is begin \grf.rf\: entity work.\mig_wrap_auto_cc_0_fifo_generator_ramfifo__parameterized2\ port map ( I127(38 downto 0) => I127(38 downto 0), m_aclk => m_aclk, m_axi_rready => m_axi_rready, m_axi_rvalid => m_axi_rvalid, \ngwrdrst.grst.g7serrst.rst_wr_reg1_reg\ => inverted_reset, s_aclk => s_aclk, s_aresetn => s_aresetn, \s_axi_rid[3]\(38 downto 0) => \s_axi_rid[3]\(38 downto 0), s_axi_rready => s_axi_rready, s_axi_rvalid => s_axi_rvalid ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity mig_wrap_auto_cc_0_fifo_generator_v13_1_3_synth is port ( Q : out STD_LOGIC_VECTOR ( 64 downto 0 ); \m_axi_wdata[31]\ : out STD_LOGIC_VECTOR ( 36 downto 0 ); \s_axi_bid[3]\ : out STD_LOGIC_VECTOR ( 5 downto 0 ); \m_axi_arid[3]\ : out STD_LOGIC_VECTOR ( 64 downto 0 ); \s_axi_rid[3]\ : out STD_LOGIC_VECTOR ( 38 downto 0 ); s_axi_awready : out STD_LOGIC; s_axi_wready : out STD_LOGIC; s_axi_bvalid : out STD_LOGIC; m_axi_awvalid : out STD_LOGIC; m_axi_wvalid : out STD_LOGIC; m_axi_bready : out STD_LOGIC; s_axi_arready : out STD_LOGIC; s_axi_rvalid : out STD_LOGIC; m_axi_arvalid : out STD_LOGIC; m_axi_rready : out STD_LOGIC; m_aclk : in STD_LOGIC; s_aclk : in STD_LOGIC; I115 : in STD_LOGIC_VECTOR ( 36 downto 0 ); m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_bid : in STD_LOGIC_VECTOR ( 3 downto 0 ); I123 : in STD_LOGIC_VECTOR ( 64 downto 0 ); I127 : in STD_LOGIC_VECTOR ( 38 downto 0 ); DI : in STD_LOGIC_VECTOR ( 64 downto 0 ); m_axi_awready : in STD_LOGIC; m_axi_wready : in STD_LOGIC; m_axi_bvalid : in STD_LOGIC; m_axi_arready : in STD_LOGIC; m_axi_rvalid : in STD_LOGIC; s_axi_awvalid : in STD_LOGIC; s_axi_wvalid : in STD_LOGIC; s_axi_bready : in STD_LOGIC; s_axi_arvalid : in STD_LOGIC; s_axi_rready : in STD_LOGIC; s_aresetn : in STD_LOGIC ); end mig_wrap_auto_cc_0_fifo_generator_v13_1_3_synth; architecture STRUCTURE of mig_wrap_auto_cc_0_fifo_generator_v13_1_3_synth is signal inverted_reset : STD_LOGIC; begin \gaxi_full_lite.gread_ch.grach2.axi_rach\: entity work.mig_wrap_auto_cc_0_fifo_generator_top port map ( I123(64 downto 0) => I123(64 downto 0), inverted_reset => inverted_reset, m_aclk => m_aclk, \m_axi_arid[3]\(64 downto 0) => \m_axi_arid[3]\(64 downto 0), m_axi_arready => m_axi_arready, m_axi_arvalid => m_axi_arvalid, s_aclk => s_aclk, s_axi_arready => s_axi_arready, s_axi_arvalid => s_axi_arvalid ); \gaxi_full_lite.gread_ch.grdch2.axi_rdch\: entity work.\mig_wrap_auto_cc_0_fifo_generator_top__parameterized2\ port map ( I127(38 downto 0) => I127(38 downto 0), inverted_reset => inverted_reset, m_aclk => m_aclk, m_axi_rready => m_axi_rready, m_axi_rvalid => m_axi_rvalid, s_aclk => s_aclk, s_aresetn => s_aresetn, \s_axi_rid[3]\(38 downto 0) => \s_axi_rid[3]\(38 downto 0), s_axi_rready => s_axi_rready, s_axi_rvalid => s_axi_rvalid ); \gaxi_full_lite.gwrite_ch.gwach2.axi_wach\: entity work.mig_wrap_auto_cc_0_fifo_generator_top_0 port map ( DI(64 downto 0) => DI(64 downto 0), Q(64 downto 0) => Q(64 downto 0), inverted_reset => inverted_reset, m_aclk => m_aclk, m_axi_awready => m_axi_awready, m_axi_awvalid => m_axi_awvalid, s_aclk => s_aclk, s_axi_awready => s_axi_awready, s_axi_awvalid => s_axi_awvalid ); \gaxi_full_lite.gwrite_ch.gwdch2.axi_wdch\: entity work.\mig_wrap_auto_cc_0_fifo_generator_top__parameterized0\ port map ( I115(36 downto 0) => I115(36 downto 0), inverted_reset => inverted_reset, m_aclk => m_aclk, \m_axi_wdata[31]\(36 downto 0) => \m_axi_wdata[31]\(36 downto 0), m_axi_wready => m_axi_wready, m_axi_wvalid => m_axi_wvalid, s_aclk => s_aclk, s_axi_wready => s_axi_wready, s_axi_wvalid => s_axi_wvalid ); \gaxi_full_lite.gwrite_ch.gwrch2.axi_wrch\: entity work.\mig_wrap_auto_cc_0_fifo_generator_top__parameterized1\ port map ( inverted_reset => inverted_reset, m_aclk => m_aclk, m_axi_bid(3 downto 0) => m_axi_bid(3 downto 0), m_axi_bready => m_axi_bready, m_axi_bresp(1 downto 0) => m_axi_bresp(1 downto 0), m_axi_bvalid => m_axi_bvalid, s_aclk => s_aclk, \s_axi_bid[3]\(5 downto 0) => \s_axi_bid[3]\(5 downto 0), s_axi_bready => s_axi_bready, s_axi_bvalid => s_axi_bvalid ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity mig_wrap_auto_cc_0_fifo_generator_v13_1_3 is port ( backup : in STD_LOGIC; backup_marker : in STD_LOGIC; clk : in STD_LOGIC; rst : in STD_LOGIC; srst : in STD_LOGIC; wr_clk : in STD_LOGIC; wr_rst : in STD_LOGIC; rd_clk : in STD_LOGIC; rd_rst : in STD_LOGIC; din : in STD_LOGIC_VECTOR ( 17 downto 0 ); wr_en : in STD_LOGIC; rd_en : in STD_LOGIC; prog_empty_thresh : in STD_LOGIC_VECTOR ( 9 downto 0 ); prog_empty_thresh_assert : in STD_LOGIC_VECTOR ( 9 downto 0 ); prog_empty_thresh_negate : in STD_LOGIC_VECTOR ( 9 downto 0 ); prog_full_thresh : in STD_LOGIC_VECTOR ( 9 downto 0 ); prog_full_thresh_assert : in STD_LOGIC_VECTOR ( 9 downto 0 ); prog_full_thresh_negate : in STD_LOGIC_VECTOR ( 9 downto 0 ); int_clk : in STD_LOGIC; injectdbiterr : in STD_LOGIC; injectsbiterr : in STD_LOGIC; sleep : in STD_LOGIC; dout : out STD_LOGIC_VECTOR ( 17 downto 0 ); full : out STD_LOGIC; almost_full : out STD_LOGIC; wr_ack : out STD_LOGIC; overflow : out STD_LOGIC; empty : out STD_LOGIC; almost_empty : out STD_LOGIC; valid : out STD_LOGIC; underflow : out STD_LOGIC; data_count : out STD_LOGIC_VECTOR ( 9 downto 0 ); rd_data_count : out STD_LOGIC_VECTOR ( 9 downto 0 ); wr_data_count : out STD_LOGIC_VECTOR ( 9 downto 0 ); prog_full : out STD_LOGIC; prog_empty : out STD_LOGIC; sbiterr : out STD_LOGIC; dbiterr : out STD_LOGIC; wr_rst_busy : out STD_LOGIC; rd_rst_busy : out STD_LOGIC; m_aclk : in STD_LOGIC; s_aclk : in STD_LOGIC; s_aresetn : in STD_LOGIC; m_aclk_en : in STD_LOGIC; s_aclk_en : in STD_LOGIC; s_axi_awid : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awlock : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awregion : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awuser : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_awvalid : in STD_LOGIC; s_axi_awready : out STD_LOGIC; s_axi_wid : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_wlast : in STD_LOGIC; s_axi_wuser : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_wvalid : in STD_LOGIC; s_axi_wready : out STD_LOGIC; s_axi_bid : out STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_buser : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_bvalid : out STD_LOGIC; s_axi_bready : in STD_LOGIC; m_axi_awid : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_awlen : out STD_LOGIC_VECTOR ( 7 downto 0 ); m_axi_awsize : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_awburst : out STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_awlock : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_awcache : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_awqos : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awregion : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awuser : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_awvalid : out STD_LOGIC; m_axi_awready : in STD_LOGIC; m_axi_wid : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_wlast : out STD_LOGIC; m_axi_wuser : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_wvalid : out STD_LOGIC; m_axi_wready : in STD_LOGIC; m_axi_bid : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_buser : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_bvalid : in STD_LOGIC; m_axi_bready : out STD_LOGIC; s_axi_arid : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arlock : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arregion : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_aruser : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_arvalid : in STD_LOGIC; s_axi_arready : out STD_LOGIC; s_axi_rid : out STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_rlast : out STD_LOGIC; s_axi_ruser : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_rvalid : out STD_LOGIC; s_axi_rready : in STD_LOGIC; m_axi_arid : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_arlen : out STD_LOGIC_VECTOR ( 7 downto 0 ); m_axi_arsize : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_arburst : out STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_arlock : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_arcache : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_arqos : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_arregion : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_aruser : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_arvalid : out STD_LOGIC; m_axi_arready : in STD_LOGIC; m_axi_rid : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_rlast : in STD_LOGIC; m_axi_ruser : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_rvalid : in STD_LOGIC; m_axi_rready : out STD_LOGIC; s_axis_tvalid : in STD_LOGIC; s_axis_tready : out STD_LOGIC; s_axis_tdata : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axis_tstrb : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axis_tkeep : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axis_tlast : in STD_LOGIC; s_axis_tid : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axis_tdest : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axis_tuser : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_axis_tvalid : out STD_LOGIC; m_axis_tready : in STD_LOGIC; m_axis_tdata : out STD_LOGIC_VECTOR ( 7 downto 0 ); m_axis_tstrb : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axis_tkeep : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axis_tlast : out STD_LOGIC; m_axis_tid : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axis_tdest : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axis_tuser : out STD_LOGIC_VECTOR ( 3 downto 0 ); axi_aw_injectsbiterr : in STD_LOGIC; axi_aw_injectdbiterr : in STD_LOGIC; axi_aw_prog_full_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 ); axi_aw_prog_empty_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 ); axi_aw_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 ); axi_aw_wr_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 ); axi_aw_rd_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 ); axi_aw_sbiterr : out STD_LOGIC; axi_aw_dbiterr : out STD_LOGIC; axi_aw_overflow : out STD_LOGIC; axi_aw_underflow : out STD_LOGIC; axi_aw_prog_full : out STD_LOGIC; axi_aw_prog_empty : out STD_LOGIC; axi_w_injectsbiterr : in STD_LOGIC; axi_w_injectdbiterr : in STD_LOGIC; axi_w_prog_full_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 ); axi_w_prog_empty_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 ); axi_w_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 ); axi_w_wr_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 ); axi_w_rd_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 ); axi_w_sbiterr : out STD_LOGIC; axi_w_dbiterr : out STD_LOGIC; axi_w_overflow : out STD_LOGIC; axi_w_underflow : out STD_LOGIC; axi_w_prog_full : out STD_LOGIC; axi_w_prog_empty : out STD_LOGIC; axi_b_injectsbiterr : in STD_LOGIC; axi_b_injectdbiterr : in STD_LOGIC; axi_b_prog_full_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 ); axi_b_prog_empty_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 ); axi_b_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 ); axi_b_wr_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 ); axi_b_rd_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 ); axi_b_sbiterr : out STD_LOGIC; axi_b_dbiterr : out STD_LOGIC; axi_b_overflow : out STD_LOGIC; axi_b_underflow : out STD_LOGIC; axi_b_prog_full : out STD_LOGIC; axi_b_prog_empty : out STD_LOGIC; axi_ar_injectsbiterr : in STD_LOGIC; axi_ar_injectdbiterr : in STD_LOGIC; axi_ar_prog_full_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 ); axi_ar_prog_empty_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 ); axi_ar_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 ); axi_ar_wr_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 ); axi_ar_rd_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 ); axi_ar_sbiterr : out STD_LOGIC; axi_ar_dbiterr : out STD_LOGIC; axi_ar_overflow : out STD_LOGIC; axi_ar_underflow : out STD_LOGIC; axi_ar_prog_full : out STD_LOGIC; axi_ar_prog_empty : out STD_LOGIC; axi_r_injectsbiterr : in STD_LOGIC; axi_r_injectdbiterr : in STD_LOGIC; axi_r_prog_full_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 ); axi_r_prog_empty_thresh : in STD_LOGIC_VECTOR ( 3 downto 0 ); axi_r_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 ); axi_r_wr_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 ); axi_r_rd_data_count : out STD_LOGIC_VECTOR ( 4 downto 0 ); axi_r_sbiterr : out STD_LOGIC; axi_r_dbiterr : out STD_LOGIC; axi_r_overflow : out STD_LOGIC; axi_r_underflow : out STD_LOGIC; axi_r_prog_full : out STD_LOGIC; axi_r_prog_empty : out STD_LOGIC; axis_injectsbiterr : in STD_LOGIC; axis_injectdbiterr : in STD_LOGIC; axis_prog_full_thresh : in STD_LOGIC_VECTOR ( 9 downto 0 ); axis_prog_empty_thresh : in STD_LOGIC_VECTOR ( 9 downto 0 ); axis_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 ); axis_wr_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 ); axis_rd_data_count : out STD_LOGIC_VECTOR ( 10 downto 0 ); axis_sbiterr : out STD_LOGIC; axis_dbiterr : out STD_LOGIC; axis_overflow : out STD_LOGIC; axis_underflow : out STD_LOGIC; axis_prog_full : out STD_LOGIC; axis_prog_empty : out STD_LOGIC ); attribute C_ADD_NGC_CONSTRAINT : integer; attribute C_ADD_NGC_CONSTRAINT of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_APPLICATION_TYPE_AXIS : integer; attribute C_APPLICATION_TYPE_AXIS of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_APPLICATION_TYPE_RACH : integer; attribute C_APPLICATION_TYPE_RACH of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_APPLICATION_TYPE_RDCH : integer; attribute C_APPLICATION_TYPE_RDCH of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_APPLICATION_TYPE_WACH : integer; attribute C_APPLICATION_TYPE_WACH of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_APPLICATION_TYPE_WDCH : integer; attribute C_APPLICATION_TYPE_WDCH of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_APPLICATION_TYPE_WRCH : integer; attribute C_APPLICATION_TYPE_WRCH of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_AXIS_TDATA_WIDTH : integer; attribute C_AXIS_TDATA_WIDTH of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 8; attribute C_AXIS_TDEST_WIDTH : integer; attribute C_AXIS_TDEST_WIDTH of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 1; attribute C_AXIS_TID_WIDTH : integer; attribute C_AXIS_TID_WIDTH of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 1; attribute C_AXIS_TKEEP_WIDTH : integer; attribute C_AXIS_TKEEP_WIDTH of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 1; attribute C_AXIS_TSTRB_WIDTH : integer; attribute C_AXIS_TSTRB_WIDTH of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 1; attribute C_AXIS_TUSER_WIDTH : integer; attribute C_AXIS_TUSER_WIDTH of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 4; attribute C_AXIS_TYPE : integer; attribute C_AXIS_TYPE of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_AXI_ADDR_WIDTH : integer; attribute C_AXI_ADDR_WIDTH of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 32; attribute C_AXI_ARUSER_WIDTH : integer; attribute C_AXI_ARUSER_WIDTH of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 1; attribute C_AXI_AWUSER_WIDTH : integer; attribute C_AXI_AWUSER_WIDTH of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 1; attribute C_AXI_BUSER_WIDTH : integer; attribute C_AXI_BUSER_WIDTH of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 1; attribute C_AXI_DATA_WIDTH : integer; attribute C_AXI_DATA_WIDTH of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 32; attribute C_AXI_ID_WIDTH : integer; attribute C_AXI_ID_WIDTH of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 4; attribute C_AXI_LEN_WIDTH : integer; attribute C_AXI_LEN_WIDTH of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 8; attribute C_AXI_LOCK_WIDTH : integer; attribute C_AXI_LOCK_WIDTH of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 1; attribute C_AXI_RUSER_WIDTH : integer; attribute C_AXI_RUSER_WIDTH of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 1; attribute C_AXI_TYPE : integer; attribute C_AXI_TYPE of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 1; attribute C_AXI_WUSER_WIDTH : integer; attribute C_AXI_WUSER_WIDTH of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 1; attribute C_COMMON_CLOCK : integer; attribute C_COMMON_CLOCK of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_COUNT_TYPE : integer; attribute C_COUNT_TYPE of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_DATA_COUNT_WIDTH : integer; attribute C_DATA_COUNT_WIDTH of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 10; attribute C_DEFAULT_VALUE : string; attribute C_DEFAULT_VALUE of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is "BlankString"; attribute C_DIN_WIDTH : integer; attribute C_DIN_WIDTH of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 18; attribute C_DIN_WIDTH_AXIS : integer; attribute C_DIN_WIDTH_AXIS of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 1; attribute C_DIN_WIDTH_RACH : integer; attribute C_DIN_WIDTH_RACH of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 65; attribute C_DIN_WIDTH_RDCH : integer; attribute C_DIN_WIDTH_RDCH of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 39; attribute C_DIN_WIDTH_WACH : integer; attribute C_DIN_WIDTH_WACH of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 65; attribute C_DIN_WIDTH_WDCH : integer; attribute C_DIN_WIDTH_WDCH of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 37; attribute C_DIN_WIDTH_WRCH : integer; attribute C_DIN_WIDTH_WRCH of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 6; attribute C_DOUT_RST_VAL : string; attribute C_DOUT_RST_VAL of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is "0"; attribute C_DOUT_WIDTH : integer; attribute C_DOUT_WIDTH of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 18; attribute C_ENABLE_RLOCS : integer; attribute C_ENABLE_RLOCS of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_ENABLE_RST_SYNC : integer; attribute C_ENABLE_RST_SYNC of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 1; attribute C_EN_SAFETY_CKT : integer; attribute C_EN_SAFETY_CKT of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_ERROR_INJECTION_TYPE : integer; attribute C_ERROR_INJECTION_TYPE of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_ERROR_INJECTION_TYPE_AXIS : integer; attribute C_ERROR_INJECTION_TYPE_AXIS of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_ERROR_INJECTION_TYPE_RACH : integer; attribute C_ERROR_INJECTION_TYPE_RACH of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_ERROR_INJECTION_TYPE_RDCH : integer; attribute C_ERROR_INJECTION_TYPE_RDCH of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_ERROR_INJECTION_TYPE_WACH : integer; attribute C_ERROR_INJECTION_TYPE_WACH of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_ERROR_INJECTION_TYPE_WDCH : integer; attribute C_ERROR_INJECTION_TYPE_WDCH of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_ERROR_INJECTION_TYPE_WRCH : integer; attribute C_ERROR_INJECTION_TYPE_WRCH of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_FAMILY : string; attribute C_FAMILY of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is "artix7"; attribute C_FULL_FLAGS_RST_VAL : integer; attribute C_FULL_FLAGS_RST_VAL of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 1; attribute C_HAS_ALMOST_EMPTY : integer; attribute C_HAS_ALMOST_EMPTY of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_HAS_ALMOST_FULL : integer; attribute C_HAS_ALMOST_FULL of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_HAS_AXIS_TDATA : integer; attribute C_HAS_AXIS_TDATA of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 1; attribute C_HAS_AXIS_TDEST : integer; attribute C_HAS_AXIS_TDEST of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_HAS_AXIS_TID : integer; attribute C_HAS_AXIS_TID of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_HAS_AXIS_TKEEP : integer; attribute C_HAS_AXIS_TKEEP of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_HAS_AXIS_TLAST : integer; attribute C_HAS_AXIS_TLAST of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_HAS_AXIS_TREADY : integer; attribute C_HAS_AXIS_TREADY of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 1; attribute C_HAS_AXIS_TSTRB : integer; attribute C_HAS_AXIS_TSTRB of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_HAS_AXIS_TUSER : integer; attribute C_HAS_AXIS_TUSER of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 1; attribute C_HAS_AXI_ARUSER : integer; attribute C_HAS_AXI_ARUSER of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_HAS_AXI_AWUSER : integer; attribute C_HAS_AXI_AWUSER of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_HAS_AXI_BUSER : integer; attribute C_HAS_AXI_BUSER of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_HAS_AXI_ID : integer; attribute C_HAS_AXI_ID of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 1; attribute C_HAS_AXI_RD_CHANNEL : integer; attribute C_HAS_AXI_RD_CHANNEL of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 1; attribute C_HAS_AXI_RUSER : integer; attribute C_HAS_AXI_RUSER of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_HAS_AXI_WR_CHANNEL : integer; attribute C_HAS_AXI_WR_CHANNEL of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 1; attribute C_HAS_AXI_WUSER : integer; attribute C_HAS_AXI_WUSER of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_HAS_BACKUP : integer; attribute C_HAS_BACKUP of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_HAS_DATA_COUNT : integer; attribute C_HAS_DATA_COUNT of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_HAS_DATA_COUNTS_AXIS : integer; attribute C_HAS_DATA_COUNTS_AXIS of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_HAS_DATA_COUNTS_RACH : integer; attribute C_HAS_DATA_COUNTS_RACH of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_HAS_DATA_COUNTS_RDCH : integer; attribute C_HAS_DATA_COUNTS_RDCH of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_HAS_DATA_COUNTS_WACH : integer; attribute C_HAS_DATA_COUNTS_WACH of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_HAS_DATA_COUNTS_WDCH : integer; attribute C_HAS_DATA_COUNTS_WDCH of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_HAS_DATA_COUNTS_WRCH : integer; attribute C_HAS_DATA_COUNTS_WRCH of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_HAS_INT_CLK : integer; attribute C_HAS_INT_CLK of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_HAS_MASTER_CE : integer; attribute C_HAS_MASTER_CE of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_HAS_MEMINIT_FILE : integer; attribute C_HAS_MEMINIT_FILE of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_HAS_OVERFLOW : integer; attribute C_HAS_OVERFLOW of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_HAS_PROG_FLAGS_AXIS : integer; attribute C_HAS_PROG_FLAGS_AXIS of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_HAS_PROG_FLAGS_RACH : integer; attribute C_HAS_PROG_FLAGS_RACH of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_HAS_PROG_FLAGS_RDCH : integer; attribute C_HAS_PROG_FLAGS_RDCH of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_HAS_PROG_FLAGS_WACH : integer; attribute C_HAS_PROG_FLAGS_WACH of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_HAS_PROG_FLAGS_WDCH : integer; attribute C_HAS_PROG_FLAGS_WDCH of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_HAS_PROG_FLAGS_WRCH : integer; attribute C_HAS_PROG_FLAGS_WRCH of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_HAS_RD_DATA_COUNT : integer; attribute C_HAS_RD_DATA_COUNT of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_HAS_RD_RST : integer; attribute C_HAS_RD_RST of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_HAS_RST : integer; attribute C_HAS_RST of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 1; attribute C_HAS_SLAVE_CE : integer; attribute C_HAS_SLAVE_CE of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_HAS_SRST : integer; attribute C_HAS_SRST of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_HAS_UNDERFLOW : integer; attribute C_HAS_UNDERFLOW of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_HAS_VALID : integer; attribute C_HAS_VALID of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_HAS_WR_ACK : integer; attribute C_HAS_WR_ACK of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_HAS_WR_DATA_COUNT : integer; attribute C_HAS_WR_DATA_COUNT of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_HAS_WR_RST : integer; attribute C_HAS_WR_RST of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_IMPLEMENTATION_TYPE : integer; attribute C_IMPLEMENTATION_TYPE of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_IMPLEMENTATION_TYPE_AXIS : integer; attribute C_IMPLEMENTATION_TYPE_AXIS of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 11; attribute C_IMPLEMENTATION_TYPE_RACH : integer; attribute C_IMPLEMENTATION_TYPE_RACH of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 12; attribute C_IMPLEMENTATION_TYPE_RDCH : integer; attribute C_IMPLEMENTATION_TYPE_RDCH of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 12; attribute C_IMPLEMENTATION_TYPE_WACH : integer; attribute C_IMPLEMENTATION_TYPE_WACH of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 12; attribute C_IMPLEMENTATION_TYPE_WDCH : integer; attribute C_IMPLEMENTATION_TYPE_WDCH of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 12; attribute C_IMPLEMENTATION_TYPE_WRCH : integer; attribute C_IMPLEMENTATION_TYPE_WRCH of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 12; attribute C_INIT_WR_PNTR_VAL : integer; attribute C_INIT_WR_PNTR_VAL of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_INTERFACE_TYPE : integer; attribute C_INTERFACE_TYPE of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 2; attribute C_MEMORY_TYPE : integer; attribute C_MEMORY_TYPE of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 1; attribute C_MIF_FILE_NAME : string; attribute C_MIF_FILE_NAME of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is "BlankString"; attribute C_MSGON_VAL : integer; attribute C_MSGON_VAL of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 1; attribute C_OPTIMIZATION_MODE : integer; attribute C_OPTIMIZATION_MODE of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_OVERFLOW_LOW : integer; attribute C_OVERFLOW_LOW of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_POWER_SAVING_MODE : integer; attribute C_POWER_SAVING_MODE of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_PRELOAD_LATENCY : integer; attribute C_PRELOAD_LATENCY of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 1; attribute C_PRELOAD_REGS : integer; attribute C_PRELOAD_REGS of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_PRIM_FIFO_TYPE : string; attribute C_PRIM_FIFO_TYPE of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is "4kx4"; attribute C_PRIM_FIFO_TYPE_AXIS : string; attribute C_PRIM_FIFO_TYPE_AXIS of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is "512x36"; attribute C_PRIM_FIFO_TYPE_RACH : string; attribute C_PRIM_FIFO_TYPE_RACH of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is "512x36"; attribute C_PRIM_FIFO_TYPE_RDCH : string; attribute C_PRIM_FIFO_TYPE_RDCH of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is "512x36"; attribute C_PRIM_FIFO_TYPE_WACH : string; attribute C_PRIM_FIFO_TYPE_WACH of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is "512x36"; attribute C_PRIM_FIFO_TYPE_WDCH : string; attribute C_PRIM_FIFO_TYPE_WDCH of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is "512x36"; attribute C_PRIM_FIFO_TYPE_WRCH : string; attribute C_PRIM_FIFO_TYPE_WRCH of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is "512x36"; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 2; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 1021; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 13; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 13; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 13; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 13; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 13; attribute C_PROG_EMPTY_THRESH_NEGATE_VAL : integer; attribute C_PROG_EMPTY_THRESH_NEGATE_VAL of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 3; attribute C_PROG_EMPTY_TYPE : integer; attribute C_PROG_EMPTY_TYPE of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_PROG_EMPTY_TYPE_AXIS : integer; attribute C_PROG_EMPTY_TYPE_AXIS of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_PROG_EMPTY_TYPE_RACH : integer; attribute C_PROG_EMPTY_TYPE_RACH of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_PROG_EMPTY_TYPE_RDCH : integer; attribute C_PROG_EMPTY_TYPE_RDCH of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_PROG_EMPTY_TYPE_WACH : integer; attribute C_PROG_EMPTY_TYPE_WACH of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_PROG_EMPTY_TYPE_WDCH : integer; attribute C_PROG_EMPTY_TYPE_WDCH of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_PROG_EMPTY_TYPE_WRCH : integer; attribute C_PROG_EMPTY_TYPE_WRCH of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_PROG_FULL_THRESH_ASSERT_VAL : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 1022; attribute C_PROG_FULL_THRESH_ASSERT_VAL_AXIS : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL_AXIS of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 1023; attribute C_PROG_FULL_THRESH_ASSERT_VAL_RACH : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL_RACH of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 15; attribute C_PROG_FULL_THRESH_ASSERT_VAL_RDCH : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL_RDCH of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 15; attribute C_PROG_FULL_THRESH_ASSERT_VAL_WACH : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL_WACH of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 15; attribute C_PROG_FULL_THRESH_ASSERT_VAL_WDCH : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL_WDCH of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 15; attribute C_PROG_FULL_THRESH_ASSERT_VAL_WRCH : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL_WRCH of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 15; attribute C_PROG_FULL_THRESH_NEGATE_VAL : integer; attribute C_PROG_FULL_THRESH_NEGATE_VAL of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 1021; attribute C_PROG_FULL_TYPE : integer; attribute C_PROG_FULL_TYPE of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_PROG_FULL_TYPE_AXIS : integer; attribute C_PROG_FULL_TYPE_AXIS of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_PROG_FULL_TYPE_RACH : integer; attribute C_PROG_FULL_TYPE_RACH of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_PROG_FULL_TYPE_RDCH : integer; attribute C_PROG_FULL_TYPE_RDCH of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_PROG_FULL_TYPE_WACH : integer; attribute C_PROG_FULL_TYPE_WACH of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_PROG_FULL_TYPE_WDCH : integer; attribute C_PROG_FULL_TYPE_WDCH of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_PROG_FULL_TYPE_WRCH : integer; attribute C_PROG_FULL_TYPE_WRCH of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_RACH_TYPE : integer; attribute C_RACH_TYPE of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_RDCH_TYPE : integer; attribute C_RDCH_TYPE of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_RD_DATA_COUNT_WIDTH : integer; attribute C_RD_DATA_COUNT_WIDTH of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 10; attribute C_RD_DEPTH : integer; attribute C_RD_DEPTH of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 1024; attribute C_RD_FREQ : integer; attribute C_RD_FREQ of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 1; attribute C_RD_PNTR_WIDTH : integer; attribute C_RD_PNTR_WIDTH of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 10; attribute C_REG_SLICE_MODE_AXIS : integer; attribute C_REG_SLICE_MODE_AXIS of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_REG_SLICE_MODE_RACH : integer; attribute C_REG_SLICE_MODE_RACH of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_REG_SLICE_MODE_RDCH : integer; attribute C_REG_SLICE_MODE_RDCH of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_REG_SLICE_MODE_WACH : integer; attribute C_REG_SLICE_MODE_WACH of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_REG_SLICE_MODE_WDCH : integer; attribute C_REG_SLICE_MODE_WDCH of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_REG_SLICE_MODE_WRCH : integer; attribute C_REG_SLICE_MODE_WRCH of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_SELECT_XPM : integer; attribute C_SELECT_XPM of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_SYNCHRONIZER_STAGE : integer; attribute C_SYNCHRONIZER_STAGE of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 3; attribute C_UNDERFLOW_LOW : integer; attribute C_UNDERFLOW_LOW of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_USE_COMMON_OVERFLOW : integer; attribute C_USE_COMMON_OVERFLOW of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_USE_COMMON_UNDERFLOW : integer; attribute C_USE_COMMON_UNDERFLOW of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_USE_DEFAULT_SETTINGS : integer; attribute C_USE_DEFAULT_SETTINGS of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_USE_DOUT_RST : integer; attribute C_USE_DOUT_RST of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 1; attribute C_USE_ECC : integer; attribute C_USE_ECC of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_USE_ECC_AXIS : integer; attribute C_USE_ECC_AXIS of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_USE_ECC_RACH : integer; attribute C_USE_ECC_RACH of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_USE_ECC_RDCH : integer; attribute C_USE_ECC_RDCH of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_USE_ECC_WACH : integer; attribute C_USE_ECC_WACH of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_USE_ECC_WDCH : integer; attribute C_USE_ECC_WDCH of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_USE_ECC_WRCH : integer; attribute C_USE_ECC_WRCH of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_USE_EMBEDDED_REG : integer; attribute C_USE_EMBEDDED_REG of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_USE_FIFO16_FLAGS : integer; attribute C_USE_FIFO16_FLAGS of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_USE_FWFT_DATA_COUNT : integer; attribute C_USE_FWFT_DATA_COUNT of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_USE_PIPELINE_REG : integer; attribute C_USE_PIPELINE_REG of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_VALID_LOW : integer; attribute C_VALID_LOW of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_WACH_TYPE : integer; attribute C_WACH_TYPE of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_WDCH_TYPE : integer; attribute C_WDCH_TYPE of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_WRCH_TYPE : integer; attribute C_WRCH_TYPE of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_WR_ACK_LOW : integer; attribute C_WR_ACK_LOW of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 0; attribute C_WR_DATA_COUNT_WIDTH : integer; attribute C_WR_DATA_COUNT_WIDTH of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 10; attribute C_WR_DEPTH : integer; attribute C_WR_DEPTH of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 1024; attribute C_WR_DEPTH_AXIS : integer; attribute C_WR_DEPTH_AXIS of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 1024; attribute C_WR_DEPTH_RACH : integer; attribute C_WR_DEPTH_RACH of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 16; attribute C_WR_DEPTH_RDCH : integer; attribute C_WR_DEPTH_RDCH of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 16; attribute C_WR_DEPTH_WACH : integer; attribute C_WR_DEPTH_WACH of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 16; attribute C_WR_DEPTH_WDCH : integer; attribute C_WR_DEPTH_WDCH of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 16; attribute C_WR_DEPTH_WRCH : integer; attribute C_WR_DEPTH_WRCH of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 16; attribute C_WR_FREQ : integer; attribute C_WR_FREQ of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 1; attribute C_WR_PNTR_WIDTH : integer; attribute C_WR_PNTR_WIDTH of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 10; attribute C_WR_PNTR_WIDTH_AXIS : integer; attribute C_WR_PNTR_WIDTH_AXIS of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 10; attribute C_WR_PNTR_WIDTH_RACH : integer; attribute C_WR_PNTR_WIDTH_RACH of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 4; attribute C_WR_PNTR_WIDTH_RDCH : integer; attribute C_WR_PNTR_WIDTH_RDCH of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 4; attribute C_WR_PNTR_WIDTH_WACH : integer; attribute C_WR_PNTR_WIDTH_WACH of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 4; attribute C_WR_PNTR_WIDTH_WDCH : integer; attribute C_WR_PNTR_WIDTH_WDCH of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 4; attribute C_WR_PNTR_WIDTH_WRCH : integer; attribute C_WR_PNTR_WIDTH_WRCH of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 4; attribute C_WR_RESPONSE_LATENCY : integer; attribute C_WR_RESPONSE_LATENCY of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 : entity is 1; end mig_wrap_auto_cc_0_fifo_generator_v13_1_3; architecture STRUCTURE of mig_wrap_auto_cc_0_fifo_generator_v13_1_3 is signal \<const0>\ : STD_LOGIC; begin almost_empty <= \<const0>\; almost_full <= \<const0>\; axi_ar_data_count(4) <= \<const0>\; axi_ar_data_count(3) <= \<const0>\; axi_ar_data_count(2) <= \<const0>\; axi_ar_data_count(1) <= \<const0>\; axi_ar_data_count(0) <= \<const0>\; axi_ar_dbiterr <= \<const0>\; axi_ar_overflow <= \<const0>\; axi_ar_prog_empty <= \<const0>\; axi_ar_prog_full <= \<const0>\; axi_ar_rd_data_count(4) <= \<const0>\; axi_ar_rd_data_count(3) <= \<const0>\; axi_ar_rd_data_count(2) <= \<const0>\; axi_ar_rd_data_count(1) <= \<const0>\; axi_ar_rd_data_count(0) <= \<const0>\; axi_ar_sbiterr <= \<const0>\; axi_ar_underflow <= \<const0>\; axi_ar_wr_data_count(4) <= \<const0>\; axi_ar_wr_data_count(3) <= \<const0>\; axi_ar_wr_data_count(2) <= \<const0>\; axi_ar_wr_data_count(1) <= \<const0>\; axi_ar_wr_data_count(0) <= \<const0>\; axi_aw_data_count(4) <= \<const0>\; axi_aw_data_count(3) <= \<const0>\; axi_aw_data_count(2) <= \<const0>\; axi_aw_data_count(1) <= \<const0>\; axi_aw_data_count(0) <= \<const0>\; axi_aw_dbiterr <= \<const0>\; axi_aw_overflow <= \<const0>\; axi_aw_prog_empty <= \<const0>\; axi_aw_prog_full <= \<const0>\; axi_aw_rd_data_count(4) <= \<const0>\; axi_aw_rd_data_count(3) <= \<const0>\; axi_aw_rd_data_count(2) <= \<const0>\; axi_aw_rd_data_count(1) <= \<const0>\; axi_aw_rd_data_count(0) <= \<const0>\; axi_aw_sbiterr <= \<const0>\; axi_aw_underflow <= \<const0>\; axi_aw_wr_data_count(4) <= \<const0>\; axi_aw_wr_data_count(3) <= \<const0>\; axi_aw_wr_data_count(2) <= \<const0>\; axi_aw_wr_data_count(1) <= \<const0>\; axi_aw_wr_data_count(0) <= \<const0>\; axi_b_data_count(4) <= \<const0>\; axi_b_data_count(3) <= \<const0>\; axi_b_data_count(2) <= \<const0>\; axi_b_data_count(1) <= \<const0>\; axi_b_data_count(0) <= \<const0>\; axi_b_dbiterr <= \<const0>\; axi_b_overflow <= \<const0>\; axi_b_prog_empty <= \<const0>\; axi_b_prog_full <= \<const0>\; axi_b_rd_data_count(4) <= \<const0>\; axi_b_rd_data_count(3) <= \<const0>\; axi_b_rd_data_count(2) <= \<const0>\; axi_b_rd_data_count(1) <= \<const0>\; axi_b_rd_data_count(0) <= \<const0>\; axi_b_sbiterr <= \<const0>\; axi_b_underflow <= \<const0>\; axi_b_wr_data_count(4) <= \<const0>\; axi_b_wr_data_count(3) <= \<const0>\; axi_b_wr_data_count(2) <= \<const0>\; axi_b_wr_data_count(1) <= \<const0>\; axi_b_wr_data_count(0) <= \<const0>\; axi_r_data_count(4) <= \<const0>\; axi_r_data_count(3) <= \<const0>\; axi_r_data_count(2) <= \<const0>\; axi_r_data_count(1) <= \<const0>\; axi_r_data_count(0) <= \<const0>\; axi_r_dbiterr <= \<const0>\; axi_r_overflow <= \<const0>\; axi_r_prog_empty <= \<const0>\; axi_r_prog_full <= \<const0>\; axi_r_rd_data_count(4) <= \<const0>\; axi_r_rd_data_count(3) <= \<const0>\; axi_r_rd_data_count(2) <= \<const0>\; axi_r_rd_data_count(1) <= \<const0>\; axi_r_rd_data_count(0) <= \<const0>\; axi_r_sbiterr <= \<const0>\; axi_r_underflow <= \<const0>\; axi_r_wr_data_count(4) <= \<const0>\; axi_r_wr_data_count(3) <= \<const0>\; axi_r_wr_data_count(2) <= \<const0>\; axi_r_wr_data_count(1) <= \<const0>\; axi_r_wr_data_count(0) <= \<const0>\; axi_w_data_count(4) <= \<const0>\; axi_w_data_count(3) <= \<const0>\; axi_w_data_count(2) <= \<const0>\; axi_w_data_count(1) <= \<const0>\; axi_w_data_count(0) <= \<const0>\; axi_w_dbiterr <= \<const0>\; axi_w_overflow <= \<const0>\; axi_w_prog_empty <= \<const0>\; axi_w_prog_full <= \<const0>\; axi_w_rd_data_count(4) <= \<const0>\; axi_w_rd_data_count(3) <= \<const0>\; axi_w_rd_data_count(2) <= \<const0>\; axi_w_rd_data_count(1) <= \<const0>\; axi_w_rd_data_count(0) <= \<const0>\; axi_w_sbiterr <= \<const0>\; axi_w_underflow <= \<const0>\; axi_w_wr_data_count(4) <= \<const0>\; axi_w_wr_data_count(3) <= \<const0>\; axi_w_wr_data_count(2) <= \<const0>\; axi_w_wr_data_count(1) <= \<const0>\; axi_w_wr_data_count(0) <= \<const0>\; axis_data_count(10) <= \<const0>\; axis_data_count(9) <= \<const0>\; axis_data_count(8) <= \<const0>\; axis_data_count(7) <= \<const0>\; axis_data_count(6) <= \<const0>\; axis_data_count(5) <= \<const0>\; axis_data_count(4) <= \<const0>\; axis_data_count(3) <= \<const0>\; axis_data_count(2) <= \<const0>\; axis_data_count(1) <= \<const0>\; axis_data_count(0) <= \<const0>\; axis_dbiterr <= \<const0>\; axis_overflow <= \<const0>\; axis_prog_empty <= \<const0>\; axis_prog_full <= \<const0>\; axis_rd_data_count(10) <= \<const0>\; axis_rd_data_count(9) <= \<const0>\; axis_rd_data_count(8) <= \<const0>\; axis_rd_data_count(7) <= \<const0>\; axis_rd_data_count(6) <= \<const0>\; axis_rd_data_count(5) <= \<const0>\; axis_rd_data_count(4) <= \<const0>\; axis_rd_data_count(3) <= \<const0>\; axis_rd_data_count(2) <= \<const0>\; axis_rd_data_count(1) <= \<const0>\; axis_rd_data_count(0) <= \<const0>\; axis_sbiterr <= \<const0>\; axis_underflow <= \<const0>\; axis_wr_data_count(10) <= \<const0>\; axis_wr_data_count(9) <= \<const0>\; axis_wr_data_count(8) <= \<const0>\; axis_wr_data_count(7) <= \<const0>\; axis_wr_data_count(6) <= \<const0>\; axis_wr_data_count(5) <= \<const0>\; axis_wr_data_count(4) <= \<const0>\; axis_wr_data_count(3) <= \<const0>\; axis_wr_data_count(2) <= \<const0>\; axis_wr_data_count(1) <= \<const0>\; axis_wr_data_count(0) <= \<const0>\; data_count(9) <= \<const0>\; data_count(8) <= \<const0>\; data_count(7) <= \<const0>\; data_count(6) <= \<const0>\; data_count(5) <= \<const0>\; data_count(4) <= \<const0>\; data_count(3) <= \<const0>\; data_count(2) <= \<const0>\; data_count(1) <= \<const0>\; data_count(0) <= \<const0>\; dbiterr <= \<const0>\; dout(17) <= \<const0>\; dout(16) <= \<const0>\; dout(15) <= \<const0>\; dout(14) <= \<const0>\; dout(13) <= \<const0>\; dout(12) <= \<const0>\; dout(11) <= \<const0>\; dout(10) <= \<const0>\; dout(9) <= \<const0>\; dout(8) <= \<const0>\; dout(7) <= \<const0>\; dout(6) <= \<const0>\; dout(5) <= \<const0>\; dout(4) <= \<const0>\; dout(3) <= \<const0>\; dout(2) <= \<const0>\; dout(1) <= \<const0>\; dout(0) <= \<const0>\; empty <= \<const0>\; full <= \<const0>\; m_axi_aruser(0) <= \<const0>\; m_axi_awuser(0) <= \<const0>\; m_axi_wid(3) <= \<const0>\; m_axi_wid(2) <= \<const0>\; m_axi_wid(1) <= \<const0>\; m_axi_wid(0) <= \<const0>\; m_axi_wuser(0) <= \<const0>\; m_axis_tdata(7) <= \<const0>\; m_axis_tdata(6) <= \<const0>\; m_axis_tdata(5) <= \<const0>\; m_axis_tdata(4) <= \<const0>\; m_axis_tdata(3) <= \<const0>\; m_axis_tdata(2) <= \<const0>\; m_axis_tdata(1) <= \<const0>\; m_axis_tdata(0) <= \<const0>\; m_axis_tdest(0) <= \<const0>\; m_axis_tid(0) <= \<const0>\; m_axis_tkeep(0) <= \<const0>\; m_axis_tlast <= \<const0>\; m_axis_tstrb(0) <= \<const0>\; m_axis_tuser(3) <= \<const0>\; m_axis_tuser(2) <= \<const0>\; m_axis_tuser(1) <= \<const0>\; m_axis_tuser(0) <= \<const0>\; m_axis_tvalid <= \<const0>\; overflow <= \<const0>\; prog_empty <= \<const0>\; prog_full <= \<const0>\; rd_data_count(9) <= \<const0>\; rd_data_count(8) <= \<const0>\; rd_data_count(7) <= \<const0>\; rd_data_count(6) <= \<const0>\; rd_data_count(5) <= \<const0>\; rd_data_count(4) <= \<const0>\; rd_data_count(3) <= \<const0>\; rd_data_count(2) <= \<const0>\; rd_data_count(1) <= \<const0>\; rd_data_count(0) <= \<const0>\; rd_rst_busy <= \<const0>\; s_axi_buser(0) <= \<const0>\; s_axi_ruser(0) <= \<const0>\; s_axis_tready <= \<const0>\; sbiterr <= \<const0>\; underflow <= \<const0>\; valid <= \<const0>\; wr_ack <= \<const0>\; wr_data_count(9) <= \<const0>\; wr_data_count(8) <= \<const0>\; wr_data_count(7) <= \<const0>\; wr_data_count(6) <= \<const0>\; wr_data_count(5) <= \<const0>\; wr_data_count(4) <= \<const0>\; wr_data_count(3) <= \<const0>\; wr_data_count(2) <= \<const0>\; wr_data_count(1) <= \<const0>\; wr_data_count(0) <= \<const0>\; wr_rst_busy <= \<const0>\; GND: unisim.vcomponents.GND port map ( G => \<const0>\ ); inst_fifo_gen: entity work.mig_wrap_auto_cc_0_fifo_generator_v13_1_3_synth port map ( DI(64 downto 61) => s_axi_awid(3 downto 0), DI(60 downto 29) => s_axi_awaddr(31 downto 0), DI(28 downto 21) => s_axi_awlen(7 downto 0), DI(20 downto 18) => s_axi_awsize(2 downto 0), DI(17 downto 16) => s_axi_awburst(1 downto 0), DI(15) => s_axi_awlock(0), DI(14 downto 11) => s_axi_awcache(3 downto 0), DI(10 downto 8) => s_axi_awprot(2 downto 0), DI(7 downto 4) => s_axi_awqos(3 downto 0), DI(3 downto 0) => s_axi_awregion(3 downto 0), I115(36 downto 5) => s_axi_wdata(31 downto 0), I115(4 downto 1) => s_axi_wstrb(3 downto 0), I115(0) => s_axi_wlast, I123(64 downto 61) => s_axi_arid(3 downto 0), I123(60 downto 29) => s_axi_araddr(31 downto 0), I123(28 downto 21) => s_axi_arlen(7 downto 0), I123(20 downto 18) => s_axi_arsize(2 downto 0), I123(17 downto 16) => s_axi_arburst(1 downto 0), I123(15) => s_axi_arlock(0), I123(14 downto 11) => s_axi_arcache(3 downto 0), I123(10 downto 8) => s_axi_arprot(2 downto 0), I123(7 downto 4) => s_axi_arqos(3 downto 0), I123(3 downto 0) => s_axi_arregion(3 downto 0), I127(38 downto 35) => m_axi_rid(3 downto 0), I127(34 downto 3) => m_axi_rdata(31 downto 0), I127(2 downto 1) => m_axi_rresp(1 downto 0), I127(0) => m_axi_rlast, Q(64 downto 61) => m_axi_awid(3 downto 0), Q(60 downto 29) => m_axi_awaddr(31 downto 0), Q(28 downto 21) => m_axi_awlen(7 downto 0), Q(20 downto 18) => m_axi_awsize(2 downto 0), Q(17 downto 16) => m_axi_awburst(1 downto 0), Q(15) => m_axi_awlock(0), Q(14 downto 11) => m_axi_awcache(3 downto 0), Q(10 downto 8) => m_axi_awprot(2 downto 0), Q(7 downto 4) => m_axi_awqos(3 downto 0), Q(3 downto 0) => m_axi_awregion(3 downto 0), m_aclk => m_aclk, \m_axi_arid[3]\(64 downto 61) => m_axi_arid(3 downto 0), \m_axi_arid[3]\(60 downto 29) => m_axi_araddr(31 downto 0), \m_axi_arid[3]\(28 downto 21) => m_axi_arlen(7 downto 0), \m_axi_arid[3]\(20 downto 18) => m_axi_arsize(2 downto 0), \m_axi_arid[3]\(17 downto 16) => m_axi_arburst(1 downto 0), \m_axi_arid[3]\(15) => m_axi_arlock(0), \m_axi_arid[3]\(14 downto 11) => m_axi_arcache(3 downto 0), \m_axi_arid[3]\(10 downto 8) => m_axi_arprot(2 downto 0), \m_axi_arid[3]\(7 downto 4) => m_axi_arqos(3 downto 0), \m_axi_arid[3]\(3 downto 0) => m_axi_arregion(3 downto 0), m_axi_arready => m_axi_arready, m_axi_arvalid => m_axi_arvalid, m_axi_awready => m_axi_awready, m_axi_awvalid => m_axi_awvalid, m_axi_bid(3 downto 0) => m_axi_bid(3 downto 0), m_axi_bready => m_axi_bready, m_axi_bresp(1 downto 0) => m_axi_bresp(1 downto 0), m_axi_bvalid => m_axi_bvalid, m_axi_rready => m_axi_rready, m_axi_rvalid => m_axi_rvalid, \m_axi_wdata[31]\(36 downto 5) => m_axi_wdata(31 downto 0), \m_axi_wdata[31]\(4 downto 1) => m_axi_wstrb(3 downto 0), \m_axi_wdata[31]\(0) => m_axi_wlast, m_axi_wready => m_axi_wready, m_axi_wvalid => m_axi_wvalid, s_aclk => s_aclk, s_aresetn => s_aresetn, s_axi_arready => s_axi_arready, s_axi_arvalid => s_axi_arvalid, s_axi_awready => s_axi_awready, s_axi_awvalid => s_axi_awvalid, \s_axi_bid[3]\(5 downto 2) => s_axi_bid(3 downto 0), \s_axi_bid[3]\(1 downto 0) => s_axi_bresp(1 downto 0), s_axi_bready => s_axi_bready, s_axi_bvalid => s_axi_bvalid, \s_axi_rid[3]\(38 downto 35) => s_axi_rid(3 downto 0), \s_axi_rid[3]\(34 downto 3) => s_axi_rdata(31 downto 0), \s_axi_rid[3]\(2 downto 1) => s_axi_rresp(1 downto 0), \s_axi_rid[3]\(0) => s_axi_rlast, s_axi_rready => s_axi_rready, s_axi_rvalid => s_axi_rvalid, s_axi_wready => s_axi_wready, s_axi_wvalid => s_axi_wvalid ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity mig_wrap_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter is port ( s_axi_aclk : in STD_LOGIC; s_axi_aresetn : in STD_LOGIC; s_axi_awid : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awlock : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awregion : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awuser : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_awvalid : in STD_LOGIC; s_axi_awready : out STD_LOGIC; s_axi_wid : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_wlast : in STD_LOGIC; s_axi_wuser : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_wvalid : in STD_LOGIC; s_axi_wready : out STD_LOGIC; s_axi_bid : out STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_buser : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_bvalid : out STD_LOGIC; s_axi_bready : in STD_LOGIC; s_axi_arid : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arlock : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arregion : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_aruser : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_arvalid : in STD_LOGIC; s_axi_arready : out STD_LOGIC; s_axi_rid : out STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_rlast : out STD_LOGIC; s_axi_ruser : out STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_rvalid : out STD_LOGIC; s_axi_rready : in STD_LOGIC; m_axi_aclk : in STD_LOGIC; m_axi_aresetn : in STD_LOGIC; m_axi_awid : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_awlen : out STD_LOGIC_VECTOR ( 7 downto 0 ); m_axi_awsize : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_awburst : out STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_awlock : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_awcache : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_awregion : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awqos : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awuser : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_awvalid : out STD_LOGIC; m_axi_awready : in STD_LOGIC; m_axi_wid : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_wlast : out STD_LOGIC; m_axi_wuser : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_wvalid : out STD_LOGIC; m_axi_wready : in STD_LOGIC; m_axi_bid : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_buser : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_bvalid : in STD_LOGIC; m_axi_bready : out STD_LOGIC; m_axi_arid : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_arlen : out STD_LOGIC_VECTOR ( 7 downto 0 ); m_axi_arsize : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_arburst : out STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_arlock : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_arcache : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_arregion : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_arqos : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_aruser : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_arvalid : out STD_LOGIC; m_axi_arready : in STD_LOGIC; m_axi_rid : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_rlast : in STD_LOGIC; m_axi_ruser : in STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_rvalid : in STD_LOGIC; m_axi_rready : out STD_LOGIC ); attribute C_ARADDR_RIGHT : integer; attribute C_ARADDR_RIGHT of mig_wrap_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 29; attribute C_ARADDR_WIDTH : integer; attribute C_ARADDR_WIDTH of mig_wrap_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 32; attribute C_ARBURST_RIGHT : integer; attribute C_ARBURST_RIGHT of mig_wrap_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 16; attribute C_ARBURST_WIDTH : integer; attribute C_ARBURST_WIDTH of mig_wrap_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 2; attribute C_ARCACHE_RIGHT : integer; attribute C_ARCACHE_RIGHT of mig_wrap_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 11; attribute C_ARCACHE_WIDTH : integer; attribute C_ARCACHE_WIDTH of mig_wrap_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 4; attribute C_ARID_RIGHT : integer; attribute C_ARID_RIGHT of mig_wrap_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 61; attribute C_ARID_WIDTH : integer; attribute C_ARID_WIDTH of mig_wrap_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 4; attribute C_ARLEN_RIGHT : integer; attribute C_ARLEN_RIGHT of mig_wrap_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 21; attribute C_ARLEN_WIDTH : integer; attribute C_ARLEN_WIDTH of mig_wrap_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 8; attribute C_ARLOCK_RIGHT : integer; attribute C_ARLOCK_RIGHT of mig_wrap_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 15; attribute C_ARLOCK_WIDTH : integer; attribute C_ARLOCK_WIDTH of mig_wrap_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 1; attribute C_ARPROT_RIGHT : integer; attribute C_ARPROT_RIGHT of mig_wrap_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 8; attribute C_ARPROT_WIDTH : integer; attribute C_ARPROT_WIDTH of mig_wrap_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 3; attribute C_ARQOS_RIGHT : integer; attribute C_ARQOS_RIGHT of mig_wrap_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 0; attribute C_ARQOS_WIDTH : integer; attribute C_ARQOS_WIDTH of mig_wrap_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 4; attribute C_ARREGION_RIGHT : integer; attribute C_ARREGION_RIGHT of mig_wrap_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 4; attribute C_ARREGION_WIDTH : integer; attribute C_ARREGION_WIDTH of mig_wrap_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 4; attribute C_ARSIZE_RIGHT : integer; attribute C_ARSIZE_RIGHT of mig_wrap_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 18; attribute C_ARSIZE_WIDTH : integer; attribute C_ARSIZE_WIDTH of mig_wrap_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 3; attribute C_ARUSER_RIGHT : integer; attribute C_ARUSER_RIGHT of mig_wrap_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 0; attribute C_ARUSER_WIDTH : integer; attribute C_ARUSER_WIDTH of mig_wrap_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 0; attribute C_AR_WIDTH : integer; attribute C_AR_WIDTH of mig_wrap_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 65; attribute C_AWADDR_RIGHT : integer; attribute C_AWADDR_RIGHT of mig_wrap_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 29; attribute C_AWADDR_WIDTH : integer; attribute C_AWADDR_WIDTH of mig_wrap_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 32; attribute C_AWBURST_RIGHT : integer; attribute C_AWBURST_RIGHT of mig_wrap_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 16; attribute C_AWBURST_WIDTH : integer; attribute C_AWBURST_WIDTH of mig_wrap_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 2; attribute C_AWCACHE_RIGHT : integer; attribute C_AWCACHE_RIGHT of mig_wrap_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 11; attribute C_AWCACHE_WIDTH : integer; attribute C_AWCACHE_WIDTH of mig_wrap_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 4; attribute C_AWID_RIGHT : integer; attribute C_AWID_RIGHT of mig_wrap_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 61; attribute C_AWID_WIDTH : integer; attribute C_AWID_WIDTH of mig_wrap_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 4; attribute C_AWLEN_RIGHT : integer; attribute C_AWLEN_RIGHT of mig_wrap_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 21; attribute C_AWLEN_WIDTH : integer; attribute C_AWLEN_WIDTH of mig_wrap_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 8; attribute C_AWLOCK_RIGHT : integer; attribute C_AWLOCK_RIGHT of mig_wrap_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 15; attribute C_AWLOCK_WIDTH : integer; attribute C_AWLOCK_WIDTH of mig_wrap_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 1; attribute C_AWPROT_RIGHT : integer; attribute C_AWPROT_RIGHT of mig_wrap_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 8; attribute C_AWPROT_WIDTH : integer; attribute C_AWPROT_WIDTH of mig_wrap_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 3; attribute C_AWQOS_RIGHT : integer; attribute C_AWQOS_RIGHT of mig_wrap_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 0; attribute C_AWQOS_WIDTH : integer; attribute C_AWQOS_WIDTH of mig_wrap_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 4; attribute C_AWREGION_RIGHT : integer; attribute C_AWREGION_RIGHT of mig_wrap_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 4; attribute C_AWREGION_WIDTH : integer; attribute C_AWREGION_WIDTH of mig_wrap_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 4; attribute C_AWSIZE_RIGHT : integer; attribute C_AWSIZE_RIGHT of mig_wrap_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 18; attribute C_AWSIZE_WIDTH : integer; attribute C_AWSIZE_WIDTH of mig_wrap_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 3; attribute C_AWUSER_RIGHT : integer; attribute C_AWUSER_RIGHT of mig_wrap_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 0; attribute C_AWUSER_WIDTH : integer; attribute C_AWUSER_WIDTH of mig_wrap_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 0; attribute C_AW_WIDTH : integer; attribute C_AW_WIDTH of mig_wrap_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 65; attribute C_AXI_ADDR_WIDTH : integer; attribute C_AXI_ADDR_WIDTH of mig_wrap_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 32; attribute C_AXI_ARUSER_WIDTH : integer; attribute C_AXI_ARUSER_WIDTH of mig_wrap_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 1; attribute C_AXI_AWUSER_WIDTH : integer; attribute C_AXI_AWUSER_WIDTH of mig_wrap_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 1; attribute C_AXI_BUSER_WIDTH : integer; attribute C_AXI_BUSER_WIDTH of mig_wrap_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 1; attribute C_AXI_DATA_WIDTH : integer; attribute C_AXI_DATA_WIDTH of mig_wrap_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 32; attribute C_AXI_ID_WIDTH : integer; attribute C_AXI_ID_WIDTH of mig_wrap_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 4; attribute C_AXI_IS_ACLK_ASYNC : integer; attribute C_AXI_IS_ACLK_ASYNC of mig_wrap_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 1; attribute C_AXI_PROTOCOL : integer; attribute C_AXI_PROTOCOL of mig_wrap_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 0; attribute C_AXI_RUSER_WIDTH : integer; attribute C_AXI_RUSER_WIDTH of mig_wrap_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 1; attribute C_AXI_SUPPORTS_READ : integer; attribute C_AXI_SUPPORTS_READ of mig_wrap_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 1; attribute C_AXI_SUPPORTS_USER_SIGNALS : integer; attribute C_AXI_SUPPORTS_USER_SIGNALS of mig_wrap_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 0; attribute C_AXI_SUPPORTS_WRITE : integer; attribute C_AXI_SUPPORTS_WRITE of mig_wrap_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 1; attribute C_AXI_WUSER_WIDTH : integer; attribute C_AXI_WUSER_WIDTH of mig_wrap_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 1; attribute C_BID_RIGHT : integer; attribute C_BID_RIGHT of mig_wrap_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 2; attribute C_BID_WIDTH : integer; attribute C_BID_WIDTH of mig_wrap_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 4; attribute C_BRESP_RIGHT : integer; attribute C_BRESP_RIGHT of mig_wrap_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 0; attribute C_BRESP_WIDTH : integer; attribute C_BRESP_WIDTH of mig_wrap_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 2; attribute C_BUSER_RIGHT : integer; attribute C_BUSER_RIGHT of mig_wrap_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 0; attribute C_BUSER_WIDTH : integer; attribute C_BUSER_WIDTH of mig_wrap_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 0; attribute C_B_WIDTH : integer; attribute C_B_WIDTH of mig_wrap_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 6; attribute C_FAMILY : string; attribute C_FAMILY of mig_wrap_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is "artix7"; attribute C_FIFO_AR_WIDTH : integer; attribute C_FIFO_AR_WIDTH of mig_wrap_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 65; attribute C_FIFO_AW_WIDTH : integer; attribute C_FIFO_AW_WIDTH of mig_wrap_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 65; attribute C_FIFO_B_WIDTH : integer; attribute C_FIFO_B_WIDTH of mig_wrap_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 6; attribute C_FIFO_R_WIDTH : integer; attribute C_FIFO_R_WIDTH of mig_wrap_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 39; attribute C_FIFO_W_WIDTH : integer; attribute C_FIFO_W_WIDTH of mig_wrap_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 37; attribute C_M_AXI_ACLK_RATIO : integer; attribute C_M_AXI_ACLK_RATIO of mig_wrap_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 2; attribute C_RDATA_RIGHT : integer; attribute C_RDATA_RIGHT of mig_wrap_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 3; attribute C_RDATA_WIDTH : integer; attribute C_RDATA_WIDTH of mig_wrap_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 32; attribute C_RID_RIGHT : integer; attribute C_RID_RIGHT of mig_wrap_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 35; attribute C_RID_WIDTH : integer; attribute C_RID_WIDTH of mig_wrap_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 4; attribute C_RLAST_RIGHT : integer; attribute C_RLAST_RIGHT of mig_wrap_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 0; attribute C_RLAST_WIDTH : integer; attribute C_RLAST_WIDTH of mig_wrap_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 1; attribute C_RRESP_RIGHT : integer; attribute C_RRESP_RIGHT of mig_wrap_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 1; attribute C_RRESP_WIDTH : integer; attribute C_RRESP_WIDTH of mig_wrap_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 2; attribute C_RUSER_RIGHT : integer; attribute C_RUSER_RIGHT of mig_wrap_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 0; attribute C_RUSER_WIDTH : integer; attribute C_RUSER_WIDTH of mig_wrap_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 0; attribute C_R_WIDTH : integer; attribute C_R_WIDTH of mig_wrap_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 39; attribute C_SYNCHRONIZER_STAGE : integer; attribute C_SYNCHRONIZER_STAGE of mig_wrap_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 3; attribute C_S_AXI_ACLK_RATIO : integer; attribute C_S_AXI_ACLK_RATIO of mig_wrap_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 1; attribute C_WDATA_RIGHT : integer; attribute C_WDATA_RIGHT of mig_wrap_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 5; attribute C_WDATA_WIDTH : integer; attribute C_WDATA_WIDTH of mig_wrap_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 32; attribute C_WID_RIGHT : integer; attribute C_WID_RIGHT of mig_wrap_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 37; attribute C_WID_WIDTH : integer; attribute C_WID_WIDTH of mig_wrap_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 0; attribute C_WLAST_RIGHT : integer; attribute C_WLAST_RIGHT of mig_wrap_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 0; attribute C_WLAST_WIDTH : integer; attribute C_WLAST_WIDTH of mig_wrap_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 1; attribute C_WSTRB_RIGHT : integer; attribute C_WSTRB_RIGHT of mig_wrap_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 1; attribute C_WSTRB_WIDTH : integer; attribute C_WSTRB_WIDTH of mig_wrap_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 4; attribute C_WUSER_RIGHT : integer; attribute C_WUSER_RIGHT of mig_wrap_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 0; attribute C_WUSER_WIDTH : integer; attribute C_WUSER_WIDTH of mig_wrap_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 0; attribute C_W_WIDTH : integer; attribute C_W_WIDTH of mig_wrap_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 37; attribute DowngradeIPIdentifiedWarnings : string; attribute DowngradeIPIdentifiedWarnings of mig_wrap_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is "yes"; attribute P_ACLK_RATIO : integer; attribute P_ACLK_RATIO of mig_wrap_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 2; attribute P_AXI3 : integer; attribute P_AXI3 of mig_wrap_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 1; attribute P_AXI4 : integer; attribute P_AXI4 of mig_wrap_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 0; attribute P_AXILITE : integer; attribute P_AXILITE of mig_wrap_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 2; attribute P_FULLY_REG : integer; attribute P_FULLY_REG of mig_wrap_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 1; attribute P_LIGHT_WT : integer; attribute P_LIGHT_WT of mig_wrap_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 0; attribute P_LUTRAM_ASYNC : integer; attribute P_LUTRAM_ASYNC of mig_wrap_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 12; attribute P_ROUNDING_OFFSET : integer; attribute P_ROUNDING_OFFSET of mig_wrap_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is 0; attribute P_SI_LT_MI : string; attribute P_SI_LT_MI of mig_wrap_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter : entity is "1'b1"; end mig_wrap_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter; architecture STRUCTURE of mig_wrap_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter is signal \<const0>\ : STD_LOGIC; signal async_conv_reset_n : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_almost_empty_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_almost_full_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_ar_dbiterr_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_ar_overflow_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_ar_prog_empty_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_ar_prog_full_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_ar_sbiterr_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_ar_underflow_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_aw_dbiterr_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_aw_overflow_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_aw_prog_empty_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_aw_prog_full_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_aw_sbiterr_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_aw_underflow_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_b_dbiterr_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_b_overflow_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_b_prog_empty_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_b_prog_full_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_b_sbiterr_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_b_underflow_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_r_dbiterr_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_r_overflow_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_r_prog_empty_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_r_prog_full_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_r_sbiterr_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_r_underflow_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_w_dbiterr_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_w_overflow_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_w_prog_empty_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_w_prog_full_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_w_sbiterr_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_w_underflow_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axis_dbiterr_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axis_overflow_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axis_prog_empty_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axis_prog_full_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axis_sbiterr_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axis_underflow_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_dbiterr_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_empty_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_full_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_m_axis_tlast_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_m_axis_tvalid_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_overflow_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_prog_empty_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_prog_full_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_rd_rst_busy_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_s_axis_tready_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_sbiterr_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_underflow_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_valid_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_wr_ack_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_wr_rst_busy_UNCONNECTED\ : STD_LOGIC; signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_ar_data_count_UNCONNECTED\ : STD_LOGIC_VECTOR ( 4 downto 0 ); signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_ar_rd_data_count_UNCONNECTED\ : STD_LOGIC_VECTOR ( 4 downto 0 ); signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_ar_wr_data_count_UNCONNECTED\ : STD_LOGIC_VECTOR ( 4 downto 0 ); signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_aw_data_count_UNCONNECTED\ : STD_LOGIC_VECTOR ( 4 downto 0 ); signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_aw_rd_data_count_UNCONNECTED\ : STD_LOGIC_VECTOR ( 4 downto 0 ); signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_aw_wr_data_count_UNCONNECTED\ : STD_LOGIC_VECTOR ( 4 downto 0 ); signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_b_data_count_UNCONNECTED\ : STD_LOGIC_VECTOR ( 4 downto 0 ); signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_b_rd_data_count_UNCONNECTED\ : STD_LOGIC_VECTOR ( 4 downto 0 ); signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_b_wr_data_count_UNCONNECTED\ : STD_LOGIC_VECTOR ( 4 downto 0 ); signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_r_data_count_UNCONNECTED\ : STD_LOGIC_VECTOR ( 4 downto 0 ); signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_r_rd_data_count_UNCONNECTED\ : STD_LOGIC_VECTOR ( 4 downto 0 ); signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_r_wr_data_count_UNCONNECTED\ : STD_LOGIC_VECTOR ( 4 downto 0 ); signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_w_data_count_UNCONNECTED\ : STD_LOGIC_VECTOR ( 4 downto 0 ); signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_w_rd_data_count_UNCONNECTED\ : STD_LOGIC_VECTOR ( 4 downto 0 ); signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_w_wr_data_count_UNCONNECTED\ : STD_LOGIC_VECTOR ( 4 downto 0 ); signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axis_data_count_UNCONNECTED\ : STD_LOGIC_VECTOR ( 10 downto 0 ); signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axis_rd_data_count_UNCONNECTED\ : STD_LOGIC_VECTOR ( 10 downto 0 ); signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axis_wr_data_count_UNCONNECTED\ : STD_LOGIC_VECTOR ( 10 downto 0 ); signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_data_count_UNCONNECTED\ : STD_LOGIC_VECTOR ( 9 downto 0 ); signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_dout_UNCONNECTED\ : STD_LOGIC_VECTOR ( 17 downto 0 ); signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_m_axi_aruser_UNCONNECTED\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_m_axi_awuser_UNCONNECTED\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_m_axi_wid_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_m_axi_wuser_UNCONNECTED\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_m_axis_tdata_UNCONNECTED\ : STD_LOGIC_VECTOR ( 7 downto 0 ); signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_m_axis_tdest_UNCONNECTED\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_m_axis_tid_UNCONNECTED\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_m_axis_tkeep_UNCONNECTED\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_m_axis_tstrb_UNCONNECTED\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_m_axis_tuser_UNCONNECTED\ : STD_LOGIC_VECTOR ( 3 downto 0 ); signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_rd_data_count_UNCONNECTED\ : STD_LOGIC_VECTOR ( 9 downto 0 ); signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_s_axi_buser_UNCONNECTED\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_s_axi_ruser_UNCONNECTED\ : STD_LOGIC_VECTOR ( 0 to 0 ); signal \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_wr_data_count_UNCONNECTED\ : STD_LOGIC_VECTOR ( 9 downto 0 ); attribute C_ADD_NGC_CONSTRAINT : integer; attribute C_ADD_NGC_CONSTRAINT of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_APPLICATION_TYPE_AXIS : integer; attribute C_APPLICATION_TYPE_AXIS of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_APPLICATION_TYPE_RACH : integer; attribute C_APPLICATION_TYPE_RACH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_APPLICATION_TYPE_RDCH : integer; attribute C_APPLICATION_TYPE_RDCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_APPLICATION_TYPE_WACH : integer; attribute C_APPLICATION_TYPE_WACH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_APPLICATION_TYPE_WDCH : integer; attribute C_APPLICATION_TYPE_WDCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_APPLICATION_TYPE_WRCH : integer; attribute C_APPLICATION_TYPE_WRCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_AXIS_TDATA_WIDTH : integer; attribute C_AXIS_TDATA_WIDTH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 8; attribute C_AXIS_TDEST_WIDTH : integer; attribute C_AXIS_TDEST_WIDTH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 1; attribute C_AXIS_TID_WIDTH : integer; attribute C_AXIS_TID_WIDTH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 1; attribute C_AXIS_TKEEP_WIDTH : integer; attribute C_AXIS_TKEEP_WIDTH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 1; attribute C_AXIS_TSTRB_WIDTH : integer; attribute C_AXIS_TSTRB_WIDTH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 1; attribute C_AXIS_TUSER_WIDTH : integer; attribute C_AXIS_TUSER_WIDTH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 4; attribute C_AXIS_TYPE : integer; attribute C_AXIS_TYPE of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_AXI_ADDR_WIDTH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 32; attribute C_AXI_ARUSER_WIDTH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 1; attribute C_AXI_AWUSER_WIDTH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 1; attribute C_AXI_BUSER_WIDTH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 1; attribute C_AXI_DATA_WIDTH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 32; attribute C_AXI_ID_WIDTH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 4; attribute C_AXI_LEN_WIDTH : integer; attribute C_AXI_LEN_WIDTH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 8; attribute C_AXI_LOCK_WIDTH : integer; attribute C_AXI_LOCK_WIDTH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 1; attribute C_AXI_RUSER_WIDTH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 1; attribute C_AXI_TYPE : integer; attribute C_AXI_TYPE of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 1; attribute C_AXI_WUSER_WIDTH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 1; attribute C_COMMON_CLOCK : integer; attribute C_COMMON_CLOCK of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_COUNT_TYPE : integer; attribute C_COUNT_TYPE of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_DATA_COUNT_WIDTH : integer; attribute C_DATA_COUNT_WIDTH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 10; attribute C_DEFAULT_VALUE : string; attribute C_DEFAULT_VALUE of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is "BlankString"; attribute C_DIN_WIDTH : integer; attribute C_DIN_WIDTH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 18; attribute C_DIN_WIDTH_AXIS : integer; attribute C_DIN_WIDTH_AXIS of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 1; attribute C_DIN_WIDTH_RACH : integer; attribute C_DIN_WIDTH_RACH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 65; attribute C_DIN_WIDTH_RDCH : integer; attribute C_DIN_WIDTH_RDCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 39; attribute C_DIN_WIDTH_WACH : integer; attribute C_DIN_WIDTH_WACH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 65; attribute C_DIN_WIDTH_WDCH : integer; attribute C_DIN_WIDTH_WDCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 37; attribute C_DIN_WIDTH_WRCH : integer; attribute C_DIN_WIDTH_WRCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 6; attribute C_DOUT_RST_VAL : string; attribute C_DOUT_RST_VAL of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is "0"; attribute C_DOUT_WIDTH : integer; attribute C_DOUT_WIDTH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 18; attribute C_ENABLE_RLOCS : integer; attribute C_ENABLE_RLOCS of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_ENABLE_RST_SYNC : integer; attribute C_ENABLE_RST_SYNC of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 1; attribute C_EN_SAFETY_CKT : integer; attribute C_EN_SAFETY_CKT of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_ERROR_INJECTION_TYPE : integer; attribute C_ERROR_INJECTION_TYPE of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_ERROR_INJECTION_TYPE_AXIS : integer; attribute C_ERROR_INJECTION_TYPE_AXIS of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_ERROR_INJECTION_TYPE_RACH : integer; attribute C_ERROR_INJECTION_TYPE_RACH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_ERROR_INJECTION_TYPE_RDCH : integer; attribute C_ERROR_INJECTION_TYPE_RDCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_ERROR_INJECTION_TYPE_WACH : integer; attribute C_ERROR_INJECTION_TYPE_WACH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_ERROR_INJECTION_TYPE_WDCH : integer; attribute C_ERROR_INJECTION_TYPE_WDCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_ERROR_INJECTION_TYPE_WRCH : integer; attribute C_ERROR_INJECTION_TYPE_WRCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_FAMILY of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is "artix7"; attribute C_FULL_FLAGS_RST_VAL : integer; attribute C_FULL_FLAGS_RST_VAL of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 1; attribute C_HAS_ALMOST_EMPTY : integer; attribute C_HAS_ALMOST_EMPTY of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_HAS_ALMOST_FULL : integer; attribute C_HAS_ALMOST_FULL of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_HAS_AXIS_TDATA : integer; attribute C_HAS_AXIS_TDATA of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 1; attribute C_HAS_AXIS_TDEST : integer; attribute C_HAS_AXIS_TDEST of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_HAS_AXIS_TID : integer; attribute C_HAS_AXIS_TID of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_HAS_AXIS_TKEEP : integer; attribute C_HAS_AXIS_TKEEP of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_HAS_AXIS_TLAST : integer; attribute C_HAS_AXIS_TLAST of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_HAS_AXIS_TREADY : integer; attribute C_HAS_AXIS_TREADY of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 1; attribute C_HAS_AXIS_TSTRB : integer; attribute C_HAS_AXIS_TSTRB of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_HAS_AXIS_TUSER : integer; attribute C_HAS_AXIS_TUSER of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 1; attribute C_HAS_AXI_ARUSER : integer; attribute C_HAS_AXI_ARUSER of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_HAS_AXI_AWUSER : integer; attribute C_HAS_AXI_AWUSER of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_HAS_AXI_BUSER : integer; attribute C_HAS_AXI_BUSER of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_HAS_AXI_ID : integer; attribute C_HAS_AXI_ID of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 1; attribute C_HAS_AXI_RD_CHANNEL : integer; attribute C_HAS_AXI_RD_CHANNEL of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 1; attribute C_HAS_AXI_RUSER : integer; attribute C_HAS_AXI_RUSER of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_HAS_AXI_WR_CHANNEL : integer; attribute C_HAS_AXI_WR_CHANNEL of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 1; attribute C_HAS_AXI_WUSER : integer; attribute C_HAS_AXI_WUSER of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_HAS_BACKUP : integer; attribute C_HAS_BACKUP of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_HAS_DATA_COUNT : integer; attribute C_HAS_DATA_COUNT of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_HAS_DATA_COUNTS_AXIS : integer; attribute C_HAS_DATA_COUNTS_AXIS of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_HAS_DATA_COUNTS_RACH : integer; attribute C_HAS_DATA_COUNTS_RACH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_HAS_DATA_COUNTS_RDCH : integer; attribute C_HAS_DATA_COUNTS_RDCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_HAS_DATA_COUNTS_WACH : integer; attribute C_HAS_DATA_COUNTS_WACH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_HAS_DATA_COUNTS_WDCH : integer; attribute C_HAS_DATA_COUNTS_WDCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_HAS_DATA_COUNTS_WRCH : integer; attribute C_HAS_DATA_COUNTS_WRCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_HAS_INT_CLK : integer; attribute C_HAS_INT_CLK of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_HAS_MASTER_CE : integer; attribute C_HAS_MASTER_CE of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_HAS_MEMINIT_FILE : integer; attribute C_HAS_MEMINIT_FILE of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_HAS_OVERFLOW : integer; attribute C_HAS_OVERFLOW of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_HAS_PROG_FLAGS_AXIS : integer; attribute C_HAS_PROG_FLAGS_AXIS of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_HAS_PROG_FLAGS_RACH : integer; attribute C_HAS_PROG_FLAGS_RACH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_HAS_PROG_FLAGS_RDCH : integer; attribute C_HAS_PROG_FLAGS_RDCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_HAS_PROG_FLAGS_WACH : integer; attribute C_HAS_PROG_FLAGS_WACH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_HAS_PROG_FLAGS_WDCH : integer; attribute C_HAS_PROG_FLAGS_WDCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_HAS_PROG_FLAGS_WRCH : integer; attribute C_HAS_PROG_FLAGS_WRCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_HAS_RD_DATA_COUNT : integer; attribute C_HAS_RD_DATA_COUNT of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_HAS_RD_RST : integer; attribute C_HAS_RD_RST of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_HAS_RST : integer; attribute C_HAS_RST of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 1; attribute C_HAS_SLAVE_CE : integer; attribute C_HAS_SLAVE_CE of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_HAS_SRST : integer; attribute C_HAS_SRST of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_HAS_UNDERFLOW : integer; attribute C_HAS_UNDERFLOW of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_HAS_VALID : integer; attribute C_HAS_VALID of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_HAS_WR_ACK : integer; attribute C_HAS_WR_ACK of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_HAS_WR_DATA_COUNT : integer; attribute C_HAS_WR_DATA_COUNT of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_HAS_WR_RST : integer; attribute C_HAS_WR_RST of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_IMPLEMENTATION_TYPE : integer; attribute C_IMPLEMENTATION_TYPE of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_IMPLEMENTATION_TYPE_AXIS : integer; attribute C_IMPLEMENTATION_TYPE_AXIS of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 11; attribute C_IMPLEMENTATION_TYPE_RACH : integer; attribute C_IMPLEMENTATION_TYPE_RACH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 12; attribute C_IMPLEMENTATION_TYPE_RDCH : integer; attribute C_IMPLEMENTATION_TYPE_RDCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 12; attribute C_IMPLEMENTATION_TYPE_WACH : integer; attribute C_IMPLEMENTATION_TYPE_WACH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 12; attribute C_IMPLEMENTATION_TYPE_WDCH : integer; attribute C_IMPLEMENTATION_TYPE_WDCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 12; attribute C_IMPLEMENTATION_TYPE_WRCH : integer; attribute C_IMPLEMENTATION_TYPE_WRCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 12; attribute C_INIT_WR_PNTR_VAL : integer; attribute C_INIT_WR_PNTR_VAL of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_INTERFACE_TYPE : integer; attribute C_INTERFACE_TYPE of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 2; attribute C_MEMORY_TYPE : integer; attribute C_MEMORY_TYPE of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 1; attribute C_MIF_FILE_NAME : string; attribute C_MIF_FILE_NAME of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is "BlankString"; attribute C_MSGON_VAL : integer; attribute C_MSGON_VAL of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 1; attribute C_OPTIMIZATION_MODE : integer; attribute C_OPTIMIZATION_MODE of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_OVERFLOW_LOW : integer; attribute C_OVERFLOW_LOW of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_POWER_SAVING_MODE : integer; attribute C_POWER_SAVING_MODE of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_PRELOAD_LATENCY : integer; attribute C_PRELOAD_LATENCY of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 1; attribute C_PRELOAD_REGS : integer; attribute C_PRELOAD_REGS of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_PRIM_FIFO_TYPE : string; attribute C_PRIM_FIFO_TYPE of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is "4kx4"; attribute C_PRIM_FIFO_TYPE_AXIS : string; attribute C_PRIM_FIFO_TYPE_AXIS of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is "512x36"; attribute C_PRIM_FIFO_TYPE_RACH : string; attribute C_PRIM_FIFO_TYPE_RACH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is "512x36"; attribute C_PRIM_FIFO_TYPE_RDCH : string; attribute C_PRIM_FIFO_TYPE_RDCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is "512x36"; attribute C_PRIM_FIFO_TYPE_WACH : string; attribute C_PRIM_FIFO_TYPE_WACH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is "512x36"; attribute C_PRIM_FIFO_TYPE_WDCH : string; attribute C_PRIM_FIFO_TYPE_WDCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is "512x36"; attribute C_PRIM_FIFO_TYPE_WRCH : string; attribute C_PRIM_FIFO_TYPE_WRCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is "512x36"; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 2; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 1021; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 13; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 13; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 13; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 13; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH : integer; attribute C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 13; attribute C_PROG_EMPTY_THRESH_NEGATE_VAL : integer; attribute C_PROG_EMPTY_THRESH_NEGATE_VAL of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 3; attribute C_PROG_EMPTY_TYPE : integer; attribute C_PROG_EMPTY_TYPE of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_PROG_EMPTY_TYPE_AXIS : integer; attribute C_PROG_EMPTY_TYPE_AXIS of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_PROG_EMPTY_TYPE_RACH : integer; attribute C_PROG_EMPTY_TYPE_RACH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_PROG_EMPTY_TYPE_RDCH : integer; attribute C_PROG_EMPTY_TYPE_RDCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_PROG_EMPTY_TYPE_WACH : integer; attribute C_PROG_EMPTY_TYPE_WACH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_PROG_EMPTY_TYPE_WDCH : integer; attribute C_PROG_EMPTY_TYPE_WDCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_PROG_EMPTY_TYPE_WRCH : integer; attribute C_PROG_EMPTY_TYPE_WRCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_PROG_FULL_THRESH_ASSERT_VAL : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 1022; attribute C_PROG_FULL_THRESH_ASSERT_VAL_AXIS : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL_AXIS of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 1023; attribute C_PROG_FULL_THRESH_ASSERT_VAL_RACH : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL_RACH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 15; attribute C_PROG_FULL_THRESH_ASSERT_VAL_RDCH : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL_RDCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 15; attribute C_PROG_FULL_THRESH_ASSERT_VAL_WACH : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL_WACH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 15; attribute C_PROG_FULL_THRESH_ASSERT_VAL_WDCH : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL_WDCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 15; attribute C_PROG_FULL_THRESH_ASSERT_VAL_WRCH : integer; attribute C_PROG_FULL_THRESH_ASSERT_VAL_WRCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 15; attribute C_PROG_FULL_THRESH_NEGATE_VAL : integer; attribute C_PROG_FULL_THRESH_NEGATE_VAL of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 1021; attribute C_PROG_FULL_TYPE : integer; attribute C_PROG_FULL_TYPE of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_PROG_FULL_TYPE_AXIS : integer; attribute C_PROG_FULL_TYPE_AXIS of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_PROG_FULL_TYPE_RACH : integer; attribute C_PROG_FULL_TYPE_RACH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_PROG_FULL_TYPE_RDCH : integer; attribute C_PROG_FULL_TYPE_RDCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_PROG_FULL_TYPE_WACH : integer; attribute C_PROG_FULL_TYPE_WACH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_PROG_FULL_TYPE_WDCH : integer; attribute C_PROG_FULL_TYPE_WDCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_PROG_FULL_TYPE_WRCH : integer; attribute C_PROG_FULL_TYPE_WRCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_RACH_TYPE : integer; attribute C_RACH_TYPE of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_RDCH_TYPE : integer; attribute C_RDCH_TYPE of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_RD_DATA_COUNT_WIDTH : integer; attribute C_RD_DATA_COUNT_WIDTH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 10; attribute C_RD_DEPTH : integer; attribute C_RD_DEPTH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 1024; attribute C_RD_FREQ : integer; attribute C_RD_FREQ of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 1; attribute C_RD_PNTR_WIDTH : integer; attribute C_RD_PNTR_WIDTH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 10; attribute C_REG_SLICE_MODE_AXIS : integer; attribute C_REG_SLICE_MODE_AXIS of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_REG_SLICE_MODE_RACH : integer; attribute C_REG_SLICE_MODE_RACH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_REG_SLICE_MODE_RDCH : integer; attribute C_REG_SLICE_MODE_RDCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_REG_SLICE_MODE_WACH : integer; attribute C_REG_SLICE_MODE_WACH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_REG_SLICE_MODE_WDCH : integer; attribute C_REG_SLICE_MODE_WDCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_REG_SLICE_MODE_WRCH : integer; attribute C_REG_SLICE_MODE_WRCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_SELECT_XPM : integer; attribute C_SELECT_XPM of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_SYNCHRONIZER_STAGE of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 3; attribute C_UNDERFLOW_LOW : integer; attribute C_UNDERFLOW_LOW of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_USE_COMMON_OVERFLOW : integer; attribute C_USE_COMMON_OVERFLOW of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_USE_COMMON_UNDERFLOW : integer; attribute C_USE_COMMON_UNDERFLOW of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_USE_DEFAULT_SETTINGS : integer; attribute C_USE_DEFAULT_SETTINGS of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_USE_DOUT_RST : integer; attribute C_USE_DOUT_RST of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 1; attribute C_USE_ECC : integer; attribute C_USE_ECC of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_USE_ECC_AXIS : integer; attribute C_USE_ECC_AXIS of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_USE_ECC_RACH : integer; attribute C_USE_ECC_RACH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_USE_ECC_RDCH : integer; attribute C_USE_ECC_RDCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_USE_ECC_WACH : integer; attribute C_USE_ECC_WACH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_USE_ECC_WDCH : integer; attribute C_USE_ECC_WDCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_USE_ECC_WRCH : integer; attribute C_USE_ECC_WRCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_USE_EMBEDDED_REG : integer; attribute C_USE_EMBEDDED_REG of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_USE_FIFO16_FLAGS : integer; attribute C_USE_FIFO16_FLAGS of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_USE_FWFT_DATA_COUNT : integer; attribute C_USE_FWFT_DATA_COUNT of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_USE_PIPELINE_REG : integer; attribute C_USE_PIPELINE_REG of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_VALID_LOW : integer; attribute C_VALID_LOW of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_WACH_TYPE : integer; attribute C_WACH_TYPE of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_WDCH_TYPE : integer; attribute C_WDCH_TYPE of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_WRCH_TYPE : integer; attribute C_WRCH_TYPE of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_WR_ACK_LOW : integer; attribute C_WR_ACK_LOW of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 0; attribute C_WR_DATA_COUNT_WIDTH : integer; attribute C_WR_DATA_COUNT_WIDTH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 10; attribute C_WR_DEPTH : integer; attribute C_WR_DEPTH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 1024; attribute C_WR_DEPTH_AXIS : integer; attribute C_WR_DEPTH_AXIS of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 1024; attribute C_WR_DEPTH_RACH : integer; attribute C_WR_DEPTH_RACH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 16; attribute C_WR_DEPTH_RDCH : integer; attribute C_WR_DEPTH_RDCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 16; attribute C_WR_DEPTH_WACH : integer; attribute C_WR_DEPTH_WACH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 16; attribute C_WR_DEPTH_WDCH : integer; attribute C_WR_DEPTH_WDCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 16; attribute C_WR_DEPTH_WRCH : integer; attribute C_WR_DEPTH_WRCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 16; attribute C_WR_FREQ : integer; attribute C_WR_FREQ of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 1; attribute C_WR_PNTR_WIDTH : integer; attribute C_WR_PNTR_WIDTH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 10; attribute C_WR_PNTR_WIDTH_AXIS : integer; attribute C_WR_PNTR_WIDTH_AXIS of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 10; attribute C_WR_PNTR_WIDTH_RACH : integer; attribute C_WR_PNTR_WIDTH_RACH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 4; attribute C_WR_PNTR_WIDTH_RDCH : integer; attribute C_WR_PNTR_WIDTH_RDCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 4; attribute C_WR_PNTR_WIDTH_WACH : integer; attribute C_WR_PNTR_WIDTH_WACH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 4; attribute C_WR_PNTR_WIDTH_WDCH : integer; attribute C_WR_PNTR_WIDTH_WDCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 4; attribute C_WR_PNTR_WIDTH_WRCH : integer; attribute C_WR_PNTR_WIDTH_WRCH of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 4; attribute C_WR_RESPONSE_LATENCY : integer; attribute C_WR_RESPONSE_LATENCY of \gen_clock_conv.gen_async_conv.asyncfifo_axi\ : label is 1; begin m_axi_aruser(0) <= \<const0>\; m_axi_awuser(0) <= \<const0>\; m_axi_wid(3) <= \<const0>\; m_axi_wid(2) <= \<const0>\; m_axi_wid(1) <= \<const0>\; m_axi_wid(0) <= \<const0>\; m_axi_wuser(0) <= \<const0>\; s_axi_buser(0) <= \<const0>\; s_axi_ruser(0) <= \<const0>\; GND: unisim.vcomponents.GND port map ( G => \<const0>\ ); \gen_clock_conv.gen_async_conv.asyncfifo_axi\: entity work.mig_wrap_auto_cc_0_fifo_generator_v13_1_3 port map ( almost_empty => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_almost_empty_UNCONNECTED\, almost_full => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_almost_full_UNCONNECTED\, axi_ar_data_count(4 downto 0) => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_ar_data_count_UNCONNECTED\(4 downto 0), axi_ar_dbiterr => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_ar_dbiterr_UNCONNECTED\, axi_ar_injectdbiterr => '0', axi_ar_injectsbiterr => '0', axi_ar_overflow => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_ar_overflow_UNCONNECTED\, axi_ar_prog_empty => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_ar_prog_empty_UNCONNECTED\, axi_ar_prog_empty_thresh(3 downto 0) => B"0000", axi_ar_prog_full => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_ar_prog_full_UNCONNECTED\, axi_ar_prog_full_thresh(3 downto 0) => B"0000", axi_ar_rd_data_count(4 downto 0) => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_ar_rd_data_count_UNCONNECTED\(4 downto 0), axi_ar_sbiterr => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_ar_sbiterr_UNCONNECTED\, axi_ar_underflow => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_ar_underflow_UNCONNECTED\, axi_ar_wr_data_count(4 downto 0) => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_ar_wr_data_count_UNCONNECTED\(4 downto 0), axi_aw_data_count(4 downto 0) => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_aw_data_count_UNCONNECTED\(4 downto 0), axi_aw_dbiterr => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_aw_dbiterr_UNCONNECTED\, axi_aw_injectdbiterr => '0', axi_aw_injectsbiterr => '0', axi_aw_overflow => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_aw_overflow_UNCONNECTED\, axi_aw_prog_empty => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_aw_prog_empty_UNCONNECTED\, axi_aw_prog_empty_thresh(3 downto 0) => B"0000", axi_aw_prog_full => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_aw_prog_full_UNCONNECTED\, axi_aw_prog_full_thresh(3 downto 0) => B"0000", axi_aw_rd_data_count(4 downto 0) => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_aw_rd_data_count_UNCONNECTED\(4 downto 0), axi_aw_sbiterr => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_aw_sbiterr_UNCONNECTED\, axi_aw_underflow => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_aw_underflow_UNCONNECTED\, axi_aw_wr_data_count(4 downto 0) => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_aw_wr_data_count_UNCONNECTED\(4 downto 0), axi_b_data_count(4 downto 0) => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_b_data_count_UNCONNECTED\(4 downto 0), axi_b_dbiterr => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_b_dbiterr_UNCONNECTED\, axi_b_injectdbiterr => '0', axi_b_injectsbiterr => '0', axi_b_overflow => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_b_overflow_UNCONNECTED\, axi_b_prog_empty => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_b_prog_empty_UNCONNECTED\, axi_b_prog_empty_thresh(3 downto 0) => B"0000", axi_b_prog_full => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_b_prog_full_UNCONNECTED\, axi_b_prog_full_thresh(3 downto 0) => B"0000", axi_b_rd_data_count(4 downto 0) => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_b_rd_data_count_UNCONNECTED\(4 downto 0), axi_b_sbiterr => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_b_sbiterr_UNCONNECTED\, axi_b_underflow => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_b_underflow_UNCONNECTED\, axi_b_wr_data_count(4 downto 0) => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_b_wr_data_count_UNCONNECTED\(4 downto 0), axi_r_data_count(4 downto 0) => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_r_data_count_UNCONNECTED\(4 downto 0), axi_r_dbiterr => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_r_dbiterr_UNCONNECTED\, axi_r_injectdbiterr => '0', axi_r_injectsbiterr => '0', axi_r_overflow => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_r_overflow_UNCONNECTED\, axi_r_prog_empty => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_r_prog_empty_UNCONNECTED\, axi_r_prog_empty_thresh(3 downto 0) => B"0000", axi_r_prog_full => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_r_prog_full_UNCONNECTED\, axi_r_prog_full_thresh(3 downto 0) => B"0000", axi_r_rd_data_count(4 downto 0) => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_r_rd_data_count_UNCONNECTED\(4 downto 0), axi_r_sbiterr => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_r_sbiterr_UNCONNECTED\, axi_r_underflow => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_r_underflow_UNCONNECTED\, axi_r_wr_data_count(4 downto 0) => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_r_wr_data_count_UNCONNECTED\(4 downto 0), axi_w_data_count(4 downto 0) => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_w_data_count_UNCONNECTED\(4 downto 0), axi_w_dbiterr => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_w_dbiterr_UNCONNECTED\, axi_w_injectdbiterr => '0', axi_w_injectsbiterr => '0', axi_w_overflow => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_w_overflow_UNCONNECTED\, axi_w_prog_empty => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_w_prog_empty_UNCONNECTED\, axi_w_prog_empty_thresh(3 downto 0) => B"0000", axi_w_prog_full => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_w_prog_full_UNCONNECTED\, axi_w_prog_full_thresh(3 downto 0) => B"0000", axi_w_rd_data_count(4 downto 0) => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_w_rd_data_count_UNCONNECTED\(4 downto 0), axi_w_sbiterr => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_w_sbiterr_UNCONNECTED\, axi_w_underflow => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_w_underflow_UNCONNECTED\, axi_w_wr_data_count(4 downto 0) => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axi_w_wr_data_count_UNCONNECTED\(4 downto 0), axis_data_count(10 downto 0) => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axis_data_count_UNCONNECTED\(10 downto 0), axis_dbiterr => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axis_dbiterr_UNCONNECTED\, axis_injectdbiterr => '0', axis_injectsbiterr => '0', axis_overflow => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axis_overflow_UNCONNECTED\, axis_prog_empty => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axis_prog_empty_UNCONNECTED\, axis_prog_empty_thresh(9 downto 0) => B"0000000000", axis_prog_full => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axis_prog_full_UNCONNECTED\, axis_prog_full_thresh(9 downto 0) => B"0000000000", axis_rd_data_count(10 downto 0) => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axis_rd_data_count_UNCONNECTED\(10 downto 0), axis_sbiterr => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axis_sbiterr_UNCONNECTED\, axis_underflow => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axis_underflow_UNCONNECTED\, axis_wr_data_count(10 downto 0) => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_axis_wr_data_count_UNCONNECTED\(10 downto 0), backup => '0', backup_marker => '0', clk => '0', data_count(9 downto 0) => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_data_count_UNCONNECTED\(9 downto 0), dbiterr => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_dbiterr_UNCONNECTED\, din(17 downto 0) => B"000000000000000000", dout(17 downto 0) => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_dout_UNCONNECTED\(17 downto 0), empty => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_empty_UNCONNECTED\, full => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_full_UNCONNECTED\, injectdbiterr => '0', injectsbiterr => '0', int_clk => '0', m_aclk => m_axi_aclk, m_aclk_en => '1', m_axi_araddr(31 downto 0) => m_axi_araddr(31 downto 0), m_axi_arburst(1 downto 0) => m_axi_arburst(1 downto 0), m_axi_arcache(3 downto 0) => m_axi_arcache(3 downto 0), m_axi_arid(3 downto 0) => m_axi_arid(3 downto 0), m_axi_arlen(7 downto 0) => m_axi_arlen(7 downto 0), m_axi_arlock(0) => m_axi_arlock(0), m_axi_arprot(2 downto 0) => m_axi_arprot(2 downto 0), m_axi_arqos(3 downto 0) => m_axi_arqos(3 downto 0), m_axi_arready => m_axi_arready, m_axi_arregion(3 downto 0) => m_axi_arregion(3 downto 0), m_axi_arsize(2 downto 0) => m_axi_arsize(2 downto 0), m_axi_aruser(0) => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_m_axi_aruser_UNCONNECTED\(0), m_axi_arvalid => m_axi_arvalid, m_axi_awaddr(31 downto 0) => m_axi_awaddr(31 downto 0), m_axi_awburst(1 downto 0) => m_axi_awburst(1 downto 0), m_axi_awcache(3 downto 0) => m_axi_awcache(3 downto 0), m_axi_awid(3 downto 0) => m_axi_awid(3 downto 0), m_axi_awlen(7 downto 0) => m_axi_awlen(7 downto 0), m_axi_awlock(0) => m_axi_awlock(0), m_axi_awprot(2 downto 0) => m_axi_awprot(2 downto 0), m_axi_awqos(3 downto 0) => m_axi_awqos(3 downto 0), m_axi_awready => m_axi_awready, m_axi_awregion(3 downto 0) => m_axi_awregion(3 downto 0), m_axi_awsize(2 downto 0) => m_axi_awsize(2 downto 0), m_axi_awuser(0) => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_m_axi_awuser_UNCONNECTED\(0), m_axi_awvalid => m_axi_awvalid, m_axi_bid(3 downto 0) => m_axi_bid(3 downto 0), m_axi_bready => m_axi_bready, m_axi_bresp(1 downto 0) => m_axi_bresp(1 downto 0), m_axi_buser(0) => '0', m_axi_bvalid => m_axi_bvalid, m_axi_rdata(31 downto 0) => m_axi_rdata(31 downto 0), m_axi_rid(3 downto 0) => m_axi_rid(3 downto 0), m_axi_rlast => m_axi_rlast, m_axi_rready => m_axi_rready, m_axi_rresp(1 downto 0) => m_axi_rresp(1 downto 0), m_axi_ruser(0) => '0', m_axi_rvalid => m_axi_rvalid, m_axi_wdata(31 downto 0) => m_axi_wdata(31 downto 0), m_axi_wid(3 downto 0) => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_m_axi_wid_UNCONNECTED\(3 downto 0), m_axi_wlast => m_axi_wlast, m_axi_wready => m_axi_wready, m_axi_wstrb(3 downto 0) => m_axi_wstrb(3 downto 0), m_axi_wuser(0) => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_m_axi_wuser_UNCONNECTED\(0), m_axi_wvalid => m_axi_wvalid, m_axis_tdata(7 downto 0) => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_m_axis_tdata_UNCONNECTED\(7 downto 0), m_axis_tdest(0) => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_m_axis_tdest_UNCONNECTED\(0), m_axis_tid(0) => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_m_axis_tid_UNCONNECTED\(0), m_axis_tkeep(0) => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_m_axis_tkeep_UNCONNECTED\(0), m_axis_tlast => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_m_axis_tlast_UNCONNECTED\, m_axis_tready => '0', m_axis_tstrb(0) => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_m_axis_tstrb_UNCONNECTED\(0), m_axis_tuser(3 downto 0) => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_m_axis_tuser_UNCONNECTED\(3 downto 0), m_axis_tvalid => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_m_axis_tvalid_UNCONNECTED\, overflow => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_overflow_UNCONNECTED\, prog_empty => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_prog_empty_UNCONNECTED\, prog_empty_thresh(9 downto 0) => B"0000000000", prog_empty_thresh_assert(9 downto 0) => B"0000000000", prog_empty_thresh_negate(9 downto 0) => B"0000000000", prog_full => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_prog_full_UNCONNECTED\, prog_full_thresh(9 downto 0) => B"0000000000", prog_full_thresh_assert(9 downto 0) => B"0000000000", prog_full_thresh_negate(9 downto 0) => B"0000000000", rd_clk => '0', rd_data_count(9 downto 0) => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_rd_data_count_UNCONNECTED\(9 downto 0), rd_en => '0', rd_rst => '0', rd_rst_busy => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_rd_rst_busy_UNCONNECTED\, rst => '0', s_aclk => s_axi_aclk, s_aclk_en => '1', s_aresetn => async_conv_reset_n, s_axi_araddr(31 downto 0) => s_axi_araddr(31 downto 0), s_axi_arburst(1 downto 0) => s_axi_arburst(1 downto 0), s_axi_arcache(3 downto 0) => s_axi_arcache(3 downto 0), s_axi_arid(3 downto 0) => s_axi_arid(3 downto 0), s_axi_arlen(7 downto 0) => s_axi_arlen(7 downto 0), s_axi_arlock(0) => s_axi_arlock(0), s_axi_arprot(2 downto 0) => s_axi_arprot(2 downto 0), s_axi_arqos(3 downto 0) => s_axi_arqos(3 downto 0), s_axi_arready => s_axi_arready, s_axi_arregion(3 downto 0) => s_axi_arregion(3 downto 0), s_axi_arsize(2 downto 0) => s_axi_arsize(2 downto 0), s_axi_aruser(0) => '0', s_axi_arvalid => s_axi_arvalid, s_axi_awaddr(31 downto 0) => s_axi_awaddr(31 downto 0), s_axi_awburst(1 downto 0) => s_axi_awburst(1 downto 0), s_axi_awcache(3 downto 0) => s_axi_awcache(3 downto 0), s_axi_awid(3 downto 0) => s_axi_awid(3 downto 0), s_axi_awlen(7 downto 0) => s_axi_awlen(7 downto 0), s_axi_awlock(0) => s_axi_awlock(0), s_axi_awprot(2 downto 0) => s_axi_awprot(2 downto 0), s_axi_awqos(3 downto 0) => s_axi_awqos(3 downto 0), s_axi_awready => s_axi_awready, s_axi_awregion(3 downto 0) => s_axi_awregion(3 downto 0), s_axi_awsize(2 downto 0) => s_axi_awsize(2 downto 0), s_axi_awuser(0) => '0', s_axi_awvalid => s_axi_awvalid, s_axi_bid(3 downto 0) => s_axi_bid(3 downto 0), s_axi_bready => s_axi_bready, s_axi_bresp(1 downto 0) => s_axi_bresp(1 downto 0), s_axi_buser(0) => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_s_axi_buser_UNCONNECTED\(0), s_axi_bvalid => s_axi_bvalid, s_axi_rdata(31 downto 0) => s_axi_rdata(31 downto 0), s_axi_rid(3 downto 0) => s_axi_rid(3 downto 0), s_axi_rlast => s_axi_rlast, s_axi_rready => s_axi_rready, s_axi_rresp(1 downto 0) => s_axi_rresp(1 downto 0), s_axi_ruser(0) => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_s_axi_ruser_UNCONNECTED\(0), s_axi_rvalid => s_axi_rvalid, s_axi_wdata(31 downto 0) => s_axi_wdata(31 downto 0), s_axi_wid(3 downto 0) => B"0000", s_axi_wlast => s_axi_wlast, s_axi_wready => s_axi_wready, s_axi_wstrb(3 downto 0) => s_axi_wstrb(3 downto 0), s_axi_wuser(0) => '0', s_axi_wvalid => s_axi_wvalid, s_axis_tdata(7 downto 0) => B"00000000", s_axis_tdest(0) => '0', s_axis_tid(0) => '0', s_axis_tkeep(0) => '0', s_axis_tlast => '0', s_axis_tready => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_s_axis_tready_UNCONNECTED\, s_axis_tstrb(0) => '0', s_axis_tuser(3 downto 0) => B"0000", s_axis_tvalid => '0', sbiterr => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_sbiterr_UNCONNECTED\, sleep => '0', srst => '0', underflow => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_underflow_UNCONNECTED\, valid => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_valid_UNCONNECTED\, wr_ack => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_wr_ack_UNCONNECTED\, wr_clk => '0', wr_data_count(9 downto 0) => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_wr_data_count_UNCONNECTED\(9 downto 0), wr_en => '0', wr_rst => '0', wr_rst_busy => \NLW_gen_clock_conv.gen_async_conv.asyncfifo_axi_wr_rst_busy_UNCONNECTED\ ); \gen_clock_conv.gen_async_conv.asyncfifo_axi_i_1\: unisim.vcomponents.LUT2 generic map( INIT => X"8" ) port map ( I0 => s_axi_aresetn, I1 => m_axi_aresetn, O => async_conv_reset_n ); end STRUCTURE; library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity mig_wrap_auto_cc_0 is port ( s_axi_aclk : in STD_LOGIC; s_axi_aresetn : in STD_LOGIC; s_axi_awid : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_awlock : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_awregion : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_awvalid : in STD_LOGIC; s_axi_awready : out STD_LOGIC; s_axi_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_wlast : in STD_LOGIC; s_axi_wvalid : in STD_LOGIC; s_axi_wready : out STD_LOGIC; s_axi_bid : out STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_bvalid : out STD_LOGIC; s_axi_bready : in STD_LOGIC; s_axi_arid : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); s_axi_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_arlock : in STD_LOGIC_VECTOR ( 0 to 0 ); s_axi_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); s_axi_arregion : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_arvalid : in STD_LOGIC; s_axi_arready : out STD_LOGIC; s_axi_rid : out STD_LOGIC_VECTOR ( 3 downto 0 ); s_axi_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); s_axi_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); s_axi_rlast : out STD_LOGIC; s_axi_rvalid : out STD_LOGIC; s_axi_rready : in STD_LOGIC; m_axi_aclk : in STD_LOGIC; m_axi_aresetn : in STD_LOGIC; m_axi_awid : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awaddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_awlen : out STD_LOGIC_VECTOR ( 7 downto 0 ); m_axi_awsize : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_awburst : out STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_awlock : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_awcache : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_awregion : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awqos : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_awvalid : out STD_LOGIC; m_axi_awready : in STD_LOGIC; m_axi_wdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_wstrb : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_wlast : out STD_LOGIC; m_axi_wvalid : out STD_LOGIC; m_axi_wready : in STD_LOGIC; m_axi_bid : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_bresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_bvalid : in STD_LOGIC; m_axi_bready : out STD_LOGIC; m_axi_arid : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_araddr : out STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_arlen : out STD_LOGIC_VECTOR ( 7 downto 0 ); m_axi_arsize : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_arburst : out STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_arlock : out STD_LOGIC_VECTOR ( 0 to 0 ); m_axi_arcache : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_arprot : out STD_LOGIC_VECTOR ( 2 downto 0 ); m_axi_arregion : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_arqos : out STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_arvalid : out STD_LOGIC; m_axi_arready : in STD_LOGIC; m_axi_rid : in STD_LOGIC_VECTOR ( 3 downto 0 ); m_axi_rdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); m_axi_rresp : in STD_LOGIC_VECTOR ( 1 downto 0 ); m_axi_rlast : in STD_LOGIC; m_axi_rvalid : in STD_LOGIC; m_axi_rready : out STD_LOGIC ); attribute NotValidForBitStream : boolean; attribute NotValidForBitStream of mig_wrap_auto_cc_0 : entity is true; attribute CHECK_LICENSE_TYPE : string; attribute CHECK_LICENSE_TYPE of mig_wrap_auto_cc_0 : entity is "mig_wrap_auto_cc_0,axi_clock_converter_v2_1_10_axi_clock_converter,{}"; attribute DowngradeIPIdentifiedWarnings : string; attribute DowngradeIPIdentifiedWarnings of mig_wrap_auto_cc_0 : entity is "yes"; attribute X_CORE_INFO : string; attribute X_CORE_INFO of mig_wrap_auto_cc_0 : entity is "axi_clock_converter_v2_1_10_axi_clock_converter,Vivado 2016.4"; end mig_wrap_auto_cc_0; architecture STRUCTURE of mig_wrap_auto_cc_0 is signal NLW_inst_m_axi_aruser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_m_axi_awuser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_m_axi_wid_UNCONNECTED : STD_LOGIC_VECTOR ( 3 downto 0 ); signal NLW_inst_m_axi_wuser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_s_axi_buser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); signal NLW_inst_s_axi_ruser_UNCONNECTED : STD_LOGIC_VECTOR ( 0 to 0 ); attribute C_ARADDR_RIGHT : integer; attribute C_ARADDR_RIGHT of inst : label is 29; attribute C_ARADDR_WIDTH : integer; attribute C_ARADDR_WIDTH of inst : label is 32; attribute C_ARBURST_RIGHT : integer; attribute C_ARBURST_RIGHT of inst : label is 16; attribute C_ARBURST_WIDTH : integer; attribute C_ARBURST_WIDTH of inst : label is 2; attribute C_ARCACHE_RIGHT : integer; attribute C_ARCACHE_RIGHT of inst : label is 11; attribute C_ARCACHE_WIDTH : integer; attribute C_ARCACHE_WIDTH of inst : label is 4; attribute C_ARID_RIGHT : integer; attribute C_ARID_RIGHT of inst : label is 61; attribute C_ARID_WIDTH : integer; attribute C_ARID_WIDTH of inst : label is 4; attribute C_ARLEN_RIGHT : integer; attribute C_ARLEN_RIGHT of inst : label is 21; attribute C_ARLEN_WIDTH : integer; attribute C_ARLEN_WIDTH of inst : label is 8; attribute C_ARLOCK_RIGHT : integer; attribute C_ARLOCK_RIGHT of inst : label is 15; attribute C_ARLOCK_WIDTH : integer; attribute C_ARLOCK_WIDTH of inst : label is 1; attribute C_ARPROT_RIGHT : integer; attribute C_ARPROT_RIGHT of inst : label is 8; attribute C_ARPROT_WIDTH : integer; attribute C_ARPROT_WIDTH of inst : label is 3; attribute C_ARQOS_RIGHT : integer; attribute C_ARQOS_RIGHT of inst : label is 0; attribute C_ARQOS_WIDTH : integer; attribute C_ARQOS_WIDTH of inst : label is 4; attribute C_ARREGION_RIGHT : integer; attribute C_ARREGION_RIGHT of inst : label is 4; attribute C_ARREGION_WIDTH : integer; attribute C_ARREGION_WIDTH of inst : label is 4; attribute C_ARSIZE_RIGHT : integer; attribute C_ARSIZE_RIGHT of inst : label is 18; attribute C_ARSIZE_WIDTH : integer; attribute C_ARSIZE_WIDTH of inst : label is 3; attribute C_ARUSER_RIGHT : integer; attribute C_ARUSER_RIGHT of inst : label is 0; attribute C_ARUSER_WIDTH : integer; attribute C_ARUSER_WIDTH of inst : label is 0; attribute C_AR_WIDTH : integer; attribute C_AR_WIDTH of inst : label is 65; attribute C_AWADDR_RIGHT : integer; attribute C_AWADDR_RIGHT of inst : label is 29; attribute C_AWADDR_WIDTH : integer; attribute C_AWADDR_WIDTH of inst : label is 32; attribute C_AWBURST_RIGHT : integer; attribute C_AWBURST_RIGHT of inst : label is 16; attribute C_AWBURST_WIDTH : integer; attribute C_AWBURST_WIDTH of inst : label is 2; attribute C_AWCACHE_RIGHT : integer; attribute C_AWCACHE_RIGHT of inst : label is 11; attribute C_AWCACHE_WIDTH : integer; attribute C_AWCACHE_WIDTH of inst : label is 4; attribute C_AWID_RIGHT : integer; attribute C_AWID_RIGHT of inst : label is 61; attribute C_AWID_WIDTH : integer; attribute C_AWID_WIDTH of inst : label is 4; attribute C_AWLEN_RIGHT : integer; attribute C_AWLEN_RIGHT of inst : label is 21; attribute C_AWLEN_WIDTH : integer; attribute C_AWLEN_WIDTH of inst : label is 8; attribute C_AWLOCK_RIGHT : integer; attribute C_AWLOCK_RIGHT of inst : label is 15; attribute C_AWLOCK_WIDTH : integer; attribute C_AWLOCK_WIDTH of inst : label is 1; attribute C_AWPROT_RIGHT : integer; attribute C_AWPROT_RIGHT of inst : label is 8; attribute C_AWPROT_WIDTH : integer; attribute C_AWPROT_WIDTH of inst : label is 3; attribute C_AWQOS_RIGHT : integer; attribute C_AWQOS_RIGHT of inst : label is 0; attribute C_AWQOS_WIDTH : integer; attribute C_AWQOS_WIDTH of inst : label is 4; attribute C_AWREGION_RIGHT : integer; attribute C_AWREGION_RIGHT of inst : label is 4; attribute C_AWREGION_WIDTH : integer; attribute C_AWREGION_WIDTH of inst : label is 4; attribute C_AWSIZE_RIGHT : integer; attribute C_AWSIZE_RIGHT of inst : label is 18; attribute C_AWSIZE_WIDTH : integer; attribute C_AWSIZE_WIDTH of inst : label is 3; attribute C_AWUSER_RIGHT : integer; attribute C_AWUSER_RIGHT of inst : label is 0; attribute C_AWUSER_WIDTH : integer; attribute C_AWUSER_WIDTH of inst : label is 0; attribute C_AW_WIDTH : integer; attribute C_AW_WIDTH of inst : label is 65; attribute C_AXI_ADDR_WIDTH : integer; attribute C_AXI_ADDR_WIDTH of inst : label is 32; attribute C_AXI_ARUSER_WIDTH : integer; attribute C_AXI_ARUSER_WIDTH of inst : label is 1; attribute C_AXI_AWUSER_WIDTH : integer; attribute C_AXI_AWUSER_WIDTH of inst : label is 1; attribute C_AXI_BUSER_WIDTH : integer; attribute C_AXI_BUSER_WIDTH of inst : label is 1; attribute C_AXI_DATA_WIDTH : integer; attribute C_AXI_DATA_WIDTH of inst : label is 32; attribute C_AXI_ID_WIDTH : integer; attribute C_AXI_ID_WIDTH of inst : label is 4; attribute C_AXI_IS_ACLK_ASYNC : integer; attribute C_AXI_IS_ACLK_ASYNC of inst : label is 1; attribute C_AXI_PROTOCOL : integer; attribute C_AXI_PROTOCOL of inst : label is 0; attribute C_AXI_RUSER_WIDTH : integer; attribute C_AXI_RUSER_WIDTH of inst : label is 1; attribute C_AXI_SUPPORTS_READ : integer; attribute C_AXI_SUPPORTS_READ of inst : label is 1; attribute C_AXI_SUPPORTS_USER_SIGNALS : integer; attribute C_AXI_SUPPORTS_USER_SIGNALS of inst : label is 0; attribute C_AXI_SUPPORTS_WRITE : integer; attribute C_AXI_SUPPORTS_WRITE of inst : label is 1; attribute C_AXI_WUSER_WIDTH : integer; attribute C_AXI_WUSER_WIDTH of inst : label is 1; attribute C_BID_RIGHT : integer; attribute C_BID_RIGHT of inst : label is 2; attribute C_BID_WIDTH : integer; attribute C_BID_WIDTH of inst : label is 4; attribute C_BRESP_RIGHT : integer; attribute C_BRESP_RIGHT of inst : label is 0; attribute C_BRESP_WIDTH : integer; attribute C_BRESP_WIDTH of inst : label is 2; attribute C_BUSER_RIGHT : integer; attribute C_BUSER_RIGHT of inst : label is 0; attribute C_BUSER_WIDTH : integer; attribute C_BUSER_WIDTH of inst : label is 0; attribute C_B_WIDTH : integer; attribute C_B_WIDTH of inst : label is 6; attribute C_FAMILY : string; attribute C_FAMILY of inst : label is "artix7"; attribute C_FIFO_AR_WIDTH : integer; attribute C_FIFO_AR_WIDTH of inst : label is 65; attribute C_FIFO_AW_WIDTH : integer; attribute C_FIFO_AW_WIDTH of inst : label is 65; attribute C_FIFO_B_WIDTH : integer; attribute C_FIFO_B_WIDTH of inst : label is 6; attribute C_FIFO_R_WIDTH : integer; attribute C_FIFO_R_WIDTH of inst : label is 39; attribute C_FIFO_W_WIDTH : integer; attribute C_FIFO_W_WIDTH of inst : label is 37; attribute C_M_AXI_ACLK_RATIO : integer; attribute C_M_AXI_ACLK_RATIO of inst : label is 2; attribute C_RDATA_RIGHT : integer; attribute C_RDATA_RIGHT of inst : label is 3; attribute C_RDATA_WIDTH : integer; attribute C_RDATA_WIDTH of inst : label is 32; attribute C_RID_RIGHT : integer; attribute C_RID_RIGHT of inst : label is 35; attribute C_RID_WIDTH : integer; attribute C_RID_WIDTH of inst : label is 4; attribute C_RLAST_RIGHT : integer; attribute C_RLAST_RIGHT of inst : label is 0; attribute C_RLAST_WIDTH : integer; attribute C_RLAST_WIDTH of inst : label is 1; attribute C_RRESP_RIGHT : integer; attribute C_RRESP_RIGHT of inst : label is 1; attribute C_RRESP_WIDTH : integer; attribute C_RRESP_WIDTH of inst : label is 2; attribute C_RUSER_RIGHT : integer; attribute C_RUSER_RIGHT of inst : label is 0; attribute C_RUSER_WIDTH : integer; attribute C_RUSER_WIDTH of inst : label is 0; attribute C_R_WIDTH : integer; attribute C_R_WIDTH of inst : label is 39; attribute C_SYNCHRONIZER_STAGE : integer; attribute C_SYNCHRONIZER_STAGE of inst : label is 3; attribute C_S_AXI_ACLK_RATIO : integer; attribute C_S_AXI_ACLK_RATIO of inst : label is 1; attribute C_WDATA_RIGHT : integer; attribute C_WDATA_RIGHT of inst : label is 5; attribute C_WDATA_WIDTH : integer; attribute C_WDATA_WIDTH of inst : label is 32; attribute C_WID_RIGHT : integer; attribute C_WID_RIGHT of inst : label is 37; attribute C_WID_WIDTH : integer; attribute C_WID_WIDTH of inst : label is 0; attribute C_WLAST_RIGHT : integer; attribute C_WLAST_RIGHT of inst : label is 0; attribute C_WLAST_WIDTH : integer; attribute C_WLAST_WIDTH of inst : label is 1; attribute C_WSTRB_RIGHT : integer; attribute C_WSTRB_RIGHT of inst : label is 1; attribute C_WSTRB_WIDTH : integer; attribute C_WSTRB_WIDTH of inst : label is 4; attribute C_WUSER_RIGHT : integer; attribute C_WUSER_RIGHT of inst : label is 0; attribute C_WUSER_WIDTH : integer; attribute C_WUSER_WIDTH of inst : label is 0; attribute C_W_WIDTH : integer; attribute C_W_WIDTH of inst : label is 37; attribute P_ACLK_RATIO : integer; attribute P_ACLK_RATIO of inst : label is 2; attribute P_AXI3 : integer; attribute P_AXI3 of inst : label is 1; attribute P_AXI4 : integer; attribute P_AXI4 of inst : label is 0; attribute P_AXILITE : integer; attribute P_AXILITE of inst : label is 2; attribute P_FULLY_REG : integer; attribute P_FULLY_REG of inst : label is 1; attribute P_LIGHT_WT : integer; attribute P_LIGHT_WT of inst : label is 0; attribute P_LUTRAM_ASYNC : integer; attribute P_LUTRAM_ASYNC of inst : label is 12; attribute P_ROUNDING_OFFSET : integer; attribute P_ROUNDING_OFFSET of inst : label is 0; attribute P_SI_LT_MI : string; attribute P_SI_LT_MI of inst : label is "1'b1"; attribute downgradeipidentifiedwarnings of inst : label is "yes"; begin inst: entity work.mig_wrap_auto_cc_0_axi_clock_converter_v2_1_10_axi_clock_converter port map ( m_axi_aclk => m_axi_aclk, m_axi_araddr(31 downto 0) => m_axi_araddr(31 downto 0), m_axi_arburst(1 downto 0) => m_axi_arburst(1 downto 0), m_axi_arcache(3 downto 0) => m_axi_arcache(3 downto 0), m_axi_aresetn => m_axi_aresetn, m_axi_arid(3 downto 0) => m_axi_arid(3 downto 0), m_axi_arlen(7 downto 0) => m_axi_arlen(7 downto 0), m_axi_arlock(0) => m_axi_arlock(0), m_axi_arprot(2 downto 0) => m_axi_arprot(2 downto 0), m_axi_arqos(3 downto 0) => m_axi_arqos(3 downto 0), m_axi_arready => m_axi_arready, m_axi_arregion(3 downto 0) => m_axi_arregion(3 downto 0), m_axi_arsize(2 downto 0) => m_axi_arsize(2 downto 0), m_axi_aruser(0) => NLW_inst_m_axi_aruser_UNCONNECTED(0), m_axi_arvalid => m_axi_arvalid, m_axi_awaddr(31 downto 0) => m_axi_awaddr(31 downto 0), m_axi_awburst(1 downto 0) => m_axi_awburst(1 downto 0), m_axi_awcache(3 downto 0) => m_axi_awcache(3 downto 0), m_axi_awid(3 downto 0) => m_axi_awid(3 downto 0), m_axi_awlen(7 downto 0) => m_axi_awlen(7 downto 0), m_axi_awlock(0) => m_axi_awlock(0), m_axi_awprot(2 downto 0) => m_axi_awprot(2 downto 0), m_axi_awqos(3 downto 0) => m_axi_awqos(3 downto 0), m_axi_awready => m_axi_awready, m_axi_awregion(3 downto 0) => m_axi_awregion(3 downto 0), m_axi_awsize(2 downto 0) => m_axi_awsize(2 downto 0), m_axi_awuser(0) => NLW_inst_m_axi_awuser_UNCONNECTED(0), m_axi_awvalid => m_axi_awvalid, m_axi_bid(3 downto 0) => m_axi_bid(3 downto 0), m_axi_bready => m_axi_bready, m_axi_bresp(1 downto 0) => m_axi_bresp(1 downto 0), m_axi_buser(0) => '0', m_axi_bvalid => m_axi_bvalid, m_axi_rdata(31 downto 0) => m_axi_rdata(31 downto 0), m_axi_rid(3 downto 0) => m_axi_rid(3 downto 0), m_axi_rlast => m_axi_rlast, m_axi_rready => m_axi_rready, m_axi_rresp(1 downto 0) => m_axi_rresp(1 downto 0), m_axi_ruser(0) => '0', m_axi_rvalid => m_axi_rvalid, m_axi_wdata(31 downto 0) => m_axi_wdata(31 downto 0), m_axi_wid(3 downto 0) => NLW_inst_m_axi_wid_UNCONNECTED(3 downto 0), m_axi_wlast => m_axi_wlast, m_axi_wready => m_axi_wready, m_axi_wstrb(3 downto 0) => m_axi_wstrb(3 downto 0), m_axi_wuser(0) => NLW_inst_m_axi_wuser_UNCONNECTED(0), m_axi_wvalid => m_axi_wvalid, s_axi_aclk => s_axi_aclk, s_axi_araddr(31 downto 0) => s_axi_araddr(31 downto 0), s_axi_arburst(1 downto 0) => s_axi_arburst(1 downto 0), s_axi_arcache(3 downto 0) => s_axi_arcache(3 downto 0), s_axi_aresetn => s_axi_aresetn, s_axi_arid(3 downto 0) => s_axi_arid(3 downto 0), s_axi_arlen(7 downto 0) => s_axi_arlen(7 downto 0), s_axi_arlock(0) => s_axi_arlock(0), s_axi_arprot(2 downto 0) => s_axi_arprot(2 downto 0), s_axi_arqos(3 downto 0) => s_axi_arqos(3 downto 0), s_axi_arready => s_axi_arready, s_axi_arregion(3 downto 0) => s_axi_arregion(3 downto 0), s_axi_arsize(2 downto 0) => s_axi_arsize(2 downto 0), s_axi_aruser(0) => '0', s_axi_arvalid => s_axi_arvalid, s_axi_awaddr(31 downto 0) => s_axi_awaddr(31 downto 0), s_axi_awburst(1 downto 0) => s_axi_awburst(1 downto 0), s_axi_awcache(3 downto 0) => s_axi_awcache(3 downto 0), s_axi_awid(3 downto 0) => s_axi_awid(3 downto 0), s_axi_awlen(7 downto 0) => s_axi_awlen(7 downto 0), s_axi_awlock(0) => s_axi_awlock(0), s_axi_awprot(2 downto 0) => s_axi_awprot(2 downto 0), s_axi_awqos(3 downto 0) => s_axi_awqos(3 downto 0), s_axi_awready => s_axi_awready, s_axi_awregion(3 downto 0) => s_axi_awregion(3 downto 0), s_axi_awsize(2 downto 0) => s_axi_awsize(2 downto 0), s_axi_awuser(0) => '0', s_axi_awvalid => s_axi_awvalid, s_axi_bid(3 downto 0) => s_axi_bid(3 downto 0), s_axi_bready => s_axi_bready, s_axi_bresp(1 downto 0) => s_axi_bresp(1 downto 0), s_axi_buser(0) => NLW_inst_s_axi_buser_UNCONNECTED(0), s_axi_bvalid => s_axi_bvalid, s_axi_rdata(31 downto 0) => s_axi_rdata(31 downto 0), s_axi_rid(3 downto 0) => s_axi_rid(3 downto 0), s_axi_rlast => s_axi_rlast, s_axi_rready => s_axi_rready, s_axi_rresp(1 downto 0) => s_axi_rresp(1 downto 0), s_axi_ruser(0) => NLW_inst_s_axi_ruser_UNCONNECTED(0), s_axi_rvalid => s_axi_rvalid, s_axi_wdata(31 downto 0) => s_axi_wdata(31 downto 0), s_axi_wid(3 downto 0) => B"0000", s_axi_wlast => s_axi_wlast, s_axi_wready => s_axi_wready, s_axi_wstrb(3 downto 0) => s_axi_wstrb(3 downto 0), s_axi_wuser(0) => '0', s_axi_wvalid => s_axi_wvalid ); end STRUCTURE;
mit
AlessandroSpallina/vhdl
VHDL/10-12-15/10-12-15_compito_turno3.vhd
2
2970
-- Copyright (C) 2016 by Spallina Ind. library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity difficile is port ( din : in std_logic_vector(15 downto 0); start, clk : in std_logic; res : out std_logic_vector(15 downto 0); fine : out std_logic ); end difficile; architecture beh of difficile is type stati is (idle, getOP, getA, exe1, exe2, exe4); type memory is array (0 to 1) of std_logic_vector(15 downto 0); signal st : stati; signal REGS : memory; signal OP : std_logic_vector(2 downto 0); signal A : std_logic_vector(15 downto 0); signal counter : integer range 3 downto 0; signal enOP, enA, enEXE1, enEXE2, enEXE4 : std_logic; function next_state (st : stati; start : std_logic; op : std_logic_vector(2 downto 0); din : std_logic_vector(15 downto 0); counter : integer range 3 downto 0) return stati is variable nxt : stati; begin case st is when idle => if start = '1' then nxt := getOP; else nxt := idle; end if; when getOP => if din(1 downto 0) = "00" then nxt := exe1; else nxt := getA; end if; when getA => case op(1 downto 0) is when "01" => nxt := exe1; when "10" => nxt := exe2; when others => -- "11" nxt := exe4; end case; when exe1 => nxt := idle; when exe2 => if counter < 1 then nxt := exe2; else nxt := idle; end if; when exe4 => if counter < 3 then nxt := exe4; else nxt := idle; end if; end case; return nxt; end next_state; begin process (clk) begin if clk'event and clk = '0' then st <= next_state(st,start,op,din,counter); end if; end process; enOP <= '1' when st = getOP else '0'; enA <= '1' when st = getA else '0'; enEXE1 <= '1' when st = exe1 else '0'; enEXE2 <= '1' when st = exe2 else '0'; enEXE4 <= '1' when st = exe4 else '0'; process (clk) begin if enOP = '1' then op <= din (2 downto 0); counter <= 0; end if; if enA = '1' then A <= din; end if; if enEXE1 = '1' then if op(1 downto 0) = "00" then REGS(conv_integer(op(2))) <= din; else -- "01" REGS(conv_integer(op(2))) <= A or REGS(0); res <= REGS(conv_integer(op(2))); end if; end if; if enEXE2 = '1' then if counter = 1 then REGS(conv_integer(op(2))) <= A + REGS(1); res <= REGS(conv_integer(op(2))); else counter <= counter + 1; end if; end if; if enEXE4 = '1' then if counter = 3 then REGS(conv_integer(op(2))) <= A+REGS(0)+REGS(1); res <= REGS(conv_integer(op(2))); else counter <= counter + 1; end if; end if; if enEXE1 = '1' or (enEXE2 = '1' and counter = 1) or (enEXE4 = '1' and counter = 3) then fine <= '1'; else fine <= '0'; end if; end process; end beh;
mit
andrewandrepowell/axiplasma
hdl/plasoc/plasoc_axi4_full2lite_read_cntrl.vhd
1
5558
------------------------------------------------------- --! @author Andrew Powell --! @date March 17, 2017 --! @brief Contains the package and component declaration of the --! Full2Lite Core's Read Controller. Please refer to the documentation --! in plasoc_axi4_full2lite.vhd for more information. ------------------------------------------------------- library ieee; use ieee.std_logic_1164.ALL; use ieee.numeric_std.all; use work.plasoc_axi4_full2lite_pack.all; entity plasoc_axi4_full2lite_read_cntrl is generic ( axi_slave_id_width : integer := 1; axi_address_width : integer := 32; axi_data_width : integer := 32); port( aclk : in std_logic; aresetn : in std_logic; s_axi_arid : in std_logic_vector(axi_slave_id_width-1 downto 0); s_axi_araddr : in std_logic_vector(axi_address_width-1 downto 0); s_axi_arlen : in std_logic_vector(8-1 downto 0); s_axi_arsize : in std_logic_vector(3-1 downto 0); s_axi_arburst : in std_logic_vector(2-1 downto 0); s_axi_arlock : in std_logic; s_axi_arcache : in std_logic_vector(4-1 downto 0); s_axi_arprot : in std_logic_vector(3-1 downto 0); s_axi_arqos : in std_logic_vector(4-1 downto 0); s_axi_arregion : in std_logic_vector(4-1 downto 0); s_axi_arvalid : in std_logic; s_axi_arready : out std_logic; s_axi_rid : out std_logic_vector(axi_slave_id_width-1 downto 0) := (others=>'0'); s_axi_rdata : out std_logic_vector(axi_data_width-1 downto 0); s_axi_rresp : out std_logic_vector(2-1 downto 0); s_axi_rlast : out std_logic; s_axi_rvalid : out std_logic; s_axi_rready : in std_logic; m_axi_araddr : out std_logic_vector(axi_address_width-1 downto 0) := (others=>'0'); m_axi_arprot : out std_logic_vector(2 downto 0); m_axi_arvalid : out std_logic; m_axi_arready : in std_logic; m_axi_rdata : in std_logic_vector(axi_data_width-1 downto 0) := (others=>'0'); m_axi_rvalid : in std_logic; m_axi_rready : out std_logic; m_axi_rresp : in std_logic_vector(1 downto 0)); end plasoc_axi4_full2lite_read_cntrl; architecture Behavioral of plasoc_axi4_full2lite_read_cntrl is signal id_buff_0 : std_logic_vector(axi_slave_id_width-1 downto 0) := (others=>'0'); signal s_axi_arready_buff : std_logic := '0'; signal m_axi_arvalid_buff : std_logic := '0'; signal s_axi_rvalid_buff : std_logic := '0'; signal m_axi_rready_buff : std_logic := '0'; begin s_axi_arready <= s_axi_arready_buff; m_axi_arvalid <= m_axi_arvalid_buff; s_axi_rvalid <= s_axi_rvalid_buff; s_axi_rlast <= s_axi_rvalid_buff; m_axi_rready <= m_axi_rready_buff; process (aclk) begin if rising_edge(aclk) then if aresetn='0' then s_axi_arready_buff <= '1'; m_axi_arvalid_buff <= '0'; else if s_axi_arvalid='1' and s_axi_arready_buff='1' then id_buff_0 <= s_axi_arid; m_axi_araddr <= s_axi_araddr; m_axi_arprot <= s_axi_arprot; end if; if s_axi_arvalid='1' and s_axi_arready_buff='1' then m_axi_arvalid_buff <= '1'; elsif m_axi_arvalid_buff='1' and m_axi_arready='1' then m_axi_arvalid_buff <= '0'; end if; if m_axi_arready='1' then s_axi_arready_buff <= '1'; elsif s_axi_arvalid='1' and s_axi_arready_buff='1' then s_axi_arready_buff <= '0'; end if; end if; end if; end process; process (aclk) begin if rising_edge(aclk) then if aresetn='0' then s_axi_rvalid_buff <= '0'; m_axi_rready_buff <= '1'; else if m_axi_rvalid='1' and m_axi_rready_buff='1' then s_axi_rid <= id_buff_0; s_axi_rresp <= m_axi_rresp; s_axi_rdata <= m_axi_rdata; end if; if m_axi_rvalid='1' and m_axi_rready_buff='1' then s_axi_rvalid_buff <= '1'; elsif s_axi_rvalid_buff='1' and s_axi_rready='1' then s_axi_rvalid_buff <= '0'; end if; if s_axi_rready='1' then m_axi_rready_buff <= '1'; elsif m_axi_rvalid='1' and m_axi_rready_buff='1' then m_axi_rready_buff <= '0'; end if; end if; end if; end process; end Behavioral;
mit
andrewandrepowell/axiplasma
hdl/projects/Nexys4/bd/mig_wrap/ip/mig_wrap_proc_sys_reset_0_0/sim/mig_wrap_proc_sys_reset_0_0.vhd
1
5865
-- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:proc_sys_reset:5.0 -- IP Revision: 10 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY proc_sys_reset_v5_0_10; USE proc_sys_reset_v5_0_10.proc_sys_reset; ENTITY mig_wrap_proc_sys_reset_0_0 IS PORT ( slowest_sync_clk : IN STD_LOGIC; ext_reset_in : IN STD_LOGIC; aux_reset_in : IN STD_LOGIC; mb_debug_sys_rst : IN STD_LOGIC; dcm_locked : IN STD_LOGIC; mb_reset : OUT STD_LOGIC; bus_struct_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); peripheral_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); interconnect_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); peripheral_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0) ); END mig_wrap_proc_sys_reset_0_0; ARCHITECTURE mig_wrap_proc_sys_reset_0_0_arch OF mig_wrap_proc_sys_reset_0_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING; ATTRIBUTE DowngradeIPIdentifiedWarnings OF mig_wrap_proc_sys_reset_0_0_arch: ARCHITECTURE IS "yes"; COMPONENT proc_sys_reset IS GENERIC ( C_FAMILY : STRING; C_EXT_RST_WIDTH : INTEGER; C_AUX_RST_WIDTH : INTEGER; C_EXT_RESET_HIGH : STD_LOGIC; C_AUX_RESET_HIGH : STD_LOGIC; C_NUM_BUS_RST : INTEGER; C_NUM_PERP_RST : INTEGER; C_NUM_INTERCONNECT_ARESETN : INTEGER; C_NUM_PERP_ARESETN : INTEGER ); PORT ( slowest_sync_clk : IN STD_LOGIC; ext_reset_in : IN STD_LOGIC; aux_reset_in : IN STD_LOGIC; mb_debug_sys_rst : IN STD_LOGIC; dcm_locked : IN STD_LOGIC; mb_reset : OUT STD_LOGIC; bus_struct_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); peripheral_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); interconnect_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); peripheral_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0) ); END COMPONENT proc_sys_reset; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF slowest_sync_clk: SIGNAL IS "xilinx.com:signal:clock:1.0 clock CLK"; ATTRIBUTE X_INTERFACE_INFO OF ext_reset_in: SIGNAL IS "xilinx.com:signal:reset:1.0 ext_reset RST"; ATTRIBUTE X_INTERFACE_INFO OF aux_reset_in: SIGNAL IS "xilinx.com:signal:reset:1.0 aux_reset RST"; ATTRIBUTE X_INTERFACE_INFO OF mb_debug_sys_rst: SIGNAL IS "xilinx.com:signal:reset:1.0 dbg_reset RST"; ATTRIBUTE X_INTERFACE_INFO OF mb_reset: SIGNAL IS "xilinx.com:signal:reset:1.0 mb_rst RST"; ATTRIBUTE X_INTERFACE_INFO OF bus_struct_reset: SIGNAL IS "xilinx.com:signal:reset:1.0 bus_struct_reset RST"; ATTRIBUTE X_INTERFACE_INFO OF peripheral_reset: SIGNAL IS "xilinx.com:signal:reset:1.0 peripheral_high_rst RST"; ATTRIBUTE X_INTERFACE_INFO OF interconnect_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 interconnect_low_rst RST"; ATTRIBUTE X_INTERFACE_INFO OF peripheral_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 peripheral_low_rst RST"; BEGIN U0 : proc_sys_reset GENERIC MAP ( C_FAMILY => "artix7", C_EXT_RST_WIDTH => 4, C_AUX_RST_WIDTH => 4, C_EXT_RESET_HIGH => '1', C_AUX_RESET_HIGH => '0', C_NUM_BUS_RST => 1, C_NUM_PERP_RST => 1, C_NUM_INTERCONNECT_ARESETN => 1, C_NUM_PERP_ARESETN => 1 ) PORT MAP ( slowest_sync_clk => slowest_sync_clk, ext_reset_in => ext_reset_in, aux_reset_in => aux_reset_in, mb_debug_sys_rst => mb_debug_sys_rst, dcm_locked => dcm_locked, mb_reset => mb_reset, bus_struct_reset => bus_struct_reset, peripheral_reset => peripheral_reset, interconnect_aresetn => interconnect_aresetn, peripheral_aresetn => peripheral_aresetn ); END mig_wrap_proc_sys_reset_0_0_arch;
mit
andrewandrepowell/axiplasma
hdl/projects/VC707/bd/mig_wrap/hdl/mig_wrap_wrapper.vhd
1
9828
--Copyright 1986-2016 Xilinx, Inc. All Rights Reserved. ---------------------------------------------------------------------------------- --Tool Version: Vivado v.2016.4 (win64) Build 1756540 Mon Jan 23 19:11:23 MST 2017 --Date : Wed Apr 05 00:15:23 2017 --Host : LAPTOP-IQ9G3D1I running 64-bit major release (build 9200) --Command : generate_target mig_wrap_wrapper.bd --Design : mig_wrap_wrapper --Purpose : IP block netlist ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity mig_wrap_wrapper is port ( DDR3_addr : out STD_LOGIC_VECTOR ( 13 downto 0 ); DDR3_ba : out STD_LOGIC_VECTOR ( 2 downto 0 ); DDR3_cas_n : out STD_LOGIC; DDR3_ck_n : out STD_LOGIC_VECTOR ( 0 to 0 ); DDR3_ck_p : out STD_LOGIC_VECTOR ( 0 to 0 ); DDR3_cke : out STD_LOGIC_VECTOR ( 0 to 0 ); DDR3_cs_n : out STD_LOGIC_VECTOR ( 0 to 0 ); DDR3_dm : out STD_LOGIC_VECTOR ( 7 downto 0 ); DDR3_dq : inout STD_LOGIC_VECTOR ( 63 downto 0 ); DDR3_dqs_n : inout STD_LOGIC_VECTOR ( 7 downto 0 ); DDR3_dqs_p : inout STD_LOGIC_VECTOR ( 7 downto 0 ); DDR3_odt : out STD_LOGIC_VECTOR ( 0 to 0 ); DDR3_ras_n : out STD_LOGIC; DDR3_reset_n : out STD_LOGIC; DDR3_we_n : out STD_LOGIC; S00_AXI_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); S00_AXI_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); S00_AXI_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); S00_AXI_arid : in STD_LOGIC_VECTOR ( 3 downto 0 ); S00_AXI_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); S00_AXI_arlock : in STD_LOGIC_VECTOR ( 0 to 0 ); S00_AXI_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); S00_AXI_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); S00_AXI_arready : out STD_LOGIC; S00_AXI_arregion : in STD_LOGIC_VECTOR ( 3 downto 0 ); S00_AXI_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); S00_AXI_arvalid : in STD_LOGIC; S00_AXI_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); S00_AXI_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); S00_AXI_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); S00_AXI_awid : in STD_LOGIC_VECTOR ( 3 downto 0 ); S00_AXI_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); S00_AXI_awlock : in STD_LOGIC_VECTOR ( 0 to 0 ); S00_AXI_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); S00_AXI_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); S00_AXI_awready : out STD_LOGIC; S00_AXI_awregion : in STD_LOGIC_VECTOR ( 3 downto 0 ); S00_AXI_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); S00_AXI_awvalid : in STD_LOGIC; S00_AXI_bid : out STD_LOGIC_VECTOR ( 3 downto 0 ); S00_AXI_bready : in STD_LOGIC; S00_AXI_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); S00_AXI_bvalid : out STD_LOGIC; S00_AXI_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); S00_AXI_rid : out STD_LOGIC_VECTOR ( 3 downto 0 ); S00_AXI_rlast : out STD_LOGIC; S00_AXI_rready : in STD_LOGIC; S00_AXI_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); S00_AXI_rvalid : out STD_LOGIC; S00_AXI_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); S00_AXI_wlast : in STD_LOGIC; S00_AXI_wready : out STD_LOGIC; S00_AXI_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); S00_AXI_wvalid : in STD_LOGIC; SYS_CLK_clk_n : in STD_LOGIC; SYS_CLK_clk_p : in STD_LOGIC; interconnect_aresetn : out STD_LOGIC_VECTOR ( 0 to 0 ); peripheral_aresetn : out STD_LOGIC_VECTOR ( 0 to 0 ); sys_rst : in STD_LOGIC; ui_addn_clk_0 : out STD_LOGIC; ui_clk_sync_rst : out STD_LOGIC ); end mig_wrap_wrapper; architecture STRUCTURE of mig_wrap_wrapper is component mig_wrap is port ( DDR3_dq : inout STD_LOGIC_VECTOR ( 63 downto 0 ); DDR3_dqs_p : inout STD_LOGIC_VECTOR ( 7 downto 0 ); DDR3_dqs_n : inout STD_LOGIC_VECTOR ( 7 downto 0 ); DDR3_addr : out STD_LOGIC_VECTOR ( 13 downto 0 ); DDR3_ba : out STD_LOGIC_VECTOR ( 2 downto 0 ); DDR3_ras_n : out STD_LOGIC; DDR3_cas_n : out STD_LOGIC; DDR3_we_n : out STD_LOGIC; DDR3_reset_n : out STD_LOGIC; DDR3_ck_p : out STD_LOGIC_VECTOR ( 0 to 0 ); DDR3_ck_n : out STD_LOGIC_VECTOR ( 0 to 0 ); DDR3_cke : out STD_LOGIC_VECTOR ( 0 to 0 ); DDR3_cs_n : out STD_LOGIC_VECTOR ( 0 to 0 ); DDR3_dm : out STD_LOGIC_VECTOR ( 7 downto 0 ); DDR3_odt : out STD_LOGIC_VECTOR ( 0 to 0 ); SYS_CLK_clk_p : in STD_LOGIC; SYS_CLK_clk_n : in STD_LOGIC; ui_clk_sync_rst : out STD_LOGIC; sys_rst : in STD_LOGIC; ui_addn_clk_0 : out STD_LOGIC; interconnect_aresetn : out STD_LOGIC_VECTOR ( 0 to 0 ); peripheral_aresetn : out STD_LOGIC_VECTOR ( 0 to 0 ); S00_AXI_awid : in STD_LOGIC_VECTOR ( 3 downto 0 ); S00_AXI_awaddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); S00_AXI_awlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); S00_AXI_awsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); S00_AXI_awburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); S00_AXI_awlock : in STD_LOGIC_VECTOR ( 0 to 0 ); S00_AXI_awcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); S00_AXI_awprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); S00_AXI_awregion : in STD_LOGIC_VECTOR ( 3 downto 0 ); S00_AXI_awqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); S00_AXI_awvalid : in STD_LOGIC; S00_AXI_awready : out STD_LOGIC; S00_AXI_wdata : in STD_LOGIC_VECTOR ( 31 downto 0 ); S00_AXI_wstrb : in STD_LOGIC_VECTOR ( 3 downto 0 ); S00_AXI_wlast : in STD_LOGIC; S00_AXI_wvalid : in STD_LOGIC; S00_AXI_wready : out STD_LOGIC; S00_AXI_bid : out STD_LOGIC_VECTOR ( 3 downto 0 ); S00_AXI_bresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); S00_AXI_bvalid : out STD_LOGIC; S00_AXI_bready : in STD_LOGIC; S00_AXI_arid : in STD_LOGIC_VECTOR ( 3 downto 0 ); S00_AXI_araddr : in STD_LOGIC_VECTOR ( 31 downto 0 ); S00_AXI_arlen : in STD_LOGIC_VECTOR ( 7 downto 0 ); S00_AXI_arsize : in STD_LOGIC_VECTOR ( 2 downto 0 ); S00_AXI_arburst : in STD_LOGIC_VECTOR ( 1 downto 0 ); S00_AXI_arlock : in STD_LOGIC_VECTOR ( 0 to 0 ); S00_AXI_arcache : in STD_LOGIC_VECTOR ( 3 downto 0 ); S00_AXI_arprot : in STD_LOGIC_VECTOR ( 2 downto 0 ); S00_AXI_arregion : in STD_LOGIC_VECTOR ( 3 downto 0 ); S00_AXI_arqos : in STD_LOGIC_VECTOR ( 3 downto 0 ); S00_AXI_arvalid : in STD_LOGIC; S00_AXI_arready : out STD_LOGIC; S00_AXI_rid : out STD_LOGIC_VECTOR ( 3 downto 0 ); S00_AXI_rdata : out STD_LOGIC_VECTOR ( 31 downto 0 ); S00_AXI_rresp : out STD_LOGIC_VECTOR ( 1 downto 0 ); S00_AXI_rlast : out STD_LOGIC; S00_AXI_rvalid : out STD_LOGIC; S00_AXI_rready : in STD_LOGIC ); end component mig_wrap; begin mig_wrap_i: component mig_wrap port map ( DDR3_addr(13 downto 0) => DDR3_addr(13 downto 0), DDR3_ba(2 downto 0) => DDR3_ba(2 downto 0), DDR3_cas_n => DDR3_cas_n, DDR3_ck_n(0) => DDR3_ck_n(0), DDR3_ck_p(0) => DDR3_ck_p(0), DDR3_cke(0) => DDR3_cke(0), DDR3_cs_n(0) => DDR3_cs_n(0), DDR3_dm(7 downto 0) => DDR3_dm(7 downto 0), DDR3_dq(63 downto 0) => DDR3_dq(63 downto 0), DDR3_dqs_n(7 downto 0) => DDR3_dqs_n(7 downto 0), DDR3_dqs_p(7 downto 0) => DDR3_dqs_p(7 downto 0), DDR3_odt(0) => DDR3_odt(0), DDR3_ras_n => DDR3_ras_n, DDR3_reset_n => DDR3_reset_n, DDR3_we_n => DDR3_we_n, S00_AXI_araddr(31 downto 0) => S00_AXI_araddr(31 downto 0), S00_AXI_arburst(1 downto 0) => S00_AXI_arburst(1 downto 0), S00_AXI_arcache(3 downto 0) => S00_AXI_arcache(3 downto 0), S00_AXI_arid(3 downto 0) => S00_AXI_arid(3 downto 0), S00_AXI_arlen(7 downto 0) => S00_AXI_arlen(7 downto 0), S00_AXI_arlock(0) => S00_AXI_arlock(0), S00_AXI_arprot(2 downto 0) => S00_AXI_arprot(2 downto 0), S00_AXI_arqos(3 downto 0) => S00_AXI_arqos(3 downto 0), S00_AXI_arready => S00_AXI_arready, S00_AXI_arregion(3 downto 0) => S00_AXI_arregion(3 downto 0), S00_AXI_arsize(2 downto 0) => S00_AXI_arsize(2 downto 0), S00_AXI_arvalid => S00_AXI_arvalid, S00_AXI_awaddr(31 downto 0) => S00_AXI_awaddr(31 downto 0), S00_AXI_awburst(1 downto 0) => S00_AXI_awburst(1 downto 0), S00_AXI_awcache(3 downto 0) => S00_AXI_awcache(3 downto 0), S00_AXI_awid(3 downto 0) => S00_AXI_awid(3 downto 0), S00_AXI_awlen(7 downto 0) => S00_AXI_awlen(7 downto 0), S00_AXI_awlock(0) => S00_AXI_awlock(0), S00_AXI_awprot(2 downto 0) => S00_AXI_awprot(2 downto 0), S00_AXI_awqos(3 downto 0) => S00_AXI_awqos(3 downto 0), S00_AXI_awready => S00_AXI_awready, S00_AXI_awregion(3 downto 0) => S00_AXI_awregion(3 downto 0), S00_AXI_awsize(2 downto 0) => S00_AXI_awsize(2 downto 0), S00_AXI_awvalid => S00_AXI_awvalid, S00_AXI_bid(3 downto 0) => S00_AXI_bid(3 downto 0), S00_AXI_bready => S00_AXI_bready, S00_AXI_bresp(1 downto 0) => S00_AXI_bresp(1 downto 0), S00_AXI_bvalid => S00_AXI_bvalid, S00_AXI_rdata(31 downto 0) => S00_AXI_rdata(31 downto 0), S00_AXI_rid(3 downto 0) => S00_AXI_rid(3 downto 0), S00_AXI_rlast => S00_AXI_rlast, S00_AXI_rready => S00_AXI_rready, S00_AXI_rresp(1 downto 0) => S00_AXI_rresp(1 downto 0), S00_AXI_rvalid => S00_AXI_rvalid, S00_AXI_wdata(31 downto 0) => S00_AXI_wdata(31 downto 0), S00_AXI_wlast => S00_AXI_wlast, S00_AXI_wready => S00_AXI_wready, S00_AXI_wstrb(3 downto 0) => S00_AXI_wstrb(3 downto 0), S00_AXI_wvalid => S00_AXI_wvalid, SYS_CLK_clk_n => SYS_CLK_clk_n, SYS_CLK_clk_p => SYS_CLK_clk_p, interconnect_aresetn(0) => interconnect_aresetn(0), peripheral_aresetn(0) => peripheral_aresetn(0), sys_rst => sys_rst, ui_addn_clk_0 => ui_addn_clk_0, ui_clk_sync_rst => ui_clk_sync_rst ); end STRUCTURE;
mit
andrewandrepowell/axiplasma
hdl/plasoc/plasoc_cpu.vhd
1
26726
------------------------------------------------------- --! @author Andrew Powell --! @date January 17, 2017 --! @brief Contains the entity and architecture of the --! Plasma-SoC's CPU. ------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.numeric_std.all; use work.mlite_pack.all; use work.plasoc_cpu_pack.all; --! The 32-bit CPU of the Plasma-SoC comprises only the original --! Plasma Mlite CPU developed by Steve Rhoads, configurable cache, --! and AXI controllers to implement the AXI4-Full interface needed to --! communicate with peripherals in the Plasma-SoC and those external. --! --! In a later revision on this documentation, more information will be --! added to describe the features implemented in the AXI4-Full interface --! and the capabilities of the cache. Information specific to the AXI4-Full --! protocol is excluded from this documentation since the information can --! be found in official ARM AMBA4 AXI documentation. entity plasoc_cpu is generic( -- CPU parameters. cpu_mult_type : string := default_cpu_mult_type; --! Defines the Plasma Mlite multiplier type. The possible options are "DEFAULT" and "AREA_OPTIMIZED". cpu_shifter_type : string := default_cpu_shifter_type; --! Defines the Plasma Mlite shifter type. The possible options are "DEFAULT" and "AREA_OPTIMIZED". cpu_alu_type : string := default_cpu_alu_type; --! Defines the Plasma Mlite ALU type. The possible options are "DEFAULT" and "AREA_OPTIMIZED". -- Cache parameters. cache_address_width : integer := default_cache_address_width; --! Defines the address width of the cacheable addresses. cache_way_width : integer := default_cache_way_width; --! Associativity = 2^cache_way_width. cache_index_width : integer := default_cache_index_width; --! Cache Size (rows) = 2^cache_index_width. cache_offset_width : integer := default_cache_offset_width; --! Line Size (bytes) = 2^cache_offset_width. cache_replace_strat : string := default_cache_replace_strat; --! Defines the replacement strategy in case of miss. Only "plru" is available. cache_enable : boolean := default_cache_enable; --! Defines whether or not the cache is enabled. oper_base : std_logic_vector := default_oper_base; --! Defines the base address of the cache flush and invalidate operations. Based address is this case is only defined by its most significant bits. oper_invalidate_offset : integer := default_oper_invalidate_offset; --! Defines the offset from the base address of the invalidation operation. oper_flush_offset : integer := default_oper_flush_offset --! Defines the offset from the base address of the flush operation. ); port( -- Global interface. aclk : in std_logic; --! Clock. Tested with 50 MHz. aresetn : in std_logic; --! Reset on low. -- Master AXI4-Full Write interface. axi_awid : out std_logic_vector(-1 downto 0); --! AXI4-Full Address Write signal. axi_awaddr : out std_logic_vector(31 downto 0); --! AXI4-Full Address Write signal. axi_awlen : out std_logic_vector(7 downto 0); --! AXI4-Full Address Write signal. axi_awsize : out std_logic_vector(2 downto 0); --! AXI4-Full Address Write signal. axi_awburst : out std_logic_vector(1 downto 0); --! AXI4-Full Address Write signal. axi_awlock : out std_logic; --! AXI4-Full Address Write signal. axi_awcache : out std_logic_vector(3 downto 0); --! AXI4-Full Address Write signal. axi_awprot : out std_logic_vector(2 downto 0); --! AXI4-Full Address Write signal. axi_awqos : out std_logic_vector(3 downto 0); --! AXI4-Full Address Write signal. axi_awregion : out std_logic_vector(3 downto 0); --! AXI4-Full Address Write signal. axi_awvalid : out std_logic; --! AXI4-Full Address Write signal. axi_awready : in std_logic; --! AXI4-Full Address Write signal. axi_wdata : out std_logic_vector(31 downto 0); --! AXI4-Full Write Data signal. axi_wstrb : out std_logic_vector(3 downto 0); --! AXI4-Full Write Data signal. axi_wlast : out std_logic; --! AXI4-Full Write Data signal. axi_wvalid : out std_logic; --! AXI4-Full Write Data signal. axi_wready : in std_logic; --! AXI4-Full Write Data signal. axi_bid : in std_logic_vector(-1 downto 0); --! AXI4-Full Write Response signal. axi_bresp : in std_logic_vector(1 downto 0); --! AXI4-Full Write Response signal. axi_bvalid : in std_logic; --! AXI4-Full Write Response signal. axi_bready : out std_logic; --! AXI4-Full Write Response signal. -- Master AXI4-Full Read interface. axi_arid : out std_logic_vector(-1 downto 0); --! AXI4-Full Address Read signal. axi_araddr : out std_logic_vector(31 downto 0); --! AXI4-Full Address Read signal. axi_arlen : out std_logic_vector(7 downto 0); --! AXI4-Full Address Read signal. axi_arsize : out std_logic_vector(2 downto 0); --! AXI4-Full Address Read signal. axi_arburst : out std_logic_vector(1 downto 0); --! AXI4-Full Address Read signal. axi_arlock : out std_logic; --! AXI4-Full Address Read signal. axi_arcache : out std_logic_vector(3 downto 0); --! AXI4-Full Address Read signal. axi_arprot : out std_logic_vector(2 downto 0); --! AXI4-Full Address Read signal. axi_arqos : out std_logic_vector(3 downto 0); --! AXI4-Full Address Read signal. axi_arregion : out std_logic_vector(3 downto 0); --! AXI4-Full Address Write signal. axi_arvalid : out std_logic; --! AXI4-Full Address Read signal. axi_arready : in std_logic; --! AXI4-Full Address Read signal. axi_rid : in std_logic_vector(-1 downto 0); --! AXI4-Full Read Data signal. axi_rdata : in std_logic_vector(31 downto 0); --! AXI4-Full Read Data signal. axi_rresp : in std_logic_vector(1 downto 0); --! AXI4-Full Read Data signal. axi_rlast : in std_logic; --! AXI4-Full Read Data signal. axi_rvalid : in std_logic; --! AXI4-Full Read Data signal. axi_rready : out std_logic; --! AXI4-Full Read Data signal. -- CPU signals. intr_in : in std_logic --! External interrupt. ); end plasoc_cpu; architecture Behavioral of plasoc_cpu is -- Component declarations. component plasoc_cpu_l1_cache_cntrl is generic ( cpu_address_width : integer := 32; cpu_data_width : integer := 32; cache_cacheable_width : integer := 16; cache_way_width : integer := 1; cache_index_width : integer := 4; cache_offset_width : integer := 5; cache_policy : string := "plru"; oper_base : std_logic_vector := X"200000"; -- msb oper_invalidate_offset : std_logic_vector := X"00"; oper_flush_offset : std_logic_vector := X"04"); port ( clock : in std_logic; resetn : in std_logic; cpu_next_address : in std_logic_vector(cpu_address_width-1 downto 0); cpu_write_data : in std_logic_vector(cpu_data_width-1 downto 0); cpu_write_enables : in std_logic_vector(cpu_data_width/8-1 downto 0); cpu_read_data : out std_logic_vector(cpu_data_width-1 downto 0); cpu_pause : out std_logic; memory_write_address : out std_logic_vector(cpu_address_width-1 downto 0); memory_write_data : out std_logic_vector(cpu_data_width-1 downto 0); memory_write_enable : out std_logic; memory_write_enables : out std_logic_vector(cpu_data_width/8-1 downto 0); memory_write_valid : out std_logic; memory_write_ready : in std_logic; memory_read_address : out std_logic_vector(cpu_address_width-1 downto 0); memory_read_enable : out std_logic; memory_read_data: in std_logic_vector(cpu_data_width-1 downto 0); memory_read_valid : in std_logic; memory_read_ready : out std_logic; memory_cacheable : out std_logic); end component; component plasoc_cpu_mem_cntrl is generic ( cpu_address_width : integer := 16; cpu_data_width : integer := 32); port ( clock : in std_logic; resetn : in std_logic; cpu_address : in std_logic_vector(cpu_address_width-1 downto 0); cpu_in_data : in std_logic_vector(cpu_data_width-1 downto 0); cpu_out_data : out std_logic_vector(cpu_data_width-1 downto 0) := (others=>'0'); cpu_strobe : in std_logic_vector(cpu_data_width/8-1 downto 0); cpu_pause : out std_logic; cache_cacheable : out std_logic; mem_in_address : out std_logic_vector(cpu_address_width-1 downto 0) := (others=>'0'); mem_in_data : in std_logic_vector(cpu_data_width-1 downto 0); mem_in_enable : out std_logic; mem_in_valid : in std_logic; mem_in_ready : out std_logic; mem_out_address : out std_logic_vector(cpu_address_width-1 downto 0) := (others=>'0'); mem_out_data : out std_logic_vector(cpu_data_width-1 downto 0) := (others=>'0'); mem_out_strobe : out std_logic_vector(cpu_data_width/8-1 downto 0) := (others=>'0'); mem_out_enable : out std_logic := '0'; mem_out_valid : out std_logic; mem_out_ready : in std_logic); end component; component plasoc_cpu_axi4_read_cntrl is generic ( cpu_address_width : integer := 16; cpu_data_width : integer := 32; cache_offset_width : integer := 5; axi_aruser_width : integer := 0; axi_ruser_width : integer := 0); port( clock : in std_logic; nreset : in std_logic; mem_read_address : in std_logic_vector(cpu_address_width-1 downto 0); mem_read_data : out std_logic_vector(cpu_data_width-1 downto 0); mem_read_enable : in std_logic; mem_read_valid : out std_logic; mem_read_ready : in std_logic; cache_cacheable : in std_logic; axi_arid : out std_logic_vector(-1 downto 0); axi_araddr : out std_logic_vector(cpu_address_width-1 downto 0); axi_arlen : out std_logic_vector(7 downto 0); axi_arsize : out std_logic_vector(2 downto 0); axi_arburst : out std_logic_vector(1 downto 0); axi_arlock : out std_logic; axi_arcache : out std_logic_vector(3 downto 0); axi_arprot : out std_logic_vector(2 downto 0); axi_arqos : out std_logic_vector(3 downto 0); axi_arregion : out std_logic_vector(3 downto 0); axi_aruser : out std_logic_vector(axi_aruser_width-1 downto 0); axi_arvalid : out std_logic; axi_arready : in std_logic; axi_rid : in std_logic_vector(-1 downto 0); axi_rdata : in std_logic_vector(cpu_data_width-1 downto 0); axi_rresp : in std_logic_vector(1 downto 0); axi_rlast : in std_logic; axi_ruser : in std_logic_vector(axi_ruser_width-1 downto 0); axi_rvalid : in std_logic; axi_rready : out std_logic; error_data : out std_logic_vector(3 downto 0) := (others=>'0') ); end component; component plasoc_cpu_axi4_write_cntrl is generic( cpu_address_width : integer := 16; cpu_data_width : integer := 32; cache_offset_width : integer := 5; axi_awuser_width : integer := 0; axi_wuser_width : integer := 0; axi_buser_width : integer := 0); port( clock : in std_logic; nreset : in std_logic; mem_write_address : in std_logic_vector(cpu_address_width-1 downto 0); mem_write_data : in std_logic_vector(cpu_data_width-1 downto 0) := (others=>'0'); mem_write_strobe : in std_logic_vector(cpu_data_width/8-1 downto 0); mem_write_enable : in std_logic; mem_write_valid : in std_logic; mem_write_ready : out std_logic; cache_cacheable : in std_logic; axi_awid : out std_logic_vector(-1 downto 0); axi_awaddr : out std_logic_vector(cpu_address_width-1 downto 0); axi_awlen : out std_logic_vector(7 downto 0); axi_awsize : out std_logic_vector(2 downto 0); axi_awburst : out std_logic_vector(1 downto 0); axi_awlock : out std_logic; axi_awcache : out std_logic_vector(3 downto 0); axi_awprot : out std_logic_vector(2 downto 0); axi_awqos : out std_logic_vector(3 downto 0); axi_awregion : out std_logic_vector(3 downto 0); axi_awuser : out std_logic_vector(axi_awuser_width-1 downto 0); axi_awvalid : out std_logic; axi_awready : in std_logic; axi_wdata : out std_logic_vector(cpu_data_width-1 downto 0); axi_wstrb : out std_logic_vector(cpu_data_width/8-1 downto 0); axi_wlast : out std_logic; axi_wuser : out std_logic_vector(axi_wuser_width-1 downto 0); axi_wvalid : out std_logic; axi_wready : in std_logic; axi_bid : in std_logic_vector(-1 downto 0); axi_bresp : in std_logic_vector(1 downto 0); axi_buser : in std_logic_vector(axi_buser_width-1 downto 0); axi_bvalid : in std_logic; axi_bready : out std_logic; error_data : out std_logic_vector(2 downto 0) := (others=>'0')); end component; -- Constants and type definitions. constant cpu_width : integer := 32; constant cpu_memory_type : string := "DUAL_PORT_"; constant cpu_pipeline_stages : natural := 3; constant cache_tag_width : integer := cache_address_width-cache_index_width-cache_offset_width; constant cache_word_offset_width : integer := cache_offset_width-clogb2(cpu_width/8); constant cache_line_width : integer := (cache_tag_width+8*2**cache_offset_width); constant oper_offset_width : integer := cpu_width-oper_base'length; constant oper_invalidate_offset_slv : std_logic_vector := std_logic_vector(to_unsigned(oper_invalidate_offset,oper_offset_width)); constant oper_flush_offset_slv : std_logic_vector := std_logic_vector(to_unsigned(oper_flush_offset,oper_offset_width)); constant axi_user_width : integer := 1; subtype cache_index_type is std_logic_vector(cache_index_width-1 downto 0); subtype cache_data_type is std_logic_vector(cache_line_width*2**cache_way_width-1 downto 0); subtype cache_write_block_enable_type is std_logic_vector(2**(cache_way_width+cache_word_offset_width)-1 downto 0); -- CPU interface signals. signal cpu_write_data : std_logic_vector(cpu_width-1 downto 0); signal cpu_read_data : std_logic_vector(cpu_width-1 downto 0); signal cpu_address_next : std_logic_vector(cpu_width-1 downto 0); signal cpu_strobe_next : std_logic_vector(cpu_width/8-1 downto 0); signal cpu_pause : std_logic; -- Cache interface signals. signal cache_write_index : cache_index_type; signal cache_write_data : cache_data_type := (others=>'0'); signal cache_write_tag_enable : std_logic_vector(2**cache_way_width-1 downto 0); signal cache_write_block_enable : cache_write_block_enable_type; signal cache_read_index : cache_index_type; signal cache_read_data :cache_data_type := (others=>'0'); signal cache_cacheable : std_logic; -- Memory interface signals signal mem_in_address : std_logic_vector(cpu_width-1 downto 0); signal mem_in_data : std_logic_vector(cpu_width-1 downto 0); signal mem_in_enable : std_logic; signal mem_in_valid : std_logic; signal mem_in_ready : std_logic; signal mem_out_address : std_logic_vector(cpu_width-1 downto 0); signal mem_out_data : std_logic_vector(cpu_width-1 downto 0); signal mem_out_strobe : std_logic_vector(cpu_width/8-1 downto 0); signal mem_out_enable : std_logic; signal mem_out_valid : std_logic; signal mem_out_ready : std_logic; -- Attributes. -- attribute keep : boolean; -- attribute keep of cpu_write_data : signal is true; -- attribute keep of cpu_read_data : signal is true; -- attribute keep of cpu_address_next : signal is true; -- attribute keep of cpu_strobe_next : signal is true; -- attribute keep of cpu_pause : signal is true; -- attribute keep of cache_cacheable : signal is true; -- attribute keep of mem_in_address : signal is true; -- attribute keep of mem_in_data : signal is true; -- attribute keep of mem_in_enable : signal is true; -- attribute keep of mem_in_valid : signal is true; -- attribute keep of mem_in_ready : signal is true; -- attribute keep of mem_out_address : signal is true; -- attribute keep of mem_out_data : signal is true; -- attribute keep of mem_out_strobe : signal is true; -- attribute keep of mem_out_enable : signal is true; -- attribute keep of mem_out_valid : signal is true; -- attribute keep of mem_out_ready : signal is true; -- -- debug -- signal debug_task_main_code : Boolean; -- signal debug_task_input_code : Boolean; -- signal debug_task_time_code : Boolean; -- signal debug_interrupt : Boolean; -- signal debug_write : Boolean; -- attribute mark_debug : boolean; -- attribute mark_debug of aclk : signal is true; -- attribute mark_debug of aresetn : signal is true; -- attribute mark_debug of cpu_write_data : signal is true; -- attribute mark_debug of cpu_read_data : signal is true; -- attribute mark_debug of cpu_address_next : signal is true; -- attribute mark_debug of cpu_strobe_next : signal is true; -- attribute mark_debug of intr_in : signal is true; begin cpu_address_next(1 downto 0) <= "00"; -- CPU instantiation. mlite_cpu_inst: mlite_cpu generic map ( memory_type => cpu_memory_type, mult_type => cpu_mult_type, shifter_type => cpu_shifter_type, alu_type => cpu_alu_type, pipeline_stages => cpu_pipeline_stages ) port map ( clk => aclk, reset_in => "not" (aresetn), intr_in => intr_in, address_next => cpu_address_next(cpu_width-1 downto 2), byte_we_next => cpu_strobe_next, address => open, byte_we => open, data_w => cpu_write_data, data_r => cpu_read_data, mem_pause => cpu_pause ); -- If cache is enabled, instantiate controller and buffer. gen_cache : if cache_enable=True generate -- Cache controller instantiation. plasoc_cpu_l1_cache_cntrl_inst : plasoc_cpu_l1_cache_cntrl generic map ( cpu_address_width => cpu_width, cpu_data_width => cpu_width, cache_cacheable_width => cache_address_width, cache_way_width => cache_way_width, cache_index_width => cache_index_width, cache_offset_width => cache_offset_width, cache_policy => cache_replace_strat, oper_base => oper_base, oper_invalidate_offset => oper_invalidate_offset_slv, oper_flush_offset => oper_flush_offset_slv) port map ( clock => aclk, resetn => aresetn, cpu_next_address => cpu_address_next, cpu_write_data => cpu_write_data, cpu_write_enables => cpu_strobe_next, cpu_read_data => cpu_read_data, cpu_pause => cpu_pause, memory_write_address => mem_out_address, memory_write_data => mem_out_data, memory_write_enable => mem_out_enable, memory_write_enables => mem_out_strobe, memory_write_valid => mem_out_valid, memory_write_ready => mem_out_ready, memory_read_address => mem_in_address, memory_read_enable => mem_in_enable, memory_read_data => mem_in_data, memory_read_valid => mem_in_valid, memory_read_ready => mem_in_ready, memory_cacheable => cache_cacheable); end generate; -- If cache is disabled, instantiate memory controller. gen_no_cache : if cache_enable=False generate -- Memory controller instantiation. plasoc_cpu_mem_cntrl_inst : plasoc_cpu_mem_cntrl generic map ( cpu_address_width => cpu_width, cpu_data_width => cpu_width ) port map ( clock => aclk, resetn => aresetn, cpu_address => cpu_address_next, cpu_in_data => cpu_write_data, cpu_out_data => cpu_read_data, cpu_strobe => cpu_strobe_next, cpu_pause => cpu_pause, cache_cacheable => cache_cacheable, mem_in_address => mem_in_address, mem_in_data => mem_in_data, mem_in_enable => mem_in_enable, mem_in_valid => mem_in_valid, mem_in_ready => mem_in_ready, mem_out_address => mem_out_address, mem_out_data => mem_out_data, mem_out_strobe => mem_out_strobe, mem_out_enable => mem_out_enable, mem_out_valid => mem_out_valid, mem_out_ready => mem_out_ready); end generate; -- axi write controller. plasoc_cpu_axi4_write_cntrl_inst : plasoc_cpu_axi4_write_cntrl generic map ( cpu_address_width => cpu_width, cpu_data_width => cpu_width, cache_offset_width => cache_offset_width, axi_awuser_width => axi_user_width, axi_wuser_width => axi_user_width, axi_buser_width => axi_user_width) port map ( clock => aclk, nreset => aresetn, mem_write_address => mem_out_address, mem_write_data => mem_out_data, mem_write_strobe => mem_out_strobe, mem_write_enable => mem_out_enable, mem_write_valid => mem_out_valid, mem_write_ready => mem_out_ready, cache_cacheable => cache_cacheable, axi_awid => axi_awid, axi_awaddr => axi_awaddr, axi_awlen => axi_awlen, axi_awsize => axi_awsize, axi_awburst => axi_awburst, axi_awlock => axi_awlock, axi_awcache => axi_awcache, axi_awprot => axi_awprot, axi_awqos => axi_awqos, axi_awregion => axi_awregion, axi_awuser => open, axi_awvalid => axi_awvalid, axi_awready => axi_awready, axi_wdata => axi_wdata, axi_wstrb => axi_wstrb, axi_wlast => axi_wlast, axi_wuser => open, axi_wvalid => axi_wvalid, axi_wready => axi_wready, axi_bid => axi_bid, axi_bresp => axi_bresp, axi_buser => (others=>'0'), axi_bvalid => axi_bvalid, axi_bready => axi_bready, error_data => open); -- axi read controller. plasoc_cpu_axi4_read_cntrl_inst : plasoc_cpu_axi4_read_cntrl generic map ( cpu_address_width => cpu_width, cpu_data_width => cpu_width, cache_offset_width => cache_offset_width, axi_aruser_width => axi_user_width, axi_ruser_width => axi_user_width) port map ( clock => aclk, nreset => aresetn, mem_read_address => mem_in_address, mem_read_data => mem_in_data, mem_read_enable => mem_in_enable, mem_read_valid => mem_in_valid, mem_read_ready => mem_in_ready, cache_cacheable => cache_cacheable, axi_arid => axi_arid, axi_araddr => axi_araddr, axi_arlen => axi_arlen, axi_arsize => axi_arsize, axi_arburst => axi_arburst, axi_arlock => axi_arlock, axi_arcache => axi_arcache, axi_arprot => axi_arprot, axi_arqos => axi_arqos, axi_arregion => axi_arregion, axi_aruser => open, axi_arvalid => axi_arvalid, axi_arready => axi_arready, axi_rid => axi_rid, axi_rdata => axi_rdata, axi_rresp => axi_rresp, axi_rlast => axi_rlast, axi_ruser => (others=>'0'), axi_rvalid => axi_rvalid, axi_rready => axi_rready, error_data => open); end Behavioral;
mit
VLSI-EDA/UVVM_All
xConstrRandFuncCov/src/VendorCovApiPkg_Aldec.vhd
2
4230
-- -- File Name: VendorCovApiPkg_Aldec.vhd -- Design Unit Name: VendorCovApiPkg -- Revision: ALDEC VERSION -- -- Maintainer: -- -- Package Defines -- A set of foreign procedures that link OSVVM's CoveragePkg -- coverage model creation and coverage capture with the -- built-in capability of a simulator. -- -- -- Revision History: For more details, see CoveragePkg_release_notes.pdf -- Date Version Description -- 11/2016: 2016.11 Initial revision -- 12/2016 2016.11a Fixed an issue with attributes -- -- -- Copyright (c) 2016 by Aldec. All rights reserved. -- -- Verbatim copies of this source file may be used and -- distributed without restriction. -- -- Modified copies of this source file may be distributed -- under the terms of the ARTISTIC License as published by -- The Perl Foundation; either version 2.0 of the License, -- or (at your option) any later version. -- -- This source is distributed in the hope that it will be -- useful, but WITHOUT ANY WARRANTY; without even the implied -- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR -- PURPOSE. See the Artistic License for details. -- -- You should have received a copy of the license with this source. -- If not download it from, -- http://www.perlfoundation.org/artistic_license_2_0 -- -- -------------------------------------------------------------------------- package VendorCovApiPkg is subtype VendorCovHandleType is integer; -- Types for how coverage bins are represented. Matches OSVVM types. type VendorCovRangeType is record min: integer; max: integer; end record; type VendorCovRangeArrayType is array ( integer range <> ) of VendorCovRangeType; -- Create Initial Data Structure for Point/Item Functional Coverage Model -- Sets initial name of the coverage model if available impure function VendorCovPointCreate( name: string ) return VendorCovHandleType; attribute foreign of VendorCovPointCreate[ string return VendorCovHandleType ]: function is "VHPI systf; cvg_CvpCreate"; -- Create Initial Data Structure for Cross Functional Coverage Model -- Sets initial name of the coverage model if available impure function VendorCovCrossCreate( name: string ) return VendorCovHandleType; attribute foreign of VendorCovCrossCreate[ string return VendorCovHandleType ]: function is "VHPI systf; cvg_CrCreate"; -- Sets/Updates the name of the Coverage Model. -- Should not be called until the data structure is created by VendorCovPointCreate or VendorCovCrossCreate. -- Replaces name that was set by VendorCovPointCreate or VendorCovCrossCreate. procedure VendorCovSetName( obj: VendorCovHandleType; name: string ); attribute foreign of VendorCovSetName[ VendorCovHandleType, string ]: procedure is "VHPI systf; cvg_SetCoverName"; -- Add a bin or set of bins to either a Point/Item or Cross Functional Coverage Model -- Checking for sizing that is different from original sizing already done in OSVVM CoveragePkg -- It is important to maintain an index that corresponds to the order the bins were entered as -- that is used when coverage is recorded. procedure VendorCovBinAdd( obj: VendorCovHandleType; bins: VendorCovRangeArrayType; Action: integer; atleast: integer; name: string ); attribute foreign of VendorCovBinAdd[ VendorCovHandleType, VendorCovRangeArrayType, integer, integer, string ]: procedure is "VHPI systf; cvg_CvpCrBinCreate"; -- Increment the coverage of bin identified by index number. -- Index ranges from 1 to Number of Bins. -- Index corresponds to the order the bins were entered (starting from 1) procedure VendorCovBinInc( obj: VendorCovHandleType; index: integer ); attribute foreign of VendorCovBinInc[ VendorCovHandleType, integer ]: procedure is "VHPI systf; cvg_CvpCrBinIncr"; -- Action (integer): -- constant COV_COUNT : integer := 1; -- constant COV_IGNORE : integer := 0; -- constant COV_ILLEGAL : integer := -1; end package; package body VendorCovApiPkg is -- Replace any existing package body for this package end package body VendorCovApiPkg ;
mit
MForever78/CPUFly
ipcore_dir/Font/simulation/Font_tb_synth.vhd
1
7137
-------------------------------------------------------------------------------- -- -- DIST MEM GEN Core - Synthesizable Testbench -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: Font_tb_synth.vhd -- -- Description: -- Synthesizable Testbench -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.NUMERIC_STD.ALL; USE IEEE.STD_LOGIC_MISC.ALL; LIBRARY STD; USE STD.TEXTIO.ALL; --LIBRARY unisim; --USE unisim.vcomponents.ALL; LIBRARY work; USE work.ALL; USE work.Font_TB_PKG.ALL; ENTITY Font_tb_synth IS GENERIC ( C_ROM_SYNTH : INTEGER := 0 ); PORT( CLK_IN : IN STD_LOGIC; RESET_IN : IN STD_LOGIC; STATUS : OUT STD_LOGIC_VECTOR(8 DOWNTO 0) := (OTHERS => '0') --ERROR STATUS OUT OF FPGA ); END Font_tb_synth; ARCHITECTURE Font_synth_ARCH OF Font_tb_synth IS COMPONENT Font_exdes PORT ( SPO : OUT STD_LOGIC_VECTOR(8-1 downto 0); A : IN STD_LOGIC_VECTOR(12-1-(4*0*boolean'pos(12>4)) downto 0) := (OTHERS => '0') ); END COMPONENT; CONSTANT STIM_CNT : INTEGER := if_then_else(C_ROM_SYNTH = 0, 8, 22); SIGNAL CLKA: STD_LOGIC := '0'; SIGNAL RSTA: STD_LOGIC := '0'; SIGNAL STIMULUS_FLOW : STD_LOGIC_VECTOR(22 DOWNTO 0) := (OTHERS =>'0'); SIGNAL clk_in_i : STD_LOGIC; SIGNAL RESET_SYNC_R1 : STD_LOGIC:='1'; SIGNAL RESET_SYNC_R2 : STD_LOGIC:='1'; SIGNAL RESET_SYNC_R3 : STD_LOGIC:='1'; SIGNAL ADDR: STD_LOGIC_VECTOR(11 DOWNTO 0) := (OTHERS => '0'); SIGNAL ADDR_R: STD_LOGIC_VECTOR(11 DOWNTO 0) := (OTHERS => '0'); SIGNAL SPO: STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); SIGNAL SPO_R: STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); SIGNAL ITER_R0 : STD_LOGIC := '0'; SIGNAL ITER_R1 : STD_LOGIC := '0'; SIGNAL ITER_R2 : STD_LOGIC := '0'; SIGNAL ISSUE_FLAG : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); SIGNAL ISSUE_FLAG_STATUS : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); BEGIN clk_in_i <= CLK_IN; CLKA <= clk_in_i; RSTA <= RESET_SYNC_R3 AFTER 50 ns; PROCESS(clk_in_i) BEGIN IF(RISING_EDGE(clk_in_i)) THEN RESET_SYNC_R1 <= RESET_IN; RESET_SYNC_R2 <= RESET_SYNC_R1; RESET_SYNC_R3 <= RESET_SYNC_R2; END IF; END PROCESS; PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN ISSUE_FLAG_STATUS<= (OTHERS => '0'); ELSE ISSUE_FLAG_STATUS <= ISSUE_FLAG_STATUS OR ISSUE_FLAG; END IF; END IF; END PROCESS; STATUS(7 DOWNTO 0) <= ISSUE_FLAG_STATUS; Font_TB_STIM_GEN_INST:ENTITY work.Font_TB_STIM_GEN GENERIC MAP( C_ROM_SYNTH => C_ROM_SYNTH ) PORT MAP( CLK => clk_in_i, RST => RSTA, A => ADDR, DATA_IN => SPO_R, STATUS => ISSUE_FLAG(0) ); PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN STATUS(8) <= '0'; iter_r2 <= '0'; iter_r1 <= '0'; iter_r0 <= '0'; ELSE STATUS(8) <= iter_r2; iter_r2 <= iter_r1; iter_r1 <= iter_r0; iter_r0 <= STIMULUS_FLOW(STIM_CNT); END IF; END IF; END PROCESS; PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN STIMULUS_FLOW <= (OTHERS => '0'); ELSIF(ADDR(0)='1') THEN STIMULUS_FLOW <= STIMULUS_FLOW + 1; END IF; END IF; END PROCESS; PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN SPO_R <= (OTHERS=>'0') AFTER 50 ns; ELSE SPO_R <= SPO AFTER 50 ns; END IF; END IF; END PROCESS; PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN ADDR_R <= (OTHERS=> '0') AFTER 50 ns; ELSE ADDR_R <= ADDR AFTER 50 ns; END IF; END IF; END PROCESS; DMG_PORT: Font_exdes PORT MAP ( SPO => SPO, A => ADDR_R ); END ARCHITECTURE;
mit
MForever78/CPUFly
ipcore_dir/Video_Memory/simulation/Video_Memory_tb_agen.vhd
1
4462
-------------------------------------------------------------------------------- -- -- DIST MEM GEN Core - Address Generator -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: Video_Memory_tb_agen.vhd -- -- Description: -- Address Generator -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY work; USE work.ALL; ENTITY Video_Memory_TB_AGEN IS GENERIC ( C_MAX_DEPTH : INTEGER := 1024 ; RST_VALUE : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS=> '0'); RST_INC : INTEGER := 0); PORT ( CLK : IN STD_LOGIC; RST : IN STD_LOGIC; EN : IN STD_LOGIC; LOAD :IN STD_LOGIC; LOAD_VALUE : IN STD_LOGIC_VECTOR (31 DOWNTO 0) := (OTHERS => '0'); ADDR_OUT : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) --OUTPUT VECTOR ); END Video_Memory_TB_AGEN; ARCHITECTURE BEHAVIORAL OF Video_Memory_TB_AGEN IS SIGNAL ADDR_TEMP : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS =>'0'); BEGIN ADDR_OUT <= ADDR_TEMP; PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(RST='1') THEN ADDR_TEMP<= RST_VALUE + conv_std_logic_vector(RST_INC,32 ); ELSE IF(EN='1') THEN IF(LOAD='1') THEN ADDR_TEMP <=LOAD_VALUE; ELSE IF(ADDR_TEMP = C_MAX_DEPTH-1) THEN ADDR_TEMP<= RST_VALUE + conv_std_logic_vector(RST_INC,32 ); ELSE ADDR_TEMP <= ADDR_TEMP + '1'; END IF; END IF; END IF; END IF; END IF; END PROCESS; END ARCHITECTURE;
mit
VLSI-EDA/UVVM_All
bitvis_vip_avalon_mm/src/vvc_context.vhd
1
1420
--======================================================================================================================== -- Copyright (c) 2018 by Bitvis AS. All rights reserved. -- You should have received a copy of the license file containing the MIT License (see LICENSE.TXT), if not, -- contact Bitvis AS <[email protected]>. -- -- UVVM AND ANY PART THEREOF ARE PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE -- WARRANTIES OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS -- OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR -- OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH UVVM OR THE USE OR OTHER DEALINGS IN UVVM. --======================================================================================================================== ------------------------------------------------------------------------------------------ -- Description : See library quick reference (under 'doc') and README-file(s) ------------------------------------------------------------------------------------------ context vvc_context is library bitvis_vip_avalon_mm; use bitvis_vip_avalon_mm.vvc_cmd_pkg.all; use bitvis_vip_avalon_mm.vvc_methods_pkg.all; use bitvis_vip_avalon_mm.td_vvc_framework_common_methods_pkg.all; end context;
mit
VLSI-EDA/UVVM_All
xConstrRandFuncCov/src/TextUtilPkg.vhd
3
14015
-- -- File Name: TextUtilPkg.vhd -- Design Unit Name: TextUtilPkg -- Revision: STANDARD VERSION -- -- Maintainer: Jim Lewis email: [email protected] -- Contributor(s): -- Jim Lewis [email protected] -- -- -- Description: -- Shared Utilities for handling text files -- -- -- Developed for: -- SynthWorks Design Inc. -- VHDL Training Classes -- 11898 SW 128th Ave. Tigard, Or 97223 -- http://www.SynthWorks.com -- -- Revision History: -- Date Version Description -- 01/2015: 2015.05 Initial revision -- 01/2016: 2016.01 Update for L.all(L'left) -- 11/2016: 2016.11 Added IsUpper, IsLower, to_upper, to_lower -- -- -- Copyright (c) 2015-2016 by SynthWorks Design Inc. All rights reserved. -- -- Verbatim copies of this source file may be used and -- distributed without restriction. -- -- This source file is free software; you can redistribute it -- and/or modify it under the terms of the ARTISTIC License -- as published by The Perl Foundation; either version 2.0 of -- the License, or (at your option) any later version. -- -- This source is distributed in the hope that it will be -- useful, but WITHOUT ANY WARRANTY; without even the implied -- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR -- PURPOSE. See the Artistic License for details. -- -- You should have received a copy of the license with this source. -- If not download it from, -- http://www.perlfoundation.org/artistic_license_2_0 -- use std.textio.all ; library ieee ; use ieee.std_logic_1164.all ; package TextUtilPkg is ------------------------------------------------------------ function IsUpper (constant Char : character ) return boolean ; function IsLower (constant Char : character ) return boolean ; function to_lower (constant Char : character ) return character ; function to_lower (constant Str : string ) return string ; function to_upper (constant Char : character ) return character ; function to_upper (constant Str : string ) return string ; function ishex (constant Char : character ) return boolean ; function isstd_logic (constant Char : character ) return boolean ; ------------------------------------------------------------ procedure SkipWhiteSpace ( ------------------------------------------------------------ variable L : InOut line ; variable Empty : out boolean ) ; procedure SkipWhiteSpace (variable L : InOut line) ; ------------------------------------------------------------ procedure EmptyOrCommentLine ( ------------------------------------------------------------ variable L : InOut line ; variable Empty : InOut boolean ; variable MultiLineComment : inout boolean ) ; ------------------------------------------------------------ procedure ReadHexToken ( -- Reads Upto Result'length values, less is ok. -- Does not skip white space ------------------------------------------------------------ variable L : InOut line ; variable Result : Out std_logic_vector ; variable StrLen : Out integer ) ; ------------------------------------------------------------ procedure ReadBinaryToken ( -- Reads Upto Result'length values, less is ok. -- Does not skip white space ------------------------------------------------------------ variable L : InOut line ; variable Result : Out std_logic_vector ; variable StrLen : Out integer ) ; end TextUtilPkg ; --- /////////////////////////////////////////////////////////////////////////// --- /////////////////////////////////////////////////////////////////////////// --- /////////////////////////////////////////////////////////////////////////// package body TextUtilPkg is constant LOWER_TO_UPPER_OFFSET : integer := character'POS('a') - character'POS('A') ; ------------------------------------------------------------ function "-" (R : character ; L : integer ) return character is ------------------------------------------------------------ begin return character'VAL(character'pos(R) - L) ; end function "-" ; ------------------------------------------------------------ function "+" (R : character ; L : integer ) return character is ------------------------------------------------------------ begin return character'VAL(character'pos(R) + L) ; end function "+" ; ------------------------------------------------------------ function IsUpper (constant Char : character ) return boolean is ------------------------------------------------------------ begin if Char >= 'A' and Char <= 'Z' then return TRUE ; else return FALSE ; end if ; end function IsUpper ; ------------------------------------------------------------ function IsLower (constant Char : character ) return boolean is ------------------------------------------------------------ begin if Char >= 'a' and Char <= 'z' then return TRUE ; else return FALSE ; end if ; end function IsLower ; ------------------------------------------------------------ function to_lower (constant Char : character ) return character is ------------------------------------------------------------ begin if IsUpper(Char) then return Char + LOWER_TO_UPPER_OFFSET ; else return Char ; end if ; end function to_lower ; ------------------------------------------------------------ function to_lower (constant Str : string ) return string is ------------------------------------------------------------ variable result : string(Str'range) ; begin for i in Str'range loop result(i) := to_lower(Str(i)) ; end loop ; return result ; end function to_lower ; ------------------------------------------------------------ function to_upper (constant Char : character ) return character is ------------------------------------------------------------ begin if IsLower(Char) then return Char - LOWER_TO_UPPER_OFFSET ; else return Char ; end if ; end function to_upper ; ------------------------------------------------------------ function to_upper (constant Str : string ) return string is ------------------------------------------------------------ variable result : string(Str'range) ; begin for i in Str'range loop result(i) := to_upper(Str(i)) ; end loop ; return result ; end function to_upper ; ------------------------------------------------------------ function ishex (constant Char : character ) return boolean is ------------------------------------------------------------ begin if Char >= '0' and Char <= '9' then return TRUE ; elsif Char >= 'a' and Char <= 'f' then return TRUE ; elsif Char >= 'A' and Char <= 'F' then return TRUE ; else return FALSE ; end if ; end function ishex ; ------------------------------------------------------------ function isstd_logic (constant Char : character ) return boolean is ------------------------------------------------------------ begin case Char is when 'U' | 'X' | '0' | '1' | 'Z' | 'W' | 'L' | 'H' | '-' => return TRUE ; when others => return FALSE ; end case ; end function isstd_logic ; -- ------------------------------------------------------------ -- function iscomment (constant Char : character ) return boolean is -- ------------------------------------------------------------ -- begin -- case Char is -- when '#' | '/' | '-' => -- return TRUE ; -- when others => -- return FALSE ; -- end case ; -- end function iscomment ; ------------------------------------------------------------ procedure SkipWhiteSpace ( ------------------------------------------------------------ variable L : InOut line ; variable Empty : out boolean ) is variable Valid : boolean ; variable Char : character ; constant NBSP : CHARACTER := CHARACTER'val(160); -- space character begin Empty := TRUE ; WhiteSpLoop : while L /= null and L.all'length > 0 loop if (L.all(L'left) = ' ' or L.all(L'left) = NBSP or L.all(L'left) = HT) then read (L, Char, Valid) ; exit when not Valid ; else Empty := FALSE ; return ; end if ; end loop WhiteSpLoop ; end procedure SkipWhiteSpace ; ------------------------------------------------------------ procedure SkipWhiteSpace ( ------------------------------------------------------------ variable L : InOut line ) is variable Empty : boolean ; begin SkipWhiteSpace(L, Empty) ; end procedure SkipWhiteSpace ; ------------------------------------------------------------ -- Package Local procedure FindCommentEnd ( ------------------------------------------------------------ variable L : InOut line ; variable Empty : out boolean ; variable MultiLineComment : inout boolean ) is variable Valid : boolean ; variable Char : character ; begin MultiLineComment := TRUE ; Empty := TRUE ; FindEndOfCommentLoop : while L /= null and L.all'length > 1 loop read(L, Char, Valid) ; if Char = '*' and L.all(L'left) = '/' then read(L, Char, Valid) ; Empty := FALSE ; MultiLineComment := FALSE ; exit FindEndOfCommentLoop ; end if ; end loop ; end procedure FindCommentEnd ; ------------------------------------------------------------ procedure EmptyOrCommentLine ( ------------------------------------------------------------ variable L : InOut line ; variable Empty : InOut boolean ; variable MultiLineComment : inout boolean ) is variable Valid : boolean ; variable Next2Char : string(1 to 2) ; constant NBSP : CHARACTER := CHARACTER'val(160); -- space character begin if MultiLineComment then FindCommentEnd(L, Empty, MultiLineComment) ; end if ; EmptyCheckLoop : while not MultiLineComment loop SkipWhiteSpace(L, Empty) ; exit when Empty ; -- line null or 0 in length detected by SkipWhite Empty := TRUE ; exit when L.all(L'left) = '#' ; -- shell style comment if L.all'length >= 2 then if L'ascending then Next2Char := L.all(L'left to L'left+1) ; else Next2Char := L.all(L'left to L'left-1) ; end if; exit when Next2Char = "//" ; -- C style comment exit when Next2Char = "--" ; -- VHDL style comment if Next2Char = "/*" then -- C style multi line comment FindCommentEnd(L, Empty, MultiLineComment) ; exit when Empty ; next EmptyCheckLoop ; -- Found end of comment, restart processing line end if ; end if ; Empty := FALSE ; exit ; end loop EmptyCheckLoop ; end procedure EmptyOrCommentLine ; ------------------------------------------------------------ procedure ReadHexToken ( -- Reads Upto Result'length values, less is ok. -- Does not skip white space ------------------------------------------------------------ variable L : InOut line ; variable Result : Out std_logic_vector ; variable StrLen : Out integer ) is constant NumHexChars : integer := (Result'length+3)/4 ; constant ResultNormLen : integer := NumHexChars * 4 ; variable NextChar : character ; variable CharCount : integer ; variable ReturnVal : std_logic_vector(ResultNormLen-1 downto 0) ; variable ReadVal : std_logic_vector(3 downto 0) ; variable ReadValid : boolean ; begin ReturnVal := (others => '0') ; CharCount := 0 ; ReadLoop : while L /= null and L.all'length > 0 loop NextChar := L.all(L'left) ; if ishex(NextChar) or NextChar = 'X' or NextChar = 'Z' then hread(L, ReadVal, ReadValid) ; ReturnVal := ReturnVal(ResultNormLen-5 downto 0) & ReadVal ; CharCount := CharCount + 1 ; exit ReadLoop when CharCount >= NumHexChars ; elsif NextChar = '_' then read(L, NextChar, ReadValid) ; else exit ; end if ; end loop ReadLoop ; if CharCount >= NumHexChars then StrLen := Result'length ; else StrLen := CharCount * 4 ; end if ; Result := ReturnVal(Result'length-1 downto 0) ; end procedure ReadHexToken ; ------------------------------------------------------------ procedure ReadBinaryToken ( -- Reads Upto Result'length values, less is ok. -- Does not skip white space ------------------------------------------------------------ variable L : InOut line ; variable Result : Out std_logic_vector ; variable StrLen : Out integer ) is variable NextChar : character ; variable CharCount : integer ; variable ReadVal : std_logic ; variable ReturnVal : std_logic_vector(Result'length-1 downto 0) ; variable ReadValid : boolean ; begin ReturnVal := (others => '0') ; CharCount := 0 ; ReadLoop : while L /= null and L.all'length > 0 loop NextChar := L.all(L'left) ; if isstd_logic(NextChar) then read(L, ReadVal, ReadValid) ; ReturnVal := ReturnVal(Result'length-2 downto 0) & ReadVal ; CharCount := CharCount + 1 ; exit ReadLoop when CharCount >= Result'length ; elsif NextChar = '_' then read(L, NextChar, ReadValid) ; else exit ; end if ; end loop ReadLoop ; StrLen := CharCount ; Result := ReturnVal ; end procedure ReadBinaryToken ; end package body TextUtilPkg ;
mit
VLSI-EDA/UVVM_All
bitvis_vip_spi/src/vvc_context.vhd
1
1396
--======================================================================================================================== -- Copyright (c) 2018 by Bitvis AS. All rights reserved. -- You should have received a copy of the license file containing the MIT License (see LICENSE.TXT), if not, -- contact Bitvis AS <[email protected]>. -- -- UVVM AND ANY PART THEREOF ARE PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE -- WARRANTIES OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS -- OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR -- OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH UVVM OR THE USE OR OTHER DEALINGS IN UVVM. --======================================================================================================================== ------------------------------------------------------------------------------------------ -- Description : See library quick reference (under 'doc') and README-file(s) ------------------------------------------------------------------------------------------ context vvc_context is library bitvis_vip_spi; use bitvis_vip_spi.vvc_cmd_pkg.all; use bitvis_vip_spi.vvc_methods_pkg.all; use bitvis_vip_spi.td_vvc_framework_common_methods_pkg.all; end context;
mit
MForever78/CPUFly
ipcore_dir/Ram/simulation/Ram_synth.vhd
1
8143
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Synthesizable Testbench -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: Ram_synth.vhd -- -- Description: -- Synthesizable Testbench -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.NUMERIC_STD.ALL; USE IEEE.STD_LOGIC_MISC.ALL; LIBRARY STD; USE STD.TEXTIO.ALL; --LIBRARY unisim; --USE unisim.vcomponents.ALL; LIBRARY work; USE work.ALL; USE work.BMG_TB_PKG.ALL; ENTITY Ram_synth IS PORT( CLK_IN : IN STD_LOGIC; RESET_IN : IN STD_LOGIC; STATUS : OUT STD_LOGIC_VECTOR(8 DOWNTO 0) := (OTHERS => '0') --ERROR STATUS OUT OF FPGA ); END ENTITY; ARCHITECTURE Ram_synth_ARCH OF Ram_synth IS COMPONENT Ram_exdes PORT ( --Inputs - Port A WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0); ADDRA : IN STD_LOGIC_VECTOR(12 DOWNTO 0); DINA : IN STD_LOGIC_VECTOR(31 DOWNTO 0); DOUTA : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); CLKA : IN STD_LOGIC ); END COMPONENT; SIGNAL CLKA: STD_LOGIC := '0'; SIGNAL RSTA: STD_LOGIC := '0'; SIGNAL WEA: STD_LOGIC_VECTOR(0 DOWNTO 0) := (OTHERS => '0'); SIGNAL WEA_R: STD_LOGIC_VECTOR(0 DOWNTO 0) := (OTHERS => '0'); SIGNAL ADDRA: STD_LOGIC_VECTOR(12 DOWNTO 0) := (OTHERS => '0'); SIGNAL ADDRA_R: STD_LOGIC_VECTOR(12 DOWNTO 0) := (OTHERS => '0'); SIGNAL DINA: STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); SIGNAL DINA_R: STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); SIGNAL DOUTA: STD_LOGIC_VECTOR(31 DOWNTO 0); SIGNAL CHECKER_EN : STD_LOGIC:='0'; SIGNAL CHECKER_EN_R : STD_LOGIC:='0'; SIGNAL STIMULUS_FLOW : STD_LOGIC_VECTOR(22 DOWNTO 0) := (OTHERS =>'0'); SIGNAL clk_in_i: STD_LOGIC; SIGNAL RESET_SYNC_R1 : STD_LOGIC:='1'; SIGNAL RESET_SYNC_R2 : STD_LOGIC:='1'; SIGNAL RESET_SYNC_R3 : STD_LOGIC:='1'; SIGNAL ITER_R0 : STD_LOGIC := '0'; SIGNAL ITER_R1 : STD_LOGIC := '0'; SIGNAL ITER_R2 : STD_LOGIC := '0'; SIGNAL ISSUE_FLAG : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); SIGNAL ISSUE_FLAG_STATUS : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); BEGIN -- clk_buf: bufg -- PORT map( -- i => CLK_IN, -- o => clk_in_i -- ); clk_in_i <= CLK_IN; CLKA <= clk_in_i; RSTA <= RESET_SYNC_R3 AFTER 50 ns; PROCESS(clk_in_i) BEGIN IF(RISING_EDGE(clk_in_i)) THEN RESET_SYNC_R1 <= RESET_IN; RESET_SYNC_R2 <= RESET_SYNC_R1; RESET_SYNC_R3 <= RESET_SYNC_R2; END IF; END PROCESS; PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN ISSUE_FLAG_STATUS<= (OTHERS => '0'); ELSE ISSUE_FLAG_STATUS <= ISSUE_FLAG_STATUS OR ISSUE_FLAG; END IF; END IF; END PROCESS; STATUS(7 DOWNTO 0) <= ISSUE_FLAG_STATUS; BMG_DATA_CHECKER_INST: ENTITY work.CHECKER GENERIC MAP ( WRITE_WIDTH => 32, READ_WIDTH => 32 ) PORT MAP ( CLK => CLKA, RST => RSTA, EN => CHECKER_EN_R, DATA_IN => DOUTA, STATUS => ISSUE_FLAG(0) ); PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RSTA='1') THEN CHECKER_EN_R <= '0'; ELSE CHECKER_EN_R <= CHECKER_EN AFTER 50 ns; END IF; END IF; END PROCESS; BMG_STIM_GEN_INST:ENTITY work.BMG_STIM_GEN PORT MAP( CLK => clk_in_i, RST => RSTA, ADDRA => ADDRA, DINA => DINA, WEA => WEA, CHECK_DATA => CHECKER_EN ); PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN STATUS(8) <= '0'; iter_r2 <= '0'; iter_r1 <= '0'; iter_r0 <= '0'; ELSE STATUS(8) <= iter_r2; iter_r2 <= iter_r1; iter_r1 <= iter_r0; iter_r0 <= STIMULUS_FLOW(8); END IF; END IF; END PROCESS; PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN STIMULUS_FLOW <= (OTHERS => '0'); ELSIF(WEA(0)='1') THEN STIMULUS_FLOW <= STIMULUS_FLOW+1; END IF; END IF; END PROCESS; PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN WEA_R <= (OTHERS=>'0') AFTER 50 ns; DINA_R <= (OTHERS=>'0') AFTER 50 ns; ELSE WEA_R <= WEA AFTER 50 ns; DINA_R <= DINA AFTER 50 ns; END IF; END IF; END PROCESS; PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN ADDRA_R <= (OTHERS=> '0') AFTER 50 ns; ELSE ADDRA_R <= ADDRA AFTER 50 ns; END IF; END IF; END PROCESS; BMG_PORT: Ram_exdes PORT MAP ( --Port A WEA => WEA_R, ADDRA => ADDRA_R, DINA => DINA_R, DOUTA => DOUTA, CLKA => CLKA ); END ARCHITECTURE;
mit
VLSI-EDA/UVVM_All
bitvis_vip_gpio/src/gpio_bfm_pkg.vhd
2
9647
--======================================================================================================================== -- Copyright (c) 2017 by Bitvis AS. All rights reserved. -- You should have received a copy of the license file containing the MIT License (see LICENSE.TXT), if not, -- contact Bitvis AS <[email protected]>. -- -- UVVM AND ANY PART THEREOF ARE PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE -- WARRANTIES OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS -- OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR -- OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH UVVM OR THE USE OR OTHER DEALINGS IN UVVM. --======================================================================================================================== ------------------------------------------------------------------------------------------ -- Description : See library quick reference (under 'doc') and README-file(s) ------------------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library std; use std.textio.all; library uvvm_util; context uvvm_util.uvvm_util_context; --=========================================================================================== package gpio_bfm_pkg is --========================================================================================= -- Types and constants for GPIO BFM --========================================================================================= constant C_SCOPE : string := "GPIO BFM"; -- Configuration record to be assigned in the test harness. type t_gpio_bfm_config is record clock_period : time; match_strictness : t_match_strictness; id_for_bfm : t_msg_id; -- The message ID used as a general message ID in the GPIO BFM id_for_bfm_wait : t_msg_id; -- The message ID used for logging waits in the GPIO BFM. id_for_bfm_poll : t_msg_id; -- The message ID used for logging polling in the GPIO BFM end record; -- Define the default value for the BFM config constant C_GPIO_BFM_CONFIG_DEFAULT : t_gpio_bfm_config := ( clock_period => 10 ns, match_strictness => MATCH_STD, id_for_bfm => ID_BFM, id_for_bfm_wait => ID_BFM_WAIT, id_for_bfm_poll => ID_BFM_POLL ); --========================================================================================= -- BFM procedures --========================================================================================= --------------------------------------------------------------------------------- -- set data --------------------------------------------------------------------------------- procedure gpio_set ( constant data_value : in std_logic_vector; -- '-' means don't change constant msg : in string; signal data_port : inout std_logic_vector; constant scope : in string := C_SCOPE; constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel ); --------------------------------------------------------------------------------- -- get data() --------------------------------------------------------------------------------- procedure gpio_get ( variable data_value : out std_logic_vector; constant msg : in string; signal data_port : in std_logic_vector; constant scope : in string := C_SCOPE; constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel ); --------------------------------------------------------------------------------- -- check data() --------------------------------------------------------------------------------- -- Perform a read operation, then compare the read value to the expected value. procedure gpio_check ( constant data_exp : in std_logic_vector; -- '-' means don't care constant msg : in string; signal data_port : in std_logic_vector; constant alert_level : in t_alert_level := error; constant scope : in string := C_SCOPE; constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel; constant config : in t_gpio_bfm_config := C_GPIO_BFM_CONFIG_DEFAULT ); --------------------------------------------------------------------------------- -- expect data() --------------------------------------------------------------------------------- -- Perform a read operation, then compare the read value to the expected value. procedure gpio_expect ( constant data_exp : in std_logic_vector; constant msg : in string; signal data_port : in std_logic_vector; constant timeout : in time := 0 ns; -- 0 = no timeout constant alert_level : in t_alert_level := error; constant scope : in string := C_SCOPE; constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel; constant config : in t_gpio_bfm_config := C_GPIO_BFM_CONFIG_DEFAULT ); end package gpio_bfm_pkg; --================================================================================= --================================================================================= package body gpio_bfm_pkg is --------------------------------------------------------------------------------- -- set data --------------------------------------------------------------------------------- procedure gpio_set ( constant data_value : in std_logic_vector; -- '-' means don't change constant msg : in string; signal data_port : inout std_logic_vector; constant scope : in string := C_SCOPE; constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel ) is constant name : string := "gpio_set(" & to_string(data_value) & ")"; begin for i in data_port'range loop if data_value(i) /= '-' then data_port(i) <= data_value(i); end if; end loop; log(ID_BFM, name & " completed. " & add_msg_delimiter(msg), scope, msg_id_panel); end procedure; --------------------------------------------------------------------------------- -- get data() --------------------------------------------------------------------------------- -- Perform a read operation and returns the gpio value procedure gpio_get ( variable data_value : out std_logic_vector; constant msg : in string; signal data_port : in std_logic_vector; constant scope : in string := C_SCOPE; constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel ) is constant name : string := "gpio_get()"; begin log(ID_BFM, name & " => Read gpio value: " & to_string(data_port, HEX_BIN_IF_INVALID, AS_IS, INCL_RADIX) & ". " & add_msg_delimiter(msg), scope, msg_id_panel); data_value := data_port; end procedure; --------------------------------------------------------------------------------- -- check data() --------------------------------------------------------------------------------- -- Perform a read operation, then compare the read value to the expected value. procedure gpio_check ( constant data_exp : in std_logic_vector; -- '-' means don't care constant msg : in string; signal data_port : in std_logic_vector; constant alert_level : in t_alert_level := error; constant scope : in string := C_SCOPE; constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel; constant config : in t_gpio_bfm_config := C_GPIO_BFM_CONFIG_DEFAULT ) is constant name : string := "gpio_check(" & to_string(data_exp, HEX, AS_IS, INCL_RADIX) & ")"; variable v_check_ok : boolean; begin v_check_ok := check_value(data_port, data_exp, config.match_strictness, alert_level, msg, scope, HEX_BIN_IF_INVALID, SKIP_LEADING_0, ID_NEVER, msg_id_panel, name); if v_check_ok then log(ID_BFM, name & "=> OK, read data = " & to_string(data_port, HEX_BIN_IF_INVALID, AS_IS, INCL_RADIX) & ". " & add_msg_delimiter(msg), scope, msg_id_panel); end if; end procedure; --------------------------------------------------------------------------------- -- expect() --------------------------------------------------------------------------------- -- Perform a receive operation, then compare the received value to the expected value. procedure gpio_expect ( constant data_exp : in std_logic_vector; constant msg : in string; signal data_port : in std_logic_vector; constant timeout : in time := 0 ns; -- 0 = no timeout constant alert_level : in t_alert_level := error; constant scope : in string := C_SCOPE; constant msg_id_panel : in t_msg_id_panel := shared_msg_id_panel; constant config : in t_gpio_bfm_config := C_GPIO_BFM_CONFIG_DEFAULT ) is constant name : string := "gpio_expect(" & to_string(data_exp, HEX, AS_IS, INCL_RADIX) & ")"; begin log(ID_BFM, name & "=> Expecting value " & to_string(data_exp, HEX_BIN_IF_INVALID, AS_IS, INCL_RADIX) & "." & add_msg_delimiter(msg), scope, msg_id_panel); await_value(data_port, data_exp, config.match_strictness, 0 ns, timeout, alert_level, msg, scope, HEX_BIN_IF_INVALID, SKIP_LEADING_0, ID_BFM, msg_id_panel); end procedure; end package body gpio_bfm_pkg;
mit
VLSI-EDA/UVVM_All
uvvm_util/src/hierarchy_linked_list_pkg.vhd
2
45347
--======================================================================================================================== -- Copyright (c) 2017 by Bitvis AS. All rights reserved. -- You should have received a copy of the license file containing the MIT License (see LICENSE.TXT), if not, -- contact Bitvis AS <[email protected]>. -- -- UVVM AND ANY PART THEREOF ARE PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE -- WARRANTIES OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS -- OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR -- OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH UVVM OR THE USE OR OTHER DEALINGS IN UVVM. --======================================================================================================================== ------------------------------------------------------------------------------------------ -- Description : See library quick reference (under 'doc') and README-file(s) ------------------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use std.textio.all; use work.types_pkg.all; use work.string_methods_pkg.all; use work.adaptations_pkg.all; use work.global_signals_and_shared_variables_pkg.all; package hierarchy_linked_list_pkg is type t_hierarchy_linked_list is protected procedure initialize_hierarchy( base_scope : string := ""; stop_limit : t_alert_counters); procedure insert_in_tree( hierarchy_node : t_hierarchy_node; parent_scope : string); impure function is_empty return boolean; impure function is_not_empty return boolean; impure function get_size return natural; procedure clear; impure function contains_scope( scope : string ) return boolean; procedure contains_scope_return_data( scope : string; variable result : out boolean; variable hierarchy_node : out t_hierarchy_node); procedure alert ( constant scope : string; constant alert_level : t_alert_level; constant attention : t_attention := REGARD; constant msg : string := ""); procedure increment_expected_alerts( scope : string; alert_level: t_alert_level; amount : natural := 1); procedure set_expected_alerts( scope : string; alert_level: t_alert_level; expected_alerts : natural); impure function get_expected_alerts( scope : string; alert_level : t_alert_level ) return natural; procedure increment_stop_limit( scope : string; alert_level: t_alert_level; amount : natural := 1); procedure set_stop_limit( scope : string; alert_level: t_alert_level; stop_limit : natural); impure function get_stop_limit( scope : string; alert_level : t_alert_level ) return natural; procedure print_hierarchical_log( order : t_order := FINAL); impure function get_parent_scope( scope : string(1 to C_HIERARCHY_NODE_NAME_LENGTH)) return string; procedure change_parent( scope : string; parent_scope : string ); procedure set_top_level_stop_limit( alert_level : t_alert_level; value : natural ); impure function get_top_level_stop_limit( alert_level : t_alert_level ) return natural; procedure enable_alert_level( scope : string; alert_level : t_alert_level ); procedure disable_alert_level( scope : string; alert_level : t_alert_level ); procedure enable_all_alert_levels( scope : string ); procedure disable_all_alert_levels( scope : string ); end protected; end package hierarchy_linked_list_pkg; package body hierarchy_linked_list_pkg is type t_hierarchy_linked_list is protected body -- Types and control variables for the linked list implementation type t_element; type t_element_ptr is access t_element; type t_element is record first_child : t_element_ptr; -- Pointer to the first element in a linked list of children next_sibling : t_element_ptr; -- Pointer to the next element in a linked list of siblings prev_sibling : t_element_ptr; -- Pointer to the previous element in a linked list of siblings parent : t_element_ptr; element_data : t_hierarchy_node; hierarchy_level : natural; -- How far down the tree this node is. Used when printing summary. end record; variable vr_top_element_ptr : t_element_ptr; variable vr_num_elements_in_tree : natural := 0; variable vr_max_hierarchy_level : natural := 0; -- Initialization variables variable vr_has_been_initialized : boolean := false; variable vr_base_scope : string(1 to C_HIERARCHY_NODE_NAME_LENGTH); procedure initialize_hierarchy( base_scope : string := ""; stop_limit : t_alert_counters) is variable v_base_scope : string(1 to C_HIERARCHY_NODE_NAME_LENGTH) := justify(base_scope, LEFT, C_HIERARCHY_NODE_NAME_LENGTH); variable base_node : t_hierarchy_node := (v_base_scope, (others => (others => 0)), stop_limit, (others => true)); begin if not vr_has_been_initialized then -- Generate a base node. insert_in_tree(base_node, ""); vr_base_scope := v_base_scope; vr_has_been_initialized := true; end if; end procedure; procedure search_for_scope( variable starting_node : in t_element_ptr; scope : string; variable result_node : out t_element_ptr; variable found : out boolean ) is variable v_current_ptr : t_element_ptr := null; variable v_found : boolean := false; variable v_scope : string(1 to C_HIERARCHY_NODE_NAME_LENGTH) := justify(scope, LEFT, C_HIERARCHY_NODE_NAME_LENGTH); begin found := false; -- is this the correct scope? if starting_node.element_data.name = v_scope then result_node := starting_node; found := true; return; end if; -- Go downwards in the tree. if starting_node.first_child /= null then search_for_scope(starting_node.first_child, v_scope, v_current_ptr, v_found); if v_found then result_node := v_current_ptr; found := true; return; end if; end if; -- Go sideways in the tree if starting_node.next_sibling /= null then search_for_scope(starting_node.next_sibling, v_scope, v_current_ptr, v_found); if v_found then result_node := v_current_ptr; found := true; return; end if; end if; -- No candidate found end procedure; procedure search_for_scope( variable starting_node : in t_element_ptr; hierarchy_node : t_hierarchy_node; variable result_node : out t_element_ptr; variable found : out boolean ) is variable v_current_ptr : t_element_ptr := null; variable v_found : boolean := false; begin found := false; -- is this the correct node? if starting_node.element_data = hierarchy_node then result_node := starting_node; found := true; return; end if; -- Go downwards in the tree. if starting_node.first_child /= null then search_for_scope(starting_node.first_child, hierarchy_node, v_current_ptr, v_found); if v_found then result_node := v_current_ptr; found := true; return; end if; end if; -- Go sideways in the tree if starting_node.next_sibling /= null then search_for_scope(starting_node.next_sibling, hierarchy_node, v_current_ptr, v_found); if v_found then result_node := v_current_ptr; found := true; return; end if; end if; -- No candidate found end procedure; procedure update_uvvm_sim_status is type alert_array is array (1 to 6) of t_alert_level; constant alert_check_array : alert_array := (WARNING, TB_WARNING, ERROR, TB_ERROR, FAILURE, TB_FAILURE); variable v_traverse_children_ptr : t_element_ptr; variable v_traverse_siblings_ptr : t_element_ptr; -- uvvm simulation status alias found_unexpected_simulation_warnings_or_worse is shared_uvvm_status.found_unexpected_simulation_warnings_or_worse; alias found_unexpected_simulation_errors_or_worse is shared_uvvm_status.found_unexpected_simulation_errors_or_worse; alias mismatch_on_expected_simulation_warnings_or_worse is shared_uvvm_status.mismatch_on_expected_simulation_warnings_or_worse; alias mismatch_on_expected_simulation_errors_or_worse is shared_uvvm_status.mismatch_on_expected_simulation_errors_or_worse; begin -- set default values for uvvm simulation status found_unexpected_simulation_warnings_or_worse := 0; found_unexpected_simulation_errors_or_worse := 0; mismatch_on_expected_simulation_warnings_or_worse := 0; mismatch_on_expected_simulation_errors_or_worse := 0; v_traverse_children_ptr := vr_top_element_ptr; -- Update uvvm simulation status while v_traverse_children_ptr /= null loop -- loop through children v_traverse_siblings_ptr := v_traverse_children_ptr; while v_traverse_siblings_ptr /= null loop -- loop through siblings -- Compare expected and current allerts for i in 1 to alert_check_array'high loop if (v_traverse_siblings_ptr.element_data.alert_attention_counters(alert_check_array(i))(REGARD) /= v_traverse_siblings_ptr.element_data.alert_attention_counters(alert_check_array(i))(EXPECT)) then -- MISMATCH -- warning or worse mismatch_on_expected_simulation_warnings_or_worse := 1; -- error or worse if not(alert_check_array(i) = WARNING) and not(alert_check_array(i) = TB_WARNING) then mismatch_on_expected_simulation_errors_or_worse := 1; end if; -- FOUND UNEXPECTED ALERT if (v_traverse_siblings_ptr.element_data.alert_attention_counters(alert_check_array(i))(REGARD) > v_traverse_siblings_ptr.element_data.alert_attention_counters(alert_check_array(i))(EXPECT)) then -- warning and worse found_unexpected_simulation_warnings_or_worse := 1; -- error and worse if not(alert_check_array(i) = WARNING) and not(alert_check_array(i) = TB_WARNING) then found_unexpected_simulation_errors_or_worse := 1; end if; end if; end if; end loop; if (mismatch_on_expected_simulation_warnings_or_worse = 1) and (mismatch_on_expected_simulation_errors_or_worse = 1) and (found_unexpected_simulation_warnings_or_worse = 1) and (found_unexpected_simulation_errors_or_worse = 1) then exit; end if; v_traverse_siblings_ptr := v_traverse_siblings_ptr.next_sibling; end loop; if (mismatch_on_expected_simulation_warnings_or_worse = 1) and (mismatch_on_expected_simulation_errors_or_worse = 1) and (found_unexpected_simulation_warnings_or_worse = 1) and (found_unexpected_simulation_errors_or_worse = 1) then exit; end if; v_traverse_children_ptr := v_traverse_children_ptr.first_child; end loop; end procedure update_uvvm_sim_status; -- -- insert_in_tree -- -- Insert a new element in the tree. -- -- procedure insert_in_tree( hierarchy_node : t_hierarchy_node; parent_scope : string ) is variable v_parent_ptr : t_element_ptr; variable v_child_ptr : t_element_ptr; variable v_found : boolean := false; variable v_parent_scope : string(1 to C_HIERARCHY_NODE_NAME_LENGTH) := justify(parent_scope, LEFT, C_HIERARCHY_NODE_NAME_LENGTH); variable v_hierarchy_node : t_hierarchy_node; begin v_hierarchy_node := hierarchy_node; v_hierarchy_node.name := justify(hierarchy_node.name, LEFT, C_HIERARCHY_NODE_NAME_LENGTH); -- Set read and write pointers when appending element to existing list if vr_num_elements_in_tree > 0 and vr_has_been_initialized then -- Search for the parent. search_for_scope(vr_top_element_ptr, v_parent_scope, v_parent_ptr, v_found); if v_found then -- Parent found. if v_parent_ptr.first_child = null then -- Parent has no children. This node shall be the first child. v_parent_ptr.first_child := new t_element'(first_child => null, next_sibling => null, prev_sibling => null, parent => v_parent_ptr, element_data => v_hierarchy_node, hierarchy_level => v_parent_ptr.hierarchy_level + 1); else -- Parent has at least one child. This node shall be a sibling of the other child(ren). v_child_ptr := v_parent_ptr.first_child; -- Find last current sibling while v_child_ptr.next_sibling /= null loop v_child_ptr := v_child_ptr.next_sibling; end loop; -- Insert this node as a new sibling v_child_ptr.next_sibling := new t_element'(first_child => null, next_sibling => null, prev_sibling => v_child_ptr, parent => v_parent_ptr, element_data => v_hierarchy_node, hierarchy_level => v_parent_ptr.hierarchy_level + 1); end if; -- Update max hierarchy level if vr_max_hierarchy_level < v_parent_ptr.hierarchy_level + 1 then vr_max_hierarchy_level := v_parent_ptr.hierarchy_level + 1; end if; else -- parent not in tree -- Register to top level insert_in_tree(v_hierarchy_node, C_BASE_HIERARCHY_LEVEL); end if; else -- tree is empty, create top element in tree vr_top_element_ptr := new t_element'(first_child => null, next_sibling => null, prev_sibling => null, parent => null, element_data => v_hierarchy_node, hierarchy_level => 0); end if; -- Increment number of elements vr_num_elements_in_tree := vr_num_elements_in_tree + 1; end procedure; procedure clear_recursively(variable element_ptr : inout t_element_ptr) is begin assert element_ptr /= null report "Attempting to clear null pointer!" severity error ; if element_ptr.first_child /= null then clear_recursively(element_ptr.first_child); end if; if element_ptr.next_sibling /= null then clear_recursively(element_ptr.next_sibling); end if; DEALLOCATE(element_ptr); end procedure; procedure clear is variable v_to_be_deallocated_ptr : t_element_ptr; begin -- Deallocate all nodes in the tree if vr_top_element_ptr /= null then clear_recursively(vr_top_element_ptr); end if; -- Reset the linked_list counter vr_num_elements_in_tree := 0; -- Reset the hierarchy variables vr_max_hierarchy_level := 0; vr_has_been_initialized := false; update_uvvm_sim_status; end procedure; impure function is_empty return boolean is begin if vr_num_elements_in_tree = 0 then return true; else return false; end if; end function; impure function is_not_empty return boolean is begin return not is_empty; end function; impure function get_size return natural is begin return vr_num_elements_in_tree; end function; impure function contains_scope( scope : string ) return boolean is variable v_candidate_ptr : t_element_ptr := null; variable v_found : boolean := false; begin search_for_scope(vr_top_element_ptr, scope, v_candidate_ptr, v_found); return v_found; end function; procedure contains_scope_return_data( scope : string; variable result : out boolean; variable hierarchy_node : out t_hierarchy_node ) is variable v_candidate_ptr : t_element_ptr := null; variable v_found : boolean := false; begin search_for_scope(vr_top_element_ptr, scope, v_candidate_ptr, v_found); result := v_found; if v_found then hierarchy_node := v_candidate_ptr.element_data; end if; end procedure; procedure tee ( file file_handle : text; variable my_line : inout line ) is variable v_line : line; begin write (v_line, my_line.all); writeline(file_handle, v_line); end procedure tee; procedure alert ( constant scope : string; constant alert_level : t_alert_level; constant attention : t_attention := REGARD; constant msg : string := "" ) is variable v_starting_node_ptr : t_element_ptr; variable v_current_ptr : t_element_ptr; variable v_found : boolean := false; variable v_is_in_tree : boolean := false; variable v_msg : line; -- msg after pot. replacement of \n variable v_info : line; variable v_hierarchy : line; -- stores the hierarchy propagation variable v_parent_node : t_hierarchy_node; variable v_do_print : boolean := false; -- Enable/disable print of alert message begin if vr_num_elements_in_tree > 0 and vr_has_been_initialized then -- search for tree node that contains scope search_for_scope(vr_top_element_ptr, scope, v_starting_node_ptr, v_found); if not v_found then -- If the scope was not found, register automatically -- with the default base level scope as parent. -- Stop limit set to default. insert_in_tree((scope, (others => (others => 0)), (others => 0), (others => true)), justify(C_BASE_HIERARCHY_LEVEL, LEFT, C_HIERARCHY_NODE_NAME_LENGTH)); -- Search again to get ptr search_for_scope(vr_top_element_ptr, scope, v_starting_node_ptr, v_found); end if; v_current_ptr := v_starting_node_ptr; assert v_found report "Node not found!" severity failure; write(v_msg, replace_backslash_n_with_lf(msg)); -- Only print of alert level print is enabled for this alert level -- for the node where the alert is called. if attention /= IGNORE then if v_current_ptr.element_data.alert_level_print(alert_level) = true then v_do_print := true; end if; -- Write first part of alert message -- Serious alerts need more attention - thus more space and lines if (alert_level > MANUAL_CHECK) then write(v_info, LF & fill_string('=', C_LOG_INFO_WIDTH)); end if; write(v_info, LF & "*** "); end if; -- 4. Propagate alert and build alert message while v_current_ptr /= null loop if attention = IGNORE then -- Increment alert counter for this node at alert attention IGNORE v_current_ptr.element_data.alert_attention_counters(alert_level)(IGNORE) := v_current_ptr.element_data.alert_attention_counters(alert_level)(IGNORE)+ 1; else -- Increment alert counter for this node at alert attention REGARD v_current_ptr.element_data.alert_attention_counters(alert_level)(REGARD) := v_current_ptr.element_data.alert_attention_counters(alert_level)(REGARD)+ 1; write(v_hierarchy, v_current_ptr.element_data.name(1 to pos_of_rightmost_non_whitespace(v_current_ptr.element_data.name))); if v_current_ptr.parent /= null then write(v_hierarchy, string'(" -> ")); end if; -- Exit loop if stop-limit is reached for number of this alert if (v_current_ptr.element_data.alert_stop_limit(alert_level) /= 0) and (v_current_ptr.element_data.alert_attention_counters(alert_level)(REGARD) >= v_current_ptr.element_data.alert_stop_limit(alert_level)) then exit; end if; end if; v_current_ptr := v_current_ptr.parent; end loop; if v_current_ptr = null then -- Nothing went wrong in the previous loop v_current_ptr := v_starting_node_ptr; end if; if attention /= IGNORE then -- 3. Write body of alert message -- Remove line feed character (LF) -- if single line alert enabled. if not C_SINGLE_LINE_ALERT then write(v_info, to_upper(to_string(alert_level)) & " #" & to_string(v_current_ptr.element_data.alert_attention_counters(alert_level)(REGARD)) & " ***" & LF & justify( to_string(now, C_LOG_TIME_BASE), RIGHT, C_LOG_TIME_WIDTH) & " " & v_hierarchy.all & LF & wrap_lines(v_msg.all, C_LOG_TIME_WIDTH + 4, C_LOG_TIME_WIDTH + 4, C_LOG_INFO_WIDTH)); else replace(v_msg, LF, ' '); write(v_info, to_upper(to_string(alert_level)) & " #" & to_string(v_current_ptr.element_data.alert_attention_counters(alert_level)(REGARD)) & " ***" & justify( to_string(now, C_LOG_TIME_BASE), RIGHT, C_LOG_TIME_WIDTH) & " " & v_hierarchy.all & " " & v_msg.all); end if; end if; if v_msg /= null then deallocate(v_msg); end if; -- Write stop message if stop-limit is reached for number of this alert if (v_current_ptr.element_data.alert_stop_limit(alert_level) /= 0) and (v_current_ptr.element_data.alert_attention_counters(alert_level)(REGARD) >= v_current_ptr.element_data.alert_stop_limit(alert_level)) then v_do_print := true; -- If the alert limit has been reached, print alert message anyway. write(v_info, LF & LF & "Simulator has been paused as requested after " & to_string(v_current_ptr.element_data.alert_attention_counters(alert_level)(REGARD)) & " " & to_upper(to_string(alert_level)) & LF); if (alert_level = MANUAL_CHECK) then write(v_info, "Carry out above check." & LF & "Then continue simulation from within simulator." & LF); else write(v_info, string'("*** To find the root cause of this alert, " & "step out the HDL calling stack in your simulator. ***" & LF & "*** For example, step out until you reach the call from the test sequencer. ***")); end if; end if; if v_hierarchy /= null then deallocate(v_hierarchy); end if; -- 5. Write last part of alert message if (alert_level > MANUAL_CHECK) then write(v_info, LF & fill_string('=', C_LOG_INFO_WIDTH) & LF & LF); else write(v_info, LF); end if; prefix_lines(v_info); if v_do_print then -- Only print if alert level print enabled for this alert level. tee(OUTPUT, v_info); tee(ALERT_FILE, v_info); writeline(LOG_FILE, v_info); else if v_info /= null then deallocate(v_info); end if; end if; if (alert_level /= NO_ALERT) and (alert_level /= NOTE) and (alert_level /= TB_NOTE) and (alert_level /= MANUAL_CHECK) then update_uvvm_sim_status; end if; -- Stop simulation if stop-limit is reached for number of this alert if v_current_ptr /= null then if (v_current_ptr.element_data.alert_stop_limit(alert_level) /= 0) then if (v_current_ptr.element_data.alert_attention_counters(alert_level)(REGARD) >= v_current_ptr.element_data.alert_stop_limit(alert_level)) then assert false report "This single Failure line has been provoked to stop the simulation. See alert-message above" severity failure; end if; end if; end if; end if; end procedure; procedure increment_expected_alerts( scope : string; alert_level: t_alert_level; amount : natural := 1 ) is variable v_current_ptr : t_element_ptr; variable v_new_expected_alerts : natural; variable v_found : boolean := false; begin if vr_num_elements_in_tree > 0 and vr_has_been_initialized then -- search for tree node that contains scope search_for_scope(vr_top_element_ptr, scope, v_current_ptr, v_found); assert v_found report "Scope not found!" severity warning; if v_found then -- Increment expected alerts for this node. v_new_expected_alerts := v_current_ptr.element_data.alert_attention_counters(alert_level)(EXPECT) + amount; v_current_ptr.element_data.alert_attention_counters(alert_level)(EXPECT) := v_new_expected_alerts; -- Change pointer to parent element v_current_ptr := v_current_ptr.parent; -- Propagate expected alerts while v_current_ptr /= null loop if v_current_ptr.element_data.alert_attention_counters(alert_level)(EXPECT) < v_new_expected_alerts then v_current_ptr.element_data.alert_attention_counters(alert_level)(EXPECT) := v_new_expected_alerts; end if; v_current_ptr := v_current_ptr.parent; end loop; end if; if (alert_level /= NO_ALERT) and (alert_level /= NOTE) and (alert_level /= TB_NOTE) and (alert_level /= MANUAL_CHECK) then update_uvvm_sim_status; end if; end if; end procedure; procedure set_expected_alerts( scope : string; alert_level: t_alert_level; expected_alerts : natural ) is variable v_current_ptr : t_element_ptr; variable v_found : boolean := false; variable v_scope : string(1 to C_HIERARCHY_NODE_NAME_LENGTH) := justify(scope, LEFT, C_HIERARCHY_NODE_NAME_LENGTH); begin if vr_num_elements_in_tree > 0 and vr_has_been_initialized then -- search for tree node that contains scope search_for_scope(vr_top_element_ptr, v_scope, v_current_ptr, v_found); assert v_found report "Scope not found!" severity warning; if v_found then -- Set stop limit for this node v_current_ptr.element_data.alert_attention_counters(alert_level)(EXPECT) := expected_alerts; -- Change pointer to parent element v_current_ptr := v_current_ptr.parent; -- Propagate stop limit while v_current_ptr /= null loop if v_current_ptr.element_data.alert_attention_counters(alert_level)(EXPECT) < expected_alerts then v_current_ptr.element_data.alert_attention_counters(alert_level)(EXPECT) := expected_alerts; end if; v_current_ptr := v_current_ptr.parent; end loop; end if; if (alert_level /= NO_ALERT) and (alert_level /= NOTE) and (alert_level /= TB_NOTE) and (alert_level /= MANUAL_CHECK) then update_uvvm_sim_status; end if; end if; end procedure; impure function get_expected_alerts( scope : string; alert_level : t_alert_level ) return natural is variable v_current_ptr : t_element_ptr; variable v_found : boolean := false; variable v_scope : string(1 to C_HIERARCHY_NODE_NAME_LENGTH) := justify(scope, LEFT, C_HIERARCHY_NODE_NAME_LENGTH); begin search_for_scope(vr_top_element_ptr, v_scope, v_current_ptr, v_found); if v_found then return v_current_ptr.element_data.alert_attention_counters(alert_level)(EXPECT); else return 0; end if; end function; procedure increment_stop_limit( scope : string; alert_level: t_alert_level; amount : natural := 1 ) is variable v_current_ptr : t_element_ptr; variable v_new_stop_limit : natural; variable v_found : boolean := false; begin if vr_num_elements_in_tree > 0 and vr_has_been_initialized then -- search for tree node that contains scope search_for_scope(vr_top_element_ptr, scope, v_current_ptr, v_found); assert v_found report "Scope not found!" severity warning; if v_found then -- Increment stop limit for this node. v_new_stop_limit := v_current_ptr.element_data.alert_stop_limit(alert_level) + amount; v_current_ptr.element_data.alert_stop_limit(alert_level) := v_new_stop_limit; -- Change pointer to parent element v_current_ptr := v_current_ptr.parent; -- Propagate stop limit while v_current_ptr /= null loop if v_current_ptr.element_data.alert_stop_limit(alert_level) < v_new_stop_limit then v_current_ptr.element_data.alert_stop_limit(alert_level) := v_new_stop_limit; end if; v_current_ptr := v_current_ptr.parent; end loop; end if; end if; end procedure; procedure set_stop_limit( scope : string; alert_level: t_alert_level; stop_limit : natural ) is variable v_current_ptr : t_element_ptr; variable v_found : boolean := false; begin if vr_num_elements_in_tree > 0 and vr_has_been_initialized then -- search for tree node that contains scope search_for_scope(vr_top_element_ptr, scope, v_current_ptr, v_found); assert v_found report "Scope not found!" severity warning; if v_found then -- Set stop limit for this node v_current_ptr.element_data.alert_stop_limit(alert_level) := stop_limit; v_current_ptr := v_current_ptr.parent; -- Propagate stop limit while v_current_ptr /= null loop if v_current_ptr.element_data.alert_stop_limit(alert_level) < stop_limit then v_current_ptr.element_data.alert_stop_limit(alert_level) := stop_limit; end if; v_current_ptr := v_current_ptr.parent; end loop; end if; end if; end procedure; impure function get_stop_limit( scope : string; alert_level : t_alert_level ) return natural is variable v_current_ptr : t_element_ptr; variable v_found : boolean := false; begin search_for_scope(vr_top_element_ptr, scope, v_current_ptr, v_found); if v_found then return v_current_ptr.element_data.alert_stop_limit(alert_level); else return 0; end if; end function; procedure generate_hierarchy_prefix( variable starting_node_ptr : in t_element_ptr; variable calling_node_ptr : in t_element_ptr; variable origin_node_ptr : in t_element_ptr; variable v_line : inout line ) is variable v_indent_correction_amount : natural := 0; begin if starting_node_ptr.parent = null then -- This is the top level -- Write a '|' as first character if the calling node (child) -- has another sibling, else nothing. if origin_node_ptr.parent /= starting_node_ptr and calling_node_ptr.next_sibling /= null then write(v_line, string'("|")); end if; else -- This starting_node is not the top node -- Create prefix for parent first. generate_hierarchy_prefix(starting_node_ptr.parent, starting_node_ptr, origin_node_ptr, v_line); -- All that have received a '|' as the first character in the buffer -- has one space too many afterwards. Special case for the first character. if starting_node_ptr.parent.parent = null then if starting_node_ptr.next_sibling /= null then v_indent_correction_amount := 1; end if; end if; if starting_node_ptr.next_sibling /= null then -- Has another sibling if calling_node_ptr.next_sibling /= null then write(v_line, fill_string(' ', 2 - v_indent_correction_amount)); write(v_line, string'("|")); else write(v_line, fill_string(' ', 3 - v_indent_correction_amount)); end if; else -- No next sibling write(v_line, fill_string(' ', 3 - v_indent_correction_amount)); end if; end if; end procedure; procedure print_node( variable starting_node_ptr : in t_element_ptr; variable v_status_ok : inout boolean; variable v_mismatch : inout boolean; variable v_line : inout line ) is variable v_current_ptr : t_element_ptr; begin -- Write indentation according to hierarchy level if starting_node_ptr.hierarchy_level > 0 then generate_hierarchy_prefix(starting_node_ptr.parent, starting_node_ptr, starting_node_ptr, v_line); if starting_node_ptr.next_sibling /= null then write(v_line, string'("|- ")); else write(v_line, string'("`- ")); end if; end if; -- Print name of node write(v_line, starting_node_ptr.element_data.name); -- Adjust the columns according to max hierarchy level if vr_max_hierarchy_level > 0 then if starting_node_ptr.hierarchy_level /= vr_max_hierarchy_level then write(v_line, fill_string(' ', (vr_max_hierarchy_level - starting_node_ptr.hierarchy_level)*3)); end if; end if; -- Print colon to signify the end of the name write(v_line, string'(":")); -- Print counters for each of the alert levels. for alert_level in NOTE to t_alert_level'right loop write(v_line, justify(integer'image(starting_node_ptr.element_data.alert_attention_counters(alert_level)(REGARD)) & "/" & integer'image(starting_node_ptr.element_data.alert_attention_counters(alert_level)(EXPECT)) & "/" & integer'image(starting_node_ptr.element_data.alert_attention_counters(alert_level)(IGNORE)) ,RIGHT, 11) & " "); if v_status_ok = true then if starting_node_ptr.element_data.alert_attention_counters(alert_level)(REGARD) /= starting_node_ptr.element_data.alert_attention_counters(alert_level)(EXPECT) then if alert_level > MANUAL_CHECK then if starting_node_ptr.element_data.alert_attention_counters(alert_level)(REGARD) < starting_node_ptr.element_data.alert_attention_counters(alert_level)(EXPECT) then v_mismatch := true; else v_status_ok := false; end if; end if; end if; end if; end loop; write(v_line, LF); if starting_node_ptr.first_child /= null then print_node(starting_node_ptr.first_child, v_status_ok, v_mismatch, v_line); end if; if starting_node_ptr.next_sibling /= null then print_node(starting_node_ptr.next_sibling, v_status_ok, v_mismatch, v_line); end if; end procedure; procedure print_hierarchical_log( order : t_order := FINAL ) is variable v_header : string(1 to 80); variable v_line : line; variable v_line_copy : line; constant prefix : string := C_LOG_PREFIX & " "; variable v_status_ok : boolean := true; variable v_mismatch : boolean := false; begin if order = INTERMEDIATE then v_header := "*** INTERMEDIATE SUMMARY OF ALL ALERTS *** Format: REGARDED/EXPECTED/IGNORED"; else -- order=FINAL v_header := "*** FINAL SUMMARY OF ALL ALERTS *** Format: REGARDED/EXPECTED/IGNORED "; end if; -- Write header write(v_line, LF & fill_string('=', (C_LOG_LINE_WIDTH - prefix'length)) & LF & v_header & LF & fill_string('=', (C_LOG_LINE_WIDTH - prefix'length)) & LF & " " & justify(" ", RIGHT, 3+ C_HIERARCHY_NODE_NAME_LENGTH + vr_max_hierarchy_level*3) & "NOTE" & justify(" ", RIGHT, 6) & "TB_NOTE" & justify(" ", RIGHT, 5) & "WARNING" & justify(" ", RIGHT, 3) & "TB_WARNING" & justify(" ", RIGHT, 2) & "MANUAL_CHECK" & justify(" ", RIGHT, 3) & "ERROR" & justify(" ", RIGHT, 5) & "TB_ERROR" & justify(" ", RIGHT, 5) & "FAILURE" & justify(" ", RIGHT, 3) & "TB_FAILURE" & LF); -- Print all nodes if vr_num_elements_in_tree > 0 and vr_has_been_initialized then print_node(vr_top_element_ptr, v_status_ok, v_mismatch, v_line); end if; write(v_line, fill_string('=', (C_LOG_LINE_WIDTH - prefix'length)) & LF); -- Print a conclusion when called from the FINAL part of the test sequencer -- but not when called from in the middle of the test sequence (order=INTERMEDIATE) if order = FINAL then if not v_status_ok then write(v_line, ">> Simulation FAILED, with unexpected serious alert(s)" & LF); elsif v_mismatch then write(v_line, ">> Simulation FAILED: Mismatch between counted and expected serious alerts" & LF); else write(v_line, ">> Simulation SUCCESS: No mismatch between counted and expected serious alerts" & LF); end if; write(v_line, fill_string('=', (C_LOG_LINE_WIDTH - prefix'length)) & LF & LF); end if; wrap_lines(v_line, 1, 1, C_LOG_LINE_WIDTH-prefix'length); prefix_lines(v_line, prefix); -- Write the info string to the target file write (v_line_copy, v_line.all & lf); -- copy line writeline(OUTPUT, v_line); writeline(LOG_FILE, v_line_copy); end procedure; impure function get_parent_scope( scope : string(1 to C_HIERARCHY_NODE_NAME_LENGTH)) return string is variable v_current_ptr : t_element_ptr := null; variable v_found : boolean := false; begin if vr_num_elements_in_tree > 0 and vr_has_been_initialized then search_for_scope(vr_top_element_ptr, scope, v_current_ptr, v_found); assert v_found report "Scope not found. Exiting get_parent_scope()..." severity warning; if not v_found then return justify("", LEFT, C_HIERARCHY_NODE_NAME_LENGTH); end if; if v_current_ptr.parent /= null then return v_current_ptr.parent.element_data.name; end if; end if; return ""; end function; procedure propagate_hierarchy_level( variable node_ptr : inout t_element_ptr ) is begin if node_ptr /= null then if node_ptr.parent /= null then node_ptr.hierarchy_level := node_ptr.parent.hierarchy_level + 1; else -- No parent node_ptr.hierarchy_level := 0; end if; if vr_max_hierarchy_level < node_ptr.hierarchy_level then vr_max_hierarchy_level := node_ptr.hierarchy_level; end if; if node_ptr.next_sibling /= null then propagate_hierarchy_level(node_ptr.next_sibling); end if; if node_ptr.first_child /= null then propagate_hierarchy_level(node_ptr.first_child); end if; end if; end procedure; procedure change_parent( scope : string; parent_scope : string ) is variable v_old_parent_ptr : t_element_ptr := null; variable v_new_parent_ptr : t_element_ptr := null; variable v_child_ptr : t_element_ptr := null; variable v_current_ptr : t_element_ptr := null; variable v_found : boolean := false; begin if vr_num_elements_in_tree > 0 and vr_has_been_initialized then search_for_scope(vr_top_element_ptr, scope, v_child_ptr, v_found); assert v_found report "Child not found. Exiting change_parent()..." severity warning; if not v_found then return; end if; search_for_scope(vr_top_element_ptr, parent_scope, v_new_parent_ptr, v_found); assert v_found report "Parent not found. Exiting change_parent()..." severity warning; if not v_found then return; end if; if v_child_ptr.first_child /= null then search_for_scope(v_child_ptr.first_child, parent_scope, v_current_ptr, v_found); assert not v_found report "New parent is the descendant of the node that shall be moved! Illegal operation!" severity failure; end if; -- Clean up -- Need to check the current parent of the child for any other children, -- then clean up the next_sibling, prev_sibling and first_child pointers. v_old_parent_ptr := v_child_ptr.parent; if v_old_parent_ptr /= null then if v_old_parent_ptr.first_child = v_child_ptr then -- First_child is this child. Check if any siblings. -- Prev_sibling is null since this is first child. -- Next sibling can be something else. -- Correct first_child for old parent if v_child_ptr.next_sibling /= null then -- Set next_sibling to be first child v_old_parent_ptr.first_child := v_child_ptr.next_sibling; -- Clear prev_sibling for the sibling that will now be first_child of old_parent v_child_ptr.next_sibling.prev_sibling := null; else -- No siblings, clear first_child v_old_parent_ptr.first_child := null; end if; else -- This child must be one of the siblings. -- Remove this child and glue together the other siblings -- Create pointer from previous sibling to next sibling v_child_ptr.prev_sibling.next_sibling := v_child_ptr.next_sibling; -- Create pointer from next sibling to previous sibling if v_child_ptr.next_sibling /= null then v_child_ptr.next_sibling.prev_sibling := v_child_ptr.prev_sibling; end if; end if; -- Clear siblings to prepare for another parent v_child_ptr.prev_sibling := null; v_child_ptr.next_sibling := null; end if; -- Set new parent and prev_sibling for the child. if v_new_parent_ptr.first_child = null then -- No children previously created for this parent v_new_parent_ptr.first_child := v_child_ptr; else -- There is at least 1 child belonging to the new parent v_current_ptr := v_new_parent_ptr.first_child; while v_current_ptr.next_sibling /= null loop v_current_ptr := v_current_ptr.next_sibling; end loop; -- v_current_ptr is now the final sibling belonging to -- the new parent v_current_ptr.next_sibling := v_child_ptr; v_child_ptr.prev_sibling := v_current_ptr; end if; -- Set parent correctly v_child_ptr.parent := v_new_parent_ptr; -- Update hierarchy levels for the whole tree vr_max_hierarchy_level := 0; propagate_hierarchy_level(vr_top_element_ptr); end if; end procedure; procedure set_top_level_stop_limit( alert_level : t_alert_level; value : natural ) is begin -- -- vr_top_element_ptr.element_data.alert_stop_limit(alert_level) := value; -- Evaluate new stop limit in case it is less than or equal to the current alert counter for this alert level -- If that is the case, a new alert with the same alert level shall be triggered. if vr_top_element_ptr.element_data.alert_stop_limit(alert_level) /= 0 and (vr_top_element_ptr.element_data.alert_attention_counters(alert_level)(REGARD) >= vr_top_element_ptr.element_data.alert_stop_limit(alert_level)) then assert false report "Alert stop limit for scope " & vr_top_element_ptr.element_data.name & " at alert level " & to_upper(to_string(alert_level)) & " set to " & to_string(value) & ", which is lower than the current " & to_upper(to_string(alert_level)) & " count (" & to_string(vr_top_element_ptr.element_data.alert_attention_counters(alert_level)(REGARD)) & ")." severity failure; end if; end procedure; impure function get_top_level_stop_limit( alert_level : t_alert_level ) return natural is begin return vr_top_element_ptr.element_data.alert_stop_limit(alert_level); end function; procedure propagate_alert_level( variable node_ptr : inout t_element_ptr; constant alert_level : t_alert_level; constant setting : boolean ) is begin if node_ptr /= null then node_ptr.element_data.alert_level_print(alert_level) := setting; if node_ptr.next_sibling /= null then propagate_alert_level(node_ptr.next_sibling, alert_level, setting); end if; if node_ptr.first_child /= null then propagate_alert_level(node_ptr.first_child, alert_level, setting); end if; end if; end procedure; procedure enable_alert_level( scope : string; alert_level : t_alert_level ) is variable v_current_ptr : t_element_ptr := null; variable v_found : boolean := false; begin search_for_scope(vr_top_element_ptr, scope, v_current_ptr, v_found); if v_found then propagate_alert_level(v_current_ptr, alert_level, true); end if; end procedure; procedure disable_alert_level( scope : string; alert_level : t_alert_level ) is variable v_current_ptr : t_element_ptr := null; variable v_found : boolean := false; begin search_for_scope(vr_top_element_ptr, scope, v_current_ptr, v_found); if v_found then propagate_alert_level(v_current_ptr, alert_level, false); end if; end procedure; procedure enable_all_alert_levels( scope : string ) is variable v_current_ptr : t_element_ptr := null; variable v_found : boolean := false; begin search_for_scope(vr_top_element_ptr, scope, v_current_ptr, v_found); if v_found then for alert_level in NOTE to t_alert_level'right loop propagate_alert_level(v_current_ptr, alert_level, true); end loop; end if; end procedure; procedure disable_all_alert_levels( scope : string ) is variable v_current_ptr : t_element_ptr := null; variable v_found : boolean := false; begin search_for_scope(vr_top_element_ptr, scope, v_current_ptr, v_found); if v_found then for alert_level in NOTE to t_alert_level'right loop propagate_alert_level(v_current_ptr, alert_level, false); end loop; end if; end procedure; end protected body; end package body hierarchy_linked_list_pkg;
mit
VLSI-EDA/UVVM_All
xConstrRandFuncCov/src/MessagePkg.vhd
2
5845
-- -- File Name: MessagePkg.vhd -- Design Unit Name: MessagePkg -- Revision: STANDARD VERSION, revision 2015.01 -- -- Maintainer: Jim Lewis email: [email protected] -- Contributor(s): -- Jim Lewis SynthWorks -- -- -- Package Defines -- Data structure for multi-line name/message to be associated with a data structure. -- -- Developed for: -- SynthWorks Design Inc. -- VHDL Training Classes -- 11898 SW 128th Ave. Tigard, Or 97223 -- http://www.SynthWorks.com -- -- Latest standard version available at: -- http://www.SynthWorks.com/downloads -- -- Revision History: -- Date Version Description -- 06/2010: 0.1 Initial revision -- 07/2014: 2014.07 Moved specialization required by CoveragePkg to CoveragePkg -- 07/2014: 2014.07a Removed initialized pointers which can lead to memory leaks. -- 01/2015: 2015.01 Removed initialized parameter from Get -- 04/2018: 2018.04 Minor updates to alert message -- -- -- Copyright (c) 2010 - 2018 by SynthWorks Design Inc. All rights reserved. -- -- Verbatim copies of this source file may be used and -- distributed without restriction. -- -- This source file is free software; you can redistribute it -- and/or modify it under the terms of the ARTISTIC License -- as published by The Perl Foundation; either version 2.0 of -- the License, or (at your option) any later version. -- -- This source is distributed in the hope that it will be -- useful, but WITHOUT ANY WARRANTY; without even the implied -- warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR -- PURPOSE. See the Artistic License for details. -- -- You should have received a copy of the license with this source. -- If not download it from, -- http://www.perlfoundation.org/artistic_license_2_0 -- use work.OsvvmGlobalPkg.all ; use work.AlertLogPkg.all ; library ieee ; use ieee.std_logic_1164.all ; use ieee.numeric_std.all ; use ieee.math_real.all ; use std.textio.all ; package MessagePkg is type MessagePType is protected procedure Set (MessageIn : String) ; impure function Get (ItemNumber : integer) return string ; impure function GetCount return integer ; impure function IsSet return boolean ; procedure Clear ; -- clear message procedure Deallocate ; -- clear message end protected MessagePType ; end package MessagePkg ; --- /////////////////////////////////////////////////////////////////////////// --- /////////////////////////////////////////////////////////////////////////// --- /////////////////////////////////////////////////////////////////////////// package body MessagePkg is -- Local Data Structure Types type LineArrayType is array (natural range <>) of line ; type LineArrayPtrType is access LineArrayType ; type MessagePType is protected body variable MessageCount : integer := 0 ; constant INITIAL_ITEM_COUNT : integer := 16 ; variable MaxMessageCount : integer := 0 ; variable MessagePtr : LineArrayPtrType ; ------------------------------------------------------------ procedure Set (MessageIn : String) is ------------------------------------------------------------ variable NamePtr : line ; variable OldMaxMessageCount : integer ; variable OldMessagePtr : LineArrayPtrType ; begin MessageCount := MessageCount + 1 ; if MessageCount > MaxMessageCount then OldMaxMessageCount := MaxMessageCount ; MaxMessageCount := MaxMessageCount + INITIAL_ITEM_COUNT ; OldMessagePtr := MessagePtr ; MessagePtr := new LineArrayType(1 to MaxMessageCount) ; for i in 1 to OldMaxMessageCount loop MessagePtr(i) := OldMessagePtr(i) ; end loop ; Deallocate( OldMessagePtr ) ; end if ; MessagePtr(MessageCount) := new string'(MessageIn) ; end procedure Set ; ------------------------------------------------------------ impure function Get (ItemNumber : integer) return string is ------------------------------------------------------------ begin if MessageCount > 0 then if ItemNumber >= 1 and ItemNumber <= MessageCount then return MessagePtr(ItemNumber).all ; else Alert(OSVVM_ALERTLOG_ID, "OSVVM.MessagePkg.Get input value out of range", FAILURE) ; return "" ; -- error if this happens end if ; else Alert(OSVVM_ALERTLOG_ID, "OSVVM.MessagePkg.Get message is not set", FAILURE) ; return "" ; -- error if this happens end if ; end function Get ; ------------------------------------------------------------ impure function GetCount return integer is ------------------------------------------------------------ begin return MessageCount ; end function GetCount ; ------------------------------------------------------------ impure function IsSet return boolean is ------------------------------------------------------------ begin return MessageCount > 0 ; end function IsSet ; ------------------------------------------------------------ procedure Deallocate is -- clear message ------------------------------------------------------------ variable CurPtr : LineArrayPtrType ; begin for i in 1 to MessageCount loop deallocate( MessagePtr(i) ) ; end loop ; MessageCount := 0 ; MaxMessageCount := 0 ; deallocate( MessagePtr ) ; end procedure Deallocate ; ------------------------------------------------------------ procedure Clear is -- clear ------------------------------------------------------------ begin Deallocate ; end procedure Clear ; end protected body MessagePType ; end package body MessagePkg ;
mit
VLSI-EDA/UVVM_All
bitvis_vip_spi/src/vvc_cmd_pkg.vhd
2
8079
--======================================================================================================================== -- Copyright (c) 2017 by Bitvis AS. All rights reserved. -- You should have received a copy of the license file containing the MIT License (see LICENSE.TXT), if not, -- contact Bitvis AS <[email protected]>. -- -- UVVM AND ANY PART THEREOF ARE PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE -- WARRANTIES OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS -- OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR -- OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH UVVM OR THE USE OR OTHER DEALINGS IN UVVM. --======================================================================================================================== ------------------------------------------------------------------------------------------ -- Description : See library quick reference (under 'doc') and README-file(s) ------------------------------------------------------------------------------------------ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library uvvm_util; context uvvm_util.uvvm_util_context; library uvvm_vvc_framework; use uvvm_vvc_framework.ti_vvc_framework_support_pkg.all; --================================================================================================= --================================================================================================= --================================================================================================= package vvc_cmd_pkg is --=============================================================================================== -- t_operation -- - Bitvis defined BFM operations --=============================================================================================== type t_operation is ( -- UVVM common NO_OPERATION, AWAIT_COMPLETION, AWAIT_ANY_COMPLETION, ENABLE_LOG_MSG, DISABLE_LOG_MSG, FLUSH_COMMAND_QUEUE, FETCH_RESULT, INSERT_DELAY, TERMINATE_CURRENT_COMMAND, -- VVC local MASTER_TRANSMIT_AND_RECEIVE, MASTER_TRANSMIT_AND_CHECK, MASTER_TRANSMIT_ONLY, MASTER_RECEIVE_ONLY, MASTER_CHECK_ONLY, SLAVE_TRANSMIT_AND_RECEIVE, SLAVE_TRANSMIT_AND_CHECK, SLAVE_TRANSMIT_ONLY, SLAVE_RECEIVE_ONLY, SLAVE_CHECK_ONLY); constant C_VVC_CMD_STRING_MAX_LENGTH : natural := 300; constant C_VVC_CMD_DATA_MAX_LENGTH : natural := 32; constant C_VVC_CMD_MAX_WORDS : natural := 8; --=============================================================================================== -- t_vvc_cmd_record -- - Record type used for communication with the VVC --=============================================================================================== type t_vvc_cmd_record is record -- VVC dedicated fields data : t_slv_array(C_VVC_CMD_MAX_WORDS-1 downto 0)(C_VVC_CMD_DATA_MAX_LENGTH-1 downto 0); data_exp : t_slv_array(C_VVC_CMD_MAX_WORDS-1 downto 0)(C_VVC_CMD_DATA_MAX_LENGTH-1 downto 0); num_words : natural; word_length : natural; when_to_start_transfer : t_when_to_start_transfer; action_when_transfer_is_done : t_action_when_transfer_is_done; action_between_words : t_action_between_words; -- Common VVC fields (Used by td_vvc_framework_common_methods_pkg procedures, and thus mandatory) operation : t_operation; proc_call : string(1 to C_VVC_CMD_STRING_MAX_LENGTH); msg : string(1 to C_VVC_CMD_STRING_MAX_LENGTH); cmd_idx : natural; command_type : t_immediate_or_queued; -- QUEUED/IMMEDIATE msg_id : t_msg_id; gen_integer_array : t_integer_array(0 to 1); -- Increase array length if needed gen_boolean : boolean; -- Generic boolean timeout : time; alert_level : t_alert_level; delay : time; quietness : t_quietness; end record; constant C_VVC_CMD_DEFAULT : t_vvc_cmd_record := ( data => (others => (others => '0')), data_exp => (others => (others => '0')), num_words => 0, word_length => 0, when_to_start_transfer => START_TRANSFER_IMMEDIATE, action_when_transfer_is_done => RELEASE_LINE_AFTER_TRANSFER, action_between_words => HOLD_LINE_BETWEEN_WORDS, -- Common VVC fields operation => NO_OPERATION, proc_call => (others => NUL), msg => (others => NUL), cmd_idx => 0, command_type => NO_COMMAND_TYPE, msg_id => NO_ID, gen_integer_array => (others => -1), gen_boolean => false, timeout => 0 ns, alert_level => failure, delay => 0 ns, quietness => NON_QUIET ); --=============================================================================================== -- shared_vvc_cmd -- - Shared variable used for transmitting VVC commands --=============================================================================================== shared variable shared_vvc_cmd : t_vvc_cmd_record := C_VVC_CMD_DEFAULT; --=============================================================================================== -- t_vvc_result, t_vvc_result_queue_element, t_vvc_response and shared_vvc_response : -- -- - Used for storing the result of a BFM procedure called by the VVC, -- so that the result can be transported from the VVC to for example a sequencer via -- fetch_result() as described in VVC_Framework_common_methods_QuickRef -- -- - t_vvc_result includes the return value of the procedure in the BFM. -- It can also be defined as a record if multiple values shall be transported from the BFM --=============================================================================================== subtype t_vvc_result is std_logic_vector(C_VVC_CMD_DATA_MAX_LENGTH-1 downto 0); type t_vvc_result_queue_element is record cmd_idx : natural; -- from UVVM handshake mechanism result : t_vvc_result; end record; type t_vvc_response is record fetch_is_accepted : boolean; transaction_result : t_transaction_result; result : t_vvc_result; end record; shared variable shared_vvc_response : t_vvc_response; --=============================================================================================== -- t_last_received_cmd_idx : -- - Used to store the last queued cmd in vvc interpreter. --=============================================================================================== type t_last_received_cmd_idx is array (t_channel range <>, natural range <>) of integer; --=============================================================================================== -- shared_vvc_last_received_cmd_idx -- - Shared variable used to get last queued index from vvc to sequencer --=============================================================================================== shared variable shared_vvc_last_received_cmd_idx : t_last_received_cmd_idx(t_channel'left to t_channel'right, 0 to C_MAX_VVC_INSTANCE_NUM) := (others => (others => -1)); end package vvc_cmd_pkg; --================================================================================================= --================================================================================================= package body vvc_cmd_pkg is end package body vvc_cmd_pkg;
mit
MForever78/CPUFly
ipcore_dir/Font/simulation/Font_tb_dgen.vhd
1
5094
-------------------------------------------------------------------------------- -- -- DIST MEM GEN Core - Data Generator -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: Font_tb_dgen.vhd -- -- Description: -- Data Generator -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY work; USE work.Font_TB_PKG.ALL; ENTITY Font_TB_DGEN IS GENERIC ( DATA_GEN_WIDTH : INTEGER := 32; DOUT_WIDTH : INTEGER := 32; DATA_PART_CNT : INTEGER := 1; SEED : INTEGER := 2 ); PORT ( CLK : IN STD_LOGIC; RST : IN STD_LOGIC; EN : IN STD_LOGIC; DATA_OUT : OUT STD_LOGIC_VECTOR (DOUT_WIDTH-1 DOWNTO 0) --OUTPUT VECTOR ); END Font_TB_DGEN; ARCHITECTURE DATA_GEN_ARCH OF Font_TB_DGEN IS CONSTANT LOOP_COUNT : INTEGER := DIVROUNDUP(DATA_GEN_WIDTH,8); SIGNAL RAND_DATA : STD_LOGIC_VECTOR(8*LOOP_COUNT-1 DOWNTO 0); SIGNAL LOCAL_DATA_OUT : STD_LOGIC_VECTOR(DATA_GEN_WIDTH-1 DOWNTO 0); SIGNAL LOCAL_CNT : INTEGER :=1; SIGNAL DATA_GEN_I : STD_LOGIC :='0'; BEGIN LOCAL_DATA_OUT <= RAND_DATA(DATA_GEN_WIDTH-1 DOWNTO 0); DATA_OUT <= LOCAL_DATA_OUT(((DOUT_WIDTH*LOCAL_CNT)-1) DOWNTO ((DOUT_WIDTH*LOCAL_CNT)-DOUT_WIDTH)); DATA_GEN_I <= '0' WHEN (LOCAL_CNT < DATA_PART_CNT) ELSE EN; PROCESS(CLK) BEGIN IF(RISING_EDGE (CLK)) THEN IF(EN ='1' AND (DATA_PART_CNT =1)) THEN LOCAL_CNT <=1; ELSIF(EN='1' AND (DATA_PART_CNT>1)) THEN IF(LOCAL_CNT = 1) THEN LOCAL_CNT <= LOCAL_CNT+1; ELSIF(LOCAL_CNT < DATA_PART_CNT) THEN LOCAL_CNT <= LOCAL_CNT+1; ELSE LOCAL_CNT <= 1; END IF; ELSE LOCAL_CNT <= 1; END IF; END IF; END PROCESS; RAND_GEN:FOR N IN LOOP_COUNT-1 DOWNTO 0 GENERATE RAND_GEN_INST:ENTITY work.Font_TB_RNG GENERIC MAP( WIDTH => 8, SEED => (SEED+N) ) PORT MAP( CLK => CLK, RST => RST, EN => DATA_GEN_I, RANDOM_NUM => RAND_DATA(8*(N+1)-1 DOWNTO 8*N) ); END GENERATE RAND_GEN; END ARCHITECTURE;
mit